diff --git a/hdl/ip_cores/wr-cores b/hdl/ip_cores/wr-cores
index 6a425a6fb1c02ed5b28e88c39c618cb9b014a9c7..b60287ce85eb32009ea7509394728bc58d53cc9a 160000
--- a/hdl/ip_cores/wr-cores
+++ b/hdl/ip_cores/wr-cores
@@ -1 +1 @@
-Subproject commit 6a425a6fb1c02ed5b28e88c39c618cb9b014a9c7
+Subproject commit b60287ce85eb32009ea7509394728bc58d53cc9a
diff --git a/hdl/top/svec/wr_svec_tdc.vhd b/hdl/top/svec/wr_svec_tdc.vhd
index c1d45930f89bae520be76544d9a95685780df694..c2ab237188f37c20b156844223cb3ec89d7fb153 100644
--- a/hdl/top/svec/wr_svec_tdc.vhd
+++ b/hdl/top/svec/wr_svec_tdc.vhd
@@ -449,9 +449,6 @@ architecture rtl of wr_svec_tdc is
   -- White Rabbit signals to clks_rsts_manager
   signal tm_dac_value                         : std_logic_vector(23 downto 0);
   signal tm_dac_wr_p                          : std_logic_vector(1 downto 0);
-  -- White Rabbit to mezzanine EEPROM
-  signal wrc_scl_out, wrc_scl_in              : std_logic;
-  signal wrc_sda_out, wrc_sda_in              : std_logic;
   -- White Rabbit to SFP EEPROM
   signal sfp_scl_out, sfp_scl_in              : std_logic;
   signal sfp_sda_out, sfp_sda_in              : std_logic;
@@ -543,10 +540,6 @@ begin
       sfp_tx_fault_i      => sfp_tx_fault_i,
       sfp_tx_disable_o    => sfp_tx_disable_o,
       sfp_los_i           => sfp_los_i,
-      eeprom_sda_i        => wrc_sda_in,
-      eeprom_sda_o        => wrc_sda_out,
-      eeprom_scl_i        => wrc_scl_in,
-      eeprom_scl_o        => wrc_scl_out,
       onewire_i           => wrc_owr_data,
       onewire_oen_o       => wrc_owr_oe,
       uart_rxd_i          => uart_rxd_i,
@@ -753,10 +746,8 @@ begin
       clk_125m_tdc_o       => tdc1_125m_clk);
 
 
-  tdc1_scl_b   <= '0' when (tdc1_scl_oen = '0' or wrc_scl_out = '0') else 'Z';
-  tdc1_sda_b   <= '0' when (tdc1_sda_oen = '0' or wrc_sda_out = '0') else 'Z';
-  wrc_scl_in   <= tdc1_scl_b;
-  wrc_sda_in   <= tdc1_sda_b;
+  tdc1_scl_b   <= '0' when (tdc1_scl_oen = '0') else 'Z';
+  tdc1_sda_b   <= '0' when (tdc1_sda_oen = '0') else 'Z';
   tdc1_scl_in  <= tdc1_scl_b;
   tdc1_sda_in  <= tdc1_sda_b;