From adcab1e8583be88c071d61880bd411a41d34363c Mon Sep 17 00:00:00 2001 From: David Cussans <David.Cussans@bristol.ac.uk> Date: Mon, 2 Jul 2018 16:18:17 +0100 Subject: [PATCH] Moving minitlu firmware to subdirectory --- firmware/minitlu/Introduction.markdown | 50 + .../config/ise14/sp601/build_bitstream.tcl | 21 + .../minitlu/config/ise14/sp601/coregen.cgp | 9 + firmware/minitlu/config/ise14/sp601/file_list | 5 + .../config/ise14/sp601/setup_project.tcl | 151 + .../config/ise14/sp605/build_bitstream.tcl | 21 + .../minitlu/config/ise14/sp605/coregen.cgp | 9 + firmware/minitlu/config/ise14/sp605/file_list | 5 + .../config/ise14/sp605/setup_project.tcl | 153 + .../minitlu/hdl/common/DUTInterfaces_rtl.vhd | 277 + .../hdl/common/GPL_doxygen_header.vhdl | 78 + firmware/minitlu/hdl/common/GPP_rtl.vhd | 312 + .../minitlu/hdl/common/IODELAYCal_FSM_rtl.vhd | 102 + .../minitlu/hdl/common/IPBusInterface_rtl.vhd | 202 + firmware/minitlu/hdl/common/Reg_2clks.vhd | 56 + firmware/minitlu/hdl/common/TPx3Logic_rtl.vhd | 177 + .../minitlu/hdl/common/arrivalTimeLUT_rtl.vhd | 198 + .../minitlu/hdl/common/clocks_s6_extphy.vhd | 157 + firmware/minitlu/hdl/common/counterDown.vhd | 50 + .../hdl/common/counterWithReset_rtl.vhd | 95 + .../hdl/common/dualSERDES_1to4_rtl.vhd | 218 + .../minitlu/hdl/common/eventBuffer_rtl.vhd | 159 + .../minitlu/hdl/common/eventFormatter_rtl.vhd | 498 + .../minitlu/hdl/common/handshakes_rtl.vhd | 248 + .../minitlu/hdl/common/i2c_master_rtl.vhd | 97 + .../minitlu/hdl/common/ipbus_addr_decode.vhd | 56 + firmware/minitlu/hdl/common/ipbus_ver.vhd | 41 + .../minitlu/hdl/common/logic_clocks_rtl.vhd | 395 + .../common/pulseClockDomainCrossing_rtl.vhd | 100 + .../hdl/common/registerCounter_rtl.vhd | 113 + .../minitlu/hdl/common/serdes_1_to_n_SDR.vhd | 235 + firmware/minitlu/hdl/common/sync_reg.vhd | 50 + .../hdl/common/synchronizeRegisters_rtl.vhd | 114 + .../minitlu/hdl/common/triggerInputs_rtl.vhd | 343 + .../minitlu/hdl/common/triggerLogic_rtl.vhd | 304 + .../minitlu/hdl/test/DUTInterfaces_rtl.vhd | 240 + firmware/minitlu/hdl/test/clock_divider_s6.v | 47 + .../minitlu/hdl/test/clocks_s6_extphy.vhd | 110 + .../minitlu/hdl/test/comb_generator_rtl.vhd | 90 + firmware/minitlu/hdl/test/dtype_fd.vhdl | 38 + firmware/minitlu/hdl/test/dtype_fdpe.vhdl | 43 + firmware/minitlu/hdl/test/dtype_fdr.vhdl | 75 + firmware/minitlu/hdl/test/dtype_fds.vhdl | 76 + .../hdl/test/fmc-tlu_sp601_pulse_shaper.vhdl | 65 + .../minitlu/hdl/test/fmc_tlu_pin_test.vhd | 216 + .../minitlu/hdl/test/fmc_tlu_sp601_tb.vhdl | 160 + .../minitlu/hdl/test/fmc_tlu_top_sp601.vhd | 164 + .../minitlu/hdl/test/i2c_chipscope_debug.cdc | 41 + .../minitlu/hdl/test/ipbus_addr_decode.vhd | 46 + firmware/minitlu/hdl/test/ipbus_ver.vhd | 41 + firmware/minitlu/hdl/test/pulse_shaper.vhdl | 200 + .../hdl/test/pulse_shaper_async_dtypes.vhdl | 92 + .../minitlu/hdl/test/pulse_shaper_scorer.vhdl | 67 + firmware/minitlu/hdl/test/slaves.vhd | 199 + firmware/minitlu/hdl/test/sp601_FMC_mTLU.ucf | 176 + .../minitlu/hdl/test/sp601_FMC_mTLU_v1a.ucf | 279 + firmware/minitlu/hdl/test/sp605_FMC_mTLU.ucf | 164 + .../minitlu/hdl/test/sp605_FMC_mTLU_v1a.ucf | 164 + .../minitlu/hdl/test/top_extphy_struct.vhd | 596 + .../hdl_designer/fmc_mTLU/fmc_mTLU.hdp | 40 + .../hdl/DUTInterfaces_rtl.vhd.rlnk | 1 + .../hdl/IPBusInterface_rtl.vhd.rlnk | 1 + .../fmc_mTLU/fmc_mTLU_lib/hdl/arp.v.rlnk | 1 + .../hdl/arrivalTimeLUT_rtl.vhd.rlnk | 1 + .../fmc_mTLU_lib/hdl/bus_arb.vhd.rlnk | 1 + .../hdl/dualSERDES_1to4_rtl.vhd.rlnk | 1 + .../hdl/emac_hostbus_decl.vhd.rlnk | 1 + .../hdl/eth_s6_1000basex.vhd.rlnk | 1 + .../fmc_mTLU_lib/hdl/eth_s6_gmii.vhd.rlnk | 1 + .../fmc_mTLU_lib/hdl/eventBuffer_rtl.vhd.rlnk | 1 + .../hdl/eventFormatter_rtl.vhd.rlnk | 1 + .../fmc_mTLU/fmc_mTLU_lib/hdl/fmcTLU_pkg.vhd | 27 + .../fmc_mTLU_lib/hdl/fmcTLU_pkg_body.vhd | 13 + .../hdl/gbe_rxpacketbuffer.v.rlnk | 1 + .../hdl/gbe_txpacketbuffer.v.rlnk | 1 + .../fmc_mTLU_lib/hdl/i2c_master_rtl.vhd.rlnk | 1 + .../fmc_mTLU/fmc_mTLU_lib/hdl/icmp.v.rlnk | 1 + .../fmc_mTLU_lib/hdl/ip_checksum_8bit.v.rlnk | 1 + .../fmc_mTLU_lib/hdl/ipbus_bus_decl.vhd.rlnk | 1 + .../fmc_mTLU_lib/hdl/ipbus_ctrl.vhd.rlnk | 1 + .../fmc_mTLU_lib/hdl/ipbus_ctrl_decl.vhd.rlnk | 1 + .../hdl/ipbus_ctrl_udponly.vhd.rlnk | 1 + .../fmc_mTLU_lib/hdl/ipbus_fabric.vhd.rlnk | 1 + .../fmc_mTLU_lib/hdl/ipbus_package.vhd.rlnk | 1 + .../fmc_mTLU_lib/hdl/ipbus_v_defs.v.rlnk | 1 + .../hdl/logic_clocks_rtl.vhd.rlnk | 1 + .../fmc_mTLU_lib/hdl/mac_arbiter.vhd.rlnk | 1 + .../hdl/mac_arbiter_decl.vhd.rlnk | 1 + .../fmc_mTLU_lib/hdl/packet_handler.v.rlnk | 1 + .../fmc_mTLU_lib/hdl/sub_packetbuffer.v.rlnk | 1 + .../fmc_mTLU_lib/hdl/sub_packetreq.v.rlnk | 1 + .../fmc_mTLU_lib/hdl/sub_packetresp.v.rlnk | 1 + .../fmc_mTLU_lib/hdl/top_extphy_rtl.vhd | 80 + .../fmc_mTLU_lib/hdl/top_extphy_struct.vhd | 631 + .../fmc_mTLU_lib/hdl/transactor.vhd.rlnk | 1 + .../fmc_mTLU_lib/hdl/transactor_rx.vhd.rlnk | 1 + .../fmc_mTLU_lib/hdl/transactor_sm.vhd.rlnk | 1 + .../fmc_mTLU_lib/hdl/transactor_tx.vhd.rlnk | 1 + .../hdl/triggerInputs_rtl.vhd.rlnk | 1 + .../hdl/triggerLogic_rtl.vhd.rlnk | 1 + .../fmc_mTLU_lib/hdl/udp_shim.vhd.rlnk | 1 + .../fmc_mTLU/fmc_mTLU_lib/hds/.cache.dat | Bin 0 -> 17389 bytes .../hds/.xrf/top_extphy_struct.xrf | 1100 + .../hds/@d@u@t@interfaces/_symbol.sb._fpf | 3 + .../fmc_mTLU_lib/hds/@d@u@t@interfaces/rtl.bd | 3337 +++ .../hds/@d@u@t@interfaces/symbol.sb | 2488 +++ .../hds/@i@p@bus@interface/_symbol.sb._fpf | 3 + .../hds/@i@p@bus@interface/rtl.bd | 10323 +++++++++ .../hds/@i@p@bus@interface/symbol.sb | 2531 +++ .../fmc_mTLU_lib/hds/_arrivaltimelut._epf | 2 + .../fmc_mTLU_lib/hds/_clocks_s6_extphy._epf | 2 + .../fmc_mTLU_lib/hds/_dualserdes_1to4._epf | 2 + .../fmc_mTLU_lib/hds/_dutinterfaces._epf | 2 + .../fmc_mTLU_lib/hds/_eventbuffer._epf | 2 + .../fmc_mTLU_lib/hds/_eventformatter._epf | 2 + .../fmc_mTLU_lib/hds/_ipbusinterface._epf | 2 + .../fmc_mTLU_lib/hds/_logic_clocks._epf | 2 + .../fmc_mTLU_lib/hds/_top_extphy._epf | 2 + .../fmc_mTLU_lib/hds/_triggerinputs._epf | 2 + .../fmc_mTLU_lib/hds/_triggerlogic._epf | 2 + .../fmc_mTLU/fmc_mTLU_lib/hds/arp/fsm.sm | 6443 ++++++ .../fmc_mTLU/fmc_mTLU_lib/hds/arp/struct.bd | 10879 ++++++++++ .../hds/arrival@time@l@u@t/symbol.sb | 1871 ++ .../fmc_mTLU_lib/hds/clocks_s6_extphy/rtl.bd | 5669 +++++ .../hds/dual@s@e@r@d@e@s_1to4/symbol.sb | 1734 ++ .../fmc_mTLU_lib/hds/eth_s6_gmii/rtl.bd | 9881 +++++++++ .../fmc_mTLU_lib/hds/event@buffer/rtl.bd | 2842 +++ .../fmc_mTLU_lib/hds/event@buffer/symbol.sb | 2085 ++ .../hds/event@formatter/_symbol.sb._fpf | 3 + .../fmc_mTLU_lib/hds/event@formatter/rtl.bd | 2644 +++ .../hds/event@formatter/symbol.sb | 2935 +++ .../hds/gbe_rxpacketbuffer/struct.bd | 6388 ++++++ .../hds/gbe_txpacketbuffer/struct.bd | 9718 +++++++++ .../fmc_mTLU/fmc_mTLU_lib/hds/icmp/struct.bd | 5417 +++++ .../hds/ipbus_ctrl_udponly/rtl.bd | 15291 +++++++++++++ .../hds/ipbus_emac_hostbus/rtl.bd | 5793 +++++ .../hds/ipbus_emac_hostbus/rtl.fc | 2161 ++ .../fmc_mTLU_lib/hds/ipbus_fabric/rtl.bd | 4275 ++++ .../fmc_mTLU_lib/hds/ipbus_fabric/rtl.fc | 1742 ++ .../fmc_mTLU_lib/hds/logic_clocks/symbol.sb | 2299 ++ .../fmc_mTLU_lib/hds/packet_handler/fsm.sm | 7818 +++++++ .../fmc_mTLU_lib/hds/packet_handler/struct.bd | 16592 ++++++++++++++ .../hds/sub_packetbuffer/struct.bd | 13912 ++++++++++++ .../hds/top_extphy/_struct.bd._fpf | 3 + .../hds/top_extphy/_symbol.sb._fpf | 3 + .../fmc_mTLU_lib/hds/top_extphy/struct.bd | 17784 ++++++++++++++++ .../fmc_mTLU_lib/hds/top_extphy/struct.bd.bak | 17784 ++++++++++++++++ .../Synthesis/Constraints/top_extphy.ctr | 5 + .../Synthesis/Constraints/top_extphy.sdc | 5 + .../fmc_mTLU_lib/hds/top_extphy/symbol.sb | 3403 +++ .../fmc_mTLU_lib/hds/top_extphy/symbol.sb.bak | 3383 +++ .../fmc_mTLU_lib/hds/transactor/rtl.bd | 5654 +++++ .../hds/trigger@inputs/_symbol.sb._fpf | 3 + .../fmc_mTLU_lib/hds/trigger@inputs/rtl.bd | 2993 +++ .../fmc_mTLU_lib/hds/trigger@inputs/symbol.sb | 2674 +++ .../hds/trigger@logic/_symbol.sb._fpf | 3 + .../fmc_mTLU_lib/hds/trigger@logic/rtl.bd | 2846 +++ .../fmc_mTLU_lib/hds/trigger@logic/symbol.sb | 2169 ++ .../hds/trigger@logic/symbol.sb.bak | 2182 ++ .../fmc_mTLU/fmc_mTLU_lib/hds/udp_shim/rtl.bd | 5258 +++++ .../fmc_mTLU/fmc_mTLU_lib/hds/udp_shim/rtl.fc | 2155 ++ .../minitlu/ise/ipcore_dir/CounterDown.xco | 70 + firmware/minitlu/ise/ipcore_dir/CounterUp.xco | 70 + firmware/minitlu/ise/ipcore_dir/FIFO.xco | 219 + .../ipcore_dir/internalTriggerGenerator.xco | 70 + .../minitlu/ise/ipcore_dir/tlu_event_fifo.xco | 219 + firmware/minitlu/scripts/FmcTluI2c.py | 136 + firmware/minitlu/scripts/I2cBusProperties.py | 122 + firmware/minitlu/scripts/RawI2cAccess.py | 260 + .../scripts/aida_mini_tlu_addr_map.txt | 88 + .../scripts/aida_mini_tlu_addr_map.txt~ | 87 + firmware/minitlu/scripts/build_bitstream.sh | 27 + firmware/minitlu/scripts/fmc_tlu_addr_table | 15 + .../scripts/generate_arrivaltime_lut.py | 109 + .../scripts/make_fmc-mtlu_pintest_ise.tcl | 540 + firmware/minitlu/scripts/setup_workspace.sh | 100 + firmware/minitlu/scripts/test_aida_tlu.py | 242 + firmware/minitlu/scripts/test_aida_tlu.sh | 5 + .../scripts/test_aida_tlu_thresholds.py | 43 + .../scripts/test_aida_tlu_trig_counter.py | 106 + .../minitlu/simulation/questa/fmc_tlu.cr.mti | 31 + .../minitlu/simulation/questa/fmc_tlu.mpf | 1592 ++ .../questa/fmctlu_v0_1_testbench.fdo | 115 + .../questa/fmctlu_v0_1_testbench.udo | 10 + .../questa/fmctlu_v0_1_testbench_wave.fdo | 12 + .../minitlu/simulation/questa/modelsim.ini | 1868 ++ .../simulation/questa/vish_stacktrace.vstf | 140 + firmware/minitlu/simulation/questa/vsim.wlf | Bin 0 -> 32768 bytes firmware/minitlu/simulation/scripts/README | 6 + .../minitlu/simulation/scripts/add_files.tcl | 86 + .../simulation/scripts/addfiles_sim.tcl | 38 + firmware/minitlu/simulation/scripts/file_list | 67 + .../minitlu/simulation/scripts/file_list.sav | 7 + firmware/minitlu/simulation/scripts/files.txt | 68 + .../simulation/scripts/fmc_tlu_sim.cr.mti | 717 + .../simulation/scripts/fmc_tlu_sim.mpf | 2067 ++ .../minitlu/simulation/scripts/modelsim.ini | 1868 ++ firmware/minitlu/simulation/scripts/setup.sh | 12 + .../simulation/scripts/setup_project.tcl | 24 + .../fmc-tlu_v0-1_test-bench.vhd | 182 + .../simulation_src/pmtPulseGenerator_rtl.vhd | 152 + firmware/minitlu/tlu_scripts.zip | Bin 0 -> 22251 bytes ...P601_RevC_annotated_master_ucf_8-28-09.ucf | 252 + .../minitlu/ucf/SP601_fmc_connections.ucf | 73 + .../minitlu/ucf/SP601_fmc_connections.xlsx | Bin 0 -> 7114 bytes .../minitlu/ucf/SP601_fmc_connections_v2.ucf | 94 + .../minitlu/ucf/fmcTLU_fmc_connections.txt | 50 + firmware/minitlu/ucf/fmc_pins.ucf | 50 + firmware/minitlu/ucf/generate_fmcTLU_ucf.pl | 82 + firmware/minitlu/ucf/sp601_FMC_mTLU.ucf | 152 + firmware/minitlu/ucf/sp601_FMC_mTLU_v1a.ucf | 220 + firmware/minitlu/ucf/sp605_FMC_mTLU.ucf | 138 + firmware/minitlu/ucf/sp605_FMC_mTLU_v1a.ucf | 185 + .../ucf/sp605_FMC_mTLU_v1a_pintest.ucf | 155 + firmware/minitlu/xdc/ax3_pm3_mTLUvC.xdc | 377 + 215 files changed, 249240 insertions(+) create mode 100644 firmware/minitlu/Introduction.markdown create mode 100644 firmware/minitlu/config/ise14/sp601/build_bitstream.tcl create mode 100644 firmware/minitlu/config/ise14/sp601/coregen.cgp create mode 100644 firmware/minitlu/config/ise14/sp601/file_list create mode 100644 firmware/minitlu/config/ise14/sp601/setup_project.tcl create mode 100644 firmware/minitlu/config/ise14/sp605/build_bitstream.tcl create mode 100644 firmware/minitlu/config/ise14/sp605/coregen.cgp create mode 100644 firmware/minitlu/config/ise14/sp605/file_list create mode 100644 firmware/minitlu/config/ise14/sp605/setup_project.tcl create mode 100644 firmware/minitlu/hdl/common/DUTInterfaces_rtl.vhd create mode 100644 firmware/minitlu/hdl/common/GPL_doxygen_header.vhdl create mode 100644 firmware/minitlu/hdl/common/GPP_rtl.vhd create mode 100644 firmware/minitlu/hdl/common/IODELAYCal_FSM_rtl.vhd create mode 100644 firmware/minitlu/hdl/common/IPBusInterface_rtl.vhd create mode 100644 firmware/minitlu/hdl/common/Reg_2clks.vhd create mode 100644 firmware/minitlu/hdl/common/TPx3Logic_rtl.vhd create mode 100644 firmware/minitlu/hdl/common/arrivalTimeLUT_rtl.vhd create mode 100644 firmware/minitlu/hdl/common/clocks_s6_extphy.vhd create mode 100644 firmware/minitlu/hdl/common/counterDown.vhd create mode 100644 firmware/minitlu/hdl/common/counterWithReset_rtl.vhd create mode 100644 firmware/minitlu/hdl/common/dualSERDES_1to4_rtl.vhd create mode 100644 firmware/minitlu/hdl/common/eventBuffer_rtl.vhd create mode 100644 firmware/minitlu/hdl/common/eventFormatter_rtl.vhd create mode 100644 firmware/minitlu/hdl/common/handshakes_rtl.vhd create mode 100644 firmware/minitlu/hdl/common/i2c_master_rtl.vhd create mode 100644 firmware/minitlu/hdl/common/ipbus_addr_decode.vhd create mode 100644 firmware/minitlu/hdl/common/ipbus_ver.vhd create mode 100644 firmware/minitlu/hdl/common/logic_clocks_rtl.vhd create mode 100644 firmware/minitlu/hdl/common/pulseClockDomainCrossing_rtl.vhd create mode 100644 firmware/minitlu/hdl/common/registerCounter_rtl.vhd create mode 100644 firmware/minitlu/hdl/common/serdes_1_to_n_SDR.vhd create mode 100644 firmware/minitlu/hdl/common/sync_reg.vhd create mode 100644 firmware/minitlu/hdl/common/synchronizeRegisters_rtl.vhd create mode 100644 firmware/minitlu/hdl/common/triggerInputs_rtl.vhd create mode 100644 firmware/minitlu/hdl/common/triggerLogic_rtl.vhd create mode 100644 firmware/minitlu/hdl/test/DUTInterfaces_rtl.vhd create mode 100755 firmware/minitlu/hdl/test/clock_divider_s6.v create mode 100755 firmware/minitlu/hdl/test/clocks_s6_extphy.vhd create mode 100644 firmware/minitlu/hdl/test/comb_generator_rtl.vhd create mode 100644 firmware/minitlu/hdl/test/dtype_fd.vhdl create mode 100644 firmware/minitlu/hdl/test/dtype_fdpe.vhdl create mode 100644 firmware/minitlu/hdl/test/dtype_fdr.vhdl create mode 100644 firmware/minitlu/hdl/test/dtype_fds.vhdl create mode 100755 firmware/minitlu/hdl/test/fmc-tlu_sp601_pulse_shaper.vhdl create mode 100644 firmware/minitlu/hdl/test/fmc_tlu_pin_test.vhd create mode 100644 firmware/minitlu/hdl/test/fmc_tlu_sp601_tb.vhdl create mode 100644 firmware/minitlu/hdl/test/fmc_tlu_top_sp601.vhd create mode 100644 firmware/minitlu/hdl/test/i2c_chipscope_debug.cdc create mode 100755 firmware/minitlu/hdl/test/ipbus_addr_decode.vhd create mode 100755 firmware/minitlu/hdl/test/ipbus_ver.vhd create mode 100644 firmware/minitlu/hdl/test/pulse_shaper.vhdl create mode 100644 firmware/minitlu/hdl/test/pulse_shaper_async_dtypes.vhdl create mode 100644 firmware/minitlu/hdl/test/pulse_shaper_scorer.vhdl create mode 100755 firmware/minitlu/hdl/test/slaves.vhd create mode 100644 firmware/minitlu/hdl/test/sp601_FMC_mTLU.ucf create mode 100644 firmware/minitlu/hdl/test/sp601_FMC_mTLU_v1a.ucf create mode 100644 firmware/minitlu/hdl/test/sp605_FMC_mTLU.ucf create mode 100644 firmware/minitlu/hdl/test/sp605_FMC_mTLU_v1a.ucf create mode 100644 firmware/minitlu/hdl/test/top_extphy_struct.vhd create mode 100644 firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU.hdp create mode 100644 firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/DUTInterfaces_rtl.vhd.rlnk create mode 100644 firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/IPBusInterface_rtl.vhd.rlnk create mode 100644 firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/arp.v.rlnk create mode 100644 firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/arrivalTimeLUT_rtl.vhd.rlnk create mode 100644 firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/bus_arb.vhd.rlnk create mode 100644 firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/dualSERDES_1to4_rtl.vhd.rlnk create mode 100644 firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/emac_hostbus_decl.vhd.rlnk create mode 100644 firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/eth_s6_1000basex.vhd.rlnk create mode 100644 firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/eth_s6_gmii.vhd.rlnk create mode 100644 firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/eventBuffer_rtl.vhd.rlnk create mode 100644 firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/eventFormatter_rtl.vhd.rlnk create mode 100644 firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/fmcTLU_pkg.vhd create mode 100644 firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/fmcTLU_pkg_body.vhd create mode 100644 firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/gbe_rxpacketbuffer.v.rlnk create mode 100644 firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/gbe_txpacketbuffer.v.rlnk create mode 100644 firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/i2c_master_rtl.vhd.rlnk create mode 100644 firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/icmp.v.rlnk create mode 100644 firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/ip_checksum_8bit.v.rlnk create mode 100644 firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/ipbus_bus_decl.vhd.rlnk create mode 100644 firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/ipbus_ctrl.vhd.rlnk create mode 100644 firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/ipbus_ctrl_decl.vhd.rlnk create mode 100644 firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/ipbus_ctrl_udponly.vhd.rlnk create mode 100644 firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/ipbus_fabric.vhd.rlnk create mode 100644 firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/ipbus_package.vhd.rlnk create mode 100644 firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/ipbus_v_defs.v.rlnk create mode 100644 firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/logic_clocks_rtl.vhd.rlnk create mode 100644 firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/mac_arbiter.vhd.rlnk create mode 100644 firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/mac_arbiter_decl.vhd.rlnk create mode 100644 firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/packet_handler.v.rlnk create mode 100644 firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/sub_packetbuffer.v.rlnk create mode 100644 firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/sub_packetreq.v.rlnk create mode 100644 firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/sub_packetresp.v.rlnk create mode 100644 firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/top_extphy_rtl.vhd create mode 100644 firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/top_extphy_struct.vhd create mode 100644 firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/transactor.vhd.rlnk create mode 100644 firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/transactor_rx.vhd.rlnk create mode 100644 firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/transactor_sm.vhd.rlnk create mode 100644 firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/transactor_tx.vhd.rlnk create mode 100644 firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/triggerInputs_rtl.vhd.rlnk create mode 100644 firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/triggerLogic_rtl.vhd.rlnk create mode 100644 firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/udp_shim.vhd.rlnk create mode 100644 firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/.cache.dat create mode 100644 firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/.xrf/top_extphy_struct.xrf create mode 100755 firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/@d@u@t@interfaces/_symbol.sb._fpf create mode 100644 firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/@d@u@t@interfaces/rtl.bd create mode 100644 firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/@d@u@t@interfaces/symbol.sb create mode 100755 firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/@i@p@bus@interface/_symbol.sb._fpf create mode 100644 firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/@i@p@bus@interface/rtl.bd create mode 100644 firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/@i@p@bus@interface/symbol.sb create mode 100755 firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/_arrivaltimelut._epf create mode 100755 firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/_clocks_s6_extphy._epf create mode 100755 firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/_dualserdes_1to4._epf create mode 100755 firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/_dutinterfaces._epf create mode 100755 firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/_eventbuffer._epf create mode 100755 firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/_eventformatter._epf create mode 100755 firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/_ipbusinterface._epf create mode 100755 firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/_logic_clocks._epf create mode 100755 firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/_top_extphy._epf create mode 100755 firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/_triggerinputs._epf create mode 100755 firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/_triggerlogic._epf create mode 100644 firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/arp/fsm.sm create mode 100644 firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/arp/struct.bd create mode 100644 firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/arrival@time@l@u@t/symbol.sb create mode 100644 firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/clocks_s6_extphy/rtl.bd create mode 100644 firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/dual@s@e@r@d@e@s_1to4/symbol.sb create mode 100644 firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/eth_s6_gmii/rtl.bd create mode 100644 firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/event@buffer/rtl.bd create mode 100644 firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/event@buffer/symbol.sb create mode 100755 firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/event@formatter/_symbol.sb._fpf create mode 100644 firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/event@formatter/rtl.bd create mode 100644 firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/event@formatter/symbol.sb create mode 100644 firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/gbe_rxpacketbuffer/struct.bd create mode 100644 firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/gbe_txpacketbuffer/struct.bd create mode 100644 firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/icmp/struct.bd create mode 100644 firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/ipbus_ctrl_udponly/rtl.bd create mode 100644 firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/ipbus_emac_hostbus/rtl.bd create mode 100644 firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/ipbus_emac_hostbus/rtl.fc create mode 100644 firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/ipbus_fabric/rtl.bd create mode 100644 firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/ipbus_fabric/rtl.fc create mode 100644 firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/logic_clocks/symbol.sb create mode 100644 firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/packet_handler/fsm.sm create mode 100644 firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/packet_handler/struct.bd create mode 100644 firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/sub_packetbuffer/struct.bd create mode 100755 firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/top_extphy/_struct.bd._fpf create mode 100755 firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/top_extphy/_symbol.sb._fpf create mode 100644 firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/top_extphy/struct.bd create mode 100644 firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/top_extphy/struct.bd.bak create mode 100644 firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/top_extphy/struct.bd.info/Synthesis/Constraints/top_extphy.ctr create mode 100644 firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/top_extphy/struct.bd.info/Synthesis/Constraints/top_extphy.sdc create mode 100644 firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/top_extphy/symbol.sb create mode 100644 firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/top_extphy/symbol.sb.bak create mode 100644 firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/transactor/rtl.bd create mode 100755 firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/trigger@inputs/_symbol.sb._fpf create mode 100644 firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/trigger@inputs/rtl.bd create mode 100644 firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/trigger@inputs/symbol.sb create mode 100755 firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/trigger@logic/_symbol.sb._fpf create mode 100644 firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/trigger@logic/rtl.bd create mode 100644 firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/trigger@logic/symbol.sb create mode 100644 firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/trigger@logic/symbol.sb.bak create mode 100644 firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/udp_shim/rtl.bd create mode 100644 firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/udp_shim/rtl.fc create mode 100644 firmware/minitlu/ise/ipcore_dir/CounterDown.xco create mode 100644 firmware/minitlu/ise/ipcore_dir/CounterUp.xco create mode 100644 firmware/minitlu/ise/ipcore_dir/FIFO.xco create mode 100644 firmware/minitlu/ise/ipcore_dir/internalTriggerGenerator.xco create mode 100644 firmware/minitlu/ise/ipcore_dir/tlu_event_fifo.xco create mode 100644 firmware/minitlu/scripts/FmcTluI2c.py create mode 100644 firmware/minitlu/scripts/I2cBusProperties.py create mode 100644 firmware/minitlu/scripts/RawI2cAccess.py create mode 100644 firmware/minitlu/scripts/aida_mini_tlu_addr_map.txt create mode 100644 firmware/minitlu/scripts/aida_mini_tlu_addr_map.txt~ create mode 100644 firmware/minitlu/scripts/build_bitstream.sh create mode 100644 firmware/minitlu/scripts/fmc_tlu_addr_table create mode 100644 firmware/minitlu/scripts/generate_arrivaltime_lut.py create mode 100644 firmware/minitlu/scripts/make_fmc-mtlu_pintest_ise.tcl create mode 100644 firmware/minitlu/scripts/setup_workspace.sh create mode 100644 firmware/minitlu/scripts/test_aida_tlu.py create mode 100755 firmware/minitlu/scripts/test_aida_tlu.sh create mode 100644 firmware/minitlu/scripts/test_aida_tlu_thresholds.py create mode 100644 firmware/minitlu/scripts/test_aida_tlu_trig_counter.py create mode 100644 firmware/minitlu/simulation/questa/fmc_tlu.cr.mti create mode 100644 firmware/minitlu/simulation/questa/fmc_tlu.mpf create mode 100644 firmware/minitlu/simulation/questa/fmctlu_v0_1_testbench.fdo create mode 100644 firmware/minitlu/simulation/questa/fmctlu_v0_1_testbench.udo create mode 100644 firmware/minitlu/simulation/questa/fmctlu_v0_1_testbench_wave.fdo create mode 100644 firmware/minitlu/simulation/questa/modelsim.ini create mode 100644 firmware/minitlu/simulation/questa/vish_stacktrace.vstf create mode 100644 firmware/minitlu/simulation/questa/vsim.wlf create mode 100644 firmware/minitlu/simulation/scripts/README create mode 100644 firmware/minitlu/simulation/scripts/add_files.tcl create mode 100644 firmware/minitlu/simulation/scripts/addfiles_sim.tcl create mode 100644 firmware/minitlu/simulation/scripts/file_list create mode 100644 firmware/minitlu/simulation/scripts/file_list.sav create mode 100644 firmware/minitlu/simulation/scripts/files.txt create mode 100644 firmware/minitlu/simulation/scripts/fmc_tlu_sim.cr.mti create mode 100644 firmware/minitlu/simulation/scripts/fmc_tlu_sim.mpf create mode 100644 firmware/minitlu/simulation/scripts/modelsim.ini create mode 100644 firmware/minitlu/simulation/scripts/setup.sh create mode 100644 firmware/minitlu/simulation/scripts/setup_project.tcl create mode 100644 firmware/minitlu/simulation_src/fmc-tlu_v0-1_test-bench.vhd create mode 100644 firmware/minitlu/simulation_src/pmtPulseGenerator_rtl.vhd create mode 100644 firmware/minitlu/tlu_scripts.zip create mode 100644 firmware/minitlu/ucf/SP601_RevC_annotated_master_ucf_8-28-09.ucf create mode 100644 firmware/minitlu/ucf/SP601_fmc_connections.ucf create mode 100644 firmware/minitlu/ucf/SP601_fmc_connections.xlsx create mode 100644 firmware/minitlu/ucf/SP601_fmc_connections_v2.ucf create mode 100644 firmware/minitlu/ucf/fmcTLU_fmc_connections.txt create mode 100644 firmware/minitlu/ucf/fmc_pins.ucf create mode 100755 firmware/minitlu/ucf/generate_fmcTLU_ucf.pl create mode 100644 firmware/minitlu/ucf/sp601_FMC_mTLU.ucf create mode 100644 firmware/minitlu/ucf/sp601_FMC_mTLU_v1a.ucf create mode 100644 firmware/minitlu/ucf/sp605_FMC_mTLU.ucf create mode 100644 firmware/minitlu/ucf/sp605_FMC_mTLU_v1a.ucf create mode 100644 firmware/minitlu/ucf/sp605_FMC_mTLU_v1a_pintest.ucf create mode 100644 firmware/minitlu/xdc/ax3_pm3_mTLUvC.xdc diff --git a/firmware/minitlu/Introduction.markdown b/firmware/minitlu/Introduction.markdown new file mode 100644 index 00000000..474bbb1d --- /dev/null +++ b/firmware/minitlu/Introduction.markdown @@ -0,0 +1,50 @@ +Introduction to AIDA FMC Mini-TLU {#mainpage} +================================= + +The TLU provides different parts of a Particle Physics Beam-Test +system with the information they need to synchronize data taken with +different detectors. The detectors are also refered to as Devices +Under Test (DUT). + +Functions of TLU +---------------- + +- Trigger. + + The TLU can combine signals from detectors in the beam-line ( often + scintillation detectors ) to produce a trigger that is sent to the + different DUT. Each DUT can indicate to the TLU that it is busy and + unable to take any further data. + +- Particle Timestamping + + The arrival time of every pulse from the beam-detectors is recorded. + +- Clock and Synchronization Signals. + + The TLU produces clock and synchronization signals that allow the + internal counters of different DUT to be sychronized. + +N.B. Not all the functions of the TLU may be used in a given beam-test +system. For example, it is common to only use the Trigger/Busy +function of the TLU. + +Firmare Structure +----------------- + +The firmware is almost exclusively written in VHDL. The top level +entitity is [top_extphy](top_extphy_struct) + +The HDL-Designer package by Mentor graphics has been used to develop +some of the code, mainly the top-level structure. However, is is not +necessary to use HDL-Designer to build the firmware. In fact the VHDL +files produced by HDL-Designer can also be edited "by hand" without +using the tool. + +A block diagram, generated by HDL-Designer, is [here](http://www.ohwr.org/attachments/2710/hdl_designer_test_print_2.pdf) + +Building Firmware +----------------- + +Instructions on building the firmware are found +[here](http://www.ohwr.org/projects/fmc-mtlu/wiki/FirmwareBuild). diff --git a/firmware/minitlu/config/ise14/sp601/build_bitstream.tcl b/firmware/minitlu/config/ise14/sp601/build_bitstream.tcl new file mode 100644 index 00000000..baa74278 --- /dev/null +++ b/firmware/minitlu/config/ise14/sp601/build_bitstream.tcl @@ -0,0 +1,21 @@ +project open fmc-mtlu + +puts "Regenerating cores" +cd $::env(FW_WORKSPACE)/workspace/ipcore_dir +catch {exec coregen -r -b tri_mode_eth_mac_v5_4.xco -p coregen.cgp} +catch {exec coregen -r -b mac_fifo_axi4.xco -p coregen.cgp} + +catch {exec coregen -r -b tlu_event_fifo.xco -p coregen.cgp} +catch {exec coregen -r -b FIFO.xco -p coregen.cgp} +# catch {exec coregen -r -b CounterUp.xco -p coregen.cgp} +catch {exec coregen -r -b internalTriggerGenerator.xco -p coregen.cgp} + + +process run "Synthesize" +process run "Translate" +process run "Map" +process run "Place & Route" + +process run "Generate Programming File" + +project close diff --git a/firmware/minitlu/config/ise14/sp601/coregen.cgp b/firmware/minitlu/config/ise14/sp601/coregen.cgp new file mode 100644 index 00000000..cf20ca96 --- /dev/null +++ b/firmware/minitlu/config/ise14/sp601/coregen.cgp @@ -0,0 +1,9 @@ +SET busformat = BusFormatAngleBracketNotRipped +SET designentry = VHDL +SET device = xc6slx16 +SET devicefamily = spartan6 +SET flowvendor = Other +SET package = csg324 +SET speedgrade = -3 +SET verilogsim = false +SET vhdlsim = true diff --git a/firmware/minitlu/config/ise14/sp601/file_list b/firmware/minitlu/config/ise14/sp601/file_list new file mode 100644 index 00000000..48333c93 --- /dev/null +++ b/firmware/minitlu/config/ise14/sp601/file_list @@ -0,0 +1,5 @@ +hdl ipbus/firmware/example_designs/hdl/clocks_s6_extphy.vhd +include ipbus/firmware/ethernet/cfg/file_list_s6_extphy +include ipbus/firmware/ipbus_core/cfg/file_list + + diff --git a/firmware/minitlu/config/ise14/sp601/setup_project.tcl b/firmware/minitlu/config/ise14/sp601/setup_project.tcl new file mode 100644 index 00000000..32dac7e1 --- /dev/null +++ b/firmware/minitlu/config/ise14/sp601/setup_project.tcl @@ -0,0 +1,151 @@ +project new fmc-mtlu +project set family spartan6 +project set device xc6slx16 +project set package csg324 +project set speed -3 + +project set "Enable Multi-Threading" "2" -process "Map" +project set "Pack I/O Registers/Latches into IOBs" "For Inputs and Outputs" -process "Map" +project set "Enable Multi-Threading" "2" -process "Place & Route" +project set "Enable BitStream Compression" TRUE -process "Generate Programming File" +project set "Preferred Language" "VHDL" + +# source $::env(REPOS_FW_DIR)/firmware/example_designs/scripts/addfiles.tcl + +# Just list files by hand for now. Can't get addfiles.tcl to work. + +#xfile add ipbus/firmware/example_designs/hdl/clocks_s6_extphy.vhd +# IPBus Ethernet for gig_eth_pcs_pma_v11_5 +xfile add ipbus/firmware/ethernet/hdl/eth_s6_gmii.vhd +xfile add ipbus/firmware/ethernet/hdl/emac_hostbus_decl.vhd + +puts "Adding and Regenerating Ethernet cores" +# Add cores for Ethernet +exec cp ipbus/firmware/ethernet/coregen/tri_mode_eth_mac_v5_4.xco ipcore_dir +exec cp ipbus/firmware/ethernet/coregen/mac_fifo_axi4.xco ipcore_dir +xfile add ipcore_dir/tri_mode_eth_mac_v5_4.xco +xfile add ipcore_dir/mac_fifo_axi4.xco + +# Don't regenerate cores for now... +#cd ipcore_dir +#catch {exec coregen -r -b tri_mode_eth_mac_v5_4.xco -p coregen.cgp} +#catch {exec coregen -r -b mac_fifo_axi4.xco -p coregen.cgp} +#cd .. + +puts "Adding IPBus files" +# Xilinx ISE setup fragment for ipbus core +xfile add ipbus/firmware/ipbus_core/hdl/ipbus_package.vhd +xfile add ipbus/firmware/ipbus_core/hdl/ipbus_trans_decl.vhd +xfile add ipbus/firmware/ipbus_core/hdl/ipbus_ctrl.vhd +xfile add ipbus/firmware/ipbus_core/hdl/udp_if_flat.vhd +xfile add ipbus/firmware/ipbus_core/hdl/udp_buffer_selector.vhd +xfile add ipbus/firmware/ipbus_core/hdl/udp_build_arp.vhd +xfile add ipbus/firmware/ipbus_core/hdl/udp_build_payload.vhd +xfile add ipbus/firmware/ipbus_core/hdl/udp_build_ping.vhd +xfile add ipbus/firmware/ipbus_core/hdl/udp_build_resend.vhd +xfile add ipbus/firmware/ipbus_core/hdl/udp_build_status.vhd +xfile add ipbus/firmware/ipbus_core/hdl/udp_byte_sum.vhd +xfile add ipbus/firmware/ipbus_core/hdl/udp_clock_crossing_if.vhd +xfile add ipbus/firmware/ipbus_core/hdl/udp_do_rx_reset.vhd +xfile add ipbus/firmware/ipbus_core/hdl/udp_dualportram.vhd +xfile add ipbus/firmware/ipbus_core/hdl/udp_dualportram_rx.vhd +xfile add ipbus/firmware/ipbus_core/hdl/udp_dualportram_tx.vhd +xfile add ipbus/firmware/ipbus_core/hdl/udp_ipaddr_block.vhd +xfile add ipbus/firmware/ipbus_core/hdl/udp_packet_parser.vhd +xfile add ipbus/firmware/ipbus_core/hdl/udp_rarp_block.vhd +xfile add ipbus/firmware/ipbus_core/hdl/udp_rxram_mux.vhd +xfile add ipbus/firmware/ipbus_core/hdl/udp_rxram_shim.vhd +xfile add ipbus/firmware/ipbus_core/hdl/udp_rxtransactor_if_simple.vhd +xfile add ipbus/firmware/ipbus_core/hdl/udp_status_buffer.vhd +xfile add ipbus/firmware/ipbus_core/hdl/udp_tx_mux.vhd +xfile add ipbus/firmware/ipbus_core/hdl/udp_txtransactor_if_simple.vhd +xfile add ipbus/firmware/ipbus_core/hdl/trans_arb.vhd +xfile add ipbus/firmware/ipbus_core/hdl/transactor.vhd +xfile add ipbus/firmware/ipbus_core/hdl/transactor_if.vhd +xfile add ipbus/firmware/ipbus_core/hdl/transactor_sm.vhd +xfile add ipbus/firmware/ipbus_core/hdl/transactor_cfg.vhd +xfile add ipbus/firmware/ipbus_core/hdl/stretcher.vhd +xfile add ipbus/firmware/ipbus_core/hdl/ipbus_fabric.vhd +xfile add ipbus/firmware/example_designs/hdl/clock_div.vhd + +xfile add ipbus/firmware/slaves/hdl/ipbus_reg_types.vhd +xfile add ipbus/firmware/slaves/hdl/ipbus_reg_v.vhd +xfile add ipbus/firmware/slaves/hdl/ipbus_ctrlreg_v.vhd +#xfile add ipbus/firmware/slaves/hdl/syncreg_r.vhd +#xfile add ipbus/firmware/slaves/hdl/syncreg_w.vhd +#xfile add ipbus/firmware/slaves/hdl/ipbus_syncreg_v.vhd +xfile add ipbus/firmware/slaves/hdl/ipbus_ctrlreg_v.vhd + +# Add Opencores files for i2c interface +xfile add external/opencores_i2c/i2c_master_bit_ctrl.vhd +xfile add external/opencores_i2c/i2c_master_byte_ctrl.vhd +xfile add external/opencores_i2c/i2c_master_registers.vhd +xfile add external/opencores_i2c/i2c_master_top.vhd + +# Add TLU cores.... +# Add cores for Ethernet + +puts "Adding and Regenerating TLU cores" +exec cp fmc-mtlu/firmware/ise/ipcore_dir/tlu_event_fifo.xco ipcore_dir +exec cp fmc-mtlu/firmware/ise/ipcore_dir/FIFO.xco ipcore_dir +exec cp fmc-mtlu/firmware/ise/ipcore_dir/CounterUp.xco ipcore_dir +exec cp fmc-mtlu/firmware/ise/ipcore_dir/internalTriggerGenerator.xco ipcore_dir + +xfile add ipcore_dir/tlu_event_fifo.xco +xfile add ipcore_dir/FIFO.xco +# xfile add ipcore_dir/CounterUp.xco +xfile add ipcore_dir/internalTriggerGenerator.xco + +# Don't regenerate cores for now... +#cd ipcore_dir +#catch {exec coregen -r -b tlu_event_fifo.xco -p coregen.cgp} +#catch {exec coregen -r -b FIFO.xco -p coregen.cgp} +#catch {exec coregen -r -b CounterUp.xco -p coregen.cgp} +#catch {exec coregen -r -b internalTriggerGenerator.xco -p coregen.cgp} +#cd .. + +puts "Adding TLU Files " +# Add FMC-MTLU files. First the hand-written VHDL +xfile add fmc-mtlu/firmware/hdl/common/dualSERDES_1to4_rtl.vhd +xfile add fmc-mtlu/firmware/hdl/common/DUTInterfaces_rtl.vhd +xfile add fmc-mtlu/firmware/hdl/common/eventBuffer_rtl.vhd +xfile add fmc-mtlu/firmware/hdl/common/eventFormatter_rtl.vhd +xfile add fmc-mtlu/firmware/hdl/common/i2c_master_rtl.vhd +xfile add fmc-mtlu/firmware/hdl/common/ipbus_addr_decode.vhd +xfile add fmc-mtlu/firmware/hdl/common/IPBusInterface_rtl.vhd +xfile add fmc-mtlu/firmware/hdl/common/ipbus_ver.vhd +xfile add fmc-mtlu/firmware/hdl/common/logic_clocks_rtl.vhd +#xfile add fmc-mtlu/firmware/hdl/common/pulseClockDomainCrossing_rtl.vhd +#xfile add fmc-mtlu/firmware/hdl/common/Reg_2clks.vhd +xfile add fmc-mtlu/firmware/hdl/common/registerCounter_rtl.vhd +xfile add fmc-mtlu/firmware/hdl/common/synchronizeRegisters_rtl.vhd +# xfile add fmc-mtlu/firmware/hdl/common/serdes_1_to_n_SDR.vhd +xfile add fmc-mtlu/firmware/hdl/common/serdesCalibrateFSM_rtl.vhd +xfile add fmc-mtlu/firmware/hdl/common/triggerInputs_rtl.vhd +xfile add fmc-mtlu/firmware/hdl/common/triggerLogic_rtl.vhd +xfile add fmc-mtlu/firmware/hdl/common/clocks_s6_extphy.vhd +xfile add fmc-mtlu/firmware/hdl/common/arrivalTimeLUT_rtl.vhd +xfile add fmc-mtlu/firmware/hdl/test/clock_divider_s6.v +xfile add fmc-mtlu/firmware/hdl/common/counterWithReset_rtl.vhd +xfile add fmc-mtlu/firmware/hdl/common/synchronizeRegisters_rtl.vhd +xfile add fmc-mtlu/firmware/hdl/common/handshakes_rtl.vhd +xfile add fmc-mtlu/firmware/hdl/common/TPx3Logic_rtl.vhd +xfile add fmc-mtlu/firmware/hdl/common/GPP_rtl.vhd +xfile add fmc-mtlu/firmware/hdl/common/counterDown.vhd + +# Then add the HDL-Designer generated files.. +xfile add fmc-mtlu/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/top_extphy_struct.vhd +xfile add fmc-mtlu/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/fmcTLU_pkg_body.vhd +xfile add fmc-mtlu/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/fmcTLU_pkg.vhd + + + +# Add user constraints file +# UCF for TLU with FMC connector wrong way round. +#xfile add fmc-mtlu/firmware/ucf/sp601_FMC_mTLU.ucf +# bug-fixed TLU: +xfile add fmc-mtlu/firmware/ucf/sp601_FMC_mTLU_v1a.ucf + +project close + +puts "Successfully finished building project file" diff --git a/firmware/minitlu/config/ise14/sp605/build_bitstream.tcl b/firmware/minitlu/config/ise14/sp605/build_bitstream.tcl new file mode 100644 index 00000000..baa74278 --- /dev/null +++ b/firmware/minitlu/config/ise14/sp605/build_bitstream.tcl @@ -0,0 +1,21 @@ +project open fmc-mtlu + +puts "Regenerating cores" +cd $::env(FW_WORKSPACE)/workspace/ipcore_dir +catch {exec coregen -r -b tri_mode_eth_mac_v5_4.xco -p coregen.cgp} +catch {exec coregen -r -b mac_fifo_axi4.xco -p coregen.cgp} + +catch {exec coregen -r -b tlu_event_fifo.xco -p coregen.cgp} +catch {exec coregen -r -b FIFO.xco -p coregen.cgp} +# catch {exec coregen -r -b CounterUp.xco -p coregen.cgp} +catch {exec coregen -r -b internalTriggerGenerator.xco -p coregen.cgp} + + +process run "Synthesize" +process run "Translate" +process run "Map" +process run "Place & Route" + +process run "Generate Programming File" + +project close diff --git a/firmware/minitlu/config/ise14/sp605/coregen.cgp b/firmware/minitlu/config/ise14/sp605/coregen.cgp new file mode 100644 index 00000000..620061f2 --- /dev/null +++ b/firmware/minitlu/config/ise14/sp605/coregen.cgp @@ -0,0 +1,9 @@ +SET busformat = BusFormatAngleBracketNotRipped +SET designentry = VHDL +SET device = xc6slx45t +SET devicefamily = spartan6 +SET flowvendor = Other +SET package = fgg484 +SET speedgrade = -3 +SET verilogsim = false +SET vhdlsim = true diff --git a/firmware/minitlu/config/ise14/sp605/file_list b/firmware/minitlu/config/ise14/sp605/file_list new file mode 100644 index 00000000..48333c93 --- /dev/null +++ b/firmware/minitlu/config/ise14/sp605/file_list @@ -0,0 +1,5 @@ +hdl ipbus/firmware/example_designs/hdl/clocks_s6_extphy.vhd +include ipbus/firmware/ethernet/cfg/file_list_s6_extphy +include ipbus/firmware/ipbus_core/cfg/file_list + + diff --git a/firmware/minitlu/config/ise14/sp605/setup_project.tcl b/firmware/minitlu/config/ise14/sp605/setup_project.tcl new file mode 100644 index 00000000..bd2aa6d3 --- /dev/null +++ b/firmware/minitlu/config/ise14/sp605/setup_project.tcl @@ -0,0 +1,153 @@ +project new fmc-mtlu +project set family spartan6 +project set device xc6slx45t +project set package fgg484 +project set speed -3 + +project set "Enable Multi-Threading" "2" -process "Map" +project set "Pack I/O Registers/Latches into IOBs" "For Inputs and Outputs" -process "Map" +project set "Enable Multi-Threading" "2" -process "Place & Route" +project set "Enable BitStream Compression" TRUE -process "Generate Programming File" +project set "Preferred Language" "VHDL" +project set "Set SPI Configuration Bus Width spartan6" 4 + +# source $::env(REPOS_FW_DIR)/firmware/example_designs/scripts/addfiles.tcl + +# Just list files by hand for now. Can't get addfiles.tcl to work. + +#xfile add ipbus/firmware/example_designs/hdl/clocks_s6_extphy.vhd +# IPBus Ethernet for gig_eth_pcs_pma_v11_5 +xfile add ipbus/firmware/ethernet/hdl/eth_s6_gmii.vhd +xfile add ipbus/firmware/ethernet/hdl/emac_hostbus_decl.vhd + +puts "Adding and Regenerating Ethernet cores" +# Add cores for Ethernet +exec cp ipbus/firmware/ethernet/coregen/tri_mode_eth_mac_v5_4.xco ipcore_dir +exec cp ipbus/firmware/ethernet/coregen/mac_fifo_axi4.xco ipcore_dir +xfile add ipcore_dir/tri_mode_eth_mac_v5_4.xco +xfile add ipcore_dir/mac_fifo_axi4.xco + +# Don't regenerate cores for now... +#cd ipcore_dir +#catch {exec coregen -r -b tri_mode_eth_mac_v5_4.xco -p coregen.cgp} +#catch {exec coregen -r -b mac_fifo_axi4.xco -p coregen.cgp} +#cd .. + +puts "Adding IPBus files" +# Xilinx ISE setup fragment for ipbus core +xfile add ipbus/firmware/ipbus_core/hdl/ipbus_package.vhd +xfile add ipbus/firmware/ipbus_core/hdl/ipbus_trans_decl.vhd +xfile add ipbus/firmware/ipbus_core/hdl/ipbus_ctrl.vhd +xfile add ipbus/firmware/ipbus_core/hdl/udp_if_flat.vhd +xfile add ipbus/firmware/ipbus_core/hdl/udp_buffer_selector.vhd +xfile add ipbus/firmware/ipbus_core/hdl/udp_build_arp.vhd +xfile add ipbus/firmware/ipbus_core/hdl/udp_build_payload.vhd +xfile add ipbus/firmware/ipbus_core/hdl/udp_build_ping.vhd +xfile add ipbus/firmware/ipbus_core/hdl/udp_build_resend.vhd +xfile add ipbus/firmware/ipbus_core/hdl/udp_build_status.vhd +xfile add ipbus/firmware/ipbus_core/hdl/udp_byte_sum.vhd +xfile add ipbus/firmware/ipbus_core/hdl/udp_clock_crossing_if.vhd +xfile add ipbus/firmware/ipbus_core/hdl/udp_do_rx_reset.vhd +xfile add ipbus/firmware/ipbus_core/hdl/udp_dualportram.vhd +xfile add ipbus/firmware/ipbus_core/hdl/udp_dualportram_rx.vhd +xfile add ipbus/firmware/ipbus_core/hdl/udp_dualportram_tx.vhd +xfile add ipbus/firmware/ipbus_core/hdl/udp_ipaddr_block.vhd +xfile add ipbus/firmware/ipbus_core/hdl/udp_packet_parser.vhd +xfile add ipbus/firmware/ipbus_core/hdl/udp_rarp_block.vhd +xfile add ipbus/firmware/ipbus_core/hdl/udp_rxram_mux.vhd +xfile add ipbus/firmware/ipbus_core/hdl/udp_rxram_shim.vhd +xfile add ipbus/firmware/ipbus_core/hdl/udp_rxtransactor_if_simple.vhd +xfile add ipbus/firmware/ipbus_core/hdl/udp_status_buffer.vhd +xfile add ipbus/firmware/ipbus_core/hdl/udp_tx_mux.vhd +xfile add ipbus/firmware/ipbus_core/hdl/udp_txtransactor_if_simple.vhd +xfile add ipbus/firmware/ipbus_core/hdl/trans_arb.vhd +xfile add ipbus/firmware/ipbus_core/hdl/transactor.vhd +xfile add ipbus/firmware/ipbus_core/hdl/transactor_if.vhd +xfile add ipbus/firmware/ipbus_core/hdl/transactor_sm.vhd +xfile add ipbus/firmware/ipbus_core/hdl/transactor_cfg.vhd +xfile add ipbus/firmware/ipbus_core/hdl/stretcher.vhd +xfile add ipbus/firmware/ipbus_core/hdl/ipbus_fabric.vhd +xfile add ipbus/firmware/example_designs/hdl/clock_div.vhd + +xfile add ipbus/firmware/slaves/hdl/ipbus_reg_types.vhd +#xfile add ipbus/firmware/slaves/hdl/syncreg_r.vhd +#xfile add ipbus/firmware/slaves/hdl/syncreg_w.vhd +#xfile add ipbus/firmware/slaves/hdl/ipbus_syncreg_v.vhd +xfile add ipbus/firmware/slaves/hdl/ipbus_ctrlreg_v.vhd +xfile add ipbus/firmware/slaves/hdl/ipbus_ctrlreg_v.vhd + +# Add Opencores files for i2c interface +xfile add external/opencores_i2c/i2c_master_bit_ctrl.vhd +xfile add external/opencores_i2c/i2c_master_byte_ctrl.vhd +xfile add external/opencores_i2c/i2c_master_registers.vhd +xfile add external/opencores_i2c/i2c_master_top.vhd + +# Add TLU cores.... +# Add cores for Ethernet + +puts "Adding and Regenerating TLU cores" +exec cp fmc-mtlu/firmware/ise/ipcore_dir/tlu_event_fifo.xco ipcore_dir +exec cp fmc-mtlu/firmware/ise/ipcore_dir/FIFO.xco ipcore_dir +exec cp fmc-mtlu/firmware/ise/ipcore_dir/CounterUp.xco ipcore_dir +exec cp fmc-mtlu/firmware/ise/ipcore_dir/internalTriggerGenerator.xco ipcore_dir + +xfile add ipcore_dir/tlu_event_fifo.xco +xfile add ipcore_dir/FIFO.xco +#xfile add ipcore_dir/CounterUp.xco +xfile add ipcore_dir/internalTriggerGenerator.xco + +# Don't regenerate cores for now... +#cd ipcore_dir +#catch {exec coregen -r -b tlu_event_fifo.xco -p coregen.cgp} +#catch {exec coregen -r -b FIFO.xco -p coregen.cgp} +#catch {exec coregen -r -b CounterUp.xco -p coregen.cgp} +#catch {exec coregen -r -b internalTriggerGenerator.xco -p coregen.cgp} +#cd .. + +puts "Adding TLU Files " +# Add FMC-MTLU files. First the hand-written VHDL +xfile add fmc-mtlu/firmware/hdl/common/dualSERDES_1to4_rtl.vhd +xfile add fmc-mtlu/firmware/hdl/common/DUTInterfaces_rtl.vhd +xfile add fmc-mtlu/firmware/hdl/common/eventBuffer_rtl.vhd +xfile add fmc-mtlu/firmware/hdl/common/eventFormatter_rtl.vhd +xfile add fmc-mtlu/firmware/hdl/common/i2c_master_rtl.vhd +xfile add fmc-mtlu/firmware/hdl/common/ipbus_addr_decode.vhd +xfile add fmc-mtlu/firmware/hdl/common/IPBusInterface_rtl.vhd +xfile add fmc-mtlu/firmware/hdl/common/ipbus_ver.vhd +xfile add fmc-mtlu/firmware/hdl/common/logic_clocks_rtl.vhd +#xfile add fmc-mtlu/firmware/hdl/common/pulseClockDomainCrossing_rtl.vhd +#xfile add fmc-mtlu/firmware/hdl/common/Reg_2clks.vhd +xfile add fmc-mtlu/firmware/hdl/common/registerCounter_rtl.vhd +xfile add fmc-mtlu/firmware/hdl/common/IODELAYCal_FSM_rtl.vhd +#xfile add fmc-mtlu/firmware/hdl/common/serdes_1_to_n_SDR.vhd +#xfile add fmc-mtlu/firmware/hdl/common/sync_reg.vhd +xfile add fmc-mtlu/firmware/hdl/common/triggerInputs_rtl.vhd +xfile add fmc-mtlu/firmware/hdl/common/triggerLogic_rtl.vhd +xfile add fmc-mtlu/firmware/hdl/common/clocks_s6_extphy.vhd +xfile add fmc-mtlu/firmware/hdl/common/arrivalTimeLUT_rtl.vhd +xfile add fmc-mtlu/firmware/hdl/test/clock_divider_s6.v +xfile add fmc-mtlu/firmware/hdl/common/counterWithReset_rtl.vhd +xfile add fmc-mtlu/firmware/hdl/common/synchronizeRegisters_rtl.vhd +xfile add fmc-mtlu/firmware/hdl/common/handshakes_rtl.vhd +xfile add fmc-mtlu/firmware/hdl/common/TPx3Logic_rtl.vhd +xfile add fmc-mtlu/firmware/hdl/common/GPP_rtl.vhd +xfile add fmc-mtlu/firmware/hdl/common/counterDown.vhd +xfile add fmc-mtlu/firmware/hdl/common/handshakes_rtl.vhd +xfile add fmc-mtlu/firmware/hdl/common/TPx3Logic_rtl.vhd +xfile add fmc-mtlu/firmware/hdl/common/pulseClockDomainCrossing_rtl.vhd +xfile add fmc-mtlu/firmware/hdl/common/counterDown.vhd + + +# Then add the HDL-Designer generated files.. +xfile add fmc-mtlu/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/top_extphy_struct.vhd +xfile add fmc-mtlu/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/fmcTLU_pkg_body.vhd +xfile add fmc-mtlu/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/fmcTLU_pkg.vhd + + + +# Add user constraints file +xfile add fmc-mtlu/firmware/ucf/sp605_FMC_mTLU_v1a.ucf + +project close + +puts "Successfully finished building project file" diff --git a/firmware/minitlu/hdl/common/DUTInterfaces_rtl.vhd b/firmware/minitlu/hdl/common/DUTInterfaces_rtl.vhd new file mode 100644 index 00000000..590c177d --- /dev/null +++ b/firmware/minitlu/hdl/common/DUTInterfaces_rtl.vhd @@ -0,0 +1,277 @@ +--============================================================================= +--! @file DUTInterfaces_rtl.vhd +--============================================================================= +-- +------------------------------------------------------------------------------- +-- -- +-- University of Bristol, High Energy Physics Group. +-- -- +------------------------------------------------------------------------------- -- +-- VHDL Architecture fmc_mTLU_lib.DUTInterfaces.rtl +-- +--! @brief \n +--! \n +-- +--! @author David Cussans , David.Cussans@bristol.ac.uk +-- +--! @date 15:09:50 11/09/12 +-- +--! @version v0.1 +-- +--! @details +--! Address map:\n +--! 5-bit decoded +--! 0x00000000 - DUT interface mode, two bits per DUT. Up to 12 inputs XXXXXXXXBBAA99887766554433221100\n +--! - mode: 0 = EUDET mode , 1 = synchronous ( LHC / Timepix ) , 2,3=reserved +--! +-- +--! +--! <b>Dependencies:</b>\n +--! +--! <b>References:</b>\n +--! +--! <b>Modified by:</b>\n +--! Author: +------------------------------------------------------------------------------- +--! \n\n<b>Last changes:</b>\n +------------------------------------------------------------------------------- +--! @todo <next thing to do> Indicate if the DUT works under AIDA/EUDET style\n +--! <another thing to do> \n +-- +-------------------------------------------------------------------------------- +-- +-- Created using using Mentor Graphics HDL Designer(TM) 2010.3 (Build 21) +-- +LIBRARY ieee; +USE ieee.std_logic_1164.all; +USE ieee.numeric_std.all; + +USE work.ipbus.all; +use work.ipbus_reg_types.all; + +library unisim; +use unisim.VComponents.all; + + +ENTITY DUTInterfaces IS + GENERIC( + g_NUM_DUTS : positive := 3; + g_IPBUS_WIDTH : positive := 32 + ); + PORT( + busy_from_dut_n_i : IN std_logic_vector (g_NUM_DUTS-1 DOWNTO 0); -- BUSY input from DUTs + busy_from_dut_p_i : IN std_logic_vector (g_NUM_DUTS-1 DOWNTO 0); -- BUSY input from DUTs + clk_4x_logic_i : IN std_logic; + ipbus_clk_i : IN std_logic; + ipbus_i : IN ipb_wbus; -- Signals from IPBus core to slave + ipbus_reset_i : IN std_logic; + strobe_4x_logic_i : IN std_logic; -- ! goes high every 4th clock cycle + --trigger_counter_i : IN std_logic_vector (g_IPBUS_WIDTH-1 DOWNTO 0); + trigger_i : IN std_logic; -- goes high when trigger logic issues a trigger + clk_to_dut_i : IN std_logic ; -- ! clock to DUT + reset_or_clk_to_dut_i : IN std_logic; + AIDAhandshake_i : IN std_logic; -- AIDA/EUDET handshake + ipbus_o : OUT ipb_rbus; -- signals from slave to IPBus core + clk_to_dut_n_o : INOUT std_logic_vector (g_NUM_DUTS-1 DOWNTO 0); -- clocks trigger data when in EUDET mode + clk_to_dut_p_o : INOUT std_logic_vector (g_NUM_DUTS-1 DOWNTO 0); -- clocks trigger data when in EUDET mode + reset_or_clk_to_dut_n_o : OUT std_logic_vector (g_NUM_DUTS-1 DOWNTO 0); -- ! Either reset line or trigger + reset_or_clk_to_dut_p_o : OUT std_logic_vector (g_NUM_DUTS-1 DOWNTO 0); -- ! Either reset line or trigger + trigger_to_dut_n_o : OUT std_logic_vector (g_NUM_DUTS-1 DOWNTO 0); -- ! Trigger output + trigger_to_dut_p_o : OUT std_logic_vector (g_NUM_DUTS-1 DOWNTO 0); -- ! Trigger output + veto_o : OUT std_logic -- goes high when one or more DUT are busy + ); + +-- Declarations + +END ENTITY DUTInterfaces ; + +-- +ARCHITECTURE rtl OF DUTInterfaces IS + + signal s_intermediate_busy_or : std_logic_vector(g_NUM_DUTS downto 0); -- OR tree + signal s_veto : std_logic; + signal s_strobe_4x_logic_d1 : std_logic; + signal s_clk_to_DUT , s_busy_from_dut , s_reset_or_clk_to_dut , s_trigger_to_dut : std_logic_vector(g_NUM_DUTS-1 downto 0); + signal s_DUT_mask : std_logic_vector(g_NUM_DUTS-1 downto 0) := (others => '0'); --! Mask for the DUTs not used + signal s_clk_is_input, s_clk_is_input_b : std_logic := '0'; --! Indicates the direction of the clock in the RJ45 DUT + signal s_clk_to_tlu : std_logic := '0'; + + constant c_N_CTRL : positive := 1; + constant c_N_STAT : positive := 1; + signal s_status_to_ipbus, s_sync_status_to_ipbus : ipb_reg_v(c_N_STAT-1 downto 0); + signal s_control_from_ipbus,s_sync_control_from_ipbus : ipb_reg_v(c_N_CTRL-1 downto 0); +BEGIN + + + -- Dummy code. + s_intermediate_busy_or(0) <= '0'; + --s_busy_from_dut(g_NUM_DUTS-1 downto 0) <= (others=>'0'); + + ----------------------------------------------------------------------------- + -- IPBus interface + ----------------------------------------------------------------------------- + ipbus_registers: entity work.ipbus_ctrlreg_v + generic map( + N_CTRL => c_N_CTRL, + N_STAT => c_N_STAT + ) + port map( + clk => ipbus_clk_i, + reset=> '0',--ipbus_reset_i , + ipbus_in=> ipbus_i, + ipbus_out=> ipbus_o, + d=> s_sync_status_to_ipbus, + q=> s_control_from_ipbus, + stb=> open + ); + + -- Synchronize registers from logic clock to ipbus. + sync_status: entity work.synchronizeRegisters + generic map ( + g_NUM_REGISTERS => c_N_STAT ) + port map ( + clk_input_i => clk_4x_logic_i, + data_i => s_status_to_ipbus, + data_o => s_sync_status_to_ipbus, + clk_output_i => ipbus_clk_i); + + -- Synchronize registers from logic clock to ipbus. + sync_ctrl: entity work.synchronizeRegisters + generic map ( + g_NUM_REGISTERS => c_N_CTRL ) + port map ( + clk_input_i => ipbus_clk_i, + data_i => s_control_from_ipbus, + data_o => s_sync_control_from_ipbus, + clk_output_i => clk_4x_logic_i); + + -- Map the control registers + s_DUT_mask <= s_sync_control_from_ipbus(0)(g_NUM_DUTS-1 downto 0); + + -- Map the status registers + s_status_to_ipbus(0) <= std_logic_vector(to_unsigned(0,g_IPBUS_WIDTH-g_NUM_DUTS)) & s_DUT_mask; + + + -- These instances need to be out of the loop because the RJ45 permits a bidirectional clock + clk_to_DUT_OBUFDS_inst_0 : OBUFDS + generic map ( + IOSTANDARD => "LVDS_25") + port map ( + O => clk_to_dut_p_o(0), -- Diff_p output (connect directly to top-level port) + OB => clk_to_dut_n_o(0), -- Diff_n output (connect directly to top-level port) + I => s_clk_to_dut(0) -- Buffer output + ); + clk_to_DUT_OBUFDS_inst_1 : OBUFDS + generic map ( + IOSTANDARD => "LVDS_25") + port map ( + O => clk_to_dut_p_o(1), -- Diff_p output (connect directly to top-level port) + OB => clk_to_dut_n_o(1), -- Diff_n output (connect directly to top-level port) + I => s_clk_to_dut(1) -- Buffer output + ); + clk_to_DUT_OBUFDS_inst_2 : IOBUFDS + generic map ( + IOSTANDARD => "BLVDS_25") + port map ( + O => s_clk_to_tlu, -- Buffer output + IO => clk_to_dut_p_o(2), -- Diff_p inout (connect directly to top-level port) + IOB => clk_to_dut_n_o(2), -- Diff_n inout (connect directly to top-level port) + I => s_clk_to_dut(2), -- Buffer input + T => s_clk_is_input_b -- 3-state enable input, high=input, low=output + ); + s_clk_is_input <= not AIDAhandshake_i; + + + --When an ODDR2 primitive is used in conjunction with a 3-state output, the T control pin must + --also use an ODDR2 primitive configured in the same mode as the ODDR2 primitive used for data + --output. + ddr_for_clk_to_DUT_tristate : ODDR2 + generic map( + DDR_ALIGNMENT => "NONE", -- Sets output alignment to "NONE", "C0", "C1" + INIT => '0', -- Sets initial state of the Q output to '0' or '1' + SRTYPE => "SYNC") -- Specifies "SYNC" or "ASYNC" set/reset + port map ( + Q => s_clk_is_input_b, -- 1-bit output data + C0 => clk_to_dut_i, -- 1-bit clock input + C1 => not clk_to_dut_i, --not s_clk160_internal, -- 1-bit clock input + CE => '1', -- 1-bit clock enable input + D0 => s_clk_is_input, -- 1-bit data input (associated with C0) + D1 => s_clk_is_input, -- 1-bit data input (associated with C1) + R => '0', -- 1-bit reset input + S => '0' -- 1-bit set input + ); + + duts: for dut in 1 to g_NUM_DUTS generate + + busy_IBUFDS_inst : IBUFDS + generic map ( + DIFF_TERM => TRUE, -- Differential Termination + IBUF_LOW_PWR => TRUE, -- Low power (TRUE) vs. performance (FALSE) setting for referenced I/O standards + IOSTANDARD => "LVDS_25") + port map ( + O => s_busy_from_dut(dut-1), -- Buffer output + I => busy_from_dut_p_i(dut-1), -- Diff_p buffer input (connect directly to top-level port) + IB => busy_from_dut_n_i(dut-1) -- Diff_n buffer input (connect directly to top-level port) + ); + + + trig_OBUFDS_inst : OBUFDS + generic map ( + IOSTANDARD => "LVDS_25") + port map ( + O => trigger_to_dut_p_o(dut-1), -- Diff_p output (connect directly to top-level port) + OB => trigger_to_dut_n_o(dut-1), -- Diff_n output (connect directly to top-level port) + I => s_trigger_to_dut(dut-1) and s_DUT_mask(dut-1) -- Buffer input + ); + + clk_rst_OBUFDS_inst : OBUFDS + generic map ( + IOSTANDARD => "LVDS_25") + port map ( + O => reset_or_clk_to_dut_p_o(dut-1), -- Diff_p output (connect directly to top-level port) + OB => reset_or_clk_to_dut_n_o(dut-1), -- Diff_n output (connect directly to top-level port) + I => reset_or_clk_to_dut_i --s_reset_or_clk_to_dut(dut-1) and s_DUT_mask(dut-1) -- Buffer input + ); + + s_intermediate_busy_or(dut) <= s_intermediate_busy_or(dut-1) or + (s_busy_from_dut(dut-1) and + s_DUT_mask(dut-1)); + + + ddr_for_clk_output : ODDR2 + generic map( + DDR_ALIGNMENT => "NONE", -- Sets output alignment to "NONE", "C0", "C1" + INIT => '0', -- Sets initial state of the Q output to '0' or '1' + SRTYPE => "SYNC") -- Specifies "SYNC" or "ASYNC" set/reset + port map ( + Q => s_clk_to_dut(dut-1), -- 1-bit output data + C0 => clk_to_dut_i, -- 1-bit clock input + C1 => not clk_to_dut_i, -- 1-bit clock input + CE => '1', -- 1-bit clock enable input + D0 => '1', -- 1-bit data input (associated with C0) + D1 => '0', -- 1-bit data input (associated with C1) + R => '0', -- 1-bit reset input + S => '0' -- 1-bit set input + ); + + end generate duts; + + s_veto <= s_intermediate_busy_or(g_NUM_DUTS); + + -- purpose: register for internal signals and output signals + -- type : combinational + -- inputs : clk_4x_logic_i , strobe_4x_logic_i , s_veto + -- outputs: veto_o + register_signals: process (clk_4x_logic_i)-- , strobe_4x_logic_i , s_veto) + begin -- process register_signals + if rising_edge(clk_4x_logic_i) then + veto_o <= s_veto; + s_strobe_4x_logic_d1 <= strobe_4x_logic_i; + --s_reset_or_clk_to_dut <= ( others => (s_strobe_4x_logic_d1 or strobe_4x_logic_i)); + s_trigger_to_dut <= ( others => trigger_i ); + --shutter_to_dut <= ( others => shutter_i ); + end if; + end process register_signals; + +END ARCHITECTURE rtl; + diff --git a/firmware/minitlu/hdl/common/GPL_doxygen_header.vhdl b/firmware/minitlu/hdl/common/GPL_doxygen_header.vhdl new file mode 100644 index 00000000..d1fb4cc7 --- /dev/null +++ b/firmware/minitlu/hdl/common/GPL_doxygen_header.vhdl @@ -0,0 +1,78 @@ +--! @file dtype_fds.vhdl +-- +------------------------------------------------------------------------------- +-- -- +-- (c) University of Bristol, High Energy Physics Group -- +-- -- +------------------------------------------------------------------------------- +-- +-- +-- This file is part of IPBus. +-- +-- IPBus is free software: you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation, either version 3 of the License, or +-- (at your option) any later version. +-- +-- IPBus is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with IPBus. If not, see <http://www.gnu.org/licenses/>. +-- +-- IPBus is free software: you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation, either version 3 of the License, or +-- (at your option) any later version. +-- +-- IPBus is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with IPBus. If not, see <http://www.gnu.org/licenses/>. +-- +-- +--! Standard library +library IEEE; + +-- Standard logic defintions. +use IEEE.STD_LOGIC_1164.all; + +-- +-- unit name: dtype_fds +-- +--! @brief Aims to be the same as the Xilinx "FDS" primitive - D-Type flip-flop +-- +-- +--! @author David.Cussans@bristol.ac.uk +-- +--! @date 7/May/2011 +-- +--! @version 0.1 +-- +--! @details -- Modified from D-type example in VHDL book. +--! See Xilinx spartan6_scm.pdf +--! Output goes high when input goes high ( asyncnronous to system clock). +-- +--! <b>Dependencies:</b>\n +--! +--! <b>References:</b>\n +--! <reference one> \n +--! <reference two> +--! +--! <b>Modified by:</b>\n +--! Author: <name> +------------------------------------------------------------------------------- +--! \n\n<b>Last changes:</b>\n +--! <date> <initials> <log>\n +--! <extended description> +------------------------------------------------------------------------------- +--! @todo <next thing to do> \n +--! <another thing to do> \n +-- +------------------------------------------------------------------------------- + diff --git a/firmware/minitlu/hdl/common/GPP_rtl.vhd b/firmware/minitlu/hdl/common/GPP_rtl.vhd new file mode 100644 index 00000000..bc02a5ef --- /dev/null +++ b/firmware/minitlu/hdl/common/GPP_rtl.vhd @@ -0,0 +1,312 @@ +--============================================================================= +--! @file GPP_rtl.vhd +--============================================================================= +-- +------------------------------------------------------------------------------- +-- -- +-- University of Santiago de Compostela, High Energy Physics Group. +-- -- +------------------------------------------------------------------------------- -- +-- +-- +--! @brief GPP - General purpose pulser. Generates a sycronous custom pulse \n +--! IPBus address map:\n +-- +--! @author Alvaro Dosil , alvaro.dosil@usc.es +-- +--! @date 15:42:31 01/15/2013 +-- +--! @version v0.1 +-- +--! @details +--! +--! +--! <b>Dependencies:</b>\n +--! +--! <b>References:</b>\n +--! +--! <b>Modified by: +--! Author: +------------------------------------------------------------------------------- +--! \n\n<b>Last changes:</b>\n +------------------------------------------------------------------------------- +--! @todo <next thing to do> \n +--! <another thing to do> \n +-- +-------------------------------------------------------------------------------- +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +entity GPP is + GENERIC( + g_IPBUS_WIDTH : positive := 32 + ); + PORT( clk_i : IN std_logic; --! Rising edge active + Enable_i : IN std_logic; -- + Reset_i : IN std_logic; -- + RstPulsCnt_i : IN std_logic; -- Reset pulse counter + Trigger_i : IN std_logic; -- Trigger input signal + NMaxPulses_i : IN std_logic_vector(g_IPBUS_WIDTH-1 downto 0); -- Max number of pulses + SuDTime_i : IN std_logic_vector(g_IPBUS_WIDTH-1 downto 0); -- Startup dead time + PulsLen_i : IN std_logic_vector(g_IPBUS_WIDTH-1 downto 0); -- Pulse length + IpDTime_i : IN std_logic_vector(g_IPBUS_WIDTH-1 downto 0); -- Interpulse dead time + RearmTime_i : IN std_logic_vector(g_IPBUS_WIDTH-1 downto 0); -- Time before rearm after reach the max number of pulses + Force_PullDown_i : IN std_logic; -- Force pull down + WU_i : IN std_logic; -- Output trigger signal with update + PulseDelay_i : IN std_logic_vector(g_IPBUS_WIDTH-1 downto 0); -- Pulse delay + event_number_o : OUT std_logic_vector(g_IPBUS_WIDTH-1 downto 0); -- Event number + MaxPulses_o : OUT std_logic; -- Maximun number of pulses reached + Pulse_o : OUT std_logic; --! pulse output + Pulse_d_o : OUT std_logic --! pulse output delayed + ); +end GPP; + +architecture rtl of GPP is + --! FSM state values + type state_values is (st0, st1, st2, st3, st4, st5, st6); + signal pres_state, next_state: state_values; + + signal s_PulsCnt_en : std_logic := '0'; --! Pulse counter enable + signal s_RstPulsCnt : std_logic := '0'; --! Reset pulse counter + signal s_RstPulsCnt_int : std_logic := '0'; --! Reset pulse counter internal signal + signal s_PulsLen : std_logic_vector(g_IPBUS_WIDTH-1 downto 0); --! Pulse Length + signal s_PulsCnt : unsigned(g_IPBUS_WIDTH-1 downto 0) := (others => '0'); --! Pulse counter value + signal s_MaxPulses : std_logic := '0'; --! Max number of pulses reached + signal s_Pulse : std_logic := '0'; --! Active pulse signal + signal s_Pulse_d : std_logic_vector(g_IPBUS_WIDTH-1 downto 0) := (others=>'0'); --! Active pulse signal delayed + + signal s_load_SuDTime : std_logic := '1'; --! Counter load signal + signal s_SuDTime : std_logic_vector(g_IPBUS_WIDTH-1 downto 0); --! Startup dead time counter + signal EOSDT : std_logic := '0'; --! End of startup dead time signal + + signal s_load_PulsLen : std_logic := '1'; --! Counter load + signal EOP : std_logic := '0'; --! End of pulse length signal + + signal s_load_IpDTime : std_logic := '1'; --! Counter load signal + signal s_IpDTime : std_logic_vector(g_IPBUS_WIDTH-1 downto 0); --! Interpulse dead time counter + signal EOIDT : std_logic := '0'; --! End of interpulse dead time signal + + signal s_load_RearmTime : std_logic := '1'; --! Rearm counter load signal + signal s_RearmLen : std_logic_vector(g_IPBUS_WIDTH-1 downto 0); --! Startup dead time counter + signal EOREARM : std_logic := '0'; --! End of startup dead time signal + +begin + ----------------------------------------------------------------------------- + -- Counters + ----------------------------------------------------------------------------- + --! Startup dead time counter + c_startup_dtime : entity work.CounterDown + generic map( + MAX_WIDTH => g_IPBUS_WIDTH + ) + port map( + Clk => clk_i, + Reset => '0', + Load => s_load_SuDTime, + InitVal => std_logic_vector(unsigned(s_SuDTime)-1), + Count => open, + Q => EOSDT + ); + s_SuDTime <= x"00000001" when SuDTime_i = x"00000000" -- At least one clock cycle pulse is generated + else SuDTime_i; + + --! Pulse time counter + c_pulse_time : entity work.CounterDown + generic map( + MAX_WIDTH => g_IPBUS_WIDTH + ) + port map( + Clk => clk_i, + Reset => '0', + Load => s_load_PulsLen, + InitVal => std_logic_vector(unsigned(s_PulsLen)-1), + Count => open, + Q => EOP + ); + s_PulsLen <= x"00000001" when PulsLen_i = x"00000000" -- At least one clock cycle pulse is generated + else PulsLen_i; + + --! Interpulse dead time counter + c_interpulse_dtime : entity work.CounterDown + generic map( + MAX_WIDTH => g_IPBUS_WIDTH + ) + port map( + Clk => clk_i, + Reset => '0', + Load => s_load_IpDTime, + InitVal => std_logic_vector(unsigned(s_IpDTime)-1), + Count => open, + Q => EOIDT + ); + s_IpDTime <= x"00000001" when IpDTime_i = x"00000000" -- At least one clock cycle pulse is generated + else IpDTime_i; + + --! Rearm time after the max pulses reached + c_rearm_dtime : entity work.CounterDown + generic map( + MAX_WIDTH => g_IPBUS_WIDTH + ) + port map( + Clk => clk_i, + Reset => '0', + Load => s_load_RearmTime, + InitVal => std_logic_vector(unsigned(s_RearmLen)-1), + Count => open, + Q => EOREARM + ); + s_RearmLen <= x"00000001" when RearmTime_i = x"00000000" -- At least one clock cycle pulse is generated + else RearmTime_i; + + + --! FSM register + statereg: process(clk_i, Enable_i, Reset_i) + begin + if Enable_i = '0' then + pres_state <= st0; -- Move to st0 - INITIAL STATE + + elsif Reset_i = '1' then + pres_state <= st0; -- Move to st0 - INITIAL STATE + + elsif rising_edge(clk_i) then + pres_state <= next_state; -- Move to next state + + end if; + end process statereg; + + + --! FSM combinational block + fsm: process(pres_state, Enable_i, Reset_i, Trigger_i, s_MaxPulses, EOP, EOSDT, EOIDT, Force_PullDown_i) + begin + next_state <= pres_state; + -- Default values + s_Pulse <= '0'; + s_load_SuDTime <= '1'; + s_load_PulsLen <= '1'; + s_load_IpDTime <= '1'; + s_load_RearmTime <= '1'; + s_RstPulsCnt_int <= '0'; + + case pres_state is + + -- st0 - INITIAL STATE + when st0=> + if (Enable_i = '1') and (Reset_i = '0') then + next_state <= st1; -- Next state is "st1 - IDLE" + end if; + + -- st1 - IDLE STATE + when st1=> + if s_MaxPulses = '1' then + next_state <= st5; -- Next state is "st5 - NMAX PULSES REACHED" + else + if Trigger_i = '1' and Force_PullDown_i = '0' then + if (to_integer(unsigned(SuDTime_i)) = 0) then + next_state <= st3; -- Next state is "st3 - PULSE" + else + next_state <= st2; -- Next state is "st2 - STARTUP DEAD-TIME" + end if; + end if; + end if; + + -- st2 - STARTUP DEAD-TIME + when st2=> + s_load_SuDTime <= '0'; + if EOSDT = '1' then + next_state <= st3; -- Next state is "st3 - PULSE" + end if; + + -- st3 - PULSE + when st3=> + s_Pulse <= '1'; + s_load_PulsLen <= '0'; + + if (EOP = '1') or (Force_PullDown_i = '1')then + if (to_integer(unsigned(IpDTime_i)) = 0) then + next_state <= st1; -- Next state is "st1 - IDLE" + else + next_state <= st4; -- Next state is "st4 - INTERPULSE DEAD-TIME" + end if; + end if; + + if Trigger_i = '1' then + if (WU_i = '1') then + next_state <= st6; -- Next state is "st6 - RELOAD PULSE TIMER" + end if; + end if; + + + -- st4 - INTERPULSE DEAD-TIME + when st4=> + s_load_IpDTime <= '0'; + if EOIDT = '1' then + next_state <= st1; -- Next state is "st1 - IDLE" + end if; + + -- st5 - NMAX PULSES REACHED + when st5=> + s_load_RearmTime <= '0'; + if EOREARM = '1' then + next_state <= st1; -- Next state is "st1 - IDLE" + s_RstPulsCnt_int <= '1'; + end if; + + -- st6 - RELOAD PULSE TIMER + when st6=> + s_Pulse <= '1'; + next_state <= st3; -- Next state is "st3 - PULSE" + +-- when others=> +-- next_state<=st0; -- Next state is "st0 - INITIAL STATE" + + end case; + + end process fsm; + + -- Pulse reg + p_reg_pulse : process ( clk_i , Reset_i ) + begin + if Reset_i = '1' then + s_Pulse_d <= (others => '0'); + + elsif rising_edge(clk_i) then + for i in 0 to g_IPBUS_WIDTH-2 loop + s_Pulse_d(i+1) <= s_Pulse_d(i); + end loop; + s_Pulse_d(0) <= s_Pulse; + end if; + end process p_reg_pulse; + + event_number_o <= std_logic_vector(s_PulsCnt); + MaxPulses_o <= s_MaxPulses; + Pulse_o <= s_Pulse; + Pulse_d_o <= s_Pulse when PulseDelay_i = x"00000000" else + s_Pulse_d(to_integer(unsigned(PulseDelay_i)-1)); + + + ----------------------------------------------------------------------------- + -- Count runs and synchronization + ----------------------------------------------------------------------------- + p_PulsCounter : process (clk_i ) + begin -- process p_run_counter + + if rising_edge(clk_i) then + if s_RstPulsCnt = '1' then + s_PulsCnt <= (others => '0'); + elsif s_PulsCnt_en = '1' then + s_PulsCnt <= s_PulsCnt + 1; + end if; + + end if; + end process p_PulsCounter; + + s_RstPulsCnt <= Reset_i or RstPulsCnt_i or s_RstPulsCnt_int; + s_PulsCnt_en <= '1' when (s_Pulse = '1') and (s_Pulse_d(0) = '0') and (s_MaxPulses = '0') + else '0'; + s_MaxPulses <= '1' when (s_PulsCnt = unsigned(NMaxPulses_i)) and (NMaxPulses_i /= x"00000000") + else '0'; + + +END ARCHITECTURE rtl; + diff --git a/firmware/minitlu/hdl/common/IODELAYCal_FSM_rtl.vhd b/firmware/minitlu/hdl/common/IODELAYCal_FSM_rtl.vhd new file mode 100644 index 00000000..9d69931d --- /dev/null +++ b/firmware/minitlu/hdl/common/IODELAYCal_FSM_rtl.vhd @@ -0,0 +1,102 @@ +--============================================================================= +--! @file IODELAYCal_FSM_rtl.vhd +--============================================================================= +-- +------------------------------------------------------------------------------- +-- -- +-- UoB , USC +-- -- +------------------------------------------------------------------------------- -- +-- +--! @brief Finite-state machine to control calibration and reset signals to +--! Iserdes, IDelay +--! based on code by Alvaro Dosil\n +-- +--! @author Alvaro Dosil +-- +--! @date 22/Feb/2014 +-- +--! @version v0.1 +-- +--! @details +-- +------------------------------------------------------------------------------- +--! \n\n<b>Last changes:</b>\n +------------------------------------------------------------------------------- +--! @todo Implement a periodic calibration sequence\n +--! <another thing to do> \n + + LIBRARY ieee; +USE ieee.std_logic_1164.all; + +entity IODELAYCal_FSM is + port ( + clk_i : in std_logic; --! Global clock + startcal_i : in std_logic; --! Start calibration + busy_i : in std_logic; --! Status of the IDELAY component + calibrate_o : out std_logic; --! Calibration signals to IODELAY + reset_o : out std_logic --! Reset to IODELAY component + ); +end entity IODELAYCal_FSM; + +architecture rtl of IODELAYCal_FSM is + + --! Calibration FSM state values + type state_values is (st0, st1, st2, st3); + signal pres_state, next_state: state_values := st0; + + signal s_cal_FSM : std_logic := '0'; -- IODELAY reset + signal s_rst_FSM : std_logic := '0'; -- IODELAY reset + +begin -- rtl + + --! Calibration FSM register + statereg: process(clk_i) + begin + if rising_edge(clk_i) then + pres_state <= next_state; -- Move to next state + + end if; + end process statereg; + + + --! Calibration FSM combinational block + fsm: process(pres_state, startcal_i, busy_i) + begin + next_state <= pres_state; + -- Default values + s_Rst_FSM <= '0'; + s_cal_FSM <= '0'; + + case pres_state is + + -- st0 - IDLE + when st0=> + if ( startcal_i = '1') then + next_state <= st1; -- Next state is "st1 - SEND CALIBRATION SIGNAL" + end if; + + -- st1 - SEND CALIBRATION SIGNAL + when st1=> + s_cal_FSM <= '1'; + next_state <= st2; -- Next state is "st2 - WAIT BUSY = '0'" + + -- st2 - WAIT BUSY = '0' + when st2=> + if busy_i = '0' then + next_state <= st3; -- Next state is "st3 - RESET STATE" + end if; + + -- st3 - RESET STATE + when st3=> + s_Rst_FSM <= '1'; + next_state <= st0; -- Next state is "st0 - IDLE" + + end case; + + end process fsm; + + calibrate_o <= s_cal_FSM; + reset_o <= s_Rst_FSM; + +end rtl; diff --git a/firmware/minitlu/hdl/common/IPBusInterface_rtl.vhd b/firmware/minitlu/hdl/common/IPBusInterface_rtl.vhd new file mode 100644 index 00000000..3916f8a9 --- /dev/null +++ b/firmware/minitlu/hdl/common/IPBusInterface_rtl.vhd @@ -0,0 +1,202 @@ +--============================================================================= +--! @file IPBusInterface_rtl.vhd +--============================================================================= +-- +------------------------------------------------------------------------------- +-- -- +-- University of Bristol, High Energy Physics Group. +-- -- +------------------------------------------------------------------------------- -- +-- VHDL Architecture fmc_mTLU_lib.IPBusInterface.rtl +-- +--! @brief \n +--! \n +-- +--! @author David Cussans , David.Cussans@bristol.ac.uk +-- +--! @date 16:06:57 11/09/12 +-- +--! @version v0.1 +-- +--! @details +--! +--! +--! <b>Dependencies:</b>\n +--! +--! <b>References:</b>\n +--! +--! <b>Modified by:</b>\n +--! Author: +------------------------------------------------------------------------------- +--! \n\n<b>Last changes:</b>\n +------------------------------------------------------------------------------- +--! @todo <next thing to do> \n +--! <another thing to do> \n +-- +-------------------------------------------------------------------------------- +-- +-- Created using using Mentor Graphics HDL Designer(TM) 2010.3 (Build 21) +-- +LIBRARY ieee; +USE ieee.std_logic_1164.all; +USE ieee.numeric_std.all; + +USE work.ipbus.all; +use work.emac_hostbus_decl.all; + +ENTITY IPBusInterface IS + GENERIC( + NUM_EXT_SLAVES : positive := 5 + ); + PORT( + gmii_rx_clk_i : IN std_logic; + gmii_rx_dv_i : IN std_logic; + gmii_rx_er_i : IN std_logic; + gmii_rxd_i : IN std_logic_vector (7 DOWNTO 0); + ipbr_i : IN ipb_rbus_array (NUM_EXT_SLAVES-1 DOWNTO 0); -- ! IPBus read signals + sysclk_n_i : IN std_logic; + sysclk_p_i : IN std_logic; -- ! 200 MHz xtal clock + clocks_locked_o : OUT std_logic; + gmii_gtx_clk_o : OUT std_logic; + gmii_tx_en_o : OUT std_logic; + gmii_tx_er_o : OUT std_logic; + gmii_txd_o : OUT std_logic_vector (7 DOWNTO 0); + ipb_clk_o : OUT std_logic; -- ! IPBus clock to slaves + ipb_rst_o : OUT std_logic; -- ! IPBus reset to slaves + ipbw_o : OUT ipb_wbus_array (NUM_EXT_SLAVES-1 DOWNTO 0); -- ! IBus write signals + onehz_o : OUT std_logic; + phy_rstb_o : OUT std_logic; + dip_switch_i : IN std_logic_vector (3 DOWNTO 0); + clk_logic_xtal_o : OUT std_logic + ); + +-- Declarations + +END ENTITY IPBusInterface ; + +-- +ARCHITECTURE rtl OF IPBusInterface IS + + --! Number of slaves inside the IPBusInterface block. + constant c_NUM_INTERNAL_SLAVES : positive := 1; + + signal clk125, locked, rst_125, rst_ipb: STD_LOGIC; + signal mac_txd, mac_rxd : STD_LOGIC_VECTOR(7 downto 0); + signal mac_txdvld, mac_txack, mac_rxclko, mac_rxdvld, mac_rxgoodframe, mac_rxbadframe : STD_LOGIC; + signal ipb_master_out : ipb_wbus; + signal ipb_master_in : ipb_rbus; + signal mac_addr: std_logic_vector(47 downto 0); + signal mac_tx_data, mac_rx_data: std_logic_vector(7 downto 0); + signal mac_tx_valid, mac_tx_last, mac_tx_error, mac_tx_ready, mac_rx_valid, mac_rx_last, mac_rx_error: std_logic; + + signal ip_addr: std_logic_vector(31 downto 0); + signal s_ipb_clk : std_logic; + signal s_ipbw_internal: ipb_wbus_array (NUM_EXT_SLAVES+c_NUM_INTERNAL_SLAVES-1 DOWNTO 0); + signal s_ipbr_internal: ipb_rbus_array (NUM_EXT_SLAVES+c_NUM_INTERNAL_SLAVES-1 DOWNTO 0); + signal s_sysclk : std_logic; + signal pkt_rx, pkt_tx, pkt_rx_led, pkt_tx_led, sys_rst: std_logic; + +BEGIN + + +-- DCM clock generation for internal bus, ethernet + clocks: entity work.clocks_s6_extphy port map( + sysclk_p => sysclk_p_i, + sysclk_n => sysclk_n_i, + clk_logic_xtal_o => clk_logic_xtal_o, + clko_125 => clk125, + clko_ipb => s_ipb_clk, + locked => clocks_locked_o, + rsto_125 => rst_125, + rsto_ipb => rst_ipb, + onehz => onehz_o + ); + + -- Connect IPBus clock and reset to output ports. + ipb_clk_o <= s_ipb_clk; + ipb_rst_o <= rst_ipb; + + -- leds <= ('0', '0', locked, onehz); + +-- Ethernet MAC core and PHY interface +-- In this version, consists of hard MAC core and GMII interface to external PHY +-- Can be replaced by any other MAC / PHY combination + + eth: entity work.eth_s6_gmii port map( + clk125 => clk125, + rst => rst_125, + gmii_gtx_clk => gmii_gtx_clk_o, + gmii_tx_en => gmii_tx_en_o, + gmii_tx_er => gmii_tx_er_o, + gmii_txd => gmii_txd_o, + gmii_rx_clk => gmii_rx_clk_i, + gmii_rx_dv => gmii_rx_dv_i, + gmii_rx_er => gmii_rx_er_i, + gmii_rxd => gmii_rxd_i, + tx_data => mac_tx_data, + tx_valid => mac_tx_valid, + tx_last => mac_tx_last, + tx_error => mac_tx_error, + tx_ready => mac_tx_ready, + rx_data => mac_rx_data, + rx_valid => mac_rx_valid, + rx_last => mac_rx_last, + rx_error => mac_rx_error + ); + + phy_rstb_o <= '1'; + +-- ipbus control logic + ipbus: entity work.ipbus_ctrl + generic map ( + BUFWIDTH => 2) + port map( + mac_clk => clk125, + rst_macclk => rst_125, + ipb_clk => s_ipb_clk, + rst_ipb => rst_ipb, + mac_rx_data => mac_rx_data, + mac_rx_valid => mac_rx_valid, + mac_rx_last => mac_rx_last, + mac_rx_error => mac_rx_error, + mac_tx_data => mac_tx_data, + mac_tx_valid => mac_tx_valid, + mac_tx_last => mac_tx_last, + mac_tx_error => mac_tx_error, + mac_tx_ready => mac_tx_ready, + ipb_out => ipb_master_out, + ipb_in => ipb_master_in, + mac_addr => mac_addr, + ip_addr => ip_addr, + pkt_rx => pkt_rx, + pkt_tx => pkt_tx, + pkt_rx_led => pkt_rx_led, + pkt_tx_led => pkt_tx_led + ); + + + mac_addr <= X"020ddba115" & dip_switch_i & X"0"; -- Careful here, arbitrary addresses do not always work + ip_addr <= X"c0a8c8" & dip_switch_i & X"0"; -- 192.168.200.X + + fabric: entity work.ipbus_fabric + generic map(NSLV => NUM_EXT_SLAVES+c_NUM_INTERNAL_SLAVES) + port map( + ipb_in => ipb_master_out, + ipb_out => ipb_master_in, + ipb_to_slaves => s_ipbw_internal, + ipb_from_slaves => s_ipbr_internal + ); + + ipbw_o <= s_ipbw_internal(NUM_EXT_SLAVES-1 downto 0); + + s_ipbr_internal(NUM_EXT_SLAVES-1 downto 0) <= ipbr_i; + + -- Slave: firmware ID + firmware_id: entity work.ipbus_ver + port map( + ipbus_in => s_ipbw_internal(NUM_EXT_SLAVES+c_NUM_INTERNAL_SLAVES-1), + ipbus_out => s_ipbr_internal(NUM_EXT_SLAVES+c_NUM_INTERNAL_SLAVES-1) + ); + +END ARCHITECTURE rtl; + diff --git a/firmware/minitlu/hdl/common/Reg_2clks.vhd b/firmware/minitlu/hdl/common/Reg_2clks.vhd new file mode 100644 index 00000000..df7168fc --- /dev/null +++ b/firmware/minitlu/hdl/common/Reg_2clks.vhd @@ -0,0 +1,56 @@ +---------------------------------------------------------------------------------- +-- Company: Universidade de Santiago de Compostela +-- Engineer: Alvaro Dosil +-- +-- Create Date: 31/07/2012 +-- Module Name: Reg_2clks - Behavioral +-- Revision 0.01 - File Created +-- Additional Comments: +---------------------------------------------------------------------------------- +------------------------------------------------------- +--! @file +--! @brief Synchronization module 1b +--! @author Alvaro Dosil +------------------------------------------------------- +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; + + +entity Reg_2clks is + port( + clk_i : in std_logic; --! Synchronous clock + async_i : in std_logic; --! Asynchronous input data + sync_o : out std_logic --! Synchronous output data + ); +end Reg_2clks; + +--! @brief +--! @details Synchronize 1 bit of data + +architecture Behavioral of Reg_2clks is +signal sreg : std_logic_vector(1 downto 0); + +attribute TIG : string; +attribute IOB : string; +attribute ASYNC_REG : string; +attribute SHIFT_EXTRACT : string; +attribute HBLKNM : string; + +attribute TIG of async_i : signal is "TRUE"; +attribute IOB of async_i : signal is "FALSE"; +attribute ASYNC_REG of sreg : signal is "TRUE"; +attribute SHIFT_EXTRACT of sreg : signal is "NO"; +attribute HBLKNM of sreg : signal is "sync_reg"; + +begin + +process (clk_i) +begin + if rising_edge(clk_i) then + sync_o <= sreg(1); + sreg <= sreg(0) & async_i; + end if; +end process; + +end Behavioral; + diff --git a/firmware/minitlu/hdl/common/TPx3Logic_rtl.vhd b/firmware/minitlu/hdl/common/TPx3Logic_rtl.vhd new file mode 100644 index 00000000..1e35cfac --- /dev/null +++ b/firmware/minitlu/hdl/common/TPx3Logic_rtl.vhd @@ -0,0 +1,177 @@ +--============================================================================= +--! @file TPx3Logic_rtl.vhd +--============================================================================= +-- +------------------------------------------------------------------------------- +-- -- +-- University of Santiago de Compostela, High Energy Physics Group. +-- -- +------------------------------------------------------------------------------- -- +-- VHDL Architecture fmc_mTLU_lib.TPx3Logic.rtl +-- +--! @brief Produces shutters \n +--! IPBus address map:\n +-- +--! @author Alvaro Dosil , alvaro.dosil@usc.es +-- +--! @date 16:06:19 11/06/14 +-- +--! @version v0.1 +-- +--! @details +--! +--! +--! <b>Dependencies:</b>\n +--! +--! <b>References:</b>\n +--! +--! <b>Modified by: Alvaro Dosil , alvaro.dosil@usc.es </b>\n +--! Author: +------------------------------------------------------------------------------- +--! \n\n<b>Last changes:</b>\n +--! Move all IPBus stuff into ipbus_syncreg_v , which also handles clock domain +--! crossing. 20/Feb/2014 , David Cussans +------------------------------------------------------------------------------- +--! @todo <next thing to do> \n +--! <another thing to do> \n +-- +-------------------------------------------------------------------------------- +-- +-- Created using using Mentor Graphics HDL Designer(TM) 2010.3 (Build 21) +-- +LIBRARY ieee; +USE ieee.std_logic_1164.all; +USE ieee.numeric_std.all; + +USE work.ipbus.all; +use work.ipbus_reg_types.all; + +ENTITY TPx3Logic IS + GENERIC( + g_IPBUS_WIDTH : positive := 32 + ); + PORT( + clk_i : IN std_logic; -- ! Rising edge active + Start_T0sync_i : IN std_logic; + T0syncLen_i : IN std_logic_vector(g_IPBUS_WIDTH-1 DOWNTO 0); + logic_reset_i : IN std_logic; -- active high. Synchronous with clk_4x_logic + Busy_i : IN std_logic; + Veto_i : IN std_logic; + Shutter_o : OUT std_logic; + T0sync_o : OUT std_logic + ); + + +-- Declarations + +END ENTITY TPx3Logic ; + +-- +ARCHITECTURE rtl OF TPx3Logic IS + + type state_values is (st0, st1); + signal pres_state, next_state: state_values; + + signal s_Enable : std_logic := '0'; + signal s_Shutter, s_Shutter_d1f, s_Shutter_d1, s_T0sync, s_T0sync_d1f : std_logic := '0'; + signal s_Start_T0sync, s_Start_T0sync_d1, s_Start_T0sync_d2, s_Start_T0sync_d3 : std_logic; + signal Rst_T0sync, T0syncT : std_logic; --Load signal and flag for the T0sync + signal s_RunNumber : unsigned(g_IPBUS_WIDTH-1 downto 0) := (others => '0'); -- ! counters for runs + +BEGIN + + ----------------------------------------------------------------------------- + -- Counters + ----------------------------------------------------------------------------- + --T0sync counter + c_T0sync: entity work.CounterDown + generic map( + MAX_WIDTH => g_IPBUS_WIDTH + ) + port map( + Clk => clk_i, + Reset => '0', + Load => Rst_T0sync, + InitVal => std_logic_vector(unsigned(T0syncLen_i)-1), + Count => open, + Q => T0syncT + ); + + + ----------------------------------------------------------------------------- + -- FSM register + ----------------------------------------------------------------------------- + statereg: process(clk_i) + begin + if rising_edge(clk_i) then + pres_state <= next_state; --Move to the next state + end if; + end process statereg; + + + ----------------------------------------------------------------------------- + -- FSM combinational block + ----------------------------------------------------------------------------- + fsm: process(pres_state, s_Start_T0sync, T0syncT) + begin + next_state<=pres_state; + s_T0sync <='0'; + Rst_T0sync <= '1'; + + case pres_state is + when st0=> + if s_Start_T0sync = '1' then + next_state <= st1; --Next state is "Whait for end of T0sync signal" + end if; + when st1 => + Rst_T0sync <='0'; + s_T0sync <='1'; + if T0syncT = '1' then + next_state<=st0; --Next state is "Whait for end of T0-sync counter" + end if; + when others=> + next_state<=st0; --Next state is "Whait for T0sync start" + end case; + end process fsm; + + + ----------------------------------------------------------------------------- + -- Busy signals + ----------------------------------------------------------------------------- + s_Enable <= not Veto_i; + s_Shutter <= not Busy_i and not Veto_i; + --Shutter_o <= s_Shutter; + --T0sync_o <= s_T0sync; + + + ----------------------------------------------------------------------------- + -- Count runs and synchronization + ----------------------------------------------------------------------------- + p_run_counter: process (clk_i ) + begin -- process p_run_counter + if rising_edge(clk_i) then + s_Start_T0sync_d1 <= Start_T0sync_i; + s_Start_T0sync_d2 <= s_Start_T0sync_d1; + s_Start_T0sync_d3 <= s_Start_T0sync_d2; + s_Start_T0sync <= s_Start_T0sync_d2 and ( not s_Start_T0sync_d3); + + s_Shutter_d1 <= s_Shutter; + + if logic_reset_i = '1' then + s_RunNumber <= (others => '0'); + elsif s_Shutter='1' and s_Shutter_d1='0' then + s_RunNumber <= s_RunNumber + 1; + end if; + end if; + -- Signals synchronous with falling edge clock + if falling_edge(clk_i) then + s_Shutter_d1f <= s_Shutter; + Shutter_o <= s_Shutter_d1f; + + s_T0sync_d1f <= s_T0sync; + T0sync_o <= s_T0sync_d1f; + end if; + end process p_run_counter; + +END ARCHITECTURE rtl; + diff --git a/firmware/minitlu/hdl/common/arrivalTimeLUT_rtl.vhd b/firmware/minitlu/hdl/common/arrivalTimeLUT_rtl.vhd new file mode 100644 index 00000000..8b5f6882 --- /dev/null +++ b/firmware/minitlu/hdl/common/arrivalTimeLUT_rtl.vhd @@ -0,0 +1,198 @@ +--============================================================================= +--! @file arrivalTimeLUT_rtl.vhd +--============================================================================= +-- +------------------------------------------------------------------------------- +-- -- +-- University of Bristol, High Energy Physics Group. +-- -- +------------------------------------------------------------------------------- -- +-- VHDL Architecture work.ArivalTimeLUT.rtl +-- +--! @brief Uses a look-up-table to convert the eight bits from the two 1:4 deserializers\n +--! into a 5-bit time ( 3 bits from the position in 8-bit deserialized data \n +--! plus two bits from position w.r.t. the strobe_4x_logic_i signal ( one pulse +--! every 4 cycles of clk_4x_logic_i +-- +--! @author David Cussans , David.Cussans@bristol.ac.uk +-- +--! @date 12:46:34 11/21/12 +-- +--! @version v0.1 +-- +--! @details +--! Rising and falling edge times encoded as a LUT. Contents: +--! MRFrrrfff +--! M = multiple edges present ( more then one rising or falling edge) +--! R = at least one rising edge present +--! F = at least one falling edge present. +--! +--! <b>Dependencies:</b>\n +--! +--! <b>References:</b>\n +--! +--! <b>Modified by:</b>\n +--! Author: +------------------------------------------------------------------------------- +--! \n\n<b>Last changes:</b>\n +------------------------------------------------------------------------------- +--! @todo \n +--! <another thing to do> \n +-- +-------------------------------------------------------------------------------- +-- +-- Created using using Mentor Graphics HDL Designer(TM) 2010.3 (Build 21) +-- +LIBRARY ieee; +USE ieee.std_logic_1164.all; +USE ieee.numeric_std.all; + +ENTITY arrivalTimeLUT IS + GENERIC( + g_NUM_FINE_BITS : positive := 3; + g_NUM_COARSE_BITS : positive := 2 + ); + PORT( + clk_4x_logic_i : IN std_logic; --! Rising edge active + strobe_4x_logic_i : IN std_logic; --! Pulses high once every 4 cycles of clk_4x_logic + deserialized_data_i : IN std_logic_vector (8 DOWNTO 0); -- Output from the two 4-bit deserializers, concatenated with most recent bit of previous clock cycle. Clocked by clk_4x_logic_i . bit-8 is the most recent data + first_rising_edge_time_o : OUT std_logic_vector (g_NUM_FINE_BITS+g_NUM_COARSE_BITS-1 DOWNTO 0); -- Position of rising edge w.r.t. 40MHz strobe. Clocked by clk_4x_logic_i + last_falling_edge_time_o : OUT std_logic_vector (g_NUM_FINE_BITS+g_NUM_COARSE_BITS-1 DOWNTO 0); -- Position of rising edge w.r.t. 40MHz strobe. Clocked by clk_4x_logic_i + rising_edge_o : OUT std_logic; -- goes high if there is a rising edge in the data. Clocked by clk_4x_logic_i + falling_edge_o : OUT std_logic; -- goes high if there is a falling edge in the data.Clocked by clk_4x_logic_i + multiple_edges_o : OUT std_logic -- there is more than one rising or falling edge transition. + ); + +-- Declarations + +END ENTITY arrivalTimeLUT ; + +-- +ARCHITECTURE rtl OF arrivalTimeLUT IS + + constant c_FALLING_EDGE_BIT : positive := 2*g_NUM_FINE_BITS; --! Bit position of bit set when falling edge detected + constant c_RISING_EDGE_BIT : positive := 2*g_NUM_FINE_BITS+1; --! Bit position of bit set when rising edge detected + constant c_MULTI_EDGE_BIT : positive := 2*g_NUM_FINE_BITS+2; --! Bit position of bit set when rising edge detected + + + signal s_coarse_bits : std_logic_vector(g_NUM_COARSE_BITS-1 downto 0) := "00"; --! phase w.r.t. strobe + + signal s_LUT_entry : std_logic_vector(g_NUM_FINE_BITS*2 +3-1 downto 0); -- stores intermediate LUT value. + + type t_LUT is array (natural range <>) of std_logic_vector(g_NUM_FINE_BITS*2 + 3 -1 downto 0); + --! Lookup table for arrival time and rising/falling edge detection (3bits + --! for position in 8-bit deserialized data plus two bits for rising/falling + constant c_LUT : t_LUT(0 to 511) := ( + "000000000", "001000000", "011000001", "001000001", "011001010", "011001010", "011000010", "001000010", + "011010011", "011010011", "111000011", "011010011", "011001011", "011001011", "011000011", "001000011", + "011011100", "011011100", "111000100", "011011100", "111001100", "111001100", "111000100", "011011100", + "011010100", "011010100", "111000100", "011010100", "011001100", "011001100", "011000100", "001000100", + "011100101", "011100101", "111000101", "011100101", "111001101", "111001101", "111000101", "011100101", + "111010101", "111010101", "111000101", "111010101", "111001101", "111001101", "111000101", "011100101", + "011011101", "011011101", "111000101", "011011101", "111001101", "111001101", "111000101", "011011101", + "011010101", "011010101", "111000101", "011010101", "011001101", "011001101", "011000101", "001000101", + "011101110", "011101110", "111000110", "011101110", "111001110", "111001110", "111000110", "011101110", + "111010110", "111010110", "111000110", "111010110", "111001110", "111001110", "111000110", "011101110", + "111011110", "111011110", "111000110", "111011110", "111001110", "111001110", "111000110", "111011110", + "111010110", "111010110", "111000110", "111010110", "111001110", "111001110", "111000110", "011101110", + "011100110", "011100110", "111000110", "011100110", "111001110", "111001110", "111000110", "011100110", + "111010110", "111010110", "111000110", "111010110", "111001110", "111001110", "111000110", "011100110", + "011011110", "011011110", "111000110", "011011110", "111001110", "111001110", "111000110", "011011110", + "011010110", "011010110", "111000110", "011010110", "011001110", "011001110", "011000110", "001000110", + "011110111", "011110111", "111000111", "011110111", "111001111", "111001111", "111000111", "011110111", + "111010111", "111010111", "111000111", "111010111", "111001111", "111001111", "111000111", "011110111", + "111011111", "111011111", "111000111", "111011111", "111001111", "111001111", "111000111", "111011111", + "111010111", "111010111", "111000111", "111010111", "111001111", "111001111", "111000111", "011110111", + "111100111", "111100111", "111000111", "111100111", "111001111", "111001111", "111000111", "111100111", + "111010111", "111010111", "111000111", "111010111", "111001111", "111001111", "111000111", "111100111", + "111011111", "111011111", "111000111", "111011111", "111001111", "111001111", "111000111", "111011111", + "111010111", "111010111", "111000111", "111010111", "111001111", "111001111", "111000111", "011110111", + "011101111", "011101111", "111000111", "011101111", "111001111", "111001111", "111000111", "011101111", + "111010111", "111010111", "111000111", "111010111", "111001111", "111001111", "111000111", "011101111", + "111011111", "111011111", "111000111", "111011111", "111001111", "111001111", "111000111", "111011111", + "111010111", "111010111", "111000111", "111010111", "111001111", "111001111", "111000111", "011101111", + "011100111", "011100111", "111000111", "011100111", "111001111", "111001111", "111000111", "011100111", + "111010111", "111010111", "111000111", "111010111", "111001111", "111001111", "111000111", "011100111", + "011011111", "011011111", "111000111", "011011111", "111001111", "111001111", "111000111", "011011111", + "011010111", "011010111", "111000111", "011010111", "011001111", "011001111", "011000111", "001000111", + "010111000", "011111000", "111000001", "011111001", "111001010", "111001010", "111000010", "011111010", + "111010011", "111010011", "111000011", "111010011", "111001011", "111001011", "111000011", "011111011", + "111011100", "111011100", "111000100", "111011100", "111001100", "111001100", "111000100", "111011100", + "111010100", "111010100", "111000100", "111010100", "111001100", "111001100", "111000100", "011111100", + "111100101", "111100101", "111000101", "111100101", "111001101", "111001101", "111000101", "111100101", + "111010101", "111010101", "111000101", "111010101", "111001101", "111001101", "111000101", "111100101", + "111011101", "111011101", "111000101", "111011101", "111001101", "111001101", "111000101", "111011101", + "111010101", "111010101", "111000101", "111010101", "111001101", "111001101", "111000101", "011111101", + "111101110", "111101110", "111000110", "111101110", "111001110", "111001110", "111000110", "111101110", + "111010110", "111010110", "111000110", "111010110", "111001110", "111001110", "111000110", "111101110", + "111011110", "111011110", "111000110", "111011110", "111001110", "111001110", "111000110", "111011110", + "111010110", "111010110", "111000110", "111010110", "111001110", "111001110", "111000110", "111101110", + "111100110", "111100110", "111000110", "111100110", "111001110", "111001110", "111000110", "111100110", + "111010110", "111010110", "111000110", "111010110", "111001110", "111001110", "111000110", "111100110", + "111011110", "111011110", "111000110", "111011110", "111001110", "111001110", "111000110", "111011110", + "111010110", "111010110", "111000110", "111010110", "111001110", "111001110", "111000110", "011111110", + "010110000", "011110000", "111000001", "011110001", "111001010", "111001010", "111000010", "011110010", + "111010011", "111010011", "111000011", "111010011", "111001011", "111001011", "111000011", "011110011", + "111011100", "111011100", "111000100", "111011100", "111001100", "111001100", "111000100", "111011100", + "111010100", "111010100", "111000100", "111010100", "111001100", "111001100", "111000100", "011110100", + "111100101", "111100101", "111000101", "111100101", "111001101", "111001101", "111000101", "111100101", + "111010101", "111010101", "111000101", "111010101", "111001101", "111001101", "111000101", "111100101", + "111011101", "111011101", "111000101", "111011101", "111001101", "111001101", "111000101", "111011101", + "111010101", "111010101", "111000101", "111010101", "111001101", "111001101", "111000101", "011110101", + "010101000", "011101000", "111000001", "011101001", "111001010", "111001010", "111000010", "011101010", + "111010011", "111010011", "111000011", "111010011", "111001011", "111001011", "111000011", "011101011", + "111011100", "111011100", "111000100", "111011100", "111001100", "111001100", "111000100", "111011100", + "111010100", "111010100", "111000100", "111010100", "111001100", "111001100", "111000100", "011101100", + "010100000", "011100000", "111000001", "011100001", "111001010", "111001010", "111000010", "011100010", + "111010011", "111010011", "111000011", "111010011", "111001011", "111001011", "111000011", "011100011", + "010011000", "011011000", "111000001", "011011001", "111001010", "111001010", "111000010", "011011010", + "010010000", "011010000", "111000001", "011010001", "010001000", "011001000", "010000000", "000000000" + ); + +BEGIN + + -- purpose: uses the deserialized data as a index into + -- a lookup table holding the position of the first rising edge (if any) + -- and if there is a rising or falling edge + -- type : combinational + -- inputs : clk_4x_logic_i + -- outputs: arrival_time_o , rising_edge_o , falling_edge_o + examine_lut: process (clk_4x_logic_i) -- , deserialized_data_i) +-- variable v_LUT_entry : std_logic_vector(g_NUM_FINE_BITS+2-1 downto 0); --! Entry in LUT pointed to by deserialized data + begin -- process examine_lut + +-- v_LUT_entry := c_LUT(to_integer(unsigned(deserialized_data_i))); + + if rising_edge(clk_4x_logic_i) then + s_LUT_entry <= c_LUT(to_integer(unsigned(deserialized_data_i))); + first_rising_edge_time_o <= s_coarse_bits & s_LUT_ENTRY(g_NUM_FINE_BITS*2-1 downto g_NUM_FINE_BITS); + last_falling_edge_time_o <= s_coarse_bits & s_LUT_ENTRY(g_NUM_FINE_BITS-1 downto 0); + rising_edge_o <= s_LUT_ENTRY(c_RISING_EDGE_BIT); + falling_edge_o <= s_LUT_ENTRY(c_FALLING_EDGE_BIT); + multiple_edges_o <= s_LUT_ENTRY(c_MULTI_EDGE_BIT); + end if; + + end process examine_lut; + + --! Coarse time stamp. Phase w.r.t. strobe +-- c_coarse_ts : entity work.CounterUp +-- PORT MAP ( +-- clk => clk_4x_logic_i, +-- ce => '1', +-- sinit => strobe_4x_logic_i, --'0', +-- q(31 downto 2) => open, +-- q(1 downto 0) => s_coarse_bits +-- ); +-- + c_coarse_ts : entity work.CounterWithReset + GENERIC MAP ( + g_COUNTER_WIDTH => 2 ) + PORT MAP ( + clock_i => clk_4x_logic_i, + enable_i => '1', + reset_i => strobe_4x_logic_i, -- Synchronous reset, so the counter will present result_o="11" when reset_i='1' + result_o => s_coarse_bits + ); + +END ARCHITECTURE rtl; + diff --git a/firmware/minitlu/hdl/common/clocks_s6_extphy.vhd b/firmware/minitlu/hdl/common/clocks_s6_extphy.vhd new file mode 100644 index 00000000..c64f1777 --- /dev/null +++ b/firmware/minitlu/hdl/common/clocks_s6_extphy.vhd @@ -0,0 +1,157 @@ +-- clocks_s6_extphy +-- +-- Generates a 125MHz ethernet clock and 31MHz ipbus clock from the 200MHz reference +-- Includes reset logic for ipbus +-- +-- Dave Newbold, April 2011 +-- +-- $Id$ + +library ieee; +use ieee.std_logic_1164.all; + +library unisim; +use unisim.VComponents.all; + +entity clocks_s6_extphy is port( + sysclk_p, sysclk_n: in std_logic; + -- dummy_sysclk : in std_logic; + clk_logic_xtal_o : out std_logic; + clko_125: out std_logic; + clko_ipb: out std_logic; + locked: out std_logic; + rsto_125: out std_logic; + rsto_ipb: out std_logic; + onehz: out std_logic + ); + +end clocks_s6_extphy; + +architecture rtl of clocks_s6_extphy is + + signal clk_ipb_i, clk_ipb_b, clk_125_i, clk_125_b, sysclk : std_logic; + -- signal sysclk_in : std_logic; + signal d25, d25_d, dcm_locked: std_logic; + signal rst: std_logic := '1'; + signal s_xtal_dcm_locked: std_logic; + signal s_clk_logic_xtal : std_logic; + -- signal clk_400: std_logic; + +-- component clock_divider_s6 port( +-- clk: in std_logic; +-- d25: out std_logic; +-- d28: out std_logic +-- ); +-- end component; + +begin + + ibufgds0: IBUFGDS port map( + i => sysclk_p, + ib => sysclk_n, + o => sysclk + ); + +-- -- Add global clock buffer in sysclk path. +-- bufg_sysclk : BUFG port map ( +-- i => sysclk_in, +-- o => sysclk); + + bufg_125: BUFG port map( + i => clk_125_i, + o => clk_125_b + ); + + clko_125 <= clk_125_b; + + bufg_ipb: BUFG port map( + i => clk_ipb_i, + o => clk_ipb_b + ); + + bufg_clk_logic_xtal: BUFG port map( + i => s_clk_logic_xtal, + o => clk_logic_xtal_o + ); + + clko_ipb <= clk_ipb_b; + + dcm0: DCM_CLKGEN + generic map( + CLKIN_PERIOD => 5.0, + CLKFX_MULTIPLY => 5, + CLKFX_DIVIDE => 8, + CLKFXDV_DIVIDE => 4 + ) + port map( + clkin => sysclk, + clkfx => clk_125_i, + clkfxdv => clk_ipb_i, + locked => dcm_locked, + rst => '0' + ); + + clkdiv: entity work.clock_divider_s6 port map( +-- clkdiv: entity work.clock_div port map( + clk => sysclk, +-- D17 => open, + d25 => d25, + d28 => onehz + ); + + process(sysclk) + begin + if rising_edge(sysclk) then + d25_d <= d25; + if d25='1' and d25_d='0' then + rst <= not dcm_locked; + end if; + end if; + end process; + + locked <= dcm_locked; + + process(clk_ipb_b) + begin + if rising_edge(clk_ipb_b) then + rsto_ipb <= rst; + end if; + end process; + + process(clk_125_b) + begin + if rising_edge(clk_125_b) then + rsto_125 <= rst; + end if; + end process; + + sys40_gen : BUFIO2 + generic map ( + DIVIDE => 5, -- DIVCLK divider (1-8) + DIVIDE_BYPASS => FALSE) -- Bypass the divider circuitry (TRUE/FALSE) + port map ( + I => SysClk, -- 1-bit input: Clock input (connect to IBUFG) + DIVCLK => s_clk_logic_xtal, -- 1-bit output: Divided clock output + IOCLK => open, -- 1-bit output: I/O output clock + SERDESSTROBE => open); -- 1-bit output: Output SERDES strobe (connect to ISERDES2/OSERDES2) + + + + -- Generate 40MHz clock from 200MHz crystal +-- dcmXTAL: DCM_CLKGEN +-- generic map( +-- CLKIN_PERIOD => 5.0, +-- CLKFX_MULTIPLY => 2, +-- CLKFX_DIVIDE => 10, +-- CLKFXDV_DIVIDE => 2 +-- ) +-- port map( +-- clkin => sysclk, +-- clkfx => s_clk_logic_xtal, +-- clkfxdv => open, +-- locked => s_xtal_dcm_locked, +-- rst => '0' +-- ); +-- + +end rtl; diff --git a/firmware/minitlu/hdl/common/counterDown.vhd b/firmware/minitlu/hdl/common/counterDown.vhd new file mode 100644 index 00000000..de150900 --- /dev/null +++ b/firmware/minitlu/hdl/common/counterDown.vhd @@ -0,0 +1,50 @@ +--Counter down +--Outputs: Q<='1' while counting +-- Q<='0' if not counting + + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + + +ENTITY CounterDown IS + GENERIC( + MAX_WIDTH: positive := 32 + ); + PORT( + Clk : in std_logic; + Reset : in std_logic; + Load : in std_logic; + InitVal : in std_logic_vector(MAX_WIDTH-1 downto 0); + Count : out Std_logic_vector(MAX_WIDTH-1 downto 0); + Q : out std_logic + ); +END ENTITY CounterDown; + +architecture rtl of CounterDown is + signal cnt : std_logic_vector(MAX_WIDTH-1 downto 0); + signal Qtmp : std_logic; + +begin + Counter: process (Clk, Reset) + begin + if (Reset='1') then + cnt <= (others =>'0'); + elsif rising_edge(Clk) then + if (Load='1') then + cnt <= InitVal; + else + if Qtmp='0' then + cnt <= std_logic_vector(unsigned(cnt) - 1); + end if; + end if; + end if; + end process; + + Qtmp <= '1' when cnt=(cnt'range=>'0') else + '0'; + + Count <= cnt; + Q <= Qtmp; +end rtl; diff --git a/firmware/minitlu/hdl/common/counterWithReset_rtl.vhd b/firmware/minitlu/hdl/common/counterWithReset_rtl.vhd new file mode 100644 index 00000000..ebe40030 --- /dev/null +++ b/firmware/minitlu/hdl/common/counterWithReset_rtl.vhd @@ -0,0 +1,95 @@ +--============================================================================= +--! @file counterWithReset_rtl.vhd +--============================================================================= +------------------------------------------------------------------------------- +-- -- +-- University of Bristol, High Energy Physics Group. +-- -- +------------------------------------------------------------------------------- -- +-- unit name: counterWithReset (counterWithReset / rtl) +-- +--! @brief Simple counter with synchronous reset +-- +--! @author David Cussans , David.Cussans@bristol.ac.uk +-- +--! @date Feb\2012 +-- +--! @version v0.1 +-- +--! @details +--! +--! <b>Dependencies:</b>\n +--! None +--! +--! <b>References:</b>\n +--! referenced by ipBusMarocTriggerGenerator \n +--! +--! <b>Modified by:</b>\n +--! Author: +------------------------------------------------------------------------------- +--! \n\n<b>Last changes:</b>\n +--! 5/Mar/12 DGC Changed to use numeric_std\n +--! 26/Feb/14 DGC Added registers to output to aid timing closure. +--! +------------------------------------------------------------------------------- +--! @todo <next thing to do> \n +--! <another thing to do> \n +--------------------------------------------------------------------------------- + +--============================================================================ +--! Entity declaration for counterWithReset +--============================================================================ +LIBRARY ieee; +USE ieee.std_logic_1164.ALL; +use ieee.numeric_std.all; + +ENTITY counterWithReset IS + GENERIC (g_COUNTER_WIDTH : integer := 32; --! Number of bits + g_OUTPUT_REGISTERS : integer := 4 --! Number of output registers. Minumum =1. Aids timing closure. + ); + PORT + ( + clock_i: IN STD_LOGIC; --! rising edge active clock + reset_i: IN STD_LOGIC; --! Active high. syncronous with rising clk + enable_i: IN STD_LOGIC; --! counts when enable=1 + result_o: OUT STD_LOGIC_VECTOR ( g_COUNTER_WIDTH-1 downto 0) --! Unsigned integer output + + ); +END counterWithReset; + +ARCHITECTURE rtl OF counterWithReset IS + type t_register_array is array(natural range <>) of UNSIGNED ( g_COUNTER_WIDTH-1 downto 0) ; -- --! Array of arrays for output register... + signal s_output_registers : t_register_array(g_OUTPUT_REGISTERS downto 0) := ( others => ( others => '0')); -- --! Output registers. + +BEGIN + + --! Process to count up from zero when enable_i is high. + p_counter: PROCESS (clock_i) + BEGIN + IF rising_edge(clock_i) THEN + IF (reset_i = '1') THEN + s_output_registers(0) <= (others => '0'); + ELSIF (enable_i='1') THEN + s_output_registers(0) <= s_output_registers(0) + 1; + END IF; + END IF; + END PROCESS p_counter; + + --! Generate some output registers. Number controlled by g_OUTPUT_REGISTERS + generate_registers: for v_register in 1 to g_OUTPUT_REGISTERS generate + + --! An individual register + p_outputRegister: process (clock_i) + begin -- process p_outputRegister + if rising_edge(clock_i) then + s_output_registers( v_register) <= + s_output_registers( v_register-1); + end if; + end process p_outputRegister; + + end generate generate_registers; -- v_register + + --! Copy the (registered) result to the output + result_o <= STD_LOGIC_VECTOR(s_output_registers(g_OUTPUT_REGISTERS)); + +END rtl; diff --git a/firmware/minitlu/hdl/common/dualSERDES_1to4_rtl.vhd b/firmware/minitlu/hdl/common/dualSERDES_1to4_rtl.vhd new file mode 100644 index 00000000..ff111845 --- /dev/null +++ b/firmware/minitlu/hdl/common/dualSERDES_1to4_rtl.vhd @@ -0,0 +1,218 @@ +--============================================================================= +--! @file dualSERDES_1to4_rtl.vhd +--============================================================================= +-- +------------------------------------------------------------------------------- +-- -- +-- University of Bristol, High Energy Physics Group. +-- -- +------------------------------------------------------------------------------- -- +-- VHDL Architecture work.dualSERDES_1to4.rtl +-- +--! @brief Two 1:4 Deserializers. One has input delayed w.r.t. other\n +--! based on TDC by Alvaro Dosil\n +-- +--! @author David Cussans , David.Cussans@bristol.ac.uk +-- +--! @date 12:06:53 11/16/12 +-- +--! @version v0.1 +-- +--! @details +--! data_o(7) is the most recently arrived data , data_o(0) is the oldest data. +--! +--! <b>Dependencies:</b>\n +--! +--! <b>References:</b>\n +--! +--! <b>Modified by: Alvaro Dosil , alvaro.dosil@usc.es </b>\n +--! Author: +------------------------------------------------------------------------------- +--! \n\n<b>Last changes:</b>\n +--! Separated FSM for calibration control into a separate entity. DGC, 22/Feb/14 +------------------------------------------------------------------------------- +--! @todo Implement a periodic calibration sequence\n +--! <another thing to do> \n +-- +-------------------------------------------------------------------------------- +-- +-- Created using using Mentor Graphics HDL Designer(TM) 2010.3 (Build 21) +-- +LIBRARY ieee; +USE ieee.std_logic_1164.all; +USE ieee.numeric_std.all; + +library unisim ; +use unisim.vcomponents.all; + + +ENTITY dualSERDES_1to4 IS + PORT( + reset_i : IN std_logic; --! Resets IODELAY + --calibrate_i : IN std_logic; --! Starts IODELAY calibration. + data_i : IN std_logic; --! from input buffer. + fastClk_i : IN std_logic; --! 4x fabric clock. e.g. 640MHz + fabricClk_i : IN std_logic; --! clock for output to FPGA. e.g. 160MHz + strobe_i : IN std_logic; --! Strobes once every 4 cycles of fastClk + data_o : OUT std_logic_vector (7 DOWNTO 0); --! Deserialized data. Interleaved between prompt and delayed serdes. + --! data_o(0) is the oldest data + status_o : OUT std_logic_vector(1 downto 0) --! outputs from IODELAY "busy" 0=prompt,1=delayed + ); + +-- Declarations + +END ENTITY dualSERDES_1to4 ; + +-- +ARCHITECTURE rtl OF dualSERDES_1to4 IS + + constant c_S : positive := 4; -- ! SERDES division ratio + + signal s_Data_i_d_p : std_logic; + signal s_Data_i_d_d : std_logic; + signal s_busy_idelay_p : std_logic; -- Busy from iodelay. + signal s_busy_idelay_d : std_logic; -- Busy from iodelay. + signal s_busy : std_logic; -- Busy from the two iodelays. + signal s_data_o : std_logic_vector(7 downto 0); --! Deserialized data + signal s_cal : std_logic := '0'; --! Calibration signal + signal s_rst_cal : std_logic := '0'; --! reset after calibration process + + + + +BEGIN + + -- IODELAYs calibration FSM + IODELAYCal: entity work.IODELAYCal_FSM + port map ( + clk_i => fabricClk_i, + startcal_i => reset_i, + busy_i => s_busy, + calibrate_o => s_cal, + reset_o => s_rst_cal); + + + IODELAY2_Prompt : IODELAY2 + generic map ( + COUNTER_WRAPAROUND => "STAY_AT_LIMIT" , -- "STAY_AT_LIMIT" or "WRAPAROUND" + DATA_RATE => "SDR", -- "SDR" or "DDR" + DELAY_SRC => "IDATAIN", -- "IO", "ODATAIN" or "IDATAIN" + SERDES_MODE => "NONE", -- <NONE>, MASTER, SLAVE + IDELAY_TYPE => "VARIABLE_FROM_ZERO", + IDELAY_VALUE => 0 -- Amount of taps for fixed input delay (0-255) + --SIM_TAPDELAY_VALUE=> 10 -- Per tap delay used for simulation in ps + ) + port map ( + BUSY => s_busy_idelay_p, -- 1-bit output: Busy output after CAL + DATAOUT => s_Data_i_d_p, -- 1-bit output: Delayed data output to ISERDES/input register + DATAOUT2 => open, -- 1-bit output: Delayed data output to general FPGA fabric + DOUT => open, -- 1-bit output: Delayed data output + TOUT => open, -- 1-bit output: Delayed 3-state output + CAL => s_cal, -- 1-bit input: Initiate calibration input + CE => '0', -- 1-bit input: Enable INC input + CLK => fabricClk_i, -- 1-bit input: Clock input + IDATAIN => data_i, -- 1-bit input: Data input (connect to top-level port or I/O buffer) + INC => '0', -- 1-bit input: Increment / decrement input + IOCLK0 => fastClk_i, -- 1-bit input: Input from the I/O clock network + IOCLK1 => '0', -- 1-bit input: Input from the I/O clock network + ODATAIN => '0', -- 1-bit input: Output data input from output register or OSERDES2. + RST => s_rst_cal, -- 1-bit input: reset_i to 1/2 of total delay period + T => '1' -- 1-bit input: 3-state input signal + ); + status_o(1) <= s_busy_idelay_p; + + IODELAY2_Delayed : IODELAY2 + generic map ( + COUNTER_WRAPAROUND => "STAY_AT_LIMIT", -- "STAY_AT_LIMIT" or "WRAPAROUND" + DATA_RATE => "SDR", -- "SDR" or "DDR" + DELAY_SRC => "IDATAIN", -- "IO", "ODATAIN" or "IDATAIN" + SERDES_MODE => "NONE", -- <NONE>, MASTER, SLAVE + IDELAY_TYPE => "VARIABLE_FROM_HALF_MAX", + IDELAY_VALUE => 0, -- Amount of taps for fixed input delay (0-255) + IDELAY2_VALUE => 0 -- Delay value when IDELAY_MODE="PCI" (0-255) + --SIM_TAPDELAY_VALUE => 10 -- Per tap delay used for simulation in ps + ) + port map ( + BUSY => s_busy_idelay_d, -- 1-bit output: Busy output after CAL + DATAOUT => s_Data_i_d_d, -- 1-bit output: Delayed data output to ISERDES/input register + DATAOUT2 => open, -- 1-bit output: Delayed data output to general FPGA fabric + DOUT => open, -- 1-bit output: Delayed data output + TOUT => open, -- 1-bit output: Delayed 3-state output + CAL => s_cal, -- 1-bit input: Initiate calibration input + CE => '0', -- 1-bit input: Enable INC input + CLK => fabricClk_i, -- 1-bit input: Clock input + IDATAIN => data_i, -- 1-bit input: Data input (connect to top-level port or I/O buffer) + INC => '0', -- 1-bit input: Increment / decrement input + IOCLK0 => fastClk_i, -- 1-bit input: Input from the I/O clock network + IOCLK1 => '0', -- 1-bit input: Input from the I/O clock network + ODATAIN => '0', -- 1-bit input: Output data input from output register or OSERDES2. + RST => s_rst_cal, -- 1-bit input: reset_i to zero + T => '1' -- 1-bit input: 3-state input signal + ); + status_o(0) <= s_busy_idelay_d; + + s_busy <= s_busy_idelay_p or s_busy_idelay_d; + + ISERDES2_Prompt : ISERDES2 + generic map ( + BITSLIP_ENABLE => FALSE, -- Enable Bitslip Functionality (TRUE/FALSE) + DATA_RATE => "SDR", -- Data-rate ("SDR" or "DDR") + DATA_WIDTH => 4, -- Parallel data width selection (2-8) + INTERFACE_TYPE => "RETIMED", -- "NETWORKING", "NETWORKING_PIPELINED" or "RETIMED" + SERDES_MODE => "NONE" -- "NONE", "MASTER" or "SLAVE" + ) + port map ( + -- Q1 - Q4: 1-bit (each) output Registered outputs to FPGA logic + Q1 => s_Data_o(1), -- Oldest data + Q2 => s_Data_o(3), + Q3 => s_Data_o(5), + Q4 => s_Data_o(7), -- most recent data + --SHIFTOUT => SHIFTOUTsig, -- 1-bit output Cascade output signal for master/slave I/O + VALID => open, -- 1-bit output Output status of the phase detector + BITSLIP => '0', -- 1-bit input Bitslip enable input + CE0 => '1', -- 1-bit input Clock enable input + CLK0 => fastClk_i, -- 1-bit input I/O clock network input + CLK1 => '0', -- 1-bit input Secondary I/O clock network input + CLKDIV => fabricClk_i, -- 1-bit input FPGA logic domain clock input + D => s_Data_i_d_p, -- 1-bit input Input data + IOCE => strobe_i, -- 1-bit input Data strobe_i input + RST => reset_i, -- 1-bit input Asynchronous reset_i input + SHIFTIN => '0' -- 1-bit input Cascade input signal for master/slave I/O + ); + + ISERDES2_Delayed : ISERDES2 + generic map ( + BITSLIP_ENABLE => FALSE, -- Enable Bitslip Functionality (TRUE/FALSE) + DATA_RATE => "SDR", -- Data-rate ("SDR" or "DDR") + DATA_WIDTH => 4, -- Parallel data width selection (2-8) + INTERFACE_TYPE => "RETIMED", -- "NETWORKING", "NETWORKING_PIPELINED" or "RETIMED" + SERDES_MODE => "NONE" -- "NONE", "MASTER" or "SLAVE" + ) + port map ( + -- Q1 - Q4: 1-bit (each) output Registered outputs to FPGA logic + Q1 => s_Data_o(0), -- oldest data + Q2 => s_Data_o(2), + Q3 => s_Data_o(4), + Q4 => s_Data_o(6), -- most recent data + --SHIFTOUT => SHIFTOUTsig, -- 1-bit output Cascade output signal for master/slave I/O + VALID => open, -- 1-bit output Output status of the phase detector + BITSLIP => '0', -- 1-bit input Bitslip enable input + CE0 => '1', -- 1-bit input Clock enable input + CLK0 => fastClk_i, -- 1-bit input I/O clock network input + CLK1 => '0', -- 1-bit input Secondary I/O clock network input + CLKDIV => fabricClk_i, -- 1-bit input FPGA logic domain clock input + D => s_Data_i_d_d, -- 1-bit input Input data + IOCE => strobe_i, -- 1-bit input Data strobe_i input + RST => reset_i, -- 1-bit input Asynchronous reset_i input + SHIFTIN => '0' -- 1-bit input Cascade input signal for master/slave I/O + ); + +reg_out : process(fabricClk_i) +begin + if rising_edge(fabricClk_i) then + Data_o <= s_Data_o; + end if; +end process; + +END ARCHITECTURE rtl; + diff --git a/firmware/minitlu/hdl/common/eventBuffer_rtl.vhd b/firmware/minitlu/hdl/common/eventBuffer_rtl.vhd new file mode 100644 index 00000000..fbcb40ee --- /dev/null +++ b/firmware/minitlu/hdl/common/eventBuffer_rtl.vhd @@ -0,0 +1,159 @@ +--============================================================================= +--! @file eventBuffer_rtl.vhd +--============================================================================= +-- +------------------------------------------------------------------------------- +-- -- +-- University of Bristol, High Energy Physics Group. +-- -- +------------------------------------------------------------------------------- -- +-- VHDL Architecture fmc_mTLU_lib.eventBuffer.rtl +-- +--! @brief Stores input words (64bits) for readout over IPBus. \n +--! Uses a FIFO ( 64bits at input, 32 bits at output )\n +--! Address map:\n +--! 0x0000 - FIFO data\n +--! 0x0001 - FIFO fill level\n +--! 0x0010 - FIFO status/control:\n +--! Writing Bit-0 resets pointers.\n +--! Reading bit-1 returns "prog_full" flag +-- +-- +--! @author David Cussans , David.Cussans@bristol.ac.uk +-- +--! @date 15:24:50 11/13/12 +-- +--! @version v0.1 +-- +--! @details +--! +--! +--! <b>Dependencies:</b>\n +--! +--! <b>References:</b>\n +--! +--! <b>Modified by: Alvaro Dosil , alvaro.dosil@usc.es </b>\n +--! Author: +------------------------------------------------------------------------------- +--! \n\n<b>Last changes:</b>\n +------------------------------------------------------------------------------- +--! @todo <next thing to do> \n +--! <another thing to do> \n +-- +-------------------------------------------------------------------------------- +-- +-- Created using using Mentor Graphics HDL Designer(TM) 2010.3 (Build 21) +-- +LIBRARY ieee; +USE ieee.std_logic_1164.all; +USE ieee.numeric_std.all; + +USE work.ipbus.all; + +ENTITY eventBuffer IS + GENERIC( + g_EVENT_DATA_WIDTH : positive := 64; + g_IPBUS_WIDTH : positive := 32; + g_WRITE_COUNTER_WIDTH : positive := 15; + g_READ_COUNTER_WIDTH : positive := 16 + ); + PORT( + clk_4x_logic_i : IN std_logic; + data_strobe_i : IN std_logic; -- Indicates data to transfer + event_data_i : IN std_logic_vector (g_EVENT_DATA_WIDTH-1 DOWNTO 0); + ipbus_clk_i : IN std_logic; + ipbus_i : IN ipb_wbus; + ipbus_reset_i : IN std_logic; + strobe_4x_logic_i : IN std_logic; + --trigger_count_i : IN std_logic_vector (g_IPBUS_WIDTH-1 DOWNTO 0); --! Not used yet. + rst_fifo_o : OUT std_logic; --! rst signal to first level fifos + buffer_full_o : OUT std_logic; --! Goes high when event buffer almost full + ipbus_o : OUT ipb_rbus; + logic_reset_i : IN std_logic -- reset buffers when high. Synch withclk_4x_logic + ); + +-- Declarations + +END ENTITY eventBuffer ; + +-- +ARCHITECTURE rtl OF eventBuffer IS + + + signal s_rd_data_count : std_logic_vector(g_READ_COUNTER_WIDTH-1 downto 0) := (others =>'0'); + + signal s_fifo_fill_level : std_logic_vector(g_IPBUS_WIDTH-1 downto 0) := (others =>'0'); -- read-counter - 2*write_count + + signal s_write_strobe : std_logic := '0'; + signal s_rst_fifo, s_rst_fifo_ipb : std_logic := '0'; -- ! Take high to reset FIFO pointers. + signal s_fifo_prog_full : std_logic := '0'; -- ! Controlled by programmable-full flag of FIFO core + signal s_fifo_rd_en : std_logic := '0'; -- ! Take high to clock data out of FIFO + signal s_fifo_dout : std_logic_vector(g_IPBUS_WIDTH-1 downto 0); -- ! Output from FIFO ( fall-through mode) + signal s_fifo_valid : std_logic := '1'; -- ! High when data in FIFO + signal s_fifo_full, s_fifo_almost_full, s_fifo_empty, s_fifo_almost_empty : std_logic := '0'; -- ! full and empty FIFO flags + signal s_fifo_status_ipb , s_fifo_fill_level_d1 : std_logic_vector(ipbus_o.ipb_rdata'range) := (others => '0'); -- data registered onto IPBus clock + +BEGIN + + ----------------------------------------------------------------------------- + -- IPBus IO + ----------------------------------------------------------------------------- + + --! Generate FIFO read enable + s_fifo_rd_en <= '1' when ipbus_i.ipb_strobe = '1' and ipbus_i.ipb_write = '0' and ipbus_i.ipb_addr(1 downto 0) = "00" else '0'; + s_fifo_valid <= '1'; + + --! Generate IPBus ACK + ipbus_o.ipb_ack <= (ipbus_i.ipb_strobe and not s_fifo_rd_en) or (s_fifo_valid and s_fifo_rd_en); + ipbus_o.ipb_err <= '0'; + + --! Multiplex output data. + with ipbus_i.ipb_addr(1 downto 0) select ipbus_o.ipb_rdata <= + s_fifo_dout when "00", + s_fifo_fill_level when "01", + s_fifo_status_ipb when "10", + (others => '1') when others; + + ipbus_write: process (ipbus_clk_i) + begin -- process ipbus_write + if rising_edge(ipbus_clk_i) then + s_rst_fifo_ipb <= '0'; + if ipbus_i.ipb_strobe = '1' and ipbus_i.ipb_addr(1 downto 0) = "10" and ipbus_i.ipb_write = '1' then + s_rst_fifo_ipb <= '1'; + end if; + + -- Register data onto IPBus clock domain to ease timing closure. + s_fifo_status_ipb <= X"000000" & "000" & s_fifo_prog_full & s_fifo_full & s_fifo_almost_full & s_fifo_almost_empty & s_fifo_empty; + s_fifo_fill_level <= X"0000" & s_rd_data_count; + end if; + end process ipbus_write; + + rst_fifo_o <= s_rst_fifo_ipb; + s_rst_fifo <= s_rst_fifo_ipb or logic_reset_i; + + ----------------------------------------------------------------------------- + -- FIFO and fill-level calculation + ----------------------------------------------------------------------------- + + -- Instantiate a buffer to store the data. 64-bit on input, 32-bit on output. + event_fifo : entity work.tlu_event_fifo + PORT MAP ( + rst => s_rst_fifo, + wr_clk => clk_4x_logic_i, + rd_clk => ipbus_clk_i, + din => event_data_i, + wr_en => data_strobe_i, + rd_en => s_fifo_rd_en, + dout => s_fifo_dout, + full => s_fifo_full, + almost_full => s_fifo_almost_full, + empty => s_fifo_empty, + almost_empty => s_fifo_almost_empty, + rd_data_count => s_rd_data_count, + prog_full => s_fifo_prog_full + ); + + buffer_full_o <= s_fifo_prog_full; + +END ARCHITECTURE rtl; + diff --git a/firmware/minitlu/hdl/common/eventFormatter_rtl.vhd b/firmware/minitlu/hdl/common/eventFormatter_rtl.vhd new file mode 100644 index 00000000..d0639e1e --- /dev/null +++ b/firmware/minitlu/hdl/common/eventFormatter_rtl.vhd @@ -0,0 +1,498 @@ +--============================================================================= +--! @file eventFormatter_rtl.vhd +--============================================================================= +-- +------------------------------------------------------------------------------- +-- -- +-- University of Bristol, High Energy Physics Group. +-- -- +------------------------------------------------------------------------------- -- +-- VHDL Architecture fmc_mTLU_lib.eventFormatter.rtl +-- +--! @brief Takes the data delivered on each trigger and turns it into a 64-bit +--! word\n +--! \n +-- +--! @author David Cussans , David.Cussans@bristol.ac.uk +-- +--! @date 15:10:35 11/09/12 +-- +--! @version v0.1 +-- +--! @details +--! +--! +--! <b>Dependencies:</b>\n +--! +--! <b>References:</b>\n +--! +--! <b>Modified by: Alvaro Dosil , alvaro.dosil@usc.es </b>\n +--! Author: +------------------------------------------------------------------------------- +--! \n\n<b>Last changes:</b>\n +--! 27/Feb/14 DGC Change "If" when setting s_word2 to a case ... generate. Questasim +--! doesn't like having an if that can take an array out of bounds. +------------------------------------------------------------------------------- +--! @todo Add more input data: \n +--! a) shutter signals. One per DUT. ?? \n +--! b) input levels ( for recording edge data ). Record rising and falling edges\n +--! c) veto levels. One per DUT. Record rising and falling edges.\n +--! \n +--! Add backpressure output if short FIFOs fill up? But many inputs won't +--! respond - e.g. scintillator inputs. This data will be lost.... +--! some ports are redundant - e.g. trigger counter, others confusingly +--! labelled. Sort this out.. +-------------------------------------------------------------------------------- +-- +-- Created using using Mentor Graphics HDL Designer(TM) 2010.3 (Build 21) +-- +LIBRARY ieee; +USE ieee.std_logic_1164.all; +USE ieee.numeric_std.all; + +USE work.fmcTLU.all; +USE work.ipbus.all; + +ENTITY eventFormatter IS + GENERIC( + g_EVENT_DATA_WIDTH : positive := 64; + g_IPBUS_WIDTH : positive := 32; + g_COUNTER_TRIG_WIDTH : positive := 32; + g_COUNTER_WIDTH : positive := 12; + g_EVTTYPE_WIDTH : positive := 4; --! Width of the event type word + --g_NUM_INPUT_TYPES : positive := 4; --! Number of different input types (trigger, shutter, edge...) + g_NUM_EDGE_INPUTS : positive := 4; --! Number of edge inputs + g_NUM_TRIG_INPUTS : positive := 5 --! Number of trigger inputs + ); + PORT( + clk_4x_logic_i : IN std_logic; --! Rising edge active + ipbus_clk_i : IN std_logic; + logic_strobe_i : IN std_logic; --! Pulses high once every 4 cycles of clk_4x_logic + logic_reset_i : IN std_logic; --! goes high to reset counters. Synchronous with clk_4x_logic + rst_fifo_i : IN std_logic; --! Reset fifos + buffer_full_i : in std_logic; --! Buffer full signal from main buffer + + trigger_i : IN std_logic; --! goes high to load trigger data. One cycle of clk_4x_logic + trigger_times_i : IN t_triggerTimeArray (g_NUM_TRIG_INPUTS-1 DOWNTO 0); --! Array of trigger times ( w.r.t. logic_strobe) + trigger_inputs_fired_i : IN std_logic_vector (g_NUM_TRIG_INPUTS-1 DOWNTO 0); --! high for each input that "fired" + trigger_cnt_i : IN std_logic_vector (g_COUNTER_TRIG_WIDTH-1 DOWNTO 0); + + shutter_i : IN std_logic; + shutter_cnt_i : IN std_logic_vector(g_COUNTER_WIDTH-1 DOWNTO 0); + + spill_i : IN std_logic; + spill_cnt_i : IN std_logic_vector(g_COUNTER_WIDTH-1 DOWNTO 0); + + edge_rise_i : IN std_logic_vector (g_NUM_EDGE_INPUTS-1 DOWNTO 0); --! High when rising edge + edge_fall_i : IN std_logic_vector (g_NUM_EDGE_INPUTS-1 DOWNTO 0); --! High when falling edge + edge_rise_time_i : IN t_triggerTimeArray (g_NUM_EDGE_INPUTS-1 DOWNTO 0); --! Array of edge times ( w.r.t. logic_strobe) + edge_fall_time_i : IN t_triggerTimeArray (g_NUM_EDGE_INPUTS-1 DOWNTO 0); --! Array of edge times ( w.r.t. logic_strobe) + + ipbus_i : IN ipb_wbus; + ipbus_o : OUT ipb_rbus; + data_strobe_o : OUT std_logic; -- goes high when data ready to load into event buffer + event_data_o : OUT std_logic_vector (g_EVENT_DATA_WIDTH-1 DOWNTO 0); + event_number_i : in std_logic_vector (g_IPBUS_WIDTH-1 DOWNTO 0); + trigger_count_o : OUT std_logic_vector (g_IPBUS_WIDTH-1 DOWNTO 0) + ); + +-- Declarations + +END ENTITY eventFormatter ; + +-- +ARCHITECTURE rtl OF eventFormatter IS + + -- add to ports + -- trigger_i : OUT std_logic_vector (g_NUM_INPUTS-1 DOWNTO 0); -- ! High when trigger active + -- signal inputs_triggered_i : std_logic_vector (g_NUM_INPUTS-1 DOWNTO 0); -- ! High when trigger active + -- signal logic_reset_i : std_logic := '0'; -- put into ports... + + constant c_NUM_INPUT_TYPES : positive := 3+g_NUM_EDGE_INPUTS; -- Number of different input types (trigger, shutter, edge(0), edge(1)...) + + type t_fifo_io is array(natural range <>) of std_logic_vector(g_EVENT_DATA_WIDTH-1 downto 0); + type t_evttype is array(natural range <>) of std_logic_vector(g_EVTTYPE_WIDTH-1 downto 0); + type t_var is array(natural range <>) of std_logic_vector(g_COUNTER_WIDTH-1 downto 0); + -- Input types: + -- 0 - Trigger + -- 1 - Shutter + -- 2 - Edge signal + -- 3 - Spill + + --! delayed strobes + signal s_event_strobe , s_event_strobe_d1 ,s_event_strobe_d2 ,s_event_strobe_d3 , s_event_strobe_d3_opt : std_logic := '0'; + signal shutter_i_d1, shutter_i_d2, edge_i_d1, edge_i_d2, spill_i_d1, spill_i_d2 : std_logic := '0'; + + signal s_evttype : t_evttype(3+g_NUM_EDGE_INPUTS-1 downto 0) := (others=>(others=>'0')); -- Event type + -- 0000 trigger internal + -- 0001 trigger external + -- 0010 shutter falling + -- 0011 shutter rising + -- 0100 edge falling + -- 0101 edge rising + -- 0111 spill on + -- 0110 spill off + + signal s_var : t_var(3+g_NUM_EDGE_INPUTS-1 downto 0) :=(others=>(others=>'0')); -- 12b different in every input + + signal s_FIFO_wr : std_logic_vector(3+g_NUM_EDGE_INPUTS-1 downto 0) := (others=>'0'); -- FIFO write signal + signal s_FIFO_rd : std_logic_vector(3+g_NUM_EDGE_INPUTS-1 downto 0) := (others=>'0'); -- FIFO read signals + signal s_FIFO_rd_d1 : std_logic_vector(3+g_NUM_EDGE_INPUTS-1 downto 0) := (others=>'0'); -- FIFO read signals delayed + signal s_FIFO_rd_d2 : std_logic_vector(3+g_NUM_EDGE_INPUTS-1 downto 0) := (others=>'0'); -- FIFO read signals delayed + constant c_FIFO_rd_mask : unsigned(3+g_NUM_EDGE_INPUTS-1 downto 0) := (0 =>'1',others=>'0'); --(3+g_NUM_EDGE_INPUTS-1 =>'1',others=>'0'); -- FIFO read mask + signal s_FIFO_empty : std_logic_vector(3+g_NUM_EDGE_INPUTS-1 downto 0) := (others=>'0'); -- FIFO empty signals + signal s_FIFO_full : std_logic_vector(3+g_NUM_EDGE_INPUTS-1 downto 0) := (others=>'0'); -- FIFO full signals + signal s_FIFO_i : t_fifo_io(3+g_NUM_EDGE_INPUTS-1 downto 0) :=(others=>(others=>'0')); -- FIFO input data + signal s_FIFO_o : t_fifo_io(3+g_NUM_EDGE_INPUTS-1 downto 0) :=(others=>(others=>'0')); -- FIFO output data + + + signal s_data_o : std_logic_vector(g_EVENT_DATA_WIDTH-1 DOWNTO 0); -- Multiplexed data from FIFOs + --signal s_data_o_mask : unsigned(3+g_NUM_EDGE_INPUTS-1 downto 0) := (g_NUM_TRIG_INPUTS+g_NUM_EDGE_INPUTS-1 =>'1',others=>'0'); -- Output data mask + + --constant c_COARSE_TIMESTAMP_WIDTH : positive := 48; -- ! Number of bits in 40MHz timestamp + constant c_COARSE_TIMESTAMP_L_WIDTH : positive := 16; -- ! Number of bits in 40MHz timestamp lower bits + constant c_COARSE_TIMESTAMP_H_WIDTH : positive := 32; -- ! Number of bits in 40MHz timestamp higher bits + signal s_coarse_timestamp_l, s_coarse_timestamp_l_d1, s_coarse_timestamp_l_d2, s_coarse_timestamp_l_d3 : unsigned(c_COARSE_TIMESTAMP_L_WIDTH-1 downto 0) := (others => '0'); -- 40MHz timestamp. + signal s_coarse_timestamp_h, s_coarse_timestamp_h_d1, s_coarse_timestamp_h_d2, s_coarse_timestamp_h_d3 : unsigned(c_COARSE_TIMESTAMP_H_WIDTH-1 downto 0) := (others => '0'); -- 40MHz timestamp. + signal s_timestamp_h_en : std_logic:='0'; +-- signal s_event_number : unsigned(g_IPBUS_WIDTH-1 downto 0) := (others => '0'); -- increment after each post-veto trigger. + + signal s_word0 , s_word1, s_word2 : std_logic_vector(g_EVENT_DATA_WIDTH-1 downto 0) := (others => '0'); -- clocked to data output on logic_strobe , s_logic_strobe_d1 , etc. + signal s_word0_d1 , s_word1_d1, s_word2_d1 : std_logic_vector(g_EVENT_DATA_WIDTH-1 downto 0) := (others => '0'); -- clocked to data output on logic_strobe , s_logic_strobe_d1 , etc. + signal s_word0_d2 , s_word1_d2, s_word2_d2 : std_logic_vector(g_EVENT_DATA_WIDTH-1 downto 0) := (others => '0'); -- clocked to data output on logic_strobe , s_logic_strobe_d1 , etc. + signal s_word0_d3 , s_word1_d3, s_word2_d3 : std_logic_vector(g_EVENT_DATA_WIDTH-1 downto 0) := (others => '0'); -- clocked to data output on logic_strobe , s_logic_strobe_d1 , etc. + signal trigger_inputs_fired_d1 : std_logic_vector (g_NUM_TRIG_INPUTS-1 DOWNTO 0) := (others => '0'); + signal trigger_times_d1 : t_triggerTimeArray (g_NUM_TRIG_INPUTS-1 DOWNTO 0) := (others => (others=>'0')); + + signal s_ipbus_ack : std_logic := '0'; -- used to produce a delayed IPBus ack signal + signal s_enable_record, s_enable_record_ipb : std_logic_vector(g_IPBUS_WIDTH-1 downto 0) := (2 downto 0 => '1', others=>'0'); -- Enable data record + signal s_enable_trigger : std_logic := '1'; -- Enable trigger record + signal s_enable_shutter : std_logic := '1'; -- Enable shutter record + signal s_enable_spill : std_logic := '1'; -- Enable spill record + signal s_enable_edges : std_logic_vector(g_NUM_EDGE_INPUTS-1 downto 0) := (others=>'0'); -- Enable edges record + +BEGIN + + ----------------------------------------------------------------------------- + -- IPBus write + ----------------------------------------------------------------------------- + ipbus_write: process (ipbus_clk_i) + begin -- process ipb_clk_i + if rising_edge(ipbus_clk_i) then + + if (ipbus_i.ipb_strobe = '1' and ipbus_i.ipb_write = '1') then + + case ipbus_i.ipb_addr(2 downto 0) is + when "000" => s_enable_record_ipb <= ipbus_i.ipb_wdata ; -- Enable data record + when others => null; + end case; + + end if; + + s_ipbus_ack <= ipbus_i.ipb_strobe and not s_ipbus_ack; + + end if; + end process ipbus_write; + + ipbus_o.ipb_ack <= s_ipbus_ack; + ipbus_o.ipb_err <= '0'; + + + ----------------------------------------------------------------------------- + -- IPBUS read + ----------------------------------------------------------------------------- + with ipbus_i.ipb_addr(2 downto 0) select + ipbus_o.ipb_rdata <= + s_enable_record_ipb when "000", + (others => '1') when others; + + -- Change clock domain + p_signals_clk_domain: process (clk_4x_logic_i ) + begin -- process p_internal_triggers + if rising_edge(clk_4x_logic_i) then + s_enable_record <= s_enable_record_ipb; + + s_enable_trigger <= s_enable_record(0); + s_enable_shutter <= s_enable_record(1); + s_enable_spill <= s_enable_record(2); + s_enable_edges <= s_enable_record(g_NUM_EDGE_INPUTS-1+3 downto 3); + + end if; + end process p_signals_clk_domain; + + + -- purpose: generate delayed strobes and write enable flags to the FIFOs + -- type : combinational + -- inputs : clk_4x_logic_i , s_FIFO_rd + -- outputs: s_event_strobe_d1 , s_event_strobe_d2 , s_event_strobe_d3 , s_FIFO_rd_d , s_**_evttype + p_ff_rst: process (clk_4x_logic_i) + begin -- process p_generate_strobes + if rising_edge(clk_4x_logic_i) then + if rst_fifo_i = '1' then + s_event_strobe_d1 <= '0'; + s_event_strobe_d2 <= '0'; + s_event_strobe_d3 <= '0'; + + shutter_i_d1 <= '0'; + shutter_i_d2 <= '0'; + + spill_i_d1 <= '0'; + spill_i_d2 <= '0'; + + s_FIFO_rd_d1 <= (others=>'0'); + s_FIFO_rd_d2 <= (others=>'0'); + + else + s_event_strobe_d1 <= trigger_i and s_enable_trigger and not s_FIFO_full(0); + s_event_strobe_d2 <= s_event_strobe_d1; + s_event_strobe_d3 <= s_event_strobe_d2; + + shutter_i_d1 <= shutter_i and s_enable_shutter and not s_FIFO_full(1); + shutter_i_d2 <= shutter_i_d1; + + spill_i_d1 <= spill_i and s_enable_spill and not s_FIFO_full(3+g_NUM_EDGE_INPUTS-1); + spill_i_d2 <= spill_i_d1; + + s_FIFO_rd_d1 <= s_FIFO_rd; + s_FIFO_rd_d2 <= s_FIFO_rd_d1; + end if; + end if; + end process p_ff_rst; + + p_ff: process (clk_4x_logic_i) + begin -- process p_generate_strobes + if rising_edge(clk_4x_logic_i) then + for i in 0 to g_NUM_TRIG_INPUTS-1 loop + trigger_inputs_fired_d1(g_NUM_TRIG_INPUTS-1-i) <= trigger_inputs_fired_i(i); + end loop; + trigger_times_d1 <= trigger_times_i; + + s_word0_d1 <= s_word0; + s_word1_d1 <= s_word1; + s_word1_d2 <= s_word1_d1; + s_word2_d1 <= s_word2; + s_word2_d2 <= s_word2_d1; + s_word2_d3 <= s_word2_d2; + + s_coarse_timestamp_l_d1 <= s_coarse_timestamp_l; + s_coarse_timestamp_l_d2 <= s_coarse_timestamp_l_d1; + s_coarse_timestamp_l_d3 <= s_coarse_timestamp_l_d2; + s_coarse_timestamp_h_d1 <= s_coarse_timestamp_h; + s_coarse_timestamp_h_d2 <= s_coarse_timestamp_h_d1; + s_coarse_timestamp_h_d3 <= s_coarse_timestamp_h_d2; + end if; + end process; + + -- If there are more than 4 trigger inputs we need to fill a second word. + -- .. do this by having an optional strobe. + -- If 4 or fewer trigger inputs, just leave s_event_strobe_d3_opt at zero.. + gen_strobe_d3: if (g_NUM_TRIG_INPUTS > 4) generate + s_event_strobe_d3_opt <= s_event_strobe_d3; + end generate; + +------------------------------------------------------------------------------- +-- Trigger event formater +------------------------------------------------------------------------------- + s_evttype(0) <= "0000" when unsigned(trigger_inputs_fired_d1) = 0 and trigger_i = '1' else + "0001"; + s_var(0) <= trigger_inputs_fired_d1 & std_logic_vector(to_unsigned(0,s_var(0)'length-g_NUM_TRIG_INPUTS)); + + --s_word0 <= s_evttype(0) & s_var(0) & std_logic_vector(s_coarse_timestamp_d2); + s_word0 <= s_evttype(0) & s_var(0) & std_logic_vector(s_coarse_timestamp_h_d2) & std_logic_vector(s_coarse_timestamp_l_d2); + + s_word1 <= "000" & trigger_times_d1(0) & "000" & trigger_times_d1(1) & + "000" & trigger_times_d1(2) & "000" & trigger_times_d1(3) & + trigger_cnt_i; + + + -- Different number of trigger inputs require packing into s_word2 in + -- different ways. + -- Do this in a generate since g_NUM_TRIG_INPUTS is static and + -- Questasim doesn't like refering to indices outside declared range. + s_word2 <= (others=>'0'); -- Set all bits to zero + -- then override with the following assignments.... + gen_word2: for v_trigInput in 4 to g_NUM_TRIG_INPUTS-1 generate + s_word2( (((11-v_trigInput)*8)+c_NUM_TIME_BITS-1) downto ((11-v_trigInput)*8) ) <= trigger_times_i(v_trigInput); + end generate; + + + --! Could also output data on trigger_i , but let's use the delayed signals. \n + --! The counters are one cycle delayed from the signal generation + p_fifo_i : process (clk_4x_logic_i) + begin + if rising_edge(clk_4x_logic_i) then + s_FIFO_wr(0) <= s_event_strobe_d1 or s_event_strobe_d2 or s_event_strobe_d3_opt; + + if s_event_strobe_d1 = '1' then + s_FIFO_i(0) <= s_word0_d1; + elsif s_event_strobe_d2 = '1' then + s_FIFO_i(0) <= s_word1_d2; + elsif s_event_strobe_d3_opt = '1' then + s_FIFO_i(0) <= s_word2_d3; + else + s_FIFO_i(0) <= (others=>'0'); + end if; + end if; + end process; + + + s_evttype(1) <= "0011" when shutter_i_d1 = '1' and shutter_i_d2 = '0' else + "0010" when shutter_i_d1 = '0' and shutter_i_d2 = '1' else + (others=>'0'); + s_var(1) <= shutter_cnt_i; + + edge_formatting : for i in 0 to (g_NUM_EDGE_INPUTS-1) generate + s_evttype(i+2) <= "0101" when edge_rise_i(i) = '1' else + "0100" when edge_fall_i(i) = '1' else + (others=>'0'); + s_var(i+2) <= std_logic_vector(to_unsigned(i,4)) & "000" & edge_rise_time_i(i) when edge_rise_i(i) = '1' else + std_logic_vector(to_unsigned(i,4)) & "000" & edge_fall_time_i(i) when edge_fall_i(i) = '1' else + (others=>'0'); + end generate; + + + s_evttype(3+g_NUM_EDGE_INPUTS-1) <= "0111" when spill_i_d1 = '1' and spill_i_d2 = '0' else + "0110" when spill_i_d1 = '0' and spill_i_d2 = '1' else + (others=>'0'); + s_var(3+g_NUM_EDGE_INPUTS-1) <= spill_cnt_i; + + + + p_fifo_wr : process (clk_4x_logic_i) + begin + if rising_edge(clk_4x_logic_i) then + s_FIFO_wr(1) <= shutter_i_d1 xor shutter_i_d2; + s_FIFO_wr(3+g_NUM_EDGE_INPUTS-1) <= spill_i_d1 xor spill_i_d2; + end if; + end process; + + gen_fifo_wr_edge : for i in 0 to (g_NUM_EDGE_INPUTS-1) generate + p_fifo_wr : process (clk_4x_logic_i) + begin + if rising_edge(clk_4x_logic_i) then + s_FIFO_wr(i+2) <= (edge_rise_i(i) or edge_fall_i(i)) and s_enable_edges(i) and not s_FIFO_full(i+2); + end if; + end process; + end generate; + + gen_FIFO_i : for i in 1 to 3+g_NUM_EDGE_INPUTS-1 generate + p_fifo_i_n : process (clk_4x_logic_i) + begin + if rising_edge(clk_4x_logic_i) then + s_FIFO_i(i) <= s_evttype(i) & s_var(i) & std_logic_vector(s_coarse_timestamp_h_d2) & std_logic_vector(s_coarse_timestamp_l_d2); + end if; + end process; + end generate gen_FIFO_i; + + +------------------------------------------------------------------------------- +-- first level of FIFOs +------------------------------------------------------------------------------- + + gen_FIFOs : for i in 0 to 3+g_NUM_EDGE_INPUTS-1 generate + begin + + FIFO_i : entity work.FIFO + PORT MAP ( + clk => clk_4x_logic_i, + rst => rst_fifo_i, --logic_reset_i, + din => s_FIFO_i(i), + wr_en => s_FIFO_wr(i), + rd_en => s_FIFO_rd(i), + dout => s_FIFO_o(i), + full => open, + prog_full => s_FIFO_full(i), + empty => s_FIFO_empty(i) + ); + + end generate gen_FIFOs; + + + +------------------------------------------------------------------------------- +-- Reading FIFOs and multiplexing them to the output +------------------------------------------------------------------------------- + + -- NOTE: Rewrite this + -- Mux to send the read signal to only one FIFO. Priority order: trigger, shutter, edge, spill + -- every trigger word will be read before jump to other data + + p_FIFO_rd: process (rst_fifo_i, s_FIFO_empty, buffer_full_i) + begin -- process p_generate_strobes + s_FIFO_rd <= (others=>'0'); + if buffer_full_i = '0' and rst_fifo_i = '0' then + l_FIFO_rd: for i in 0 to 3+g_NUM_EDGE_INPUTS-1 loop + if s_FIFO_empty(i) = '0' then + s_FIFO_rd <= std_logic_vector(c_FIFO_rd_mask sll i); + exit l_FIFO_rd; + end if; + end loop; + end if; + end process; + + + p_data_o : process (clk_4x_logic_i) + begin + if rising_edge(clk_4x_logic_i) then + if s_FIFO_rd_d1 = "0000001" then + s_data_o <= s_FIFO_o(0); + elsif s_FIFO_rd_d1 = "0000010" then + s_data_o <= s_FIFO_o(1); + elsif s_FIFO_rd_d1 = "0000100" then + s_data_o <= s_FIFO_o(2); + elsif s_FIFO_rd_d1 = "0001000" then + s_data_o <= s_FIFO_o(3); + elsif s_FIFO_rd_d1 = "0010000" then + s_data_o <= s_FIFO_o(4); + elsif s_FIFO_rd_d1 = "0100000" then + s_data_o <= s_FIFO_o(5); + elsif s_FIFO_rd_d1 = "1000000" then + s_data_o <= s_FIFO_o(6); + else + s_data_o <= (others=>'0'); + end if; + end if; + end process; + + + -- Send out the data and the strobe signal + event_data_o <= s_data_o; + data_strobe_o <= '0' when s_FIFO_rd_d2 = std_logic_vector(to_unsigned(0,s_FIFO_rd_d2'length)) else + '1'; + + -- purpose: Keep track of 40MHz timestamp + -- type : sequential + -- inputs : clk_4x_logic_i + -- outputs: + p_timestamp_l: process (clk_4x_logic_i, logic_reset_i) + begin -- process p_timestamp + if rising_edge(clk_4x_logic_i) then -- rising clock edge + if logic_reset_i = '1' then + s_coarse_timestamp_l <= ( others => '0'); + elsif ( logic_strobe_i = '1' ) then + s_coarse_timestamp_l <= s_coarse_timestamp_l + 1; + end if; + end if; + end process p_timestamp_l; + + s_timestamp_h_en <= '1' when s_coarse_timestamp_l = x"ffff" else + '0'; + + p_timestamp_h: process (clk_4x_logic_i, logic_reset_i) + begin -- process p_timestamp + if rising_edge(clk_4x_logic_i) then -- rising clock edge + if logic_reset_i = '1' then + s_coarse_timestamp_h <= ( others => '0'); + elsif ( logic_strobe_i = '1' ) and (s_timestamp_h_en='1') then + s_coarse_timestamp_h <= s_coarse_timestamp_h + 1; + end if; + end if; + end process p_timestamp_h; + + -- Generate data in format decided at DESY. Put out two strobes for the + -- two 64 bit words. + -- get trigger inputs to also generate a global time-stamp ?? + -- add trigger_inputs_active_i array (to indicate which triggers fired) + +END ARCHITECTURE rtl; + diff --git a/firmware/minitlu/hdl/common/handshakes_rtl.vhd b/firmware/minitlu/hdl/common/handshakes_rtl.vhd new file mode 100644 index 00000000..ab893a2a --- /dev/null +++ b/firmware/minitlu/hdl/common/handshakes_rtl.vhd @@ -0,0 +1,248 @@ +--============================================================================= +--! @file handshakes_rtl.vhd +--============================================================================= +-- +------------------------------------------------------------------------------- +-- -- +-- University of Santiago de Compostela, High Energy Physics Group. +-- -- +------------------------------------------------------------------------------- -- +-- VHDL Architecture fmc_mTLU_lib.handshakes.rtl +-- +--! @brief Handshakes between TLU and DUTs. \n +-- +-- +--! @author Alvaro Dosil , alvaro.dosil@usc.es +-- +--! @date 12:08:30 25/06/14 +-- +--! @version v0.1 +-- +--! @details +--! +--! +--! <b>Dependencies:</b>\n +--! +--! <b>References:</b>\n +--! +--! <b>Modified by: </b>\n +--! Author: +------------------------------------------------------------------------------- +--! \n\n<b>Last changes:</b>\n +------------------------------------------------------------------------------- +--! @todo \n +--! <another thing to do> \n +-- +-------------------------------------------------------------------------------- +-- +-- Created using using Mentor Graphics HDL Designer(TM) 2010.3 (Build 21) +-- +LIBRARY ieee; +USE ieee.std_logic_1164.all; +USE ieee.numeric_std.all; + +USE work.ipbus.all; +use work.ipbus_reg_types.all; + +ENTITY handshakes IS + GENERIC( + g_IPBUS_WIDTH : positive := 32 + ); + PORT( + clk_i : IN std_logic; + Trigger_i : IN std_logic; + ipbus_clk_i : IN std_logic; + ipbus_i : IN ipb_wbus; + ipbus_reset_i : IN std_logic; + ipbus_o : OUT ipb_rbus; + logic_reset_i : IN std_logic; + Busy_i : IN std_logic; + AIDAhandshake_o : OUT std_logic; -- running an AIDA handshake or the old EUDET handshake + Trigger_o : OUT std_logic; + rst_or_clk_o : OUT std_logic -- CONT in schematics + ); + +-- Declarations + +END ENTITY handshakes ; + +-- +ARCHITECTURE rtl OF handshakes IS + + signal s_handshakeEnabled : std_logic_vector(g_IPBUS_WIDTH-1 downto 0); + signal s_Shutter, s_T0sync : std_logic; + signal s_Trigger, s_TrigAux : std_logic := '0'; + signal s_Busy, s_Busy_d1, s_Busy_d2, s_Busy_d3 : std_logic; + + signal TPx3_T0syncLen : std_logic_vector(g_IPBUS_WIDTH-1 DOWNTO 0) := x"00000004"; --! T0-sync length + signal TPx3_Start_T0sync : std_logic; --! Flag to start the T0-sync signal + + signal s_Veto : std_logic := '0'; + signal s_WU : std_logic := '0'; + signal s_NMaxPulses : std_logic_vector(g_IPBUS_WIDTH-1 DOWNTO 0) := (others=>'0'); + signal s_SuDTime : std_logic_vector(g_IPBUS_WIDTH-1 DOWNTO 0) := (others=>'0'); + signal s_PulseLen : std_logic_vector(g_IPBUS_WIDTH-1 DOWNTO 0) := x"00000001"; + signal s_IpDTime : std_logic_vector(g_IPBUS_WIDTH-1 DOWNTO 0) := x"00000001"; + signal s_RearmTime : std_logic_vector(g_IPBUS_WIDTH-1 DOWNTO 0) := x"10000000"; + signal s_PulseDelay : std_logic_vector(g_IPBUS_WIDTH-1 DOWNTO 0) := (others=>'0'); + signal s_MaxPulses : std_logic; + signal s_pulse : std_logic; + + constant c_N_CTRL : positive := 13; + constant c_N_STAT : positive := 13; + signal s_status_to_ipbus, s_sync_status_to_ipbus : ipb_reg_v(c_N_STAT-1 downto 0); + signal s_control_from_ipbus,s_sync_control_from_ipbus : ipb_reg_v(c_N_CTRL-1 downto 0); + +BEGIN + + ----------------------------------------------------------------------------- + -- IPBus interface + ----------------------------------------------------------------------------- + ipbus_registers: entity work.ipbus_ctrlreg_v + generic map( + N_CTRL => c_N_CTRL, + N_STAT => c_N_STAT + ) + port map( + clk => ipbus_clk_i, + reset=> '0',--ipbus_reset_i , + ipbus_in=> ipbus_i, + ipbus_out=> ipbus_o, + d=> s_sync_status_to_ipbus, + q=> s_control_from_ipbus, + stb=> open + ); + + -- Synchronize registers from logic clock to ipbus. + sync_status: entity work.synchronizeRegisters + generic map ( + g_NUM_REGISTERS => c_N_STAT ) + port map ( + clk_input_i => clk_i, + data_i => s_status_to_ipbus, + data_o => s_sync_status_to_ipbus, + clk_output_i => ipbus_clk_i); + + -- Synchronize registers from logic clock to ipbus. + sync_ctrl: entity work.synchronizeRegisters + generic map ( + g_NUM_REGISTERS => c_N_CTRL ) + port map ( + clk_input_i => ipbus_clk_i, + data_i => s_control_from_ipbus, + data_o => s_sync_control_from_ipbus, + clk_output_i => clk_i); + + ----------------------------------------------------------------------------- + -- Logic not ready to use + ----------------------------------------------------------------------------- + + --Map the control registers + s_handshakeEnabled <= s_sync_control_from_ipbus(0); + + s_status_to_ipbus(0) <= s_handshakeEnabled; + + + -- No handshake registers + s_NMaxPulses <= s_sync_control_from_ipbus(5); + s_SuDTime <= s_sync_control_from_ipbus(6); + s_PulseLen <= s_sync_control_from_ipbus(7); + s_IpDTime <= s_sync_control_from_ipbus(8); + s_RearmTime <= s_sync_control_from_ipbus(9); + s_PulseDelay <= s_sync_control_from_ipbus(10); + s_Veto <= s_sync_control_from_ipbus(11)(0); + s_WU <= s_sync_control_from_ipbus(11)(1); + + s_status_to_ipbus(5) <= s_NMaxPulses; + s_status_to_ipbus(6) <= s_SuDTime; + s_status_to_ipbus(7) <= s_PulseLen; + s_status_to_ipbus(8) <= s_IpDTime; + s_status_to_ipbus(9) <= s_RearmTime; + s_status_to_ipbus(10) <= s_PulseDelay; + s_status_to_ipbus(11) <= x"0000000"& "00" & s_WU & s_Veto; + s_status_to_ipbus(12) <= x"0000000"& "000" & s_MaxPulses; + + -- TPx3 registers + TPx3_Start_T0sync <= s_sync_control_from_ipbus(1)(0); + TPx3_T0syncLen <= x"00000001" when s_sync_control_from_ipbus(2)<x"000000002" else + s_sync_control_from_ipbus(2); + + s_status_to_ipbus(1) <= x"0000000" & "000" & TPx3_Start_T0sync; + s_status_to_ipbus(2) <= TPx3_T0syncLen; + + + ----------------------------------------------------------------------------- + -- Synchronization - Rewrite!!! + ----------------------------------------------------------------------------- + p_trigger : process(Trigger_i, s_Trigger) + begin + if Trigger_i = '1' then + s_TrigAux <= '1'; + elsif s_Trigger = '1' then + s_TrigAux <= '0'; + end if; + end process p_trigger; + + p_sync: process (clk_i ) + begin -- process p_run_counter + if rising_edge(clk_i) then + s_Trigger <= s_TrigAux; + + s_Busy_d1 <= Busy_i; + s_Busy_d2 <= s_Busy_d1; + s_Busy_d3 <= s_Busy_d2; + s_Busy <= s_Busy_d2; + end if; + end process p_sync; + + ----------------------------------------------------------------------------- + -- I/O + ----------------------------------------------------------------------------- + Trigger_o <= s_Trigger when s_handshakeEnabled(1 downto 0) = "00" and s_Busy = '0' else + s_pulse when s_handshakeEnabled(1 downto 0) = "01" else -- No handshake + s_Shutter when s_handshakeEnabled(1 downto 0) = "10" else -- TPx3 handshake + '0'; + rst_or_clk_o <= s_T0sync when s_handshakeEnabled(1 downto 0) = "10" else + '0'; + + AIDAhandshake_o <= not s_handshakeEnabled(3); -- s_handshakeEnabled = x"00001000" => EUDET handshake. + -- All handshakes with s_handshakeEnabled(3)='0' are AIDA handshakes + + -- No Handshake (GPP) + No_handshake: entity work.GPP + GENERIC MAP( + g_IPBUS_WIDTH => g_IPBUS_WIDTH) + PORT MAP( + clk_i => clk_i, + Enable_i => not (s_Busy or s_Veto), + Reset_i => logic_reset_i, + RstPulsCnt_i => '0', + Trigger_i => s_Trigger, + NMaxPulses_i => s_NMaxPulses, + SuDTime_i => s_SuDTime, + PulsLen_i => s_PulseLen, + IpDTime_i => s_IpDTime, + RearmTime_i => s_RearmTime, + Force_PullDown_i => s_Busy or s_Veto, + WU_i => s_WU, + PulseDelay_i => s_PulseDelay, + event_number_o => open, + MaxPulses_o => s_MaxPulses, + Pulse_o => s_pulse, + Pulse_d_o => open); + + -- TPx3 Handshake + TPx3_logic: entity work.TPx3Logic + PORT MAP( + clk_i => clk_i, + Start_T0sync_i => TPx3_Start_T0sync, + T0syncLen_i => TPx3_T0syncLen, + logic_reset_i => logic_reset_i, + Busy_i => s_Busy, + Veto_i => s_Veto, + Shutter_o => s_Shutter, + T0sync_o => s_T0sync + ); + +END ARCHITECTURE rtl; + diff --git a/firmware/minitlu/hdl/common/i2c_master_rtl.vhd b/firmware/minitlu/hdl/common/i2c_master_rtl.vhd new file mode 100644 index 00000000..6d0bb973 --- /dev/null +++ b/firmware/minitlu/hdl/common/i2c_master_rtl.vhd @@ -0,0 +1,97 @@ +--============================================================================= +--! @file i2c_master_rtl.vhd +--============================================================================= +-- +------------------------------------------------------------------------------- +-- -- +-- University of Bristol, High Energy Physics Group. +-- -- +------------------------------------------------------------------------------- -- +-- VHDL Architecture work.i2c_master.rtl +-- +--! @brief Wraps the Wishbone I2C master in a wrapper where the IPBus signals\n +--! are bundled together in a record\n +-- +--! @author David Cussans , David.Cussans@bristol.ac.uk +-- +--! @date 17:22:12 11/30/12 +-- +--! @version v0.1 +-- +--! @details +--! +--! +--! <b>Dependencies:</b>\n +--! +--! <b>References:</b>\n +--! +--! <b>Modified by:</b>\n +--! Author: +------------------------------------------------------------------------------- +--! \n\n<b>Last changes:</b>\n +------------------------------------------------------------------------------- +--! @todo <next thing to do> \n +--! <another thing to do> \n +-- +-------------------------------------------------------------------------------- +-- +-- Created using using Mentor Graphics HDL Designer(TM) 2010.3 (Build 21) +-- +LIBRARY ieee; +USE ieee.std_logic_1164.all; +USE ieee.numeric_std.all; + +USE work.ipbus.all; + +ENTITY i2c_master IS + PORT( + i2c_scl_i : IN std_logic; + i2c_sda_i : IN std_logic; + ipbus_clk_i : IN std_logic; + ipbus_i : IN ipb_wbus; -- Signals from IPBus core to slave + ipbus_reset_i : IN std_logic; + i2c_scl_enb_o : OUT std_logic; + i2c_sda_enb_o : OUT std_logic; + ipbus_o : OUT ipb_rbus -- signals from slave to IPBus core + ); + +-- Declarations + +END ENTITY i2c_master ; + +-- +ARCHITECTURE rtl OF i2c_master IS + + --signal s_i2c_scl, s_i2c_scl_o, s_i2c_scl_enb, s_i2c_sda, s_i2c_sda_enb : std_logic ; + +BEGIN + + --i2c_scl_b <= s_i2c_scl when (s_i2c_scl_enb = '0') else 'Z'; + --i2c_sda_b <= s_i2c_sda when (s_i2c_sda_enb = '0') else 'Z'; + + i2c_interface: entity work.i2c_master_top port map( + wb_clk_i => ipbus_clk_i, + wb_rst_i => ipbus_reset_i, + arst_i => '1', + wb_adr_i => ipbus_i.ipb_addr(2 downto 0), + wb_dat_i => ipbus_i.ipb_wdata(7 downto 0), + wb_dat_o => ipbus_o.ipb_rdata(7 downto 0), + wb_we_i => ipbus_i.ipb_write, + wb_stb_i => ipbus_i.ipb_strobe, + wb_cyc_i => '1', + wb_ack_o => ipbus_o.ipb_ack, + wb_inta_o => open, + scl_pad_i => i2c_scl_i, + scl_pad_o => open, + scl_padoen_o => i2c_scl_enb_o, + sda_pad_i => i2c_sda_i, + sda_pad_o => open, + sda_padoen_o => i2c_sda_enb_o + ); + + + ipbus_o.ipb_rdata(31 downto 8) <= ( others => '0'); + ipbus_o.ipb_err <= '0'; -- never return an error. + +END ARCHITECTURE rtl; + diff --git a/firmware/minitlu/hdl/common/ipbus_addr_decode.vhd b/firmware/minitlu/hdl/common/ipbus_addr_decode.vhd new file mode 100644 index 00000000..be7540fb --- /dev/null +++ b/firmware/minitlu/hdl/common/ipbus_addr_decode.vhd @@ -0,0 +1,56 @@ +-- Address decode logic for ipbus fabric +-- +-- This file has been AUTOGENERATED from the address table - do not hand edit +-- +-- We assume the synthesis tool is clever enough to recognise exclusive conditions +-- in the if statement. +-- +-- Dave Newbold, February 2011 + +library IEEE; +use IEEE.STD_LOGIC_1164.all; +use ieee.numeric_std.all; +use work.ipbus.all; + +package ipbus_addr_decode is + + function ipbus_addr_sel(signal addr : in std_logic_vector(31 downto 0)) return integer; + +end ipbus_addr_decode; + +package body ipbus_addr_decode is + + function ipbus_addr_sel(signal addr : in std_logic_vector(31 downto 0)) return integer is + variable sel : integer; + begin + if std_match(addr, "-----------------------0001-----") then + sel := 0; -- DUTInterfaces / base 00000020 / mask 0000001f + elsif std_match(addr, "-----------------------0010-----") then + sel := 1; -- triggerInputs / base 00000040 / mask 0000001f + elsif std_match(addr, "-----------------------0011-----") then + sel := 2; -- triggerLogic / base 00000060 / mask 0000001f + elsif std_match(addr, "-----------------------0100-----") then + sel := 3; -- eventBuffer / base 00000080 / mask 0000001f + elsif std_match(addr, "-----------------------0101-----") then + sel := 4; -- logic_clocks / base 000000a0 / mask 0000001f + elsif std_match(addr, "-----------------------0110-----") then + sel := 5; -- i2c_master / base 000000c0 / mask 00000007 + elsif std_match(addr, "-----------------------0111-----") then + sel := 6; -- Trigger_Generator / base 000000e0 / mask 0000001f + elsif std_match(addr, "-----------------------1000-----") then + sel := 7; -- Shutter_Generator / base 00000100 / mask 0000001f + elsif std_match(addr, "-----------------------1001-----") then + sel := 8; -- Spill_Generator / base 00000120 / mask 0000001f + elsif std_match(addr, "-----------------------1010-----") then + sel := 9; -- Event_Formatter / base 00000140 / mask 0000001f + elsif std_match(addr, "-----------------------1011-----") then + sel := 10; -- Handshakes / base 00000160 / mask 0000001f + elsif std_match(addr, "-----------------------0000-----") then + sel := 11; -- version / base 00000000 / mask 00000000 + else + sel := 99; + end if; + return sel; + end ipbus_addr_sel; + +end ipbus_addr_decode; diff --git a/firmware/minitlu/hdl/common/ipbus_ver.vhd b/firmware/minitlu/hdl/common/ipbus_ver.vhd new file mode 100644 index 00000000..bf73c67a --- /dev/null +++ b/firmware/minitlu/hdl/common/ipbus_ver.vhd @@ -0,0 +1,41 @@ +-- Version register, returns a fixed value +-- +-- To be replaced by a more coherent versioning mechanism later +-- +-- Dave Newbold, August 2011 + +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +use work.ipbus.all; + +entity ipbus_ver is + port( + ipbus_in: in ipb_wbus; + ipbus_out: out ipb_rbus + ); + +end ipbus_ver; + +architecture rtl of ipbus_ver is + +begin + + ipbus_out.ipb_rdata <= X"a5ed" & X"1008"; -- Lower 16b are ipbus firmware build ID (temporary arrangement). + ipbus_out.ipb_ack <= ipbus_in.ipb_strobe; + ipbus_out.ipb_err <= '0'; + +end rtl; + +-- Build log +-- +-- build 0x1000 : 22/08/11 : Starting build ID +-- build 0x1001 : 29/08/11 : Version for SPI testing +-- build 0x1002 : 27/09/11 : Bug fixes, new transactor code; v1.3 candidate +-- build 0x1003 : buggy +-- build 0x1004 : 18/10/11 : New mini-t top level, bug fixes, 1.3 codebase +-- build 0x1005 : 20/10/11 : ipbus address config testing in mini-t +-- build 0x1006 : 26/10/11 : trying with jumbo frames +-- build 0x1007 : 27/10/11 : new slaves / address map + rhino frames +-- build 0x1008 : 31/10/11 : rhino frames + multibus demo + + diff --git a/firmware/minitlu/hdl/common/logic_clocks_rtl.vhd b/firmware/minitlu/hdl/common/logic_clocks_rtl.vhd new file mode 100644 index 00000000..ed79c062 --- /dev/null +++ b/firmware/minitlu/hdl/common/logic_clocks_rtl.vhd @@ -0,0 +1,395 @@ +--============================================================================= +--! @file logic_clocks_rtl.vhd +--============================================================================= +-- +------------------------------------------------------------------------------- +-- -- +-- University of Bristol, High Energy Physics Group. +-- -- +------------------------------------------------------------------------------- -- +-- VHDL Architecture fmc_mTLU_lib.logic_clocks.rtl +-- +--! @brief Generates 160MHz , 640MHz clocks from an incoming 40MHz clock. \n +--! Can switch between clock generated from on-board Xtal ( clk_logic_xtal ) and external clock\n +--! Can also output clock to external clock pins. +--! +--! @author David Cussans , David.Cussans@bristol.ac.uk +-- +--! @date 14:20:26 11/14/12 +-- +--! @version v0.1 +-- +--! @details +--! Address map: +--! 0x00000000 - control/status register: +--! bit-0 - PLL locked ( 1 = locked ) +--! bit-1 - buff-PLL locked ( 1 = locked ) +--! bit-2 - use xtal for logic ( 1 = xtal , 0= external) +--! bit-3 - clock connector is an input ( 1=input , 0 = output) +--! 0x00000001 - reset logic. Write to bit-zero to send reset. +--! +--! +--! <b>Dependencies:</b>\n +--! +--! <b>References:</b>\n +--! +--! <b>Modified by:</b>\n +--! Author: +------------------------------------------------------------------------------- +--! \n\n<b>Last changes:</b>\n +------------------------------------------------------------------------------- +--! @todo <next thing to do> \n +--! <another thing to do> \n +-- +-------------------------------------------------------------------------------- +-- +-- Created using using Mentor Graphics HDL Designer(TM) 2010.3 (Build 21) +-- +-- Based on output of Xilinx Coregen and Alvro Dosil TLU code. +------------------------------------------------------------------------------ +-- "Output Output Phase Duty Pk-to-Pk Phase" +-- "Clock Freq (MHz) (degrees) Cycle (%) Jitter (ps) Error (ps)" +------------------------------------------------------------------------------ +-- CLK_OUT1___640.000______0.000______50.0______175.916____213.982 +-- CLK_OUT2___160.000______0.000______50.0______223.480____213.982 +-- CLK_OUT3____40.000______0.000______50.0______306.416____213.982 +-- +------------------------------------------------------------------------------ +-- "Input Clock Freq (MHz) Input Jitter (UI)" +------------------------------------------------------------------------------ +-- __primary__________40.000____________0.010 + +LIBRARY ieee; +USE ieee.std_logic_1164.all; +USE ieee.numeric_std.all; + +USE work.ipbus.all; + +library unisim; +use unisim.vcomponents.all; + +ENTITY logic_clocks IS + PORT( + ipbus_clk_i : IN std_logic; + ipbus_i : IN ipb_wbus; + ipbus_reset_i : IN std_logic; + Reset_i : IN std_logic; + clk_logic_xtal_i : IN std_logic; -- ! 40MHz clock from onboard xtal + clk_16x_logic_o : OUT std_logic; -- 640MHz clock + clk_4x_logic_o : OUT std_logic; -- 160MHz clock + ipbus_o : OUT ipb_rbus; + strobe_16x_logic_o : OUT std_logic; -- strobes once every 4 cycles of clk_16x + strobe_4x_logic_o : OUT std_logic; -- one pulse every 4 cycles of clk_4x + extclk_p_b : INOUT std_logic; -- either external clock in, or a clock being driven out + extclk_n_b : INOUT std_logic; + DUT_clk_o : OUT std_logic; + logic_clocks_locked_o : OUT std_logic; + logic_reset_o : OUT std_logic -- Goes high to reset counters etc. Sync with clk_4x_logic + ); + +-- Declarations + +END ENTITY logic_clocks ; + +-- +ARCHITECTURE rtl OF logic_clocks IS + + signal s_clk40 , s_clk40_internal : std_logic; + signal s_clk160 ,s_clk160_internal : std_logic; + signal s_clk640 , s_clk640_internal : std_logic; + signal s_clk40_out : std_logic; -- Clock generated by DDR register to feed out of chip. +-- signal s_clk40_copy : std_logic; -- Clock generated by DDR register to feed out of chip. + + -- Eventually connect up clock control & status lines to IPBus + --signal s_extclk_is_input : std_logic := '0'; +-- signal s_extclk_is_input_buf : std_logic := '1'; + signal s_clk_is_xtal, s_clk_is_ext_buf : std_logic := '1'; +-- signal s_logic_clk_rst : std_logic := '0'; + signal s_locked_pll, s_locked_bufpll : std_logic; + + signal s_clk : std_logic; + signal s_DUT_Clk, s_DUT_Clk_o, s_DUT_ClkG : std_logic; + signal s_extclk, s_extclkG : std_logic; + -- signal s_clk_d1 , s_strobe_4x_p1 , s_strobe_4x_logic : std_logic; + signal s_clkfbout_buf , s_clkfbout : std_logic; + + signal s_strobe_generator : std_logic_vector(3 downto 0) := "1000"; -- ! Store state of ring buffer to generate strobe + signal s_logic_clk_generator : std_logic_vector(3 downto 0) := "1100"; --! Stores state of 40MHz "clock" + signal s_strobe_fb : std_logic := '0'; + + signal s_logic_reset_ipb, s_logic_reset_ipb_d1 : std_logic := '0'; + -- ! Reset signal in IPBus clock domain + signal s_logic_reset , s_logic_reset_d1 , s_logic_reset_d2 , s_logic_reset_d3 : std_logic := '0'; + -- ! reset signal clocked onto logic-clock domain. + signal s_ipbus_ack : std_logic := '0'; + + signal s_reset_pll : std_logic := '0'; + + --signal s_Reset : std_logic := '0'; + -- ! Global Reset signal + + signal s_extclk_internal : std_logic := '0'; + + signal s_clock_status_ipb : std_logic_vector( ipbus_o.ipb_rdata'range ); --! Hold status of clocks + +BEGIN + + ----------------------------------------------------------------------------- + -- IPBus write + ----------------------------------------------------------------------------- + ipbus_write: process (ipbus_clk_i) + begin -- process ipb_clk_i + if rising_edge(ipbus_clk_i) then + + s_logic_reset_ipb <= '0'; + + if (ipbus_i.ipb_strobe = '1' and ipbus_i.ipb_write = '1') then + + case ipbus_i.ipb_addr(1 downto 0) is + when "00" => + s_clk_is_xtal <= ipbus_i.ipb_wdata(2) ; -- select clock source + + when "01" => + s_logic_reset_ipb <= ipbus_i.ipb_wdata(0) ; -- write to reset + when others => null; + end case; + + end if; + + -- register reset signal to aid timing. + s_logic_reset_ipb_d1 <= s_logic_reset_ipb; + + s_ipbus_ack <= ipbus_i.ipb_strobe and not s_ipbus_ack; + + -- register the clock status signals onto IPBus domain. + --s_clock_status_ipb <= x"0000000" & s_extclk_is_input & s_clk_is_xtal & s_locked_bufpll & s_locked_pll; + s_clock_status_ipb <= x"0000000" & '0' & s_clk_is_xtal & s_locked_bufpll & s_locked_pll; + end if; + end process ipbus_write; + + ipbus_o.ipb_ack <= s_ipbus_ack; + ipbus_o.ipb_err <= '0'; + + ----------------------------------------------------------------------------- + -- IPBUS read + ----------------------------------------------------------------------------- + with ipbus_i.ipb_addr(1 downto 0) select + ipbus_o.ipb_rdata <= + s_clock_status_ipb when "00", + (others => '1') when others; + + + ----------------------------------------------------------------------------- + -- Generate reset signal on logic-clock domain + -- This relies on the IPBus clock being much slower than the 4x logic clock. + ----------------------------------------------------------------------------- + p_reset: process (s_clk160_internal) + begin -- process p_reset + if rising_edge(s_clk160_internal) then + s_logic_reset_d1 <= s_logic_reset_ipb_d1; + s_logic_reset_d2 <= s_logic_reset_d1; + s_logic_reset_d3 <= s_logic_reset_d2; + s_logic_reset <= s_logic_reset_d2 and ( not s_logic_reset_d3); + end if; + end process p_reset; + + logic_reset_o <= s_logic_reset; + logic_clocks_locked_o <= s_locked_bufpll and s_locked_pll; + + + ext_clk_obuf : IOBUFDS + generic map ( + IOSTANDARD => "BLVDS_25") + port map ( + O => s_extclk, -- Buffer output + IO => extclk_p_b, -- Diff_p inout (connect directly to top-level port) + IOB => extclk_n_b, -- Diff_n inout (connect directly to top-level port) + I => s_DUT_Clk_o, -- Buffer input + T => s_clk_is_ext_buf -- 3-state enable input, high=input, low=output + ); + + + ddr_for_extclk_output : ODDR2 + generic map( + DDR_ALIGNMENT => "NONE", -- Sets output alignment to "NONE", "C0", "C1" + INIT => '0', -- Sets initial state of the Q output to '0' or '1' + SRTYPE => "SYNC") -- Specifies "SYNC" or "ASYNC" set/reset + port map ( + Q => s_DUT_Clk_o, -- 1-bit output data + C0 => clk_logic_xtal_i, -- 1-bit clock input + C1 => not clk_logic_xtal_i, -- 1-bit clock input + CE => '1', -- 1-bit clock enable input + D0 => '1', -- 1-bit data input (associated with C0) + D1 => '0', -- 1-bit data input (associated with C1) + R => '0', -- 1-bit reset input + S => '0' -- 1-bit set input + ); + + --When an ODDR2 primitive is used in conjunction with a 3-state output, the T control pin must + --also use an ODDR2 primitive configured in the same mode as the ODDR2 primitive used for data + --output. + ddr_for_40MHz_tristate : ODDR2 + generic map( + DDR_ALIGNMENT => "NONE", -- Sets output alignment to "NONE", "C0", "C1" + INIT => '0', -- Sets initial state of the Q output to '0' or '1' + SRTYPE => "SYNC") -- Specifies "SYNC" or "ASYNC" set/reset + port map ( + Q => s_clk_is_ext_buf, -- 1-bit output data + C0 => clk_logic_xtal_i, -- 1-bit clock input + C1 => not clk_logic_xtal_i, --not s_clk160_internal, -- 1-bit clock input + CE => '1', -- 1-bit clock enable input + D0 => not s_clk_is_xtal, -- 1-bit data input (associated with C0) + D1 => '0', --not s_clk_is_xtal, -- 1-bit data input (associated with C1) + R => '0', -- 1-bit reset input + S => '0' -- 1-bit set input + ); + + + --! Clock selection + clock_mux : BUFGMUX + generic map ( + CLK_SEL_TYPE => "SYNC" -- Glitchles ("SYNC") or fast ("ASYNC") clock switch-over + ) + port map ( + O => s_DUT_Clk, -- 1-bit output: Clock buffer output + I0 => s_extclkG, -- 1-bit input: Clock buffer input (S=0) + I1 => clk_logic_xtal_i, -- 1-bit input: Clock buffer input (S=1) + S => s_clk_is_xtal -- 1-bit input: Clock buffer select + ); + + extclk_buf : BUFG + port map( + O => s_extclkG, + I => s_extclk); + +-- IBUFG_inst : IBUFG +-- generic map ( +-- IBUF_LOW_PWR => TRUE, -- Low power (TRUE) vs. performance (FALSE) setting for referenced I/O standards +-- IOSTANDARD => "DEFAULT") +-- port map ( +-- O => s_Reset, -- Clock buffer output +-- I => Reset_i -- Clock buffer input (connect directly to top-level port) +-- ); + + + --! Clocking primitive + ------------------------------------- + --! Instantiation of the PLL primitive + pll_base_inst : PLL_BASE + generic map + (BANDWIDTH => "OPTIMIZED", + --CLK_FEEDBACK => "CLKOUT0", --"CLKFBOUT", + CLK_FEEDBACK => "CLKFBOUT", + COMPENSATION => "SYSTEM_SYNCHRONOUS", + DIVCLK_DIVIDE => 1, + CLKFBOUT_MULT => 16, + CLKFBOUT_PHASE => 0.000, + CLKOUT0_DIVIDE => 1, -- 1-->2 move from 640 to 320 + CLKOUT0_PHASE => 0.000, + CLKOUT0_DUTY_CYCLE => 0.500, + CLKOUT1_DIVIDE => 4, -- 4-->8 move from 160 to 80 + CLKOUT1_PHASE => 0.000, + CLKOUT1_DUTY_CYCLE => 0.500, + CLKOUT2_DIVIDE => 16, -- 16--> 32 move from 40 to 20 + CLKOUT2_PHASE => 0.000, + CLKOUT2_DUTY_CYCLE => 0.500, + CLKIN_PERIOD => 25.000, + REF_JITTER => 0.010) + port map( + -- Output clocks + CLKFBOUT => s_clkfbout, + CLKOUT0 => s_clk640, + CLKOUT1 => s_clk160, + CLKOUT2 => s_clk40, + CLKOUT3 => open, + CLKOUT4 => open, + CLKOUT5 => open, + -- Status and control signals + LOCKED => s_locked_pll, +-- RST => s_logic_clk_rst, + RST => s_reset_pll, + -- Input clock control +-- CLKFBIN => s_clkfbout_buf, + CLKFBIN => s_clkfbout, + CLKIN => clk_logic_xtal_i); + + s_reset_pll <= Reset_i or s_logic_reset; + + -- Buffer the 16x clock and generate the ISERDES strobe signal + BUFPLL_inst : BUFPLL + generic map ( + DIVIDE => 4) + port map ( + IOCLK => s_clk640_internal, -- 1-bit output: Output I/O clock + LOCK => s_locked_bufpll, -- 1-bit output: Synchronized LOCK output + SERDESSTROBE => strobe_16x_logic_O, -- 1-bit output: Output SERDES strobe (connect to ISERDES2/OSERDES2) + GCLK => s_clk160_internal, -- 1-bit input: BUFG clock input + LOCKED => s_locked_pll, -- 1-bit input: LOCKED input from PLL + PLLIN => s_clk640 -- 1-bit input: Clock input from PLL + ); + + clk_16x_logic_o <= s_clk640_internal; + DUT_clk_o <= s_DUT_clk; + + + + -- Generate a copy of the + -- purpose: generates a strobe in time with rising edge of s_clk + -- type : combinational + -- inputs : s_clk160 , s_clk + -- outputs: strobe_4x_logic_o + -- Can't use a clock signal as a combinatorial signal. Hence the baroque + -- method of generating a strobe. Add a mechanism to restart if the '1' gets + -- lost .... + generate_4x_strobe: process (s_clk160)-- , s_clk40_out) + begin -- process generate_4x_strobe + if rising_edge(s_clk160) then + if s_logic_reset = '1' then + s_strobe_generator <= "1000"; + s_logic_clk_generator <= "1100"; + else + s_strobe_generator <= s_strobe_generator(2 downto 0) & s_strobe_generator(3); + s_logic_clk_generator <= s_logic_clk_generator(2 downto 0) & s_logic_clk_generator(3); + end if; + + end if; + end process generate_4x_strobe; + + strobe_4x_logic_o <= s_strobe_generator(3); + s_clk40_out <= s_logic_clk_generator(3); + + -- Try fbout out again.... + -- buffer feedback clock + ------------------------------------- + --clkf_buf : BUFG + --port map( + -- O => s_clkfbout_buf, + -- I => s_clkfbout); + --- + +-- clkf_buf : BUFIO2FB +-- port map ( +-- O => s_clkfbout_buf, -- 1-bit output: Output feedback clock (connect to feedback input of DCM/PLL) +-- I => s_clk640_internal -- 1-bit input: Feedback clock input (connect to input port) +-- ); + + -- buffer 160MHz (4x) clock + -------------------------------------- + clk160_o_buf : BUFG + port map( + O => s_clk160_internal, + I => s_clk160); + + clk_4x_logic_o <= s_clk160_internal; + +-- -- buffer 40MHz (1x) clock +-- -------------------------------------- +-- clk40_o_buf : BUFG +-- port map( +-- O => s_clk40_internal, +-- I => s_clk40); + +-- clk_logic_o <= s_clk40_out; + +END ARCHITECTURE rtl; + diff --git a/firmware/minitlu/hdl/common/pulseClockDomainCrossing_rtl.vhd b/firmware/minitlu/hdl/common/pulseClockDomainCrossing_rtl.vhd new file mode 100644 index 00000000..663cac3b --- /dev/null +++ b/firmware/minitlu/hdl/common/pulseClockDomainCrossing_rtl.vhd @@ -0,0 +1,100 @@ +--============================================================================= +--! @file pulseClockDomainCrossing_rtl.vhd +--============================================================================= +-- +------------------------------------------------------------------------------- +-- -- +-- University of Bristol, High Energy Physics Group. +-- -- +------------------------------------------------------------------------------- -- +-- VHDL Architecture worklib.pulseClockDomainCrossing.rtl +-- +--! @brief Takes a pulse synchronized with one clock and produces a +--! pulse synchronized to another clock. +-- +--! @author David Cussans , David.Cussans@bristol.ac.uk +-- +--! @date September/2012 +-- +--! @version v0.1 +-- +--! @details A "ring" of D-type flip-flops is used to transfer a strobe +--! from the input clock domain to the output clock domain and then back again. +--! The time taken to transit from input to output is approximately +--! two clock cycles of clock_output_i . +--! After an additional two cycles of clk_input_i another pulse can be sent +--! +--! +--! <b>Dependencies:</b>\n +--! +--! <b>References:</b>\n +--! +--! <b>Modified by:</b>\n +--! Author: +------------------------------------------------------------------------------- +--! \n\n<b>Last changes:</b>\n +------------------------------------------------------------------------------- +--! @todo <next thing to do> \n +--! <another thing to do> \n +-- +-------------------------------------------------------------------------------- + +library ieee; +use ieee.std_logic_1164.all; + +entity pulseClockDomainCrossing is + port ( + clk_input_i : in std_logic; --! clock for input + pulse_i : in std_logic; --! input pulse. Active high + clk_output_i: in std_logic; --! clock for output + pulse_o : out std_logic --! Single cycle pulse synchronized to clock_output_i + ); + +end pulseClockDomainCrossing; + +architecture rtl of pulseClockDomainCrossing is + + signal s_pulse_out , s_pulse_out_d1 , s_pulse_out_d2 , s_pulse_out_d3 , s_pulse_out_d4 , s_pulse_back_d1 , s_pulse_back_d2: std_logic := '0'; + +begin -- rtl + + -- purpose: registers and flip-flop on clk_input_i + p_input_clock_logic: process (clk_input_i) + begin + if rising_edge(clk_input_i) then + + -- Register signals coming from output clock domain back to the + -- input clock domain + s_pulse_back_d1 <= s_pulse_out_d2; + s_pulse_back_d2 <= s_pulse_back_d1; + + -- JK flip-flop + if (s_pulse_back_d2 = '1') then + s_pulse_out <= '0'; + elsif (pulse_i = '1') then + s_pulse_out <= '1'; + end if; + + end if; + end process p_input_clock_logic; + + -- purpose: registers and flip-flop on clk_output_o + p_output_clock_logic: process (clk_output_i) + begin + if rising_edge(clk_output_i) then + + -- Register signal on input clock domain onto output clock domain + s_pulse_out_d1 <= s_pulse_out; + s_pulse_out_d2 <= s_pulse_out_d1; + + s_pulse_out_d3 <= s_pulse_out_d2; + s_pulse_out_d4 <= s_pulse_out_d3; + + -- Generate single clock-cycle pulse on pulse_o + pulse_o <= s_pulse_out_d3 and ( not s_pulse_out_d4 ); + + end if; + end process p_output_clock_logic; + + +end rtl; diff --git a/firmware/minitlu/hdl/common/registerCounter_rtl.vhd b/firmware/minitlu/hdl/common/registerCounter_rtl.vhd new file mode 100644 index 00000000..de5587cd --- /dev/null +++ b/firmware/minitlu/hdl/common/registerCounter_rtl.vhd @@ -0,0 +1,113 @@ +--============================================================================= +--! @file registerCounter_rtl.vhd +--============================================================================= +-- +------------------------------------------------------------------------------- +-- -- +-- University of Bristol, High Energy Physics Group. +-- -- +------------------------------------------------------------------------------- -- +-- VHDL Architecture worklib.registerCounter.rtl +-- +--! @brief Regularly transfers the input to the output.\n +--! One clock for input , one clock for output\n +--! Can't just put entire bus through a couple of register stages,\n +--! Since this will just swap meta-stability issues for race issues. +-- +--! @author David Cussans , David.Cussans@bristol.ac.uk +-- +--! @date 24/Nov/12 +-- +--! @version v0.1 +-- +--! @details A six stage "ring oscillator" is used to generate two strobes. +--! One reads data into a register. The other registers the data to the output +--! Three stages are clocked on clk_write_i , three stages are clocked on clk_read_i +--! We could use gray-scale and put through registers, but this method +--! should work well enough at the expense of latency.\n +--! \n +--! The time taken for an edge to travel round the complete loop is +--! 2 cycles of clk_read_i and 2 cycles of clk_write_i plus two intervals +--! that depend on the relative phase of clk_read_i and clk_write_i +--! +--! +--! +--! <b>Dependencies:</b>\n +--! +--! <b>References:</b>\n +--! +--! <b>Modified by:</b>\n +--! Author: +--! David Cussans, 26/2/14 - Added registers to output to aid timing closure. +------------------------------------------------------------------------------- +--! \n\n<b>Last changes:</b>\n +------------------------------------------------------------------------------- +--! @todo <next thing to do> \n +--! <another thing to do> \n +-- +-------------------------------------------------------------------------------- + +library ieee; +use ieee.std_logic_1164.all; + +entity registerCounter is + + generic ( + g_DATA_WIDTH : positive := 15); -- ! Width of counter + + port ( + clk_input_i : in std_logic; -- ! clock for input + data_i : in std_logic_vector(g_DATA_WIDTH-1 downto 0); -- ! data to transfer to output + data_o : out std_logic_vector(g_DATA_WIDTH-1 downto 0); -- ! Data now in clk_read_i domain + clk_output_i : in std_logic); -- ! clock for output + +end registerCounter; + +architecture rtl of registerCounter is + signal s_ring_d0 , s_ring_d1 , s_ring_d2 , s_ring_d3 , s_ring_d4, s_ring_d5: std_logic := '0'; -- stages in "ring oscillator" used to generate strobes + signal s_registered_data : std_logic_vector(data_i'range) := ( others => '0'); -- ! Register to store data between clock domains + + signal s_read_strobe , s_write_strobe : std_logic := '0'; -- ! Strobes high to register data from input and to output + +begin -- rtl + + -- purpose: part of "ring oscillator" transfering strobe between clock domains + -- type : combinational + -- inputs : clk_read_i + -- outputs: + p_gen_capture_strobe: process (clk_input_i) + begin -- process p_gen_capture_strobe + if rising_edge(clk_input_i) then + s_ring_d0 <= not s_ring_d5; + s_ring_d1 <= s_ring_d0; + s_ring_d2 <= s_ring_d1; + + if s_read_strobe = '1' then + s_registered_data <= data_i; + end if; + end if; + end process p_gen_capture_strobe; + + s_read_strobe <= s_ring_d1 xor s_ring_d2; --! Generate a strobe with + --width one clk_read_i + + -- purpose: part of "ring oscillator" transfering strobe between clock domains + -- type : combinational + -- inputs : clk_output_i + -- outputs: + p_gen_output_strobe: process (clk_output_i) + begin -- process p_gen_output_strobe + if rising_edge(clk_output_i) then + s_ring_d3 <= s_ring_d2; + s_ring_d4 <= s_ring_d3; + s_ring_d5 <= s_ring_d4; + + if s_write_strobe = '1' then + data_o <= s_registered_data; + end if; + end if; + end process p_gen_output_strobe; + + s_write_strobe <= s_ring_d4 xor s_ring_d5; --! Generate a strobe + -- +end rtl; diff --git a/firmware/minitlu/hdl/common/serdes_1_to_n_SDR.vhd b/firmware/minitlu/hdl/common/serdes_1_to_n_SDR.vhd new file mode 100644 index 00000000..a0a119e3 --- /dev/null +++ b/firmware/minitlu/hdl/common/serdes_1_to_n_SDR.vhd @@ -0,0 +1,235 @@ +------------------------------------------------------------------------------/ +-- Copyright (c) 2009 Xilinx, Inc. +-- This design is confidential and proprietary of Xilinx, All Rights Reserved. +------------------------------------------------------------------------------/ +-- ____ ____ +-- / /\/ / +-- /___/ \ / Vendor: Xilinx +-- \ \ \/ Version: 1.0 +-- \ \ Filename: top_nto1_ddr_diff_rx.vhd +-- / / Date Last Modified: November 5 2009 +-- /___/ /\ Date Created: June 1 2009 +-- \ \ / \ +-- \___\/\___\ +-- +--Device: Spartan 6 +--Purpose: Example differential input receiver for DDR clock and data using 2 x BUFIO2 +-- Serdes factor and number of data lines are set by constants in the code +--Reference: +-- +--Revision History: +-- Rev 1.0 - First created (nicks) +-- +------------------------------------------------------------------------------/ +-- +-- Disclaimer: +-- +-- This disclaimer is not a license and does not grant any rights to the materials +-- distributed herewith. Except as otherwise provided in a valid license issued to you +-- by Xilinx, and to the maximum extent permitted by applicable law: +-- (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND WITH ALL FAULTS, +-- AND XILINX HEREBY DISCLAIMS ALL WARRANTIES AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, +-- INCLUDING BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-INFRINGEMENT, OR +-- FITNESS FOR ANY PARTICULAR PURPOSE; and (2) Xilinx shall not be liable (whether in contract +-- or tort, including negligence, or under any other theory of liability) for any loss or damage +-- of any kind or nature related to, arising under or in connection with these materials, +-- including for any direct, or any indirect, special, incidental, or consequential loss +-- or damage (including loss of data, profits, goodwill, or any type of loss or damage suffered +-- as a result of any action brought by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the possibility of the same. +-- +-- Critical Applications: +-- +-- Xilinx products are not designed or intended to be fail-safe, or for use in any application +-- requiring fail-safe performance, such as life-support or safety devices or systems, +-- Class III medical devices, nuclear facilities, applications related to the deployment of airbags, +-- or any other applications that could lead to death, personal injury, or severe property or +-- environmental damage (individually and collectively, "Critical Applications"). Customer assumes +-- the sole risk and liability of any use of Xilinx products in Critical Applications, subject only +-- to applicable laws and signalulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS PART OF THIS FILE AT ALL TIMES. +-- +------------------------------------------------------------------------------ +------------------------------------------------------- +--! @file +--! @brief Serdes 1 to n SDR +--! @author Alvaro Dosil +------------------------------------------------------- + +library IEEE; +use IEEE.std_logic_1164.all; +use IEEE.std_logic_unsigned.all ; + +library unisim ; +use unisim.vcomponents.all ; + +entity serdes_1_to_n_SDR is +generic ( g_S : integer := 4); --! Parameter to set the serdes factor 1..8 +port( clk_i : in std_logic; --! Fast clock to sample data (640MHz) + hclk_i : in std_logic; --! A quarter frequency clock (160MHz) + reset_i : in std_logic; --! reset signal + Data_i : in std_logic; --! 1-Bit Input data + strobe_i : in std_logic; --! Iserdes strobe_i + Data_o : out std_logic_vector(2*g_S-1 downto 0) --! data output + ); + +end serdes_1_to_n_SDR; + + +architecture Behavioral of serdes_1_to_n_SDR is + +signal s_Data_i_d_m : std_logic; -- Data_i delayed master +signal s_Data_i_d_2m : std_logic; -- Data_i delayed master second signal +signal s_Data_i_d_s : std_logic; -- Data_i delayed slave +signal s_Data_i_d_2s : std_logic; -- Data_i delayed slave second signal +signal s_Data_o : std_logic_vector(2*g_S-1 downto 0); + +--signal s_clk_b : std_logic; +--signal s_ISERDES_STROBE : std_logic; + +begin + +---- Generate the ISERDES strobe signal +-- +-- BUFPLL_inst : BUFPLL +-- generic map ( +-- DIVIDE => 4) +-- port map ( +-- IOCLK => s_clk_b, -- 1-bit output: Output I/O clock +-- LOCK => open, -- 1-bit output: Synchronized LOCK output +-- SERDESSTROBE => s_ISERDES_STROBE, -- 1-bit output: Output SERDES strobe (connect to ISERDES2/OSERDES2) +-- GCLK => hclk_i, -- 1-bit input: BUFG clock input +-- LOCKED => locked_pll_i, -- 1-bit input: LOCKED input from PLL +-- PLLIN => clk_i -- 1-bit input: Clock input from PLL +-- ); + + + IODELAY2_M : IODELAY2 + generic map ( + COUNTER_WRAPAROUND => "WRAPAROUND", -- "STAY_AT_LIMIT" or "WRAPAROUND" + DATA_RATE => "SDR", -- "SDR" or "DDR" + DELAY_SRC => "IDATAIN", -- "IO", "ODATAIN" or "IDATAIN" + IDELAY_MODE => "NORMAL", -- "NORMAL" or "PCI" + IDELAY_TYPE => "FIXED", -- "FIXED", "DEFAULT", "VARIABLE_FROM_ZERO", "VARIABLE_FROM_HALF_MAX" + -- or "DIFF_PHASE_DETECTOR" + IDELAY_VALUE => 0, -- Amount of taps for fixed input delay (0-255) + IDELAY2_VALUE => 0, -- Delay value when IDELAY_MODE="PCI" (0-255) + ODELAY_VALUE => 0, -- Amount of taps fixed output delay (0-255) + SERDES_MODE => "NONE" -- "NONE", "MASTER" or "SLAVE" +-- SIM_TAPDELAY_VALUE=> 43 -- Per tap delay used for simulation in ps + ) + port map ( + BUSY => open, -- 1-bit output: Busy output after CAL + DATAOUT => s_Data_i_d_m, -- 1-bit output: Delayed data output to ISERDES/input register + DATAOUT2 => s_Data_i_d_2m, -- 1-bit output: Delayed data output to general FPGA fabric + DOUT => open, -- 1-bit output: Delayed data output + TOUT => open, -- 1-bit output: Delayed 3-state output + CAL => '0', -- 1-bit input: Initiate calibration input + CE => '0', -- 1-bit input: Enable INC input + CLK => '0', -- 1-bit input: Clock input + IDATAIN => Data_i, -- 1-bit input: Data input (connect to top-level port or I/O buffer) + INC => '0', -- 1-bit input: Increment / decrement input + IOCLK0 => '0', -- 1-bit input: Input from the I/O clock network + IOCLK1 => '0', -- 1-bit input: Input from the I/O clock network + ODATAIN => '0', -- 1-bit input: Output data input from output register or OSERDES2. + RST => reset_i, -- 1-bit input: reset_i to zero or 1/2 of total delay period + T => '0' -- 1-bit input: 3-state input signal + ); + + + IODELAY2_S : IODELAY2 + generic map ( + COUNTER_WRAPAROUND => "WRAPAROUND", -- "STAY_AT_LIMIT" or "WRAPAROUND" + DATA_RATE => "SDR", -- "SDR" or "DDR" + DELAY_SRC => "IDATAIN", -- "IO", "ODATAIN" or "IDATAIN" + IDELAY_MODE => "NORMAL", -- "NORMAL" or "PCI" + IDELAY_TYPE => "FIXED", -- "FIXED", "DEFAULT", "VARIABLE_FROM_ZERO", "VARIABLE_FROM_HALF_MAX" + -- or "DIFF_PHASE_DETECTOR" + IDELAY_VALUE => 10,--29, -- Amount of taps for fixed input delay (0-255) 10->0.75nS, 11->0.825nS + IDELAY2_VALUE => 0, -- Delay value when IDELAY_MODE="PCI" (0-255) + ODELAY_VALUE => 0, -- Amount of taps fixed output delay (0-255) + SERDES_MODE => "NONE" -- "NONE", "MASTER" or "SLAVE" + --SIM_TAPDELAY_VALUE => 43 -- Per tap delay used for simulation in ps + ) + port map ( + BUSY => open, -- 1-bit output: Busy output after CAL + DATAOUT => s_Data_i_d_s, -- 1-bit output: Delayed data output to ISERDES/input register + DATAOUT2 => s_Data_i_d_2s, -- 1-bit output: Delayed data output to general FPGA fabric + DOUT => open, -- 1-bit output: Delayed data output + TOUT => open, -- 1-bit output: Delayed 3-state output + CAL => '0', -- 1-bit input: Initiate calibration input + CE => '0', -- 1-bit input: Enable INC input + CLK => '0', -- 1-bit input: Clock input + IDATAIN => Data_i, -- 1-bit input: Data input (connect to top-level port or I/O buffer) + INC => '0', -- 1-bit input: Increment / decrement input + IOCLK0 => '0', -- 1-bit input: Input from the I/O clock network + IOCLK1 => '0', -- 1-bit input: Input from the I/O clock network + ODATAIN => '0', -- 1-bit input: Output data input from output register or OSERDES2. + RST => reset_i, -- 1-bit input: reset_i to zero or 1/2 of total delay period + T => '0' -- 1-bit input: 3-state input signal + ); + + + ISERDES2_M : ISERDES2 + generic map ( + BITSLIP_ENABLE => FALSE, -- Enable Bitslip Functionality (TRUE/FALSE) + DATA_RATE => "SDR", -- Data-rate ("SDR" or "DDR") + DATA_WIDTH => g_S, -- Parallel data width selection (2-8) + INTERFACE_TYPE => "NETWORKING_PIPELINED", -- "NETWORKING", "NETWORKING_PIPELINED" or "RETIMED" + SERDES_MODE => "NONE" -- "NONE", "MASTER" or "SLAVE" + ) + port map ( + -- Q1 - Q4: 1-bit (each) output Registered outputs to FPGA logic + Q1 => s_Data_o(1), + Q2 => s_Data_o(3), + Q3 => s_Data_o(5), + Q4 => s_Data_o(7), + --SHIFTOUT => SHIFTOUTsig, -- 1-bit output Cascade output signal for master/slave I/O + VALID => open, -- 1-bit output Output status of the phase detector + BITSLIP => '0', -- 1-bit input Bitslip enable input + CE0 => '1', -- 1-bit input Clock enable input + CLK0 => clk_i, -- 1-bit input I/O clock network input + CLK1 => '0', -- 1-bit input Secondary I/O clock network input + CLKDIV => hclk_i, -- 1-bit input FPGA logic domain clock input + D => s_Data_i_d_m, -- 1-bit input Input data + IOCE => strobe_i, -- 1-bit input Data strobe_i input + RST => reset_i, -- 1-bit input Asynchronous reset_i input + SHIFTIN => '0' -- 1-bit input Cascade input signal for master/slave I/O + ); + + ISERDES2_S : ISERDES2 + generic map ( + BITSLIP_ENABLE => FALSE, -- Enable Bitslip Functionality (TRUE/FALSE) + DATA_RATE => "SDR", -- Data-rate ("SDR" or "DDR") + DATA_WIDTH => g_S, -- Parallel data width selection (2-8) + INTERFACE_TYPE => "NETWORKING_PIPELINED", -- "NETWORKING", "NETWORKING_PIPELINED" or "RETIMED" + SERDES_MODE => "NONE" -- "NONE", "MASTER" or "SLAVE" + ) + port map ( + -- Q1 - Q4: 1-bit (each) output Registered outputs to FPGA logic + Q1 => s_Data_o(0), + Q2 => s_Data_o(2), + Q3 => s_Data_o(4), + Q4 => s_Data_o(6), + --SHIFTOUT => SHIFTOUTsig, -- 1-bit output Cascade output signal for master/slave I/O + VALID => open, -- 1-bit output Output status of the phase detector + BITSLIP => '0', -- 1-bit input Bitslip enable input + CE0 => '1', -- 1-bit input Clock enable input + CLK0 => clk_i, -- 1-bit input I/O clock network input + CLK1 => '0', -- 1-bit input Secondary I/O clock network input + CLKDIV => hclk_i, -- 1-bit input FPGA logic domain clock input + D => s_Data_i_d_s, -- 1-bit input Input data + IOCE => strobe_i, -- 1-bit input Data strobe_i input + RST => reset_i, -- 1-bit input Asynchronous reset_i input + SHIFTIN => '0' -- 1-bit input Cascade input signal for master/slave I/O + ); + +reg_out : process(hclk_i) +begin + if rising_edge(hclk_i) then + Data_o <= s_Data_o; + end if; +end process; + +end Behavioral; diff --git a/firmware/minitlu/hdl/common/sync_reg.vhd b/firmware/minitlu/hdl/common/sync_reg.vhd new file mode 100644 index 00000000..e88eb54b --- /dev/null +++ b/firmware/minitlu/hdl/common/sync_reg.vhd @@ -0,0 +1,50 @@ +---------------------------------------------------------------------------------- +-- Company: Universidade de Santiago de Compostela +-- Engineer: Alvaro Dosil +-- +-- Create Date: 15/08/2012 +-- Module Name: Conf_Regs - Behavioral +-- Revision 1.00 - File Created +-- Additional Comments: +---------------------------------------------------------------------------------- +------------------------------------------------------- +--! @file +--! @brief Synchronization module 32b +--! @author Alvaro Dosil +------------------------------------------------------- +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; + + +entity sync_reg is + generic(g_Data_width : positive := 32); + port( + clk_i : in std_logic; --! synchronous clock + Async_i : in std_logic_vector(g_Data_width-1 downto 0); --! Asynchronous input data + Sync_o : out std_logic_vector(g_Data_width-1 downto 0)); --! Synchronous output data + +end sync_reg; + +--! @brief +--! @details Synchronize words (n bits)of data + +architecture Behavioral of sync_reg is + +signal s_async_i : std_logic_vector(g_Data_width-1 downto 0); +signal s_sync_o : std_logic_vector(g_Data_width-1 downto 0); +begin + +loop0: for i in 0 to g_Data_width-1 generate + begin + reg: entity work.Reg_2clks + port map( + clk_i => clk_i, + async_i => s_async_i(i), + sync_o => s_sync_o(i)); + end generate; + +s_async_i <= Async_i; +Sync_o <= s_sync_o; + +end Behavioral; + diff --git a/firmware/minitlu/hdl/common/synchronizeRegisters_rtl.vhd b/firmware/minitlu/hdl/common/synchronizeRegisters_rtl.vhd new file mode 100644 index 00000000..485f7318 --- /dev/null +++ b/firmware/minitlu/hdl/common/synchronizeRegisters_rtl.vhd @@ -0,0 +1,114 @@ +--============================================================================= +--! @file synchronizeRegisters_rtl.vhd +--============================================================================= +-- +------------------------------------------------------------------------------- +-- -- +-- University of Bristol, High Energy Physics Group. +-- -- +------------------------------------------------------------------------------- -- +-- VHDL Architecture worklib.synchronizeRegisters.rtl +-- +--! @brief Regularly transfers the input to the output.\n +--! One clock for input , one clock for output\n +--! Can't just put entire bus through a couple of register stages,\n +--! Since this will just swap meta-stability issues for race issues. +-- +--! @author David Cussans , David.Cussans@bristol.ac.uk +-- +--! @date 24/Nov/12 +-- +--! @version v0.1 +-- +--! @details A six stage "ring oscillator" is used to generate two strobes. +--! One reads data into a register. The other registers the data to the output +--! Three stages are clocked on clk_write_i , three stages are clocked on clk_read_i +--! The time taken for an edge to travel round the complete loop is +--! 2 cycles of clk_read_i and 2 cycles of clk_write_i plus two intervals +--! that depend on the relative phase of clk_read_i and clk_write_i +--! +--! Based on registerCounters +--! +--! <b>Dependencies:</b>\n +--! +--! <b>References:</b>\n +--! +--! <b>Modified by:</b>\n +--! Author: +--! David Cussans, 26/2/14 - Added registers to output to aid timing closure. +------------------------------------------------------------------------------- +--! \n\n<b>Last changes:</b>\n +------------------------------------------------------------------------------- +--! @todo <next thing to do> \n +--! <another thing to do> \n +-- +-------------------------------------------------------------------------------- + +library ieee; +use ieee.std_logic_1164.all; + +--use work.fmcTLU.all; +use work.ipbus_reg_types.all; + +entity synchronizeRegisters is + + generic ( + --g_DATA_WIDTH : positive := 15; + g_NUM_REGISTERS : positive := 1); -- ! Width of counter + + port ( + clk_input_i : in std_logic; -- ! clock for input + data_i : in ipb_reg_v(g_NUM_REGISTERS-1 downto 0); -- ! array of registers to transfer to output + data_o : out ipb_reg_v(g_NUM_REGISTERS-1 downto 0); -- ! Data now in clk_output_i domain + clk_output_i : in std_logic); -- ! clock for output + +end synchronizeRegisters; + +architecture rtl of synchronizeRegisters is + signal s_ring_d0 , s_ring_d1 , s_ring_d2 , s_ring_d3 , s_ring_d4, s_ring_d5: std_logic := '0'; -- stages in "ring oscillator" used to generate strobes + signal s_registered_data : ipb_reg_v(data_i'range) := ( others => ( others => '0')); -- ! Register to store data between clock domains + + signal s_read_strobe , s_write_strobe : std_logic := '0'; -- ! Strobes high to register data from input and to output + +begin -- rtl + + -- purpose: part of "ring oscillator" transfering strobe between clock domains + -- type : combinational + -- inputs : clk_read_i + -- outputs: + p_gen_capture_strobe: process (clk_input_i) + begin -- process p_gen_capture_strobe + if rising_edge(clk_input_i) then + s_ring_d0 <= not s_ring_d5; + s_ring_d1 <= s_ring_d0; + s_ring_d2 <= s_ring_d1; + + if s_read_strobe = '1' then + s_registered_data <= data_i; + end if; + end if; + end process p_gen_capture_strobe; + + s_read_strobe <= s_ring_d1 xor s_ring_d2; --! Generate a strobe with + --width one clk_read_i + + -- purpose: part of "ring oscillator" transfering strobe between clock domains + -- type : combinational + -- inputs : clk_output_i + -- outputs: + p_gen_output_strobe: process (clk_output_i) + begin -- process p_gen_output_strobe + if rising_edge(clk_output_i) then + s_ring_d3 <= s_ring_d2; + s_ring_d4 <= s_ring_d3; + s_ring_d5 <= s_ring_d4; + + if s_write_strobe = '1' then + data_o <= s_registered_data; + end if; + end if; + end process p_gen_output_strobe; + + s_write_strobe <= s_ring_d4 xor s_ring_d5; --! Generate a strobe + -- +end rtl; diff --git a/firmware/minitlu/hdl/common/triggerInputs_rtl.vhd b/firmware/minitlu/hdl/common/triggerInputs_rtl.vhd new file mode 100644 index 00000000..8a4b0b90 --- /dev/null +++ b/firmware/minitlu/hdl/common/triggerInputs_rtl.vhd @@ -0,0 +1,343 @@ +--============================================================================= +--! @file triggerInputs_rtl.vhd +--============================================================================= +-- +------------------------------------------------------------------------------- +-- -- +-- University of Bristol, High Energy Physics Group. +-- -- +------------------------------------------------------------------------------- -- +-- VHDL Architecture fmc_mTLU_lib.triggerInputs.rtl +-- +--! @brief Measures arrival time of trigger pulses using two deserializers +--! clocked on 14x clock ( 640MHz) \n +--! Based on TDC code by Alvaro Dosil\n +-- +--! @author David Cussans , David.Cussans@bristol.ac.uk +--! +-- +--! @date 15:43:57 11/08/12 +-- +--! @version v0.1 +-- +--! @details +--! IPBus address 0 = control and status +--! bit0 = reset serdes +--! bit1 = reset counter +--! bit2 = calibrate IDELAYs +--! bit3 = not connected +--! +--! bit4 = Thresh discr IDelay(0) status prompt +--! bit5 = Thresh discr IDelay(0) status delayed +--! bit6 = Thresh discr IDelay(1) status prompt +--! bit7 = Thresh discr IDelay(1) status delayed +--! bit8 = Thresh discr IDelay(2) status prompt +--! bit9 = Thresh discr IDelay(2) status delayed +--! bit10= Thresh discr IDelay(3) status prompt +--! bit11= Thresh discr IDelay(3) status delayed +--! +--! bit12= CFD discr IDelay(0) status prompt +--! bit13= CFD discr IDelay(0) status delayed +--! bit14= CFD discr IDelay(1) status prompt +--! bit15= CFD discr IDelay(1) status delayed +--! bit16= CFD discr IDelay(2) status prompt +--! bit17= CFD discr IDelay(2) status delayed +--! bit18= CFD discr IDelay(3) status prompt +--! bit19= CFD discr IDelay(3) status delayed +--! +--! bit20= Thresh deserialized data monitor(0) +--! bit21= Thresh deserialized data monitor(1) +--! bit22= Thresh deserialized data monitor(2) +--! bit23= Thresh deserialized data monitor(3) +--! bit24= CFD deserialized data monitor(0) +--! bit25= CFD deserialized data monitor(1) +--! bit26= CFD deserialized data monitor(2) +--! bit27= CFD deserialized data monitor(3) +--! +--! IPBus address 1 = edge rising(0) counter +--! IPBus address 2 = edge rising(1) counter +--! IPBus address 3 = edge rising(2) counter +--! IPBus address 4 = edge rising(3) counter +--! +--! <b>Dependencies:</b>\n +--! +--! <b>References:</b>\n +--! +--! <b>Modified by: Alvaro Dosil , alvaro.dosil@usc.es </b>\n +--! Author: +------------------------------------------------------------------------------- +--! \n\n<b>Last changes:</b>\n +------------------------------------------------------------------------------- +--! @todo Implement a periodic calibration sequence \n +--! <another thing to do> \n +-- +-------------------------------------------------------------------------------- +-- +-- Created using using Mentor Graphics HDL Designer(TM) 2010.3 (Build 21) +-- +LIBRARY ieee; +USE ieee.std_logic_1164.all; +USE ieee.numeric_std.all; + +USE work.ipbus.all; +use work.ipbus_reg_types.all; + +USE work.fmcTLU.all; + + +library unisim ; +use unisim.vcomponents.all; + +ENTITY triggerInputs IS + GENERIC( + g_NUM_INPUTS : natural := 1; + g_IPBUS_WIDTH : positive := 32 + ); + PORT( + cfd_discr_p_i : IN std_logic_vector (g_NUM_INPUTS-1 DOWNTO 0);--! Inputs from constant-fraction discriminators + cfd_discr_n_i : IN std_logic_vector (g_NUM_INPUTS-1 downto 0);--! Input from CFD + clk_4x_logic : IN std_logic; --! Rising edge active. By default = 4*40MHz = 160MHz + strobe_4x_logic_i : IN std_logic; --! Pulses high once every 4 cycles of clk_4x_logic + threshold_discr_p_i : IN std_logic_vector (g_NUM_INPUTS-1 DOWNTO 0); --! inputs from threshold comparators + threshold_discr_n_i : IN std_logic_vector (g_NUM_INPUTS-1 DOWNTO 0); --! inputs from threshold comparators + reset_i : IN std_logic; + trigger_times_o : OUT t_triggerTimeArray (g_NUM_INPUTS-1 DOWNTO 0); --! trigger arrival time ( w.r.t. logic_strobe) + trigger_o : OUT std_logic_vector (g_NUM_INPUTS-1 DOWNTO 0);--! Goes high on leading edge of trigger, in sync with clk_4x_logic_i + trigger_debug_o : OUT std_logic_vector ( ((2*g_NUM_INPUTS)-1) DOWNTO 0); --! Copy of input trigger level. High bits CFD, Low threshold + edge_rising_times_o : OUT t_triggerTimeArray (g_NUM_INPUTS-1 DOWNTO 0); --! edge arrival time ( w.r.t. logic_strobe) + edge_falling_times_o : OUT t_triggerTimeArray (g_NUM_INPUTS-1 DOWNTO 0); --! edge arrival time ( w.r.t. logic_strobe) + edge_rising_o : OUT std_logic_vector (g_NUM_INPUTS-1 DOWNTO 0); --! High when rising edge. Syncronous with clk_4x_logic_i + edge_falling_o : OUT std_logic_vector (g_NUM_INPUTS-1 DOWNTO 0); --! High when falling edge + ipbus_clk_i : IN std_logic; + ipbus_reset_i : IN std_logic; + ipbus_i : IN ipb_wbus; --! Signals from IPBus core to slave + ipbus_o : OUT ipb_rbus; --! signals from slave to IPBus core + clk_16x_logic_i : IN std_logic; --! 640MHz clock ( 16x 40MHz ) + strobe_16x_logic_i : IN std_logic --! Pulses one cycle every 4 of 16x clock. + ); + +-- Declarations + +END ENTITY triggerInputs ; + +-- +ARCHITECTURE rtl OF triggerInputs IS + + signal s_rst_iserdes : std_logic := '0'; --! Reset ISERDES and IDELAY + + signal s_threshold_discr_input , s_cfd_discr_input : std_logic_vector(g_NUM_INPUTS-1 downto 0); --! inputs from comparator + + type t_deserialized_trigger_data_array is array ( natural range <> ) of std_logic_vector(7 downto 0); -- + signal s_deserialized_threshold_data, s_deserialized_threshold_data_d , s_deserialized_cfd_data, s_deserialized_cfd_data_d : t_deserialized_trigger_data_array(g_NUM_INPUTS-1 downto 0); + + type t_deserialized_trigger_data_array_l is array ( natural range <> ) of std_logic_vector(8 downto 0); -- + signal s_deserialized_threshold_data_l , s_deserialized_cfd_data_l : t_deserialized_trigger_data_array_l(g_NUM_INPUTS-1 downto 0); + + signal s_cfd_trigger_times : t_triggerTimeArray (g_NUM_INPUTS-1 DOWNTO 0); + + signal s_CFD_rising_edge : std_logic_vector(g_NUM_INPUTS-1 downto 0); + signal s_CFD_falling_edge : std_logic_vector(g_NUM_INPUTS-1 downto 0); + + signal s_threshold_previous_late_bit : std_logic_vector(g_NUM_INPUTS-1 downto 0) := (others => '0'); -- last bit to arrive from previous 4 + signal s_CFD_previous_late_bit : std_logic_vector(g_NUM_INPUTS-1 downto 0) := (others => '0'); -- last bit to arrive from previous 4 + + signal s_ipbus_ack : std_logic := '0'; -- used to produce a delayed IPBus ack signal + + signal s_edge_rising_times: t_triggerTimeArray (g_NUM_INPUTS-1 DOWNTO 0); --! edge arrival time ( w.r.t. logic_strobe) + signal s_edge_falling_times: t_triggerTimeArray (g_NUM_INPUTS-1 DOWNTO 0); --! edge arrival time ( w.r.t. logic_strobe) + signal s_edge_rising: std_logic_vector (g_NUM_INPUTS-1 DOWNTO 0); --! High when rising edge + signal s_edge_falling: std_logic_vector (g_NUM_INPUTS-1 DOWNTO 0); --! High when falling edge + + constant c_N_CTRL : positive := 1; + constant c_N_STAT : positive := g_NUM_INPUTS+1 ; + signal s_status_to_ipbus , s_sync_status_to_ipbus: ipb_reg_v(c_N_STAT-1 downto 0); + signal s_control_from_ipbus , s_sync_control_from_ipbus: ipb_reg_v(c_N_CTRL-1 downto 0); +-- signal s_reset_reg , s_status_reg: std_logic_vector(g_IPBUS_WIDTH-1 downto 0) := (others => '0'); + signal s_counter_reset, s_calibrate_idelay: std_logic := '0'; + +BEGIN + ----------------------------------------------------------------------------- + -- IPBus interface + ----------------------------------------------------------------------------- + + -- Can't get ipbus_syncreg_v to meet timing. So use non-syncronized followed + -- by synchronizer. + ipbus_registers: entity work.ipbus_ctrlreg_v + generic map ( + N_STAT => c_N_STAT ) + port map( + clk=> ipbus_clk_i, + reset => ipbus_reset_i , + ipbus_in => ipbus_i, + ipbus_out => ipbus_o, + d=> s_sync_status_to_ipbus, + q=> s_control_from_ipbus, + stb => open + ); + + -- sync data from I/O logic to IPBus + sync_registers: entity work.synchronizeRegisters + generic map ( + g_NUM_REGISTERS => c_N_STAT ) + port map ( + clk_input_i => clk_4x_logic, + data_i => s_status_to_ipbus, + data_o => s_sync_status_to_ipbus, + clk_output_i => ipbus_clk_i); + + -- sync data from I/O logic to IPBus + sync_ipbus: entity work.synchronizeRegisters + generic map ( + g_NUM_REGISTERS => c_N_CTRL ) + port map ( + clk_input_i => ipbus_clk_i, + data_i => s_control_from_ipbus, + data_o => s_sync_control_from_ipbus, + clk_output_i => clk_4x_logic); + + -- Map the control registers... + -- Register that controls IODELAY and ISERDES reset is at address 0 + s_rst_iserdes <= reset_i or s_sync_control_from_ipbus(0)(0); + s_counter_reset <= s_sync_control_from_ipbus(0)(1); + s_calibrate_idelay <= s_sync_control_from_ipbus(0)(2); + + s_status_to_ipbus(0)(0) <= s_rst_iserdes; + s_status_to_ipbus(0)(1) <= s_counter_reset; + s_status_to_ipbus(0)(2) <= s_calibrate_idelay; + -- Connect up unused lines in status regiser to 0. + s_status_to_ipbus(0)(3) <= '0'; + s_status_to_ipbus(0)(g_IPBUS_WIDTH-1 downto 28) <= (others => '0'); + + ----------------------------------------------------------------------------- + -- Connect up trigger inputs to deserializers and a LUT to determine + -- arrival time + ----------------------------------------------------------------------------- + + + trigger_input_loop: for triggerInput in 0 to (g_NUM_INPUTS-1) generate + + thresholdInputBuffer: IBUFDS + generic map ( + DIFF_TERM => true, + IBUF_LOW_PWR => false, + IOSTANDARD => "LVDS_25") + port map ( + O => s_threshold_discr_input(triggerInput), + I => threshold_discr_p_i(triggerInput), + IB => threshold_discr_n_i(triggerInput) + ); + + thresholdDeserializer: entity work.dualSERDES_1to4 + port map ( + reset_i => s_rst_iserdes, + --calibrate_i => s_calibrate_idelay, + data_i => s_threshold_discr_input(triggerInput), + fastClk_i => clk_16x_logic_i, + fabricClk_i => clk_4x_logic, + strobe_i => strobe_16x_logic_i, + data_o => s_deserialized_threshold_data(triggerInput), + status_o => s_status_to_ipbus(0)(5+(2*triggerInput) downto 4+(2*triggerInput)) + ); + + --s_deserialized_threshold_data_l(triggerInput) <= s_deserialized_threshold_data(triggerInput)(3 downto 0) & s_deserialized_threshold_data_d(triggerInput)(7 downto 3); + s_deserialized_threshold_data_l(triggerInput) <= s_deserialized_threshold_data(triggerInput) & s_threshold_previous_late_bit(triggerInput); + thresholdLUT : entity work.arrivalTimeLUT + port map ( + clk_4x_logic_i => clk_4x_logic, + strobe_4x_logic_i => strobe_4x_logic_i, + deserialized_data_i => s_deserialized_threshold_data_l(triggerInput), + first_rising_edge_time_o => s_edge_rising_times(triggerInput), + last_falling_edge_time_o => s_edge_falling_times(triggerInput), + rising_edge_o => s_edge_rising(triggerInput), + falling_edge_o => s_edge_falling(triggerInput), + multiple_edges_o => open + ); + + -- The leading edge may be a high-->low or a low-->high transition ( + -- depending on polarity of input signal. ). For now assume that leading + -- edge is low-->high and connect trigger times and trigger output accordingly. + -- In the future have this selectable. + edge_rising_times_o(triggerInput) <= s_edge_rising_times(triggerInput); + edge_falling_times_o(triggerInput) <= s_edge_falling_times(triggerInput); + edge_rising_o(triggerInput) <= s_edge_rising(triggerInput); + edge_falling_o(triggerInput) <= s_edge_falling(triggerInput); + trigger_times_o(triggerInput) <= s_edge_rising_times(triggerInput); + trigger_o(triggerInput) <= s_edge_rising(triggerInput); + + + CFDInputBuffer: IBUFDS + generic map ( + DIFF_TERM => true, + IBUF_LOW_PWR => false, + IOSTANDARD => "LVDS_25") + port map ( + O => s_CFD_discr_input(triggerInput), + I => CFD_discr_p_i(triggerInput), + IB => CFD_discr_n_i(triggerInput) + ); + + CFDDeserializer: entity work.dualSERDES_1to4 + port map ( + reset_i => s_rst_iserdes, + --calibrate_i => s_calibrate_idelay, + data_i => s_CFD_discr_input(triggerInput), + fastClk_i => clk_16x_logic_i, + fabricClk_i => clk_4x_logic, + strobe_i => strobe_16x_logic_i, + data_o => s_deserialized_CFD_data(triggerInput), + status_o => s_status_to_ipbus(0)(13+(2*triggerInput) downto 12+(2*triggerInput)) + ); + --s_deserialized_CFD_data(triggerInput) <= (others=>'0'); + + s_deserialized_CFD_data_l(triggerInput) <= s_deserialized_CFD_data(triggerInput) & s_CFD_previous_late_bit(triggerInput); + CFDLUT : entity work.arrivalTimeLUT + port map ( + clk_4x_logic_i => clk_4x_logic, + strobe_4x_logic_i => strobe_4x_logic_i, + deserialized_data_i => s_deserialized_CFD_data_l(triggerInput), + first_rising_edge_time_o => s_cfd_trigger_times(triggerInput), + last_falling_edge_time_o => open, + rising_edge_o => s_CFD_rising_edge(triggerInput), + falling_edge_o => s_CFD_falling_edge(triggerInput), + multiple_edges_o => open + ); + + p_register_delayed_bits : process ( clk_4x_logic ) + begin + if rising_edge(clk_4x_logic) then + s_threshold_previous_late_bit(triggerInput) <= s_deserialized_threshold_data(triggerInput)(7); + --s_deserialized_threshold_data_d(triggerInput) <= s_deserialized_threshold_data(triggerInput); + s_CFD_previous_late_bit(triggerInput) <= s_deserialized_CFD_data(triggerInput)(7); + + -- Monitor output of serdes - just look at one per serdes + -- Don't care about latency so put a couple of registers in to aid + -- timing closure. + s_status_to_ipbus(0)(20+triggerInput) <= s_threshold_previous_late_bit(triggerInput); + s_status_to_ipbus(0)(24+triggerInput) <= s_CFD_previous_late_bit(triggerInput); + + end if ; + end process; + + --! Instantiate counter for output triggers. + --! Input I is connected to address I+1 + cmp_inputTriggerCounter : entity work.counterWithReset + generic map ( + g_COUNTER_WIDTH => g_IPBUS_WIDTH) + port map ( + clock_i => clk_4x_logic, + reset_i => s_counter_reset, + enable_i => s_edge_rising(triggerInput), + result_o => s_status_to_ipbus(triggerInput+1)); + + end generate trigger_input_loop; + + --trigger_debug_o( ((2*g_NUM_INPUTS)-1) downto g_NUM_INPUTS) <= s_CFD_discr_input; + --trigger_debug_o( ((2*g_NUM_INPUTS)-1) downto g_NUM_INPUTS) <= s_edge_rising; + --! Monitor output of deserializer + -- trigger_debug_o( ((2*g_NUM_INPUTS)-1) downto g_NUM_INPUTS) <= s_status_to_ipbus(0)(23 downto 20); + --trigger_debug_o( (g_NUM_INPUTS-1) downto 0) <= s_edge_rising; + trigger_debug_o( (g_NUM_INPUTS-1) downto 0) <= s_threshold_discr_input; + trigger_debug_o( ((2*g_NUM_INPUTS)-1) downto g_NUM_INPUTS) <= s_edge_rising; + +END ARCHITECTURE rtl; + diff --git a/firmware/minitlu/hdl/common/triggerLogic_rtl.vhd b/firmware/minitlu/hdl/common/triggerLogic_rtl.vhd new file mode 100644 index 00000000..0e2966bb --- /dev/null +++ b/firmware/minitlu/hdl/common/triggerLogic_rtl.vhd @@ -0,0 +1,304 @@ +--============================================================================= +--! @file triggerLogic_rtl.vhd +--============================================================================= +-- +------------------------------------------------------------------------------- +-- -- +-- University of Bristol, High Energy Physics Group. +-- -- +------------------------------------------------------------------------------- -- +-- VHDL Architecture fmc_mTLU_lib.triggerLogic.rtl +-- +--! @brief Produces triggers from either trigger inputs or internal generator\n +--! IPBus address map:\n +--! 0x00000000 RO - Number of triggers issued since last reset.\n +--! 0x00000001 RO - Number of possible triggers since last reset (i.e. pre-veto triggers)\n +--! 0x00000010 RW - Interval between internal triggers in ticks of logic_strobe_i\n +--! 0x00000011 RW - trigger mask ( 1 bit per input )\n +--! 0x00000100 RW - bit-0 - internal trigger veto. Set to halt vetos.\n +--! 0x00000101 RO - state of external veto +-- +--! @author David Cussans , David.Cussans@bristol.ac.uk +-- +--! @date 16:06:19 11/09/12 +-- +--! @version v0.1 +-- +--! @details +--! +--! +--! <b>Dependencies:</b>\n +--! +--! <b>References:</b>\n +--! +--! <b>Modified by: Alvaro Dosil , alvaro.dosil@usc.es </b>\n +--! Author: +------------------------------------------------------------------------------- +--! \n\n<b>Last changes:</b>\n +--! Move all IPBus stuff into ipbus_syncreg_v , which also handles clock domain +--! crossing. 20/Feb/2014 , David Cussans +------------------------------------------------------------------------------- +--! @todo <next thing to do> \n +--! <another thing to do> \n +-- +-------------------------------------------------------------------------------- +-- +-- Created using using Mentor Graphics HDL Designer(TM) 2010.3 (Build 21) +-- +LIBRARY ieee; +USE ieee.std_logic_1164.all; +USE ieee.numeric_std.all; + +USE work.ipbus.all; +use work.ipbus_reg_types.all; + +USE work.fmcTLU.all; + +ENTITY triggerLogic IS + GENERIC( + g_NUM_INPUTS : positive := 4; + g_IPBUS_WIDTH : positive := 32 + ); + PORT( + clk_4x_logic_i : IN std_logic; -- ! Rising edge active + ipbus_clk_i : IN std_logic; + ipbus_i : IN ipb_wbus; -- Signals from IPBus core to slave + ipbus_reset_i : IN std_logic; + logic_reset_i : IN std_logic; -- active high. Synchronous with clk_4x_logic + logic_strobe_i : IN std_logic; -- ! Pulses high once every 4 cycles of clk_4x_logic + trigger_i : IN std_logic_vector (g_NUM_INPUTS-1 DOWNTO 0); -- ! High when trigger from input connector active + trigger_times_i : IN t_triggerTimeArray (g_NUM_INPUTS-1 DOWNTO 0); --! trigger arrival time + veto_i : IN std_logic; -- ! Halts triggers when high + trigger_o : OUT std_logic_vector (g_NUM_INPUTS-1 DOWNTO 0); -- ! High when trigger from input connector active and enabled + trigger_times_o : OUT t_triggerTimeArray (g_NUM_INPUTS-1 DOWNTO 0); --! trigger arrival time + event_number_o : OUT std_logic_vector (g_IPBUS_WIDTH-1 DOWNTO 0); -- starts at one. Increments for each post_veto_trigger + ipbus_o : OUT ipb_rbus; -- signals from slave to IPBus core + post_veto_trigger_o : OUT std_logic; -- ! goes high when trigger passes + pre_veto_trigger_o : OUT std_logic; + trigger_active_o : OUT std_logic --! Goes high when triggers are active ( ie. not veoted) + ); + +-- Declarations + +END ENTITY triggerLogic ; + +-- +ARCHITECTURE rtl OF triggerLogic IS + + --! vector that stores trigger output for each combination of trigger inputs. + signal s_trigger_inputs_enabled , s_trigger_inputs_enabled_ipb : std_logic_vector(g_IPBUS_WIDTH-1 downto 0) := x"00000001";--(others=>'1'); + signal s_external_trigger , s_internal_veto , s_internal_veto_ipb : std_logic := '0'; + signal s_internal_trigger_interval: std_logic_vector(g_IPBUS_WIDTH-1 downto 0) := (others => '0'); -- setting s_internal_trigger_interval to zero means no internal triggers + signal s_pre_veto_trigger_counter , s_post_veto_trigger_counter : unsigned(g_IPBUS_WIDTH-1 downto 0) := (others => '0'); -- ! counters for triggers before and after veto + signal s_pre_veto_trigger_counter_ipb , s_post_veto_trigger_counter_ipb : std_logic_vector(g_IPBUS_WIDTH-1 downto 0) := (others => '0'); -- ! counters for triggers before and after veto, on ipbus clock domain + + signal s_triggers : std_logic_vector (g_NUM_INPUTS-1 DOWNTO 0) := (others=>'0'); + signal s_trigger_times : t_triggerTimeArray (g_NUM_INPUTS-1 DOWNTO 0) := (others=>(others=>'0')); + signal s_internal_trigger, s_internal_trigger_d : std_logic := '0'; -- ! Strobes high for one clock cycle at intervals of s_internal_trigger_interval cycles +-- signal s_internal_trigger_timer : unsigned(g_IPBUS_WIDTH-1 downto 0) := (others => '0'); -- counter for internal trigger generation + signal s_internal_trigger_timer , s_internal_trigger_timer_d : std_logic_vector(g_IPBUS_WIDTH-1 downto 0) := (others => '0'); -- counter for internal trigger generation and counter delay + signal s_internal_trigger_active , s_internal_trigger_active_d, s_internal_trigger_active_ipb : std_logic := '0'; -- ! Goes high when internal trigger is running. + signal s_ipbus_ack : std_logic := '0'; -- used to produce a delayed IPBus ack signal + +-- signal s_logic_reset , s_logic_reset_ipb : std_logic := '0'; -- ! Take high to reset counters etc. + signal s_pre_veto_trigger ,s_post_veto_trigger : std_logic := '0'; -- ! Can't read from an output port so keep internal copy + + signal s_AND_Window : std_logic_vector(g_IPBUS_WIDTH-1 downto 0) := (others => '0'); --! Time window for the trigger coincidence + signal s_AND_Mask, s_AND_Mask_ipb : std_logic_vector(g_IPBUS_WIDTH-1 downto 0) := (others => '0'); --! Mask to select the trigger inputs in coincidence + signal s_OR_Mask : std_logic_vector(g_IPBUS_WIDTH-1 downto 0) := (others => '0'); + signal s_AND_trigger: std_logic := '0'; + signal s_OR_trigger: std_logic := '0'; + + constant c_N_CTRL : positive := 8; + constant c_N_STAT : positive := 8; + signal s_status_to_ipbus, s_sync_status_to_ipbus : ipb_reg_v(c_N_STAT-1 downto 0); + signal s_control_from_ipbus,s_sync_control_from_ipbus : ipb_reg_v(c_N_CTRL-1 downto 0); + signal s_veto_word : std_logic_vector(g_IPBUS_WIDTH-1 downto 0) := (others => '0'); + signal s_external_veto_word : std_logic_vector(g_IPBUS_WIDTH-1 downto 0) := (others => '0'); + +BEGIN + ----------------------------------------------------------------------------- + -- IPBus interface + ----------------------------------------------------------------------------- + ipbus_registers: entity work.ipbus_ctrlreg_v + generic map( + N_CTRL => c_N_CTRL, + N_STAT => c_N_STAT + ) + port map( + clk => ipbus_clk_i, + reset=> '0',--ipbus_reset_i , + ipbus_in=> ipbus_i, + ipbus_out=> ipbus_o, + d=> s_sync_status_to_ipbus, + q=> s_control_from_ipbus, + stb=> open + ); + + -- Synchronize registers from logic clock to ipbus. + sync_status: entity work.synchronizeRegisters + generic map ( + g_NUM_REGISTERS => c_N_STAT ) + port map ( + clk_input_i => clk_4x_logic_i, + data_i => s_status_to_ipbus, + data_o => s_sync_status_to_ipbus, + clk_output_i => ipbus_clk_i); + + -- Synchronize registers from logic clock to ipbus. + sync_ctrl: entity work.synchronizeRegisters + generic map ( + g_NUM_REGISTERS => c_N_CTRL ) + port map ( + clk_input_i => ipbus_clk_i, + data_i => s_control_from_ipbus, + data_o => s_sync_control_from_ipbus, + clk_output_i => clk_4x_logic_i); + + -- Map the control registers + -- workaround to match the number of clock cycles with the configured interval + s_internal_trigger_interval <= x"00000000" when s_sync_control_from_ipbus(2)<x"00000005" else + std_logic_vector(unsigned(s_sync_control_from_ipbus(2))-2); + + s_trigger_inputs_enabled <= s_sync_control_from_ipbus(3); + s_veto_word <= s_sync_control_from_ipbus(4); + s_internal_veto <= s_veto_word(0); + s_AND_Mask_ipb <= s_sync_control_from_ipbus(6); + s_AND_Window <= s_sync_control_from_ipbus(7); + + -- Map the status registers + s_status_to_ipbus(0) <= std_logic_vector(s_post_veto_trigger_counter); + s_status_to_ipbus(1) <= std_logic_vector(s_pre_veto_trigger_counter); + s_status_to_ipbus(2) <= s_internal_trigger_interval; + s_status_to_ipbus(3) <= s_trigger_inputs_enabled; + s_status_to_ipbus(4) <= s_veto_word; + s_status_to_ipbus(5) <= s_external_veto_word; + s_external_veto_word(0) <= veto_i; + s_external_veto_word(g_IPBUS_WIDTH-1 downto 1) <= (others=>'0'); + s_status_to_ipbus(6) <= s_AND_Mask; + s_status_to_ipbus(7) <= s_AND_Window; + + ----------------------------------------------------------------------------- + -- Generate triggers + ----------------------------------------------------------------------------- + s_AND_Mask <= s_AND_Mask_ipb and s_trigger_inputs_enabled; + s_OR_Mask <= (not s_AND_Mask) and s_trigger_inputs_enabled; -- Inputs not selected for coincidence will work as OR triggers. + --s_OR_trigger <= '0' when (s_OR_Mask(trigger_i'range) and trigger_i) = std_logic_vector(to_unsigned(0,trigger_i'length)) else + -- '1'; + + -- Trigger Filtering + s_external_trigger <= '0' when (s_trigger_inputs_enabled(trigger_i'range) and trigger_i) = std_logic_vector(to_unsigned(0,trigger_i'length)) else + '1'; + + s_triggers <= trigger_i and s_trigger_inputs_enabled(trigger_i'range); + + trig_masks : process(trigger_times_i, s_trigger_inputs_enabled) + begin + for i in 0 to g_NUM_INPUTS-1 loop + s_trigger_times(i)(4 downto 3) <= trigger_times_i(i)(4 downto 3); + if s_trigger_inputs_enabled(i)='1' then + s_trigger_times(i)(2 downto 0) <= trigger_times_i(i)(2 downto 0); + else + s_trigger_times(i)(2 downto 0) <= "000"; + end if; + end loop; + end process; + + trigger_o <= s_triggers; + trigger_times_o <= s_trigger_times; + + + +-- --! Trigger coincidence logic +-- coincicence_logic : entity work.coincidences +-- generic map( +-- g_nInputs => g_NUM_INPUTS, +-- g_IPBUS_WIDTH => g_IPBUS_WIDTH +-- ) +-- Port map( +-- trigger_i => trigger_i, +-- data_i => trigger_times_i, +-- clk_i => clk_4x_logic_i, +-- rst_i => logic_reset_i, +-- Window_i => s_AND_Window, +-- mask_i => s_AND_Mask, +-- trigger_o => s_AND_trigger, +-- data_first_trig_o => open, +-- data_o => trigger_times_o +-- ); + + --s_external_trigger <= s_OR_trigger; -- or s_AND_trigger; + + + --! Produce triggers.... + trigGen : process ( clk_4x_logic_i ) + begin + if rising_edge(clk_4x_logic_i) then + s_post_veto_trigger <= (s_external_trigger or s_internal_trigger) and (not ( s_internal_veto or veto_i) ); + s_pre_veto_trigger <= (s_external_trigger or s_internal_trigger); + end if; + end process; + + + pre_veto_trigger_o <= s_pre_veto_trigger ; + post_veto_trigger_o <= s_post_veto_trigger; + trigger_active_o <= s_post_veto_trigger; + + + --! Internal trigger generator + p_internal_triggers: process (clk_4x_logic_i ) + begin -- process p_internal_triggers + if rising_edge(clk_4x_logic_i) then + if (s_internal_trigger_interval = x"00000000") then + s_internal_trigger_active <= '0'; + else + s_internal_trigger_active <= '1'; + end if; + + s_internal_trigger_active_d <= s_internal_trigger_active; -- signal delayed + s_internal_trigger_timer_d <= s_internal_trigger_timer; -- Signal delayed + end if; + end process p_internal_triggers; + + s_internal_trigger <= '1' when (s_internal_trigger_timer = ( x"00000000" )) and (s_internal_trigger_timer_d = ( x"00000001" )) + else '0'; + + + + -- Use a coregen counter to allow timing constraints to be met. + c_internal_triggers: entity work.internalTriggerGenerator + PORT MAP ( + clk => clk_4x_logic_i, + ce => s_internal_trigger_active, + load => s_internal_trigger or (s_internal_trigger_active and not s_internal_trigger_active_d), + l => s_internal_trigger_interval, + q => s_internal_trigger_timer + ); + + ----------------------------------------------------------------------------- + -- Count triggers + ----------------------------------------------------------------------------- + p_trigger_counter: process (clk_4x_logic_i ) + begin -- process p_trigger_counter + + if rising_edge(clk_4x_logic_i) then + + if logic_reset_i = '1' then + s_post_veto_trigger_counter <= ( others => '0'); + elsif s_post_veto_trigger = '1' then + s_post_veto_trigger_counter <= s_post_veto_trigger_counter + 1; + end if; + + if logic_reset_i = '1' then + s_pre_veto_trigger_counter <= ( others => '0'); + elsif s_pre_veto_trigger = '1' then + s_pre_veto_trigger_counter <= s_pre_veto_trigger_counter + 1; + end if; + + end if; + end process p_trigger_counter; + + event_number_o <= std_logic_vector(s_post_veto_trigger_counter); + +END ARCHITECTURE rtl; + diff --git a/firmware/minitlu/hdl/test/DUTInterfaces_rtl.vhd b/firmware/minitlu/hdl/test/DUTInterfaces_rtl.vhd new file mode 100644 index 00000000..47225c70 --- /dev/null +++ b/firmware/minitlu/hdl/test/DUTInterfaces_rtl.vhd @@ -0,0 +1,240 @@ +--============================================================================= +--! @file DUTInterfaces_rtl.vhd +--============================================================================= +-- +------------------------------------------------------------------------------- +-- -- +-- University of Bristol, High Energy Physics Group. +-- -- +------------------------------------------------------------------------------- -- +-- VHDL Architecture fmc_mTLU_lib.DUTInterfaces.rtl +-- +--! @brief \n +--! \n +-- +--! @author David Cussans , David.Cussans@bristol.ac.uk +-- +--! @date 15:09:50 11/09/12 +-- +--! @version v0.1 +-- +--! @details +--! Address map:\n +--! 5-bit decoded +--! 0x00000000 - DUT interface mode, two bits per DUT. Up to 12 inputs XXXXXXXXBBAA99887766554433221100\n +--! - mode: 0 = EUDET mode , 1 = synchronous ( LHC / Timepix ) , 2,3=reserved +--! +-- +--! +--! <b>Dependencies:</b>\n +--! +--! <b>References:</b>\n +--! +--! <b>Modified by:</b>\n +--! Author: +------------------------------------------------------------------------------- +--! \n\n<b>Last changes:</b>\n +------------------------------------------------------------------------------- +--! @todo <next thing to do> Indicate if the DUT works under AIDA/EUDET style\n +--! <another thing to do> \n +-- +-------------------------------------------------------------------------------- +-- +-- Created using using Mentor Graphics HDL Designer(TM) 2010.3 (Build 21) +-- +LIBRARY ieee; +USE ieee.std_logic_1164.all; +USE ieee.numeric_std.all; + +USE work.ipbus.all; +use work.ipbus_reg_types.all; + +library unisim; +use unisim.VComponents.all; + + +ENTITY DUTInterfaces IS + GENERIC( + g_NUM_DUTS : positive := 3; + g_IPBUS_WIDTH : positive := 32 + ); + PORT( + --busy_from_dut_n_i : IN std_logic_vector (g_NUM_DUTS-1 DOWNTO 0); -- BUSY input from DUTs + --busy_from_dut_p_i : IN std_logic_vector (g_NUM_DUTS-1 DOWNTO 0); -- BUSY input from DUTs + clk_4x_logic_i : IN std_logic; + clk_from_dut_n_i : IN std_logic_vector (g_NUM_DUTS-1 DOWNTO 0); -- clocks trigger data when in EUDET mode + clk_from_dut_p_i : IN std_logic_vector (g_NUM_DUTS-1 DOWNTO 0); -- clocks trigger data when in EUDET mode + ipbus_clk_i : IN std_logic; + ipbus_i : IN ipb_wbus; -- Signals from IPBus core to slave + ipbus_reset_i : IN std_logic; + strobe_4x_logic_i : IN std_logic; -- ! goes high every 4th clock cycle + trigger_counter_i : IN std_logic_vector (g_IPBUS_WIDTH-1 DOWNTO 0); + trigger_i : IN std_logic; -- goes high when trigger logic issues a trigger + --shutter_i : IN std_logic; -- goes high when trigger logic issues a shutter + ipbus_o : OUT ipb_rbus; -- signals from slave to IPBus core + --reset_or_clk_to_dut_n_o : OUT std_logic_vector (g_NUM_DUTS-1 DOWNTO 0); -- ! Either reset line or trigger + --reset_or_clk_to_dut_p_o : OUT std_logic_vector (g_NUM_DUTS-1 DOWNTO 0); -- ! Either reset line or trigger + --trigger_to_dut_n_o : OUT std_logic_vector (g_NUM_DUTS-1 DOWNTO 0); -- ! Trigger output + --trigger_to_dut_p_o : OUT std_logic_vector (g_NUM_DUTS-1 DOWNTO 0); -- ! Trigger output + --shutter_to_dut_n_o : OUT std_logic_vector (g_NUM_DUTS-1 DOWNTO 0); -- ! Shutter output + --shutter_to_dut_p_o : OUT std_logic_vector (g_NUM_DUTS-1 DOWNTO 0); -- ! Shutter output + output_0_p : OUT std_logic_vector (g_NUM_DUTS-1 DOWNTO 0); + output_0_n : OUT std_logic_vector (g_NUM_DUTS-1 DOWNTO 0); + output_1_p : OUT std_logic_vector (g_NUM_DUTS-1 DOWNTO 0); + output_1_n : OUT std_logic_vector (g_NUM_DUTS-1 DOWNTO 0); + output_2_p : OUT std_logic_vector (g_NUM_DUTS-1 DOWNTO 0); + output_2_n : OUT std_logic_vector (g_NUM_DUTS-1 DOWNTO 0); + output_3_p : OUT std_logic_vector (g_NUM_DUTS-1 DOWNTO 0); + output_3_n : OUT std_logic_vector (g_NUM_DUTS-1 DOWNTO 0); + veto_o : OUT std_logic -- goes high when one or more DUT are busy + ); + +-- Declarations + +END ENTITY DUTInterfaces ; + +-- +ARCHITECTURE rtl OF DUTInterfaces IS + + signal s_intermediate_busy_or : std_logic_vector(g_NUM_DUTS downto 0); -- OR tree + signal s_veto : std_logic; + signal s_strobe_4x_logic_d1, clk_2x_logic : std_logic; + signal s_busy_from_dut , s_clk_from_dut , s_reset_or_clk_to_dut , s_trigger_to_dut , s_shutter_to_dut: std_logic_vector(g_NUM_DUTS-1 downto 0); + signal s_DUT_mask : std_logic_vector(g_NUM_DUTS-1 downto 0) := (others => '0'); --! Mask for the DUTs not used + signal s_EnableOutput : std_logic_vector(g_IPBUS_WIDTH-1 downto 0) := (others => '0'); + + constant c_N_CTRL : positive := 2; + constant c_N_STAT : positive := 2; + signal s_status_to_ipbus, s_sync_status_to_ipbus : ipb_reg_v(c_N_STAT-1 downto 0); + signal s_control_from_ipbus,s_sync_control_from_ipbus : ipb_reg_v(c_N_CTRL-1 downto 0); +BEGIN + + + -- Dummy code. + s_intermediate_busy_or(0) <= '0'; + --s_busy_from_dut(g_NUM_DUTS-1 downto 0) <= (others=>'0'); + + ----------------------------------------------------------------------------- + -- IPBus interface + ----------------------------------------------------------------------------- + ipbus_registers: entity work.ipbus_ctrlreg_v + generic map( + N_CTRL => c_N_CTRL, + N_STAT => c_N_STAT + ) + port map( + clk => ipbus_clk_i, + reset=> '0',--ipbus_reset_i , + ipbus_in=> ipbus_i, + ipbus_out=> ipbus_o, + d=> s_sync_status_to_ipbus, + q=> s_control_from_ipbus, + stb=> open + ); + + -- Synchronize registers from logic clock to ipbus. + sync_status: entity work.synchronizeRegisters + generic map ( + g_NUM_REGISTERS => c_N_STAT ) + port map ( + clk_input_i => clk_4x_logic_i, + data_i => s_status_to_ipbus, + data_o => s_sync_status_to_ipbus, + clk_output_i => ipbus_clk_i); + + -- Synchronize registers from logic clock to ipbus. + sync_ctrl: entity work.synchronizeRegisters + generic map ( + g_NUM_REGISTERS => c_N_CTRL ) + port map ( + clk_input_i => ipbus_clk_i, + data_i => s_control_from_ipbus, + data_o => s_sync_control_from_ipbus, + clk_output_i => clk_4x_logic_i); + + -- Map the control registers + s_DUT_mask <= s_sync_control_from_ipbus(0)(g_NUM_DUTS-1 downto 0); + s_EnableOutput <= s_sync_control_from_ipbus(1); + + -- Map the status registers + s_status_to_ipbus(0) <= std_logic_vector(to_unsigned(0,g_IPBUS_WIDTH-g_NUM_DUTS)) & s_DUT_mask; + s_status_to_ipbus(1) <= s_EnableOutput; + + + -- purpose: Writes in the positive pin of the signals an 80MHz clock and the strobe_4x_logic_i in the negative one. + --The output signals (one signal is an lvds pair) can be enabled independently in the s_EnableOutput via IPBus. + -- type : combinational + -- inputs : clk_2x_logic , strobe_4x_logic_i, s_EnableOutput + -- outputs: output_0_p, output_0_n, output_1_p, output_1_n, output_2_p, output_2_n, output_3_p, output_3_n + duts: for dut in 1 to g_NUM_DUTS generate + + output_0_p_inst : OBUF + port map ( + O => output_0_p(dut-1), + I => s_EnableOutput(0) and clk_2x_logic -- Buffer input + ); + output_0_n_inst : OBUF + port map ( + O => output_0_n(dut-1), + I => s_EnableOutput(0) and strobe_4x_logic_i -- Buffer input + ); + + output_1_p_inst : OBUF + port map ( + O => output_1_p(dut-1), + I => s_EnableOutput(1) and clk_2x_logic -- Buffer input + ); + output_1_n_inst : OBUF + port map ( + O => output_1_n(dut-1), + I => s_EnableOutput(1) and strobe_4x_logic_i -- Buffer input + ); + + output_2_p_inst : OBUF + port map ( + O => output_2_p(dut-1), + I => s_EnableOutput(2) and clk_2x_logic -- Buffer input + ); + output_2_n_inst : OBUF + port map ( + O => output_2_n(dut-1), + I => s_EnableOutput(2) and strobe_4x_logic_i -- Buffer input + ); + output_3_p_inst : OBUF + port map ( + O => output_3_p(dut-1), + I => s_EnableOutput(3) and clk_2x_logic -- Buffer input + ); + output_3_n_inst : OBUF + port map ( + O => output_3_n(dut-1), + I => s_EnableOutput(3) and strobe_4x_logic_i -- Buffer input + ); + + + s_intermediate_busy_or(dut) <= s_intermediate_busy_or(dut-1) or + (s_busy_from_dut(dut-1) and + s_DUT_mask(dut-1)); + + end generate duts; + + s_veto <= s_intermediate_busy_or(g_NUM_DUTS); + clk_2x_logic <= s_strobe_4x_logic_d1 or strobe_4x_logic_i; --80 MHz clock + + -- purpose: register for internal signals and output signals + -- type : combinational + -- inputs : clk_4x_logic_i , strobe_4x_logic_i , s_veto + -- outputs: veto_o + register_signals: process (clk_4x_logic_i)-- , strobe_4x_logic_i , s_veto) + begin -- process register_signals + if rising_edge(clk_4x_logic_i) then + veto_o <= s_veto; + s_strobe_4x_logic_d1 <= strobe_4x_logic_i; + s_reset_or_clk_to_dut <= ( others => (s_strobe_4x_logic_d1 or strobe_4x_logic_i)); + s_trigger_to_dut <= ( others => trigger_i ); + --shutter_to_dut <= ( others => shutter_i ); + end if; + end process register_signals; + +END ARCHITECTURE rtl; + diff --git a/firmware/minitlu/hdl/test/clock_divider_s6.v b/firmware/minitlu/hdl/test/clock_divider_s6.v new file mode 100755 index 00000000..3e29a336 --- /dev/null +++ b/firmware/minitlu/hdl/test/clock_divider_s6.v @@ -0,0 +1,47 @@ +`timescale 1ns / 1ps + +module clock_divider_s6( + input clk, + output d25, + output d28 + ); + + wire [6:0] q; + reg [5:0] qr = 0; + reg [2:0] ctr = 0; + //wire unconnected; // horrid hack + + assign q[0] = 1'b1; + + generate + genvar i; + for(i=1; i<=5; i=i+1) begin: gen_sr + + SRLC32E #( + .INIT(32'h80000000) + ) sr_0 ( + .Q(q[i]), + .A(5'b11111), + .CE(q[i-1] & ~qr[i-1]), + .CLK(clk), + .D(q[i]) + ); + + always @(posedge clk) + begin + qr[i] <= q[i]; + end + + end + endgenerate + + assign d25 = q[5]; + + always @(posedge clk) + begin + if(q[5] & ~qr[5]) ctr <= ctr + 1; + end + + assign d28 = ctr[2]; + +endmodule diff --git a/firmware/minitlu/hdl/test/clocks_s6_extphy.vhd b/firmware/minitlu/hdl/test/clocks_s6_extphy.vhd new file mode 100755 index 00000000..ddca849e --- /dev/null +++ b/firmware/minitlu/hdl/test/clocks_s6_extphy.vhd @@ -0,0 +1,110 @@ +-- clocks_s6_extphy +-- +-- Generates a 125MHz ethernet clock and 31MHz ipbus clock from the 200MHz reference +-- Includes reset logic for ipbus +-- +-- Dave Newbold, April 2011 +-- +-- $Id$ + +library ieee; +use ieee.std_logic_1164.all; + +library unisim; +use unisim.VComponents.all; + +entity clocks_s6_extphy is port( + sysclk_p, sysclk_n: in std_logic; + clko_125: out std_logic; + clko_ipb: out std_logic; + locked: out std_logic; + rsto_125: out std_logic; + rsto_ipb: out std_logic; + onehz: out std_logic + ); + +end clocks_s6_extphy; + +architecture rtl of clocks_s6_extphy is + + signal clk_ipb_i, clk_ipb_b, clk_125_i, clk_125_b, sysclk: std_logic; + signal d25, d25_d, dcm_locked: std_logic; + signal rst: std_logic := '1'; + + component clock_divider_s6 port( + clk: in std_logic; + d25: out std_logic; + d28: out std_logic + ); + end component; + +begin + + ibufgds0: IBUFGDS port map( + i => sysclk_p, + ib => sysclk_n, + o => sysclk + ); + + bufg_125: BUFG port map( + i => clk_125_i, + o => clk_125_b + ); + + clko_125 <= clk_125_b; + + bufg_ipb: BUFG port map( + i => clk_ipb_i, + o => clk_ipb_b + ); + + clko_ipb <= clk_ipb_b; + + dcm0: DCM_CLKGEN + generic map( + CLKIN_PERIOD => 5.0, + CLKFX_MULTIPLY => 5, + CLKFX_DIVIDE => 8, + CLKFXDV_DIVIDE => 4 + ) + port map( + clkin => sysclk, + clkfx => clk_125_i, + clkfxdv => clk_ipb_i, + locked => dcm_locked, + rst => '0' + ); + + clkdiv: clock_divider_s6 port map( + clk => sysclk, + d25 => d25, + d28 => onehz + ); + + process(sysclk) + begin + if rising_edge(sysclk) then + d25_d <= d25; + if d25='1' and d25_d='0' then + rst <= not dcm_locked; + end if; + end if; + end process; + + locked <= dcm_locked; + + process(clk_ipb_b) + begin + if rising_edge(clk_ipb_b) then + rsto_ipb <= rst; + end if; + end process; + + process(clk_125_b) + begin + if rising_edge(clk_125_b) then + rsto_125 <= rst; + end if; + end process; + +end rtl; diff --git a/firmware/minitlu/hdl/test/comb_generator_rtl.vhd b/firmware/minitlu/hdl/test/comb_generator_rtl.vhd new file mode 100644 index 00000000..0ad1db2c --- /dev/null +++ b/firmware/minitlu/hdl/test/comb_generator_rtl.vhd @@ -0,0 +1,90 @@ +--@file +-- +--@brief Generates a series of "10" bits followed by a run of "0". The number +-- of "10" is the same as the output bit plus one. +-- +--@detailed i.e. output(0) has "1000......0010......" etc. +-- output(1) has "1010.......001010......" etc. and so on. +-- +-- David Cussans, February 2011 +------------------------------------------------------------------------------- + +library IEEE; +use IEEE.STD_LOGIC_1164.all; +use ieee.numeric_std.all; + + +entity comb_generator is + + generic ( + g_SEQUENCE_LENGTH : positive := 4; -- --! Length of sequence before repeat = 2^g_SEQUENCE_LENGTH + g_N_OUTPUT_BITS : positive := 5); -- --! Number of bits in output. g_N_OUTPUT_BITS<2^g_SEQUENCE_LENGTH + + port ( + clk_i : in std_logic; -- --! Rising edge active + reset_i : in std_logic; -- --! Active high. Synchronous + data_o : out std_logic_vector(g_N_OUTPUT_BITS-1 downto 0)); --! Output pattern + + subtype t_COMB_ARRAY is std_logic_vector( 2**g_SEQUENCE_LENGTH -1 downto 0); + -- Function to generate a "10101010" pattern. + function f_GenerateComb ( v_Length : positive ) return t_COMB_ARRAY is + variable v_Comb : t_COMB_ARRAY ; + begin + for v_bit in v_Comb'range loop + if ((v_bit mod 2) = 0) then + v_Comb(v_bit) := '0'; + else + v_Comb(v_bit) := '1'; + end if; + end loop; + return v_Comb; + end f_GenerateComb; + +end entity comb_generator; + +architecture rtl of comb_generator is + + signal s_counter : unsigned( g_SEQUENCE_LENGTH-1 downto 0) := ( others => '0'); -- --! roll over of the counter sets the sequence length + constant c_SEQUENCE_WIDTH : positive := 2**g_SEQUENCE_LENGTH; -- generate a constant to make code easier to read... + constant c_ZEROS : std_logic_vector(c_SEQUENCE_WIDTH-1 downto 0) := (others => '0'); --! a vector of zeros to pad out sequence + constant c_COMB : std_logic_vector(c_SEQUENCE_WIDTH-1 downto 0) := f_GenerateComb(1); -- --! Pattern of 1 and 0 + subtype t_sequence is std_logic_vector(c_SEQUENCE_WIDTH-1 downto 0); + type t_patternArray is array(g_N_OUTPUT_BITS-1 downto 0) of t_sequence; + signal s_pattern : t_patternArray := ( others => ( others => '0')); --! Array of patterns. One for each output bit. + +begin -- architecture rtl + + gen_bits: for v_bitNumber in 1 to g_N_OUTPUT_BITS generate + + -- purpose: Generates the comb pattern. Different for each bit + -- type : sequential + -- inputs : clk_i, reset_i, s_counter + -- outputs: data_o(v_bitNumber) + p_genPattern: process (clk_i, reset_i) is + begin -- process p_genPattern + if rising_edge(clk_i) then -- rising clock edge + if reset_i = '1' then -- synchronous reset (active high) + s_counter <= ( others => '0'); + else + + s_counter <= s_counter + 1 ; + data_o(v_bitNumber-1) <= s_pattern(v_bitNumber-1)(0); + + if ( s_counter = 0 ) then + s_pattern(v_bitNumber-1) <= c_COMB( (v_bitNumber*2)-1 downto 0) & c_ZEROS( c_SEQUENCE_WIDTH - (v_bitNumber*2)-1 downto 0); + else + s_pattern(v_bitNumber-1) <= '0' & s_pattern(v_bitNumber-1)(c_SEQUENCE_WIDTH-1 downto 1); + end if; + end if; + end if; + end process p_genPattern; + + end generate gen_bits; + + + +end architecture rtl; + + + + diff --git a/firmware/minitlu/hdl/test/dtype_fd.vhdl b/firmware/minitlu/hdl/test/dtype_fd.vhdl new file mode 100644 index 00000000..2ab1f676 --- /dev/null +++ b/firmware/minitlu/hdl/test/dtype_fd.vhdl @@ -0,0 +1,38 @@ +----- CELL dtype_fd ----- +-- +--@file +-- +--@brief Aims to be the same as the Xilinx "FD" primitive - +-- D-Type flip-flop +-- +-- Modified from D-type example in VHDL book. +-- See Xilinx spartan6_scm.pdf +-- +-- David Cussans, Feb 2011 +-- +library IEEE; +use IEEE.STD_LOGIC_1164.all; + +entity dtype_fd is + + port( + Q : out std_logic; --! Output + CLK : in std_logic; --! Clock - rising edge active + D : in std_logic --! Input + ); + +end dtype_fd; + +architecture rtl of dtype_fd is +begin + + VITALBehavior : process(CLK) + begin + + if rising_edge(CLK) then + Q <= D ; + end if; + end process; + +end rtl; + diff --git a/firmware/minitlu/hdl/test/dtype_fdpe.vhdl b/firmware/minitlu/hdl/test/dtype_fdpe.vhdl new file mode 100644 index 00000000..d6c2e8a3 --- /dev/null +++ b/firmware/minitlu/hdl/test/dtype_fdpe.vhdl @@ -0,0 +1,43 @@ +----- CELL dtype_fdpe ----- +-- +--@file +-- +--@brief Aims to be the same as the Xilinx "FDPE" primitive - +-- D-Type flip-flop with asynchronous set. +-- +-- Modified from D-type example in VHDL book. +-- See Xilinx spartan6_scm.pdf +-- +-- David Cussans, Feb 2011 +-- +library IEEE; +use IEEE.STD_LOGIC_1164.all; +-- use IEEE.VITAL_Timing.all; + +entity dtype_fdpe is + + port( + Q : out std_logic; --! Output + CLK : in std_logic; --! Clock - rising edge active + D : in std_logic; --! Input + CE : in std_logic; --! Clock enable + PRE : in std_logic --! Asynchronous preload + ); + +end dtype_fdpe; + +architecture dtype_V of dtype_fdpe is +begin + + VITALBehavior : process(CLK, PRE , CE) + begin + + if (PRE = '1') then + Q <= '1'; + elsif ( rising_edge(CLK) and CE = '1' ) then + Q <= D ; + end if; + end process; + +end dtype_V; + diff --git a/firmware/minitlu/hdl/test/dtype_fdr.vhdl b/firmware/minitlu/hdl/test/dtype_fdr.vhdl new file mode 100644 index 00000000..cd388ae8 --- /dev/null +++ b/firmware/minitlu/hdl/test/dtype_fdr.vhdl @@ -0,0 +1,75 @@ + +--! @file dtype_fdr.vhdl +-- + +-- +library IEEE; + +use IEEE.STD_LOGIC_1164.all; + +------------------------------------------------------------------------------- +-- -- +-- University of Bristol, High Energy Physics Group -- +-- -- +------------------------------------------------------------------------------- +-- +-- unit name: dtype_fdr +-- +--! @brief Aims to be the same as the Xilinx "FD" primitive - D-Type flip-flop +-- +-- +--! @author David.Cussans@bristol.ac.uk +-- +--! @date 7/May/2011 +-- +--! @version 0.1 +-- +--! @details -- Modified from D-type example in VHDL book. +--! See Xilinx spartan6_scm.pdfOutput goes high when input goes high ( asyncnronous to system clock). +-- +--! <b>Dependencies:</b>\n +--! +--! <b>References:</b>\n +--! <reference one> \n +--! <reference two> +--! +--! <b>Modified by:</b>\n +--! Author: <name> +------------------------------------------------------------------------------- +--! \n\n<b>Last changes:</b>\n +--! <date> <initials> <log>\n +--! <extended description> +------------------------------------------------------------------------------- +--! @todo <next thing to do> \n +--! <another thing to do> \n +-- +------------------------------------------------------------------------------- + +entity dtype_fdr is + + port( + Q : out std_logic; --! Output + CLK : in std_logic; --! Clock - rising edge active + RST : in std_logic; --! Active high, synchronous + D : in STD_LOGIC --! Input + ); + +end dtype_fdr; + +architecture rtl of dtype_fdr is +begin + + VITALBehavior : process(CLK) + begin + + if rising_edge(CLK) then + if (RST = '1') then + Q <= '0'; + else + Q <= D ; + end if; + end if; + end process; + +end rtl; + diff --git a/firmware/minitlu/hdl/test/dtype_fds.vhdl b/firmware/minitlu/hdl/test/dtype_fds.vhdl new file mode 100644 index 00000000..22593c5c --- /dev/null +++ b/firmware/minitlu/hdl/test/dtype_fds.vhdl @@ -0,0 +1,76 @@ + +--! @file dtype_fds.vhdl +-- + +-- +library IEEE; + +use IEEE.STD_LOGIC_1164.all; + +------------------------------------------------------------------------------- +-- -- +-- University of Bristol, High Energy Physics Group -- +-- -- +------------------------------------------------------------------------------- +-- +-- unit name: dtype_fds +-- +--! @brief Aims to be the same as the Xilinx "FDS" primitive - D-Type flip-flop +-- +-- +--! @author David.Cussans@bristol.ac.uk +-- +--! @date 7/May/2011 +-- +--! @version 0.1 +-- +--! @details -- Modified from D-type example in VHDL book. +--! See Xilinx spartan6_scm.pdf +--! Output goes high when input goes high ( asyncnronous to system clock). +-- +--! <b>Dependencies:</b>\n +--! +--! <b>References:</b>\n +--! <reference one> \n +--! <reference two> +--! +--! <b>Modified by:</b>\n +--! Author: <name> +------------------------------------------------------------------------------- +--! \n\n<b>Last changes:</b>\n +--! <date> <initials> <log>\n +--! <extended description> +------------------------------------------------------------------------------- +--! @todo <next thing to do> \n +--! <another thing to do> \n +-- +------------------------------------------------------------------------------- + +entity dtype_fds is + + port( + Q : out std_logic; --! Output + CLK : in std_logic; --! Clock - rising edge active + SET : in std_logic; --! Active high, synchronous + D : in STD_LOGIC --! Input + ); + +end dtype_fds; + +architecture rtl of dtype_fds is +begin + + VITALBehavior : process(CLK) + begin + + if rising_edge(CLK) then + if (SET = '1') then + Q <= '1'; + else + Q <= D ; + end if; + end if; + end process; + +end rtl; + diff --git a/firmware/minitlu/hdl/test/fmc-tlu_sp601_pulse_shaper.vhdl b/firmware/minitlu/hdl/test/fmc-tlu_sp601_pulse_shaper.vhdl new file mode 100755 index 00000000..f9fe826f --- /dev/null +++ b/firmware/minitlu/hdl/test/fmc-tlu_sp601_pulse_shaper.vhdl @@ -0,0 +1,65 @@ +--@file +-- +--@brief Top level for AIDA Mini-TLU in FMC format using IPBUS. +-- +-- David Cussans, February 2011 +------------------------------------------------------------------------------- +-- +library IEEE; +use IEEE.STD_LOGIC_1164.all; + +--! Use library for instantiating Xilinx primitive components. +library UNISIM; +use UNISIM.vcomponents.all; + +entity fmc_tlu_sp601 is + port ( + SYSCLK_N , SYSCLK_P : in std_logic; --! 200MHz crystal clock + D : in std_logic; --! pulse input + Q : out std_logic; --! pulse_output + RST : in std_logic; --! active high. Syncronous + pulse_length : in std_logic_vector(3 downto 0) --! + --Dummy + --to + --avoid pruning + + ); + +end fmc_tlu_sp601; + +architecture rtl of fmc_tlu_sp601 is + + -- constant MASK_WIDTH : integer := 16; -- Number of registers in shift-reg + + component pulse_shaper + port ( + D_a_i : in std_logic; --! Input pulse + Q_a_o : out std_logic; --! output pulse + CLK_i : in std_logic; --! Clock , rising edge active + RST_i : in std_logic; --! Active high. Synchronous + PULSE_LENGTH_i : in std_logic_vector(3 downto 0)); -- ! Load with desired + -- width of pulse. + end component; + + signal buffered_clock : std_logic := '0'; + +begin -- rtl + + -- buf_sysclk : IBUFGDS +-- port map ( +-- I => sysclk_p, +-- IB => sysclk_n, +-- O => buffered_clock); + + -- for simulation bodge up by connecting buffered_clock to sysclk_p + buffered_clock <= sysclk_p; + + shaper : pulse_shaper + port map ( + D_a_i => D, + Q_a_o => Q, + RST_i => RST, + CLK_i => buffered_clock, + pulse_length_i => pulse_length); + +end rtl; diff --git a/firmware/minitlu/hdl/test/fmc_tlu_pin_test.vhd b/firmware/minitlu/hdl/test/fmc_tlu_pin_test.vhd new file mode 100644 index 00000000..3430ed9b --- /dev/null +++ b/firmware/minitlu/hdl/test/fmc_tlu_pin_test.vhd @@ -0,0 +1,216 @@ +--============================================================================= +--! @file fmcTlu_pinTest_struct.vhd +--============================================================================= +-- +------------------------------------------------------------------------------- +-- -- +-- University of Bristol, High Energy Physics Group. +-- -- +------------------------------------------------------------------------------- -- +-- VHDL -- VHDL Architecture work.fmcTlu_pinTest.struct +-- +--! @brief \n +--! \n +-- +--! @author David Cussans , David.Cussans@bristol.ac.uk ( phdgc.users (fortis.phy.bris.ac.uk)) +-- +--! @date 16:18:26 01/24/14 +-- +--! @version v0.1 +-- +--! @details +--! +--! +--! <b>Dependencies:</b>\n +--! +--! <b>References:</b>\n +--! +--! <b>Modified by:</b>\n +--! Author: +------------------------------------------------------------------------------- +--! \n\n<b>Last changes:</b>\n +------------------------------------------------------------------------------- +--! @todo <next thing to do> \n +--! <another thing to do> \n +-- +-------------------------------------------------------------------------------- +-- +-- +LIBRARY ieee; +USE ieee.std_logic_1164.all; +USE ieee.numeric_std.all; + +ENTITY fmcTlu_pinTest IS + GENERIC( + g_NUM_DUTS : positive := 3; + g_NUM_TRIG_INPUTS : positive := 4; + g_NUM_EXT_SLAVES : positive := 11; --! Number of slaves outside IPBus interface + g_EVENT_DATA_WIDTH : positive := 64; + g_IPBUS_WIDTH : positive := 32; + g_NUM_EDGE_INPUTS : positive := 4; + g_SPILL_COUNTER_WIDTH : positive := 12 + ); + PORT( + cfd_discr_n_i : IN std_logic_vector (g_NUM_TRIG_INPUTS-1 DOWNTO 0); + cfd_discr_p_i : IN std_logic_vector (g_NUM_TRIG_INPUTS-1 DOWNTO 0); + dip_switch_i : IN std_logic_vector (3 DOWNTO 0); + gmii_rx_clk_i : IN std_logic; + gmii_rx_dv_i : IN std_logic; + gmii_rx_er_i : IN std_logic; + gmii_rxd_i : IN std_logic_vector (7 DOWNTO 0); + sysclk_n_i : IN std_logic; --! 200 MHz xtal clock + sysclk_p_i : IN std_logic; + threshold_discr_n_i : IN std_logic_vector (g_NUM_TRIG_INPUTS-1 DOWNTO 0); + threshold_discr_p_i : IN std_logic_vector (g_NUM_TRIG_INPUTS-1 DOWNTO 0); + reset_i : IN std_logic; + gmii_gtx_clk_o : OUT std_logic; + gmii_tx_en_o : OUT std_logic; + gmii_tx_er_o : OUT std_logic; + gmii_txd_o : OUT std_logic_vector (7 DOWNTO 0); + gpio_hdr : OUT std_logic_vector (7 DOWNTO 0); + leds_o : OUT std_logic_vector (3 DOWNTO 0); + phy_rstb_o : OUT std_logic; + i2c_scl_b : INOUT std_logic; + i2c_sda_b : INOUT std_logic; + -- Signal definitions for TLU in normal use: + --busy_n_i : IN std_logic_vector (g_NUM_DUTS-1 DOWNTO 0); + --busy_p_i : IN std_logic_vector (g_NUM_DUTS-1 DOWNTO 0); --! Busy lines from DUTs ( active high ) + --dut_clk_n_o : INOUT std_logic_vector (g_NUM_DUTS-1 DOWNTO 0); + --dut_clk_p_o : INOUT std_logic_vector (g_NUM_DUTS-1 DOWNTO 0); + --reset_or_clk_n_o : OUT std_logic_vector (g_NUM_DUTS-1 DOWNTO 0); + --reset_or_clk_p_o : OUT std_logic_vector (g_NUM_DUTS-1 DOWNTO 0); + --triggers_n_o : OUT std_logic_vector (g_NUM_DUTS-1 DOWNTO 0); + --triggers_p_o : OUT std_logic_vector (g_NUM_DUTS-1 DOWNTO 0); --! Trigger lines to DUT + --extclk_n_b : INOUT std_logic; + --extclk_p_b : INOUT std_logic; --! either external clock in, or a clock being driven out + -- Declare all as outputs for test purposes + busy_n_o : OUT std_logic_vector (g_NUM_DUTS-1 DOWNTO 0); + busy_p_o : OUT std_logic_vector (g_NUM_DUTS-1 DOWNTO 0); --! Busy lines from DUTs ( active high ) + dut_clk_n_o : OUT std_logic_vector (g_NUM_DUTS-1 DOWNTO 0); + dut_clk_p_o : OUT std_logic_vector (g_NUM_DUTS-1 DOWNTO 0); + reset_or_clk_n_o : OUT std_logic_vector (g_NUM_DUTS-1 DOWNTO 0); + reset_or_clk_p_o : OUT std_logic_vector (g_NUM_DUTS-1 DOWNTO 0); + triggers_n_o : OUT std_logic_vector (g_NUM_DUTS-1 DOWNTO 0); + triggers_p_o : OUT std_logic_vector (g_NUM_DUTS-1 DOWNTO 0); --! Trigger lines to DUT + spare_p_o : OUT std_logic_vector (g_NUM_DUTS-1 DOWNTO 1); + spare_n_o : OUT std_logic_vector (g_NUM_DUTS-1 DOWNTO 1); + extclk_n_o : OUT std_logic; + extclk_p_o : OUT std_logic --! either external clock in, or a clock being driven out + + ); + +-- Declarations + +END ENTITY fmcTlu_pinTest ; + + + +LIBRARY work; +--USE work.ipbus.all; +--USE work.emac_hostbus_decl.all; +-- +--USE work.fmcTLU.all; +LIBRARY unisim; +USE unisim.vcomponents.all; + + +ARCHITECTURE struct OF fmcTlu_pinTest IS + + -- Architecture declarations + + constant c_NUM_OUTPUTS : positive := 6; + signal s_patternData : std_logic_vector( c_NUM_OUTPUTS-1 downto 0); + signal s_reset : std_logic := '0'; + signal ipbus_clk : std_logic := '0'; + +BEGIN + + cmp_clks: entity work.clocks_s6_extphy + port map ( + sysclk_p => sysclk_p_i, + sysclk_n => sysclk_n_i, + clk_logic_xtal_o=> OPEN, + clko_125 => OPEN, + clko_ipb => ipbus_clk, + locked => leds_o(2), + rsto_125 => OPEN, + rsto_ipb => s_reset, + onehz => leds_o(3) + ); + + leds_o(1 downto 0) <= ( others => '0'); + + i2c_scl_b <= 'Z'; + i2c_sda_b <= 'Z'; + + cmp_pattern: entity work.comb_generator + generic map ( + g_N_OUTPUT_BITS => c_NUM_OUTPUTS + ) + port map ( + clk_i => ipbus_clk, + reset_i => s_reset, + data_o => s_patternData + ); + + gen_duts: for nDut in 0 to g_NUM_DUTS-1 generate + + OBUFDS_busy_inst : OBUFDS + generic map ( + IOSTANDARD => "DEFAULT") + port map ( + O => busy_p_o(nDut), -- Diff_p output + OB => busy_n_o(nDut), -- Diff_n output + I => s_patternData(0) -- Buffer input + ); + + OBUFDS_dut_clk_inst : OBUFDS + generic map ( + IOSTANDARD => "DEFAULT") + port map ( + O => dut_clk_p_o(nDut), -- Diff_p output + OB => dut_clk_n_o(nDut), -- Diff_n output + I => s_patternData(1) -- Buffer input + ); + + OBUFDS_reset_or_clk_inst : OBUFDS + generic map ( + IOSTANDARD => "DEFAULT") + port map ( + O => reset_or_clk_p_o(nDut), -- Diff_p output + OB => reset_or_clk_n_o(nDut), -- Diff_n output + I => s_patternData(2) -- Buffer input + ); + + OBUFDS_triggers_inst : OBUFDS + generic map ( + IOSTANDARD => "DEFAULT") + port map ( + O => triggers_p_o(nDut), -- Diff_p output + OB => triggers_n_o(nDut), -- Diff_n output + I => s_patternData(3) -- Buffer input + ); + + end generate gen_duts; + + gen_duts1: for nDut in 1 to g_NUM_DUTS-1 generate + OBUFDS_spare_inst : OBUFDS + generic map ( + IOSTANDARD => "DEFAULT") + port map ( + O => spare_p_o(nDut), -- Diff_p output + OB => spare_n_o(nDut), -- Diff_n output + I => s_patternData(4) -- Buffer input + ); + end generate gen_duts1; + + OBUFDS_extclk_inst : OBUFDS + generic map ( + IOSTANDARD => "DEFAULT") + port map ( + O => extclk_p_o, -- Diff_p output + OB => extclk_n_o, -- Diff_n output + I => s_patternData(5) -- Buffer input + ); + +END ARCHITECTURE struct; diff --git a/firmware/minitlu/hdl/test/fmc_tlu_sp601_tb.vhdl b/firmware/minitlu/hdl/test/fmc_tlu_sp601_tb.vhdl new file mode 100644 index 00000000..1ac494f5 --- /dev/null +++ b/firmware/minitlu/hdl/test/fmc_tlu_sp601_tb.vhdl @@ -0,0 +1,160 @@ +-------------------------------------------------------------------------------- +-- Company: +-- Engineer: +-- +-- Create Date: 16:24:12 02/25/2011 +-- Design Name: +-- Module Name: /afs/phy.bris.ac.uk/cad/designs/fmc-mtlu/trunk/firmware/synthesis/ise/mTLU/fmc_tlu_sp601_tb.vhd +-- Project Name: mTLU +-- Target Device: +-- Tool versions: +-- Description: +-- +-- VHDL Test Bench Created by ISE for module: fmc_tlu_sp601 +-- +-- Dependencies: +-- +-- Revision: +-- Revision 0.01 - File Created +-- Additional Comments: +-- +-- Notes: +-- This testbench has been automatically generated using types std_logic and +-- std_logic_vector for the ports of the unit under test. Xilinx recommends +-- that these types always be used for the top-level I/O of a design in order +-- to guarantee that the testbench will bind correctly to the post-implementation +-- simulation model. +-------------------------------------------------------------------------------- +LIBRARY ieee; +USE ieee.std_logic_1164.ALL; + +use IEEE.Math_real.all; + +-- Uncomment the following library declaration if using +-- arithmetic functions with Signed or Unsigned values +USE ieee.numeric_std.ALL; + +ENTITY fmc_tlu_sp601_tb IS +END fmc_tlu_sp601_tb; + +ARCHITECTURE behavior OF fmc_tlu_sp601_tb IS + + -- Component Declaration for the Unit Under Test (UUT) + + COMPONENT fmc_tlu_sp601 + PORT( + SYSCLK_N : IN std_logic; + SYSCLK_P : IN std_logic; + D : IN std_logic; + Q : OUT std_logic; + RST: in std_logic; + pulse_length : IN std_logic_vector(3 downto 0) + ); + END COMPONENT; + + component pulse_shaper_scorer + port ( + clk_i : in std_logic; -- ! system clock + pulse_in_a_i : in std_logic; -- ! input ( unstretched) pulse + pulse_out_a_i : in std_logic; -- ! stretched pulse (output of pulse_stretcher) + pulse_length_i : in std_logic_vector --! Parameter to pulse_strecher + ); + end component; + + --min and max can be swapped quite happily + procedure rand_int( variable seed1, seed2 : inout positive; + min, max : in integer; + result : out integer) is + variable rand : real; + begin + uniform(seed1, seed2, rand); + result := integer(real(min) + (rand * (real(max)-real(min)) ) ); + end procedure; + + --Inputs + signal SYSCLK_N : std_logic := '0'; + signal SYSCLK_P : std_logic := '0'; + signal SYSCLK : std_logic := '0'; + signal D : std_logic := '0'; + signal RST : std_logic := '0'; + signal pulse_length : std_logic_vector(3 downto 0) := (others => '0'); + + --Outputs + signal Q : std_logic; + + constant sysclk_period : time := 10.0 ns; + + constant averagePulseWidth : real := 3.0; + constant averagePulseLow : real := 500.0; + +BEGIN + + --! set pulse length to 7(?) clock cycles + internal +-- pulse_length <= "0100" ; + --! set pulse length to 5 clock cycles + internal + pulse_length <= "0001" ; + + -- Instantiate the Unit Under Test (UUT) + uut: fmc_tlu_sp601 PORT MAP ( + SYSCLK_N => SYSCLK_N, + SYSCLK_P => SYSCLK_P, + D => D, + Q => Q, + RST => RST, + pulse_length => pulse_length + ); + + --! Instantiate "scorer" process + --! Examine signals and check for errors + scorer: pulse_shaper_scorer + port map ( + clk_i => sysclk , + pulse_in_a_i => D, + pulse_out_a_i => Q, + pulse_length_i => pulse_length + ); + + -- Clock process definitions + sysclk_process :process + begin + sysclk <= '0'; + wait for sysclk_period/2; + sysclk <= '1'; + wait for sysclk_period/2; + end process; + sysclk_n <= not sysclk; + sysclk_p <= sysclk; + + + -- Stimulus process + stim_proc: process + variable seed1 , seed2 : POSITIVE; + variable PulseWidth , PulseLow : time ; + variable Rand : real; + + begin + D <= '0'; + RST <= '1'; + -- hold reset state for 100 ns. + wait for 100 ns; + RST <= '0'; + + wait for sysclk_period*10; + + -- insert stimulus here + for I in 1 to 50 loop + D<= '1'; + -- wait for random pulse width + uniform(seed1, seed2, Rand); + PulseWidth := Rand * averagePulseWidth * sysclk_period; + wait for PulseWidth; + D<= '0'; + -- wait for random gap between pulses + uniform(seed1, seed2, Rand); + PulseLow := Rand * averagePulseLow * sysclk_period; + wait for PulseLow; + end loop; + wait; + end process; + +END; diff --git a/firmware/minitlu/hdl/test/fmc_tlu_top_sp601.vhd b/firmware/minitlu/hdl/test/fmc_tlu_top_sp601.vhd new file mode 100644 index 00000000..7587013a --- /dev/null +++ b/firmware/minitlu/hdl/test/fmc_tlu_top_sp601.vhd @@ -0,0 +1,164 @@ +--============================================================================= +--! @file fmc_tlu_top_sp601.vhd +--============================================================================= +-- @brief Top-level design for ipbus Maroc test . You must edit this file to set the IP and MAC addresses +-- +--! @details Based on ipbus_demo_sp601 by Dave Newbold, 23/2/11 +--! This version is for xc6slx16 on Xilinx SP601 eval board +--! Uses the s6 soft TEMAC core with GMII inteface to an external Gb PHY +--! You will need a license for the core +-- +--! @author David Cussans, 31/07/12 +-- + +-- Top-level design for trigger logic unit with IPBus readout +-- +-- This version is for xc6slx16 on Xilinx SP601 eval board +-- Uses the s6 soft TEMAC core with GMII inteface to an external Gb PHY +-- You will need a license for the core +-- +-- You must edit this file to set the IP and MAC addresses +-- + +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +use work.ipbus.ALL; +use work.ipbus_bus_decl.all; +use work.emac_hostbus_decl.all; + +--! Use UNISIM for Xilix primitives +Library UNISIM; +use UNISIM.vcomponents.all; + +entity fmc_tlu_top is port( + sysclk_p, sysclk_n : in STD_LOGIC; + leds: out STD_LOGIC_VECTOR(3 downto 0); + gmii_gtx_clk, gmii_tx_en, gmii_tx_er : out STD_LOGIC; + gmii_txd : out STD_LOGIC_VECTOR(7 downto 0); + gmii_rx_clk, gmii_rx_dv, gmii_rx_er: in STD_LOGIC; + gmii_rxd : in STD_LOGIC_VECTOR(7 downto 0); + phy_rstb : out STD_LOGIC; + dip_switch: in std_logic_vector(3 downto 0); + + -- Main I2C signals + i2c_sda_io: inout std_logic; + i2c_scl_io: inout std_logic; + + ); +end top; + +architecture rtl of top is + + -- + signal clk125, ipb_clk, locked, rst_125, rst_ipb, onehz : STD_LOGIC; + signal ipb_clk_n : STD_LOGIC; + signal mac_txd, mac_rxd : STD_LOGIC_VECTOR(7 downto 0); + signal mac_txdvld, mac_txack, mac_rxclko, mac_rxdvld, mac_rxgoodframe, mac_rxbadframe : STD_LOGIC; + signal ipb_master_out : ipb_wbus; + signal ipb_master_in : ipb_rbus; + signal mac_addr: std_logic_vector(47 downto 0); + signal ip_addr: std_logic_vector(31 downto 0); + signal hostbus_in: emac_hostbus_in; + signal hostbus_out: emac_hostbus_out; + + -- signals for main I2C + signal i2c_sda_oen_s: std_logic; + signal i2c_scl_oen_s: std_logic; + +begin + +-- DCM clock generation for internal bus, ethernet + + clocks: entity work.clocks_s6_extphy port map( + sysclk_p => sysclk_p, + sysclk_n => sysclk_n, + clko_125 => clk125, + clko_ipb => ipb_clk, + locked => locked, + rsto_125 => rst_125, + rsto_ipb => rst_ipb, + onehz => onehz + ); + + leds <= ('0', '0', locked, onehz); + +-- Ethernet MAC core and PHY interface +-- In this version, consists of hard MAC core and GMII interface to external PHY +-- Can be replaced by any other MAC / PHY combination + + eth: entity work.eth_s6_gmii port map( + clk125 => clk125, + rst => rst_125, + gmii_gtx_clk => gmii_gtx_clk, + gmii_tx_en => gmii_tx_en, + gmii_tx_er => gmii_tx_er, + gmii_txd => gmii_txd, + gmii_rx_clk => gmii_rx_clk, + gmii_rx_dv => gmii_rx_dv, + gmii_rx_er => gmii_rx_er, + gmii_rxd => gmii_rxd, + txd => mac_txd, + txdvld => mac_txdvld, + txack => mac_txack, + rxd => mac_rxd, + rxclko => mac_rxclko, + rxdvld => mac_rxdvld, + rxgoodframe => mac_rxgoodframe, + rxbadframe => mac_rxbadframe, + hostbus_in => hostbus_in, + hostbus_out => hostbus_out + ); + + phy_rstb <= '1'; + +-- ipbus control logic + + ipbus: entity work.ipbus_ctrl_udponly port map( + ipb_clk => ipb_clk, + rst_ipb => rst_ipb, + rst_macclk => rst_125, + mac_txclk => clk125, + mac_rxclk => mac_rxclko, + mac_rxd => mac_rxd, + mac_rxdvld => mac_rxdvld, + mac_rxgoodframe => mac_rxgoodframe, + mac_rxbadframe => mac_rxbadframe, + mac_txd => mac_txd, + mac_txdvld => mac_txdvld, + mac_txack => mac_txack, + ipb_out => ipb_master_out, + ipb_in => ipb_master_in, + mac_addr => mac_addr, + ip_addr => ip_addr + ); + + mac_addr <= X"020ddba115" & dip_switch & X"0"; -- Careful here, arbitrary addresses do not always work + ip_addr <= X"c0a8c8" & dip_switch & X"0"; -- 192.168.200.X + +-- ipbus slaves live in the entity below, and can expose top-level ports +-- The ipbus fabric is instantiated within. + + slaves: entity work.slaves port map( + ipb_clk => ipb_clk, + rst => rst_ipb, + ipb_in => ipb_master_out, + ipb_out => ipb_master_in, +-- Top level ports from here + hostbus_out => hostbus_in, + hostbus_in => hostbus_out, + + gpio => open, + -- Main I2C signals + i2c_scl_i => i2c_scl_io , + i2c_scl_oen_o => i2c_scl_oen_s , + i2c_sda_i => i2c_sda_io, + i2c_sda_oen_o => i2c_sda_oen_s, + + ); + + -- For main I2C bus, need to put in a tri-state.... + i2c_scl_io <= '0' when (i2c_scl_oen_s = '0') else 'Z'; + i2c_sda_io <= '0' when (i2c_sda_oen_s = '0') else 'Z'; + +end rtl; + diff --git a/firmware/minitlu/hdl/test/i2c_chipscope_debug.cdc b/firmware/minitlu/hdl/test/i2c_chipscope_debug.cdc new file mode 100644 index 00000000..1ead4c37 --- /dev/null +++ b/firmware/minitlu/hdl/test/i2c_chipscope_debug.cdc @@ -0,0 +1,41 @@ +#ChipScope Core Inserter Project File Version 3.0 +#Tue Jul 23 13:58:01 BST 2013 +Project.device.designInputFile=/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/workspace/fmcTLU/fmcTLU_v1/top_extphy_cs.ngc +Project.device.designOutputFile=/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/workspace/fmcTLU/fmcTLU_v1/top_extphy_cs.ngc +Project.device.deviceFamily=18 +Project.device.enableRPMs=true +Project.device.outputDirectory=/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/workspace/fmcTLU/fmcTLU_v1/_ngo +Project.device.useSRL16=true +Project.filter.dimension=7 +Project.filter<0>=*i2c* +Project.filter<1>=*sda* +Project.filter<2>=i2c* +Project.filter<3>=ipbus_clk* +Project.filter<4>=*wb_clk* +Project.filter<5>=wb_clk* +Project.filter<6>= +Project.icon.boundaryScanChain=1 +Project.icon.enableExtTriggerIn=false +Project.icon.enableExtTriggerOut=false +Project.icon.triggerInPinName= +Project.icon.triggerOutPinName= +Project.unit.dimension=1 +Project.unit<0>.clockEdge=Rising +Project.unit<0>.dataDepth=1024 +Project.unit<0>.dataEqualsTrigger=true +Project.unit<0>.dataPortWidth=8 +Project.unit<0>.enableGaps=false +Project.unit<0>.enableStorageQualification=false +Project.unit<0>.enableTimestamps=false +Project.unit<0>.timestampDepth=0 +Project.unit<0>.timestampWidth=0 +Project.unit<0>.triggerConditionCountWidth=0 +Project.unit<0>.triggerMatchCount<0>=1 +Project.unit<0>.triggerMatchCountWidth<0><0>=0 +Project.unit<0>.triggerMatchType<0><0>=1 +Project.unit<0>.triggerPortCount=1 +Project.unit<0>.triggerPortIsData<0>=true +Project.unit<0>.triggerPortWidth<0>=8 +Project.unit<0>.triggerSequencerLevels=16 +Project.unit<0>.triggerSequencerType=0 +Project.unit<0>.type=ilapro diff --git a/firmware/minitlu/hdl/test/ipbus_addr_decode.vhd b/firmware/minitlu/hdl/test/ipbus_addr_decode.vhd new file mode 100755 index 00000000..6521459d --- /dev/null +++ b/firmware/minitlu/hdl/test/ipbus_addr_decode.vhd @@ -0,0 +1,46 @@ +-- Address decode logic for ipbus fabric +-- +-- This file has been AUTOGENERATED from the address table - do not hand edit +-- +-- We assume the synthesis tool is clever enough to recognise exclusive conditions +-- in the if statement. +-- +-- Dave Newbold, February 2011 + +library IEEE; +use IEEE.STD_LOGIC_1164.all; +use ieee.numeric_std.all; +use work.ipbus.all; + +package ipbus_addr_decode is + + function ipbus_addr_sel(signal addr : in std_logic_vector(31 downto 0)) return integer; + +end ipbus_addr_decode; + +package body ipbus_addr_decode is + + function ipbus_addr_sel(signal addr : in std_logic_vector(31 downto 0)) return integer is + variable sel : integer; + begin + if std_match(addr, "--------------------------000-00") then + sel := 0; -- statusReg / base 00000000 / mask 00000000 + elsif std_match(addr, "--------------------------000-01") then + sel := 1; -- controlReg / base 00000001 / mask 00000000 + elsif std_match(addr, "--------------------------000-10") then + sel := 2; -- pulser / base 00000002 / mask 00000000 + elsif std_match(addr, "--------------------------001---") then + sel := 3; -- cbcI2C / base 00000008 / mask 00000007 + elsif std_match(addr, "--------------------------010---") then + sel := 4; -- mainI2C / base 00000010 / mask 00000007 + elsif std_match(addr, "--------------------------011---") then + sel := 5; -- captureBuffer / base 00000018 / mask 00000007 + elsif std_match(addr, "--------------------------100-0-") then + sel := 6; -- emac_hostbus / base 00000020 / mask 00000001 + else + sel := 99; + end if; + return sel; + end ipbus_addr_sel; + +end ipbus_addr_decode; diff --git a/firmware/minitlu/hdl/test/ipbus_ver.vhd b/firmware/minitlu/hdl/test/ipbus_ver.vhd new file mode 100755 index 00000000..81529bda --- /dev/null +++ b/firmware/minitlu/hdl/test/ipbus_ver.vhd @@ -0,0 +1,41 @@ +-- Version register, returns a fixed value +-- +-- To be replaced by a more coherent versioning mechanism later +-- +-- Dave Newbold, August 2011 + +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +use work.ipbus.all; + +entity ipbus_ver is + port( + ipbus_in: in ipb_wbus; + ipbus_out: out ipb_rbus + ); + +end ipbus_ver; + +architecture rtl of ipbus_ver is + +begin + + ipbus_out.ipb_rdata <= X"a5cd" & X"1008"; -- Lower 16b are ipbus firmware build ID (temporary arrangement). + ipbus_out.ipb_ack <= ipbus_in.ipb_strobe; + ipbus_out.ipb_err <= '0'; + +end rtl; + +-- Build log +-- +-- build 0x1000 : 22/08/11 : Starting build ID +-- build 0x1001 : 29/08/11 : Version for SPI testing +-- build 0x1002 : 27/09/11 : Bug fixes, new transactor code; v1.3 candidate +-- build 0x1003 : buggy +-- build 0x1004 : 18/10/11 : New mini-t top level, bug fixes, 1.3 codebase +-- build 0x1005 : 20/10/11 : ipbus address config testing in mini-t +-- build 0x1006 : 26/10/11 : trying with jumbo frames +-- build 0x1007 : 27/10/11 : new slaves / address map + rhino frames +-- build 0x1008 : 31/10/11 : rhino frames + multibus demo + + diff --git a/firmware/minitlu/hdl/test/pulse_shaper.vhdl b/firmware/minitlu/hdl/test/pulse_shaper.vhdl new file mode 100644 index 00000000..1d363ac8 --- /dev/null +++ b/firmware/minitlu/hdl/test/pulse_shaper.vhdl @@ -0,0 +1,200 @@ +--============================================================================= +--! @file pulse_shaper.vhdl +--============================================================================= + +--! Standard library +Library IEEE; + +--! Standard logic package +use IEEE.STD_LOGIC_1164.all; + +--! Xilinx library +Library UNISIM; + +--! Xilinx component +use UNISIM.vcomponents.all; + +------------------------------------------------------------------------------- +-- -- +-- University of Bristol, High Energy Physics Group -- +-- -- +------------------------------------------------------------------------------- +-- +-- unit name: pulse_shaper +-- +--! @brief Output goes high when input goes high ( asyncnronous to system clock). +--! Output goes low again a controllable number of clock cycles later, +--! synchronous with the rising edge of the clock. +--! Gap of at least one clock cycle before output goes high again. +-- +--! @author David.Cussans@bristol.ac.uk +-- +--! @date 7/May/2011 +-- +--! @version 0.1 +-- +--! @details Output won't retrigger if input is still high at end of pulse. +--! Length of pulse (in clock cycles) is pulse_length+4 +-- +--! <b>Dependencies:</b>\n +--! dtype_fdpe +--! dtype_fdr +--! dtype_fds +--! +--! <b>References:</b>\n +--! <reference one> \n +--! <reference two> +--! +--! <b>Modified by:</b>\n +--! Author: <name> +------------------------------------------------------------------------------- +--! \n\n<b>Last changes:</b>\n +--! <date> <initials> <log>\n +--! <extended description> +-- +------------------------------------------------------------------------------- +--! @todo Broaden pulse fed into set/reset flip-flop \n +-- +------------------------------------------------------------------------------- + +entity pulse_shaper is + port ( + D_a_i : in std_logic; --! Input pulse + Q_a_o : out std_logic; --! output pulse + CLK_i : in std_logic; --! Clock , rising edge active + RST_i : in std_logic; --! Hold high for PULSE_LENGTH+4 + PULSE_LENGTH_i : in std_logic_vector(3 downto 0) --! length of output pulse + ); + +end pulse_shaper; + +architecture rtl of pulse_shaper is + + component dtype_fdpe + port( + Q : out std_logic; --! Output + CLK : in std_logic; --! Clock - rising edge active + D : in std_logic; --! Input + CE : in std_logic; --! Clock enable + PRE : in std_logic --! Asynchronous preload + ); + end component; + + + component dtype_fd + port( + Q : out std_logic; --! Output + CLK : in std_logic; --! Clock - rising edge active + D : in std_logic --! Input + ); + end component; + + component dtype_fds + port( + Q : out std_logic; --! Output + CLK : in std_logic; --! Clock - rising edge active + SET : in std_logic; --! Active high. Synchronous + D : in std_logic --! Input + ); + end component; + + component dtype_fdr + port( + Q : out std_logic; --! Output + CLK : in std_logic; --! Clock - rising edge active + RST : in std_logic; --! Active high. Synchronous + D : in std_logic --! Input + ); + end component; + + signal s_vetoed_pulse_a : std_logic := '0'; --! input signal after internal veto + + signal s_async_pulse_a : std_logic := '0'; -- ! Output from pre-settable D-type + + signal s_srl_ce , s_srl_d , s_srl_q : std_logic := '0'; -- ! Input, output from shift reg. + + signal s_Q_d1 , s_Q_d2 , s_Q_d3 : std_logic := '0'; --! Output, delayed by one clock. Used to form veto. + + signal s_D_d1 , s_D_d2 : std_logic := '0'; --! Input, delayed by one clock. Used to form veto. + +begin -- rtl + + --! Input to SRL16 pulses high for one cycle on rising edge. Goes high on RST + s_srl_d <= s_Q_d2 and (not s_Q_d3); + + --! Clock the SRL if the output is high ( or if the output of the SRL is high.... ) + s_srl_ce <= s_Q_d2 or s_srl_q ; + + SRL16E_inst : SRL16E + generic map ( + INIT => X"0000") + port map ( + Q => S_SRL_Q, -- SRL data output + A0 => PULSE_LENGTH_i(0), -- Select[0] input + A1 => PULSE_LENGTH_i(1), -- Select[1] input + A2 => PULSE_LENGTH_i(2), -- Select[2] input + A3 => PULSE_LENGTH_i(3), -- Select[3] input + CE => S_SRL_CE, -- Clock enable input + CLK => CLK_i, --Clock input + D => S_SRL_D -- SRL data input + ); + + --! In order for a pulse to get to the PREset input, the output must be low + --! and the input must be low. Goes low on RST high + s_vetoed_pulse_a <= D_a_i and (not s_Q_d2) and (not s_D_d2); + + Q_a_o <= s_async_pulse_a; --! Connect output of FDPE to output. + + --! Async. set, sync clear. + async_reg: dtype_fdpe + port map ( + Q => s_async_pulse_a, + D => '0', --! Clock in zero when shift reg. spits out a '1' + CLK => CLK_i, + CE => S_SRL_Q, + PRE => s_vetoed_pulse_a ) ; + + q_reg1 : dtype_fdr --! Delay the output signal + port map ( + Q => s_Q_d1, + D => s_async_pulse_a, + RST => RST_i, + CLK => CLK_i + ) ; + + --! Delay the output signal + q_reg2 : dtype_fds + port map ( + Q => s_Q_d2, + D => s_Q_d1, + SET => RST_i, --! Take high on reset. + CLK => CLK_i + ) ; + + --! Delay the output signal + q_reg3 : dtype_fdr + port map ( + Q => s_Q_d3, + D => s_Q_d2, + RST => RST_i, --! Take low on reset + CLK => CLK_i + ) ; + + d_reg1 : dtype_fd --! Delay the input + port map ( + Q => s_D_d1, + D => D_a_i, + CLK => CLK_i + ) ; + + d_reg2 : dtype_fds --! Delay the input + port map ( + Q => s_D_d2, + D => s_D_d1, + SET => RST_i, + CLK => CLK_i + ) ; + + + +end rtl; diff --git a/firmware/minitlu/hdl/test/pulse_shaper_async_dtypes.vhdl b/firmware/minitlu/hdl/test/pulse_shaper_async_dtypes.vhdl new file mode 100644 index 00000000..6ba14ad3 --- /dev/null +++ b/firmware/minitlu/hdl/test/pulse_shaper_async_dtypes.vhdl @@ -0,0 +1,92 @@ +----- CELL pulse_shaper ----- +-- +--@file +-- +--@brief Output goes high when input goes high ( asyncnronous to system clock). +--! Output goes low again a controllable number of clock cycles later, +--! synchronous with the rising edge of the clock. +--! Gap of at least one clock cycle before output goes high again. +--! Pile-up will result in timing errors ( veto-cleared sync. with clock ) +-- +--! Output won't retrigger if input is still high at end of pulse. +-- +--! Fill top bits of PULSE_MASK +--! For example for a pulse width of 4.X clock cycles load '1111000000000000' +-- +-- David Cussans, Feb 2011 +-- +library IEEE; +use IEEE.STD_LOGIC_1164.all; + +entity pulse_shaper_async_dtypes is + generic ( + MASK_WIDTH : integer := 16); --! Width of shift register and hence maximum width of pulse + port ( + D : in std_logic; --! Input pulse + Q : out std_logic; --! output pulse + CLK : in std_logic; --! Clock , rising edge active + PULSE_MASK : in std_logic_vector(MASK_WIDTH-1 downto 0)); -- ! preload for shift-register. Fill with number of '1's that the ouput pulse should be + +end pulse_shaper_async_dtypes; + +architecture rtl of pulse_shaper_async_dtypes is + + component dtype_fdpe + port( + Q : out std_logic; --! Output + CLK : in std_logic; --! Clock - rising edge active + D : in std_logic; --! Input + CE : in std_logic; --! Clock enable + PRE : in std_logic --! Asynchronous preload + ); + end component; + + signal shift_reg : std_logic_vector(MASK_WIDTH downto 0) := ( others => '0' ); --! shift register holding '1's to be shifted out + + signal preload : std_logic_vector(MASK_WIDTH-1 downto 0) := ( others => '0' ); --! Mask register holding '1's to be shifted out + + signal vetoed_pulse : std_logic := '0'; --! input signal after internal veto + + signal Q_R1 , Q_R2 , D_R1 : std_logic := '0'; --! Output, input delayed by one clock. Used + --to form veto. + +begin -- rtl + + shift_reg(0) <= '0'; --! Shift in zero at start of SReg. + + --! Generate a shift register out of flip-flops. + --! Unfortunately SRL16 , SRL32 don't have async. load. + SR : for bit in 0 to MASK_WIDTH-1 generate + preload(bit) <= (vetoed_pulse and pulse_mask(bit)); + dtype : dtype_fdpe + port map ( + Q => shift_reg(bit+1), + D => shift_reg(bit), + CLK => CLK, + CE => '1', + PRE => preload(bit)) ; + end generate SR ; + + Q <= shift_reg(MASK_WIDTH); --! Take output from end of SR. + + q_reg : dtype_fdpe --! Delay the output signal + port map ( + Q => Q_R1, + D => shift_reg(MASK_WIDTH), + CLK => CLK, + CE => '1', + PRE => '0') ; + + d_reg : dtype_fdpe --! Delay the input signal + port map ( + Q => D_R1, + D => D, + CLK => CLK, + CE => '1', + PRE => '0') ; + + --! Arrgh... problems with glitching if veto immediately. + -- put in a transparent latch or something to force some delay??? + vetoed_pulse <= D and (not shift_reg(MASK_WIDTH) ) and (not Q_R1) and (not D_R1); + +end rtl; diff --git a/firmware/minitlu/hdl/test/pulse_shaper_scorer.vhdl b/firmware/minitlu/hdl/test/pulse_shaper_scorer.vhdl new file mode 100644 index 00000000..ef7b48ac --- /dev/null +++ b/firmware/minitlu/hdl/test/pulse_shaper_scorer.vhdl @@ -0,0 +1,67 @@ +--============================================================================= +--! @file pulse_stretcher_scorer.vhdl +--============================================================================= + +--! Standard library +Library IEEE; + +--! Standard logic package +use IEEE.STD_LOGIC_1164.all; + +------------------------------------------------------------------------------- +-- -- +-- University of Bristol, High Energy Physics Group -- +-- -- +------------------------------------------------------------------------------- +-- +-- unit name: pulse_stretcher_scoer +-- +--! @brief Checks that pulse_shaper is behaving correctly. +--! Check for Output goes high when input goes high ( asyncnronous to system clock). +--! Output goes low again a controllable number of clock cycles later, +--! synchronous with the rising edge of the clock. +--! Gap of at least one clock cycle before output goes high again. +-- +--! @author David.Cussans@bristol.ac.uk +-- +--! @date 7/May/2011 +-- +--! @version 0.1 +-- +--! @details +-- +-- +--! <b>Dependencies:</b>\n +--! +--! <b>References:</b>\n +--! <reference one> \n +--! <reference two> +--! +--! <b>Modified by:</b>\n +--! Author: <name> +------------------------------------------------------------------------------- +--! \n\n<b>Last changes:</b>\n +--! <date> <initials> <log>\n +--! <extended description> +-- +------------------------------------------------------------------------------- +--! @todo \n +-- +------------------------------------------------------------------------------- + +entity pulse_shaper_scorer is + port ( + clk_i : in std_logic; -- ! system clock + pulse_in_a_i : in std_logic; -- ! input ( unstretched) pulse + pulse_out_a_i : in std_logic -- ! stretched pulse (output of pulse_stretcher) + pulse_length_i : in std_logic_vector; --! Parameter to pulse_strecher + ); +end pulse_shaper_scorer; + +architecture rtl of pulse_shaper_scorer is + + +begin -- rtl + + +end rtl; diff --git a/firmware/minitlu/hdl/test/slaves.vhd b/firmware/minitlu/hdl/test/slaves.vhd new file mode 100755 index 00000000..0bb0f37c --- /dev/null +++ b/firmware/minitlu/hdl/test/slaves.vhd @@ -0,0 +1,199 @@ +-- The ipbus slaves live in this entity - modify according to requirements +-- +-- Ports can be added to give ipbus slaves access to the chip top level. +-- +-- Dave Newbold, February 2011 + +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +use ieee.numeric_std.all; +use work.ipbus.ALL; +use work.emac_hostbus_decl.all; + +entity slaves is port( + ipb_clk, rst : in STD_LOGIC; + ipb_in : in ipb_wbus; + ipb_out : out ipb_rbus; +-- Top level ports from here + hostbus_out: out emac_hostbus_in; + hostbus_in: in emac_hostbus_out; + + -- GPIO + gpio : out STD_LOGIC_VECTOR(3 downto 0); + -- Main I2C signals + i2c_scl_i: in std_logic; + i2c_scl_oen_o: out std_logic; + i2c_sda_i: in std_logic; + i2c_sda_oen_o: out std_logic; + -- CBC I2C signals + cbc_i2c_sda_enb_o: out std_logic; --! Active low. SDA pulled low when enb is high + cbc_i2c_scl_o: out std_logic; --! I2C Clock output. + cbc_i2c_sda_i : in std_logic; + -- CBC "fast" signals + cbc_trg_o, ext_trg_o, cbc_reset_o: out std_logic; + cbc_data_i: in std_logic + + ); + +end slaves; + +architecture rtl of slaves is + + constant NSLV: positive := 7; + signal ipbw: ipb_wbus_array(NSLV-1 downto 0); + signal ipbr, ipbr_d: ipb_rbus_array(NSLV-1 downto 0); + + signal cbc_i2c_scl_o_int: std_logic; + signal ctrl_reg: std_logic_vector(31 downto 0); + signal s_pulse_reg : std_logic_vector(31 downto 0); -- ! pulsed control signals + + signal s_cbc_reset : std_logic := '0'; -- ! Active high. Driven from cbcstuff + + signal s_cap_trg : std_logic := '0'; -- ! Starts capture of incoming data into capture buffer + +begin + + fabric: entity work.ipbus_fabric + generic map(NSLV => NSLV) + port map( + ipb_clk => ipb_clk, + rst => rst, + ipb_in => ipb_in, + ipb_out => ipb_out, + ipb_to_slaves => ipbw, + ipb_from_slaves => ipbr + ); + +-- Slave 0: version register + + slave0: entity work.ipbus_ver + port map( + ipbus_in => ipbw(0), + ipbus_out => ipbr(0)); + + -- Slave 1: 32b register + slave1: entity work.ipbus_reg + generic map(addr_width => 0) + port map( + clk => ipb_clk, + reset => rst, + ipbus_in => ipbw(1), + ipbus_out => ipbr(1), + q => ctrl_reg + ); + + s_cbc_reset <= ctrl_reg(16); + cbc_reset_o <= s_cbc_reset; + + -- Slave 2: 32b pulser + slave2: entity work.ipbus_pulser + port map( + clk_i => ipb_clk, + reset_i => rst, + ipbus_i => ipbw(2), + ipbus_o => ipbr(2), + q_o => s_pulse_reg + ); + + -- CBC clock and trigger signals. Connected to slave-1 ( 32 bit register ) + cbcstuff: entity work.cbc_logic + port map( + clk_i => ipb_clk, + cbc_trg_o => cbc_trg_o, + ext_trg_o => ext_trg_o, + cap_trg_o => s_cap_trg, + go_p_i => s_pulse_reg(0), + delay_i => unsigned(ctrl_reg(31 downto 24)), + trg_patt_i => ctrl_reg(22 downto 20) + ); + + + -- Slave 3: I2C core connected to CBC + + slave3: entity work.i2c_master_top + generic map ( + ARST_LVL => 0 + ) + port map( + wb_clk_i => ipb_clk, + wb_rst_i => rst, + arst_i => '1', --! Active low reset. + wb_adr_i => ipbw(3).ipb_addr(2 downto 0), + wb_dat_i => ipbw(3).ipb_wdata(7 downto 0), + wb_dat_o => ipbr(3).ipb_rdata(7 downto 0), + wb_we_i => ipbw(3).ipb_write, + wb_stb_i => ipbw(3).ipb_strobe, + wb_cyc_i => '1', + wb_ack_o => ipbr(3).ipb_ack, + wb_inta_o => open, + scl_pad_i => cbc_i2c_scl_o_int, + scl_pad_o => open, + scl_padoen_o => cbc_i2c_scl_o_int, + sda_pad_i => cbc_i2c_sda_i, + sda_pad_o => open, + sda_padoen_o => cbc_i2c_sda_enb_o + ); + + + cbc_i2c_scl_o <= cbc_i2c_scl_o_int; + + ipbr(3).ipb_rdata(31 downto 8) <= (others => '0'); + + + -- Slave 4: I2C core connected to main I2C + slave4: entity work.i2c_master_top + generic map ( + ARST_LVL => 0 + ) + port map( + wb_clk_i => ipb_clk, + wb_rst_i => rst, + arst_i => '1', --! Active low reset. + wb_adr_i => ipbw(4).ipb_addr(2 downto 0), + wb_dat_i => ipbw(4).ipb_wdata(7 downto 0), + wb_dat_o => ipbr(4).ipb_rdata(7 downto 0), + wb_we_i => ipbw(4).ipb_write, + wb_stb_i => ipbw(4).ipb_strobe, + wb_cyc_i => '1', + wb_ack_o => ipbr(4).ipb_ack, + wb_inta_o => open, + scl_pad_i => i2c_scl_i, + scl_pad_o => open, + scl_padoen_o => i2c_scl_oen_o, + sda_pad_i => i2c_sda_i, + sda_pad_o => open, + sda_padoen_o => i2c_sda_oen_o + ); + ipbr(4).ipb_rdata(31 downto 8) <= (others => '0'); + + -- Slave 5: Capture register. + slave5 : entity work.ipbus_capture_buffer + generic map ( + g_DATA_WIDTH => 32, --! Width of WB bus + g_RAM_ADDRESS_WIDTH => 3) --! size of RAM = 2^ram_address_width + port map ( + -- Wishbone signals + ipbus_clk_i => ipb_clk, + ipbus_i => ipbw(5), + ipbus_o => ipbr(5), + -- Data to capture. + reset_i => s_cbc_reset, + cap_clk_i => ipb_clk, + cap_d_i => cbc_data_i, + cap_go_i => s_cap_trg, + cap_edge_i => ctrl_reg(8) + ); + + +-- Slave 6: MAC host interface + + slave6: entity work.ipbus_emac_hostbus + port map( + clk => ipb_clk, + reset => rst, + ipbus_in => ipbw(6), + ipbus_out => ipbr(6), + hostbus_out => hostbus_out, + hostbus_in => hostbus_in); + +end rtl; diff --git a/firmware/minitlu/hdl/test/sp601_FMC_mTLU.ucf b/firmware/minitlu/hdl/test/sp601_FMC_mTLU.ucf new file mode 100644 index 00000000..9ae9c0d9 --- /dev/null +++ b/firmware/minitlu/hdl/test/sp601_FMC_mTLU.ucf @@ -0,0 +1,176 @@ +NET sysclk_p_i LOC = K15 | IOSTANDARD = LVDS_25 | DIFF_TERM = TRUE | TNM_NET = tnm_sysclk; +NET sysclk_n_i LOC = K16 | IOSTANDARD = LVDS_25 | DIFF_TERM = TRUE; + +TIMESPEC TS_sysclk = PERIOD tnm_sysclk 200MHz; + +# remove for now +#NET Reset_i LOC=P4; ## Global Reset + +#NET ipb_clk TNM_NET = tnm_ipb_clk; +#NET clk125 TNM_NET = tnm_clk125; +#TIMESPEC TS_tig_ipb_125 = FROM tnm_ipb_clk TO tnm_clk125 TIG; +#TIMESPEC TS_tig_125_ipb = FROM tnm_clk125 TO tnm_ipb_clk TIG; + +# NET clocks/rst* TIG; +NET I6/s_clk_is_xtal TIG; + +NET leds_o<0> LOC=E13 | IOSTANDARD=LVCMOS25; +NET leds_o<1> LOC=C14 | IOSTANDARD=LVCMOS25; +NET leds_o<2> LOC=C4 | IOSTANDARD=LVCMOS25; +NET leds_o<3> LOC=A4 | IOSTANDARD=LVCMOS25; + +NET dip_switch_i<0> LOC=D14; +NET dip_switch_i<1> LOC=E12; +NET dip_switch_i<2> LOC=F12; +NET dip_switch_i<3> LOC=V13; + +# Ethernet PHY + +TIMEGRP TG_gmii_tx=PADS("gmii_tx*"); +TIMEGRP TG_gmii_tx OFFSET = OUT AFTER sysclk_p_i REFERENCE_PIN "gmii_gtx_clk_o" RISING; + +NET gmii_gtx_clk_o LOC=A9 | IOSTANDARD=LVCMOS25 | SLEW=FAST; +NET gmii_txd_o<0> LOC=F8 | IOSTANDARD=LVCMOS25; +NET gmii_txd_o<1> LOC=G8 | IOSTANDARD=LVCMOS25; +NET gmii_txd_o<2> LOC=A6 | IOSTANDARD=LVCMOS25; +NET gmii_txd_o<3> LOC=B6 | IOSTANDARD=LVCMOS25; +NET gmii_txd_o<4> LOC=E6 | IOSTANDARD=LVCMOS25; +NET gmii_txd_o<5> LOC=F7 | IOSTANDARD=LVCMOS25; +NET gmii_txd_o<6> LOC=A5 | IOSTANDARD=LVCMOS25; +NET gmii_txd_o<7> LOC=C5 | IOSTANDARD=LVCMOS25; +NET gmii_tx_en_o LOC=B8 | IOSTANDARD=LVCMOS25; +NET gmii_tx_er_o LOC=A8 | IOSTANDARD=LVCMOS25; + +NET gmii_rx_clk_i LOC=L16 | IOSTANDARD=LVCMOS25 | TNM_NET= "gmii_rx_clk_i"; +TIMESPEC "TS_GMII_RX_CLK_I" = PERIOD "gmii_rx_clk_i" 125MHz; +OFFSET = IN 2.5ns VALID 3ns BEFORE gmii_rx_clk_i; +NET gmii_rxd_i<0> LOC=M14 | IOSTANDARD=LVCMOS25; +NET gmii_rxd_i<1> LOC=U18 | IOSTANDARD=LVCMOS25; +NET gmii_rxd_i<2> LOC=U17 | IOSTANDARD=LVCMOS25; +NET gmii_rxd_i<3> LOC=T18 | IOSTANDARD=LVCMOS25; +NET gmii_rxd_i<4> LOC=T17 | IOSTANDARD=LVCMOS25; +NET gmii_rxd_i<5> LOC=N16 | IOSTANDARD=LVCMOS25; +NET gmii_rxd_i<6> LOC=N15 | IOSTANDARD=LVCMOS25; +NET gmii_rxd_i<7> LOC=P18 | IOSTANDARD=LVCMOS25; +NET gmii_rx_dv_i LOC=N18 | IOSTANDARD=LVCMOS25; +NET gmii_rx_er_i LOC=P17 | IOSTANDARD=LVCMOS25; + +NET phy_rstb_o LOC=L13 | IOSTANDARD=LVCMOS25; + +# Main I2C bus +NET "I2C_SCL_B" LOC = "P11"; ## C30 on FMC +NET "I2C_SDA_B" LOC = "N10"; ## C31 on FMC + +# +# I/O to devices under test + + +#NET "BUSY_P_I<0>" LOC = "D12"; ## "FMC_LA06_P" , C10 on FMC +#NET "BUSY_N_I<0>" LOC = "C12"; ## "FMC_LA06_N" , C11 on FMC +#NET "BUSY_P_I<1>" LOC = "U11"; ## "FMC_LA28_P" , H31 on FMC +#NET "BUSY_N_I<1>" LOC = "V11"; ## "FMC_LA28_N" , H32 on FMC +#NET "BUSY_P_I<2>" LOC = "E7"; ## "FMC_LA07_P" , H13 on FMC +#NET "BUSY_N_I<2>" LOC = "E8"; ## "FMC_LA07_N" , H14 on FMC + +#NET "TRIGGERS_P_O<0>" LOC = "D8"; ## "FMC_LA10_P" , C14 on FMC +##NET "TRIGGERS_N_O<0>" LOC = "C8"; ## "FMC_LA10_N" , C15 on FMC +#NET "TRIGGERS_P_O<1>" LOC = "U15"; ## "FMC_LA32_P" , H37 on FMC +##NET "TRIGGERS_N_O<1>" LOC = "V15"; ## "FMC_LA32_N" , H38 on FMC +#NET "TRIGGERS_P_O<2>" LOC = "G11"; ## "FMC_LA09_P" , D14 on FMC +##NET "TRIGGERS_N_O<2>" LOC = "F10"; ## "FMC_LA09_N" , D15 on FMC + +# Remove for now. +#NET "SHUTTERS_P_O<0>" LOC = "N7"; ## "FMC_LA20_P" , G21 on FMC +##NET "SHUTTERS_N_O<0>" LOC = "P8"; ## "FMC_LA20_N" , G22 on FMC +#NET "SHUTTERS_P_O<1>" LOC = "R10"; ## "FMC_LA18_CC_P" , C22 on FMC +##NET "SHUTTERS_N_O<1>" LOC = "T10"; ## "FMC_LA18_CC_N" , C23 on FMC +#NET "SHUTTERS_P_O<2>" LOC = "N6"; ## "FMC_LA19_P" , H22 on FMC +##NET "SHUTTERS_N_O<2>" LOC = "P6"; ## "FMC_LA19_N" , H23 on FMC + +#NET "DUT_CLK_P_I<0>" LOC = "T6"; ## "FMC_LA31_P" , G33 on FMC , "DUT_CLK_P_I<0> +#NET "DUT_CLK_N_I<0>" LOC = "V6"; ## "FMC_LA31_N" , G34 on FMC , DUT_CLK_N<0> +#NET "DUT_CLK_P_I<1>" LOC = "U8"; ## "FMC_LA24_P" , H28 on FMC , CONT_P<1> +#NET "DUT_CLK_N_I<1>" LOC = "V8"; ## "FMC_LA24_N" , H29 on FMC , CONT_N<1> +#NET "DUT_CLK_P_I<2>" LOC = "F11"; ## "FMC_LA08_P" , G12 on FMC , CONT_P<2> +#NET "DUT_CLK_N_I<2>" LOC = "E11"; ## "FMC_LA08_N" , G13 on FMC , CONT_N<2> + +#NET "RESET_OR_CLK_P_O<0>" LOC = "M10"; ## "FMC_LA33_P" , G36 on FMC , CONT_P<0> +##NET "RESET_OR_CLK_N_O<0>" LOC = "N9"; ## "FMC_LA33_N" , G37 on FMC , CONT_N<0> +#NET "RESET_OR_CLK_P_O<1>" LOC = "T4"; ## "FMC_LA21_P" , H25 on FMC , CLK_P<1> +##NET "RESET_OR_CLK_N_O<1>" LOC = "V4"; ## "FMC_LA21_N" , H26 on FMC , CLK_QN<1> +#NET "RESET_OR_CLK_P_O<2>" LOC = "B16"; ## "FMC_LA04_P" , H10 on FMC , CLK_P<2> +##NET "RESET_OR_CLK_N_O<2>" LOC = "A16"; ## "FMC_LA04_N" , H11 on FMC , CLK_N<2> + + +# Trigger Inputs + +# Constant-fraction-discrimiator comparator outputs +#NET "CFD_DISCR_P_I<0>" LOC = "D9"; ## "FMC_LA00_CC_P" , G6 on FMC +#NET "CFD_DISCR_N_I<0>" LOC = "C9"; ## "FMC_LA00_CC_N" , G7 on FMC +# +#NET "CFD_DISCR_P_I<1>" LOC = "B2"; ## "FMC_LA14_P" , C18 on FMC +#NET "CFD_DISCR_N_I<1>" LOC = "A2"; ## "FMC_LA14_N" , C19 on FMC +# +#NET "CFD_DISCR_P_I<2>" LOC = "B14"; ## "FMC_LA05_P" , D11 on FMC +#NET "CFD_DISCR_N_I<2>" LOC = "A14"; ## "FMC_LA05_N" , D12 on FMC +# +#NET "CFD_DISCR_P_I<3>" LOC = "B11"; ## "FMC_LA13_P" , D17 on FMC +#NET "CFD_DISCR_N_I<3>" LOC = "A11"; ## "FMC_LA13_N" , D18 on FMC + +# Threshold comparator outputs +NET "THRESHOLD_DISCR_P_I<0>" LOC = "D11"; ## "FMC_LA01_CC_P" , D8 on FMC +NET "THRESHOLD_DISCR_N_I<0>" LOC = "C11"; ## "FMC_LA01_CC_N" , D9 on FMC + +NET "THRESHOLD_DISCR_P_I<1>" LOC = "C13"; ## "FMC_LA03_P" , G9 on FMC +NET "THRESHOLD_DISCR_N_I<1>" LOC = "A13"; ## "FMC_LA03_N" , G10 on FMC + +NET "THRESHOLD_DISCR_P_I<2>" LOC = "D6"; ## "FMC_LA12_P" , G15 on FMC +NET "THRESHOLD_DISCR_N_I<2>" LOC = "C6"; ## "FMC_LA12_N" , G16 on FMC + +NET "THRESHOLD_DISCR_P_I<3>" LOC = "C7"; ## "FMC_LA16_P" , G18 on FMC +NET "THRESHOLD_DISCR_N_I<3>" LOC = "A7"; ## "FMC_LA16_N" , G19 on FMC + +#NET "SPARE_P<2>" LOC = "R8"; ## "FMC_LA17_CC_P" , D20 on FMC +#NET "SPARE_N<2>" LOC = "T8"; ## "FMC_LA17_CC_N" , D21 on FMC +#NET "SPARE_P<1>" LOC = "T12"; ## "FMC_LA30_P" , H34 on FMC +#NET "SPARE_N<1>" LOC = "V12"; ## "FMC_LA30_N" , H35 on FMC + +NET "EXTCLK_P_B" LOC = "C10"; ## "FMC_CLK0_M2C_P" , H4 on FMC , "FRONT_PANEL_CLK_P" +NET "EXTCLK_N_B" LOC = "A10"; ## "FMC_CLK0_M2C_N" , H5 on FMC , "FRONT_PANEL_CLK_N" +#NET "HDMI_POWER_ENABLE1" LOC = "C15"; ## "FMC_LA02_P" , H7 on FMC +#NET "HDMI_POWER_ENABLE2" LOC = "A15"; ## "FMC_LA02_N" , H8 on FMC + +# GPIO pins for debugging. +#NET "GPIO_HDR<0>" LOC = "N17"; ## 1 on J13 (thru series R100 200 ohm) +#NET "GPIO_HDR<1>" LOC = "M18"; ## 3 on J13 (thru series R102 200 ohm) +#NET "GPIO_HDR<2>" LOC = "A3"; ## 5 on J13 (thru series R101 200 ohm) +#NET "GPIO_HDR<3>" LOC = "L15"; ## 7 on J13 (thru series R103 200 ohm) +#NET "GPIO_HDR<4>" LOC = "F15"; ## 2 on J13 (thru series R99 200 ohm) +#NET "GPIO_HDR<5>" LOC = "B4"; ## 4 on J13 (thru series R98 200 ohm) +#NET "GPIO_HDR<6>" LOC = "F13"; ## 6 on J13 (thru series R97 200 ohm) +#NET "GPIO_HDR<7>" LOC = "P12"; ## 8 on J13 (thru series R96 20 + +NET "output_0_p[0]" LOC = D8; +NET "output_0_p[1]" LOC = U15; +NET "output_0_p[2]" LOC = G11; +NET "output_0_n[0]" LOC = C8; +NET "output_0_n[1]" LOC = V15; +NET "output_0_n[2]" LOC = F10; +NET "output_1_p[0]" LOC = T6; +NET "output_1_p[1]" LOC = U8; +NET "output_1_p[2]" LOC = F11; +NET "output_1_n[0]" LOC = V6; +NET "output_1_n[1]" LOC = V8; +NET "output_1_n[2]" LOC = E11; +NET "output_2_p[0]" LOC = M10; +NET "output_2_p[1]" LOC = T4; +NET "output_2_p[2]" LOC = B16; +NET "output_2_n[0]" LOC = N9; +NET "output_2_n[1]" LOC = V4; +NET "output_2_n[2]" LOC = A16; +NET "output_3_p[0]" LOC = D12; +NET "output_3_p[1]" LOC = U11; +NET "output_3_p[2]" LOC = E7; +NET "output_3_n[0]" LOC = C12; +NET "output_3_n[1]" LOC = V11; +NET "output_3_n[2]" LOC = E8; \ No newline at end of file diff --git a/firmware/minitlu/hdl/test/sp601_FMC_mTLU_v1a.ucf b/firmware/minitlu/hdl/test/sp601_FMC_mTLU_v1a.ucf new file mode 100644 index 00000000..c605cd9d --- /dev/null +++ b/firmware/minitlu/hdl/test/sp601_FMC_mTLU_v1a.ucf @@ -0,0 +1,279 @@ +# +# UCF for version 1a of updated mini-TLU +# + +NET "sysclk_p_i" TNM_NET = "tnm_sysclk"; +NET "sysclk_p_i" LOC = K15; +NET "sysclk_p_i" IOSTANDARD = LVDS_25; +NET "sysclk_p_i" DIFF_TERM = "TRUE"; +NET "sysclk_n_i" LOC = K16; +NET "sysclk_n_i" IOSTANDARD = LVDS_25; +NET "sysclk_n_i" DIFF_TERM = "TRUE"; + +TIMESPEC TS_sysclk = PERIOD "tnm_sysclk" 200 MHz; + +# remove for now +#NET Reset_i LOC=P4; ## Global Reset + +#NET ipb_clk TNM_NET = tnm_ipb_clk; +#NET clk125 TNM_NET = tnm_clk125; +#TIMESPEC TS_tig_ipb_125 = FROM tnm_ipb_clk TO tnm_clk125 TIG; +#TIMESPEC TS_tig_125_ipb = FROM tnm_clk125 TO tnm_ipb_clk TIG; + +# NET clocks/rst* TIG; +NET "I6/s_clk_is_xtal" TIG; + +NET "leds_o[0]" LOC = E13; +NET "leds_o[0]" IOSTANDARD = LVCMOS25; +NET "leds_o[1]" LOC = C14; +NET "leds_o[1]" IOSTANDARD = LVCMOS25; +NET "leds_o[2]" LOC = C4; +NET "leds_o[2]" IOSTANDARD = LVCMOS25; +NET "leds_o[3]" LOC = A4; +NET "leds_o[3]" IOSTANDARD = LVCMOS25; + +NET "dip_switch_i[0]" LOC = D14; +NET "dip_switch_i[1]" LOC = E12; +NET "dip_switch_i[2]" LOC = F12; +NET "dip_switch_i[3]" LOC = V13; + +# Ethernet PHY + +TIMEGRP TG_gmii_tx = PADS("gmii_tx*"); +TIMEGRP "TG_gmii_tx" OFFSET = OUT AFTER "sysclk_p_i" REFERENCE_PIN "gmii_gtx_clk_o" RISING; + +NET "gmii_gtx_clk_o" LOC = A9; +NET "gmii_gtx_clk_o" IOSTANDARD = LVCMOS25; +NET "gmii_gtx_clk_o" SLEW = FAST; +NET "gmii_txd_o[0]" LOC = F8; +NET "gmii_txd_o[0]" IOSTANDARD = LVCMOS25; +NET "gmii_txd_o[1]" LOC = G8; +NET "gmii_txd_o[1]" IOSTANDARD = LVCMOS25; +NET "gmii_txd_o[2]" LOC = A6; +NET "gmii_txd_o[2]" IOSTANDARD = LVCMOS25; +NET "gmii_txd_o[3]" LOC = B6; +NET "gmii_txd_o[3]" IOSTANDARD = LVCMOS25; +NET "gmii_txd_o[4]" LOC = E6; +NET "gmii_txd_o[4]" IOSTANDARD = LVCMOS25; +NET "gmii_txd_o[5]" LOC = F7; +NET "gmii_txd_o[5]" IOSTANDARD = LVCMOS25; +NET "gmii_txd_o[6]" LOC = A5; +NET "gmii_txd_o[6]" IOSTANDARD = LVCMOS25; +NET "gmii_txd_o[7]" LOC = C5; +NET "gmii_txd_o[7]" IOSTANDARD = LVCMOS25; +NET "gmii_tx_en_o" LOC = B8; +NET "gmii_tx_en_o" IOSTANDARD = LVCMOS25; +NET "gmii_tx_er_o" LOC = A8; +NET "gmii_tx_er_o" IOSTANDARD = LVCMOS25; + +NET "gmii_rx_clk_i" TNM_NET = "gmii_rx_clk_i"; +NET "gmii_rx_clk_i" LOC = L16; +NET "gmii_rx_clk_i" IOSTANDARD = LVCMOS25; +TIMESPEC TS_GMII_RX_CLK_I = PERIOD "gmii_rx_clk_i" 125 MHz; +OFFSET = IN 2 ns VALID 3 ns BEFORE "gmii_rx_clk_i"; +NET "gmii_rxd_i[0]" LOC = M14; +NET "gmii_rxd_i[0]" IOSTANDARD = LVCMOS25; +NET "gmii_rxd_i[1]" LOC = U18; +NET "gmii_rxd_i[1]" IOSTANDARD = LVCMOS25; +NET "gmii_rxd_i[2]" LOC = U17; +NET "gmii_rxd_i[2]" IOSTANDARD = LVCMOS25; +NET "gmii_rxd_i[3]" LOC = T18; +NET "gmii_rxd_i[3]" IOSTANDARD = LVCMOS25; +NET "gmii_rxd_i[4]" LOC = T17; +NET "gmii_rxd_i[4]" IOSTANDARD = LVCMOS25; +NET "gmii_rxd_i[5]" LOC = N16; +NET "gmii_rxd_i[5]" IOSTANDARD = LVCMOS25; +NET "gmii_rxd_i[6]" LOC = N15; +NET "gmii_rxd_i[6]" IOSTANDARD = LVCMOS25; +NET "gmii_rxd_i[7]" LOC = P18; +NET "gmii_rxd_i[7]" IOSTANDARD = LVCMOS25; +NET "gmii_rx_dv_i" LOC = N18; +NET "gmii_rx_dv_i" IOSTANDARD = LVCMOS25; +NET "gmii_rx_er_i" LOC = P17; +NET "gmii_rx_er_i" IOSTANDARD = LVCMOS25; + +NET "phy_rstb_o" LOC = L13; +NET "phy_rstb_o" IOSTANDARD = LVCMOS25; + +# Main I2C bus +## C30 on FMC +NET "i2c_scl_b" LOC = P11; +## C31 on FMC +NET "i2c_sda_b" LOC = N10; + +# +# I/O to devices under test + +#NET "BUSY_N_I<0>" LOC = "P7"; ## "FMC_LA19_N" , H23 on FMC +#NET "BUSY_N_I<1>" LOC = "A2"; ## "FMC_LA14_N" , C19 on FMC +#NET "BUSY_N_I<2>" LOC = "C6"; ## "FMC_LA12_N" , G16 on FMC +## "FMC_LA19_P" , H22 on FMC +#NET "busy_p_i[0]" LOC = N6; +## "FMC_LA14_P" , C18 on FMC +#NET "busy_p_i[1]" LOC = B2; +## "FMC_LA12_P" , G15 on FMC +#NET "busy_p_i[2]" LOC = D6; + +#NET "TRIGGERS_N_O<0>" LOC = "P8"; ## "FMC_LA20_N" , G22 on FMC +#NET "TRIGGERS_N_O<1>" LOC = "A13"; ## "FMC_LA03_N" , G10 on FMC +#NET "TRIGGERS_N_O<2>" LOC = "A7"; ## "FMC_LA16_N" , G19 on FMC +## "FMC_LA20_P" , G21 on FMC +#NET "triggers_p_o[0]" LOC = N7; +## "FMC_LA03_P" , G9 on FMC +#NET "triggers_p_o[1]" LOC = C13; +## "FMC_LA16_P" , G18 on FMC +#NET "triggers_p_o[2]" LOC = C7; + +# Remove shutters ( also known as SPARE ) for now +#NET "SPARE_N_O<1>" LOC = "E11"; ## "FMC_LA08_N" , G13 on FMC +#NET "SPARE_N_O<2>" LOC = "A12"; ## "FMC_LA11_N" , H17 on FMC +#NET "SPARE_P_O<1>" LOC = "F11"; ## "FMC_LA08_P" , G12 on FMC +#NET "SPARE_P_O<2>" LOC = "B12"; ## "FMC_LA11_P" , H16 on FMC + +# Labelled DUT_CLK on schematic for RJ45, CLK on HDMI +#NET "DUT_CLK_N_I<0>" LOC = "V4"; ## "FMC_LA21_N" , H26 on FMC +#NET "DUT_CLK_N_I<1>" LOC = "T11"; ## "FMC_LA27_N" , C27 on FMC +#NET "DUT_CLK_N_I<2>" LOC = "A15"; ## "FMC_LA02_N" , H8 on FMC +## "FMC_LA27_P" , C26 on FMC +## "FMC_LA02_P" , H7 on FMC +## "FMC_LA21_P" , H25 on FMC + +# Labelled CONT on schematic. +#NET "RESET_OR_CLK_N_O<0>" LOC = "T7"; ## "FMC_LA22_N" , G25 on FMC +#NET "RESET_OR_CLK_N_O<1>" LOC = "T10"; ## "FMC_LA18_CC_N" , C23 on FMC +#NET "RESET_OR_CLK_N_O<2>" LOC = "E8"; ## "FMC_LA07_N" , H14 on FMC +## "FMC_LA22_P" , G24 on FMC +#NET "reset_or_clk_p_o[0]" LOC = R7; +## "FMC_LA18_CC_P" , C22 on FMC +#NET "reset_or_clk_p_o[1]" LOC = R10; +## "FMC_LA07_P" , H13 on FMC +#NET "reset_or_clk_p_o[2]" LOC = E7; + +# Trigger Inputs + +# Constant-fraction-discrimiator comparator outputs +## "FMC_LA32_N" , H38 on FMC +NET "cfd_discr_n_i[0]" LOC = V15; +## "FMC_LA30_N" , H35 on FMC +NET "cfd_discr_n_i[1]" LOC = V12; +## "FMC_LA28_N" , H32 on FMC +NET "cfd_discr_n_i[2]" LOC = V11; +## "FMC_LA24_N" , H29 on FMC +NET "cfd_discr_n_i[3]" LOC = V8; +## "FMC_LA32_P" , H37 on FMC +NET "cfd_discr_p_i[0]" LOC = U15; +## "FMC_LA30_P" , H34 on FMC +NET "cfd_discr_p_i[1]" LOC = T12; +## "FMC_LA28_P" , H31 on FMC +NET "cfd_discr_p_i[2]" LOC = U11; +## "FMC_LA24_P" , H28 on FMC +NET "cfd_discr_p_i[3]" LOC = U8; + +# Threshold comparator outputs +## "FMC_LA33_N" , G37 on FMC +NET "threshold_discr_n_i[0]" LOC = N9; +## "FMC_LA31_N" , G34 on FMC +NET "threshold_discr_n_i[1]" LOC = V6; +## "FMC_LA29_N" , G31 on FMC +NET "threshold_discr_n_i[2]" LOC = N8; +## "FMC_LA25_N" , G28 on FMC +NET "threshold_discr_n_i[3]" LOC = N11; +## "FMC_LA33_P" , G36 on FMC +NET "threshold_discr_p_i[0]" LOC = M10; +## "FMC_LA31_P" , G33 on FMC +NET "threshold_discr_p_i[1]" LOC = T6; +## "FMC_LA29_P" , G30 on FMC +NET "threshold_discr_p_i[2]" LOC = M8; +## "FMC_LA25_P" , G27 on FMC +NET "threshold_discr_p_i[3]" LOC = M11; + +############ +# External clock pins +## "FMC_CLK0_M2C_P" , H4 on FMC , "FRONT_PANEL_CLK_P" +NET "extclk_p_b" LOC = C10; +## "FMC_CLK0_M2C_N" , H5 on FMC , "FRONT_PANEL_CLK_N" +NET "extclk_n_b" LOC = A10; + + +#NET "HDMI_POWER_ENABLE1" LOC = "B16"; ## "FMC_LA04_P" , H10 on FMC +#NET "HDMI_POWER_ENABLE2" LOC = "F9"; ## "FMC_LA15_N" , H20 on FMC + +# GPIO pins for debugging. +## 1 on J13 (thru series R100 200 ohm) +#NET "gpio_hdr[0]" LOC = N17; +## 3 on J13 (thru series R102 200 ohm) +#NET "gpio_hdr[1]" LOC = M18; +## 5 on J13 (thru series R101 200 ohm) +#NET "gpio_hdr[2]" LOC = A3; +## 7 on J13 (thru series R103 200 ohm) +#NET "gpio_hdr[3]" LOC = L15; +## 2 on J13 (thru series R99 200 ohm) +#NET "gpio_hdr[4]" LOC = F15; +## 4 on J13 (thru series R98 200 ohm) +#NET "gpio_hdr[5]" LOC = B4; +## 6 on J13 (thru series R97 200 ohm) +#NET "gpio_hdr[6]" LOC = F13; +## 8 on J13 (thru series R96 20 +#NET "gpio_hdr[7]" LOC = P12; + + +#NET "busy_p_i[2]" PULLDOWN; +#NET "busy_p_i[1]" PULLDOWN; +#NET "busy_p_i[0]" PULLDOWN; + +# PlanAhead Generated miscellaneous constraints + +NET "I4/ipbus/udp_if/clock_crossing_if/enable_buf[1]" KEEP = "TRUE"; +NET "I4/ipbus/udp_if/clock_crossing_if/rarp_buf[1]" KEEP = "TRUE"; +NET "I4/ipbus/udp_if/clock_crossing_if/we_buf[1]" KEEP = "TRUE"; +NET "I4/ipbus/udp_if/clock_crossing_if/rst_ipb_buf[1]" KEEP = "TRUE"; +NET "I4/ipbus/udp_if/clock_crossing_if/rst_ipb_buf[0]" KEEP = "TRUE"; +NET "I4/ipbus/udp_if/clock_crossing_if/we_buf[0]" KEEP = "TRUE"; +NET "I4/ipbus/udp_if/clock_crossing_if/pkt_done_read_buf[2]" KEEP = "TRUE"; +NET "I4/ipbus/udp_if/clock_crossing_if/pkt_done_read_buf[1]" KEEP = "TRUE"; +NET "I4/ipbus/udp_if/clock_crossing_if/pkt_done_write_buf[2]" KEEP = "TRUE"; +NET "I4/ipbus/udp_if/clock_crossing_if/pkt_done_write_buf[1]" KEEP = "TRUE"; +NET "I4/ipbus/udp_if/clock_crossing_if/rarp_buf[0]" KEEP = "TRUE"; +NET "I4/ipbus/udp_if/clock_crossing_if/enable_buf[0]" KEEP = "TRUE"; +NET "I4/ipbus/udp_if/clock_crossing_if/req_send_buf[2]" KEEP = "TRUE"; +NET "I4/ipbus/udp_if/clock_crossing_if/req_send_buf[1]" KEEP = "TRUE"; +NET "I4/ipbus/udp_if/clock_crossing_if/req_send_buf[0]" KEEP = "TRUE"; +NET "I4/ipbus/udp_if/clock_crossing_if/busy_up_buf[2]" KEEP = "TRUE"; +NET "I4/ipbus/udp_if/clock_crossing_if/busy_up_buf[1]" KEEP = "TRUE"; +NET "I4/ipbus/udp_if/clock_crossing_if/busy_down_buf[2]" KEEP = "TRUE"; +NET "I4/ipbus/udp_if/clock_crossing_if/busy_down_buf[1]" KEEP = "TRUE"; +NET "I4/ipbus/udp_if/clock_crossing_if/pkt_done_write_buf[0]" KEEP = "TRUE"; +NET "I4/ipbus/udp_if/clock_crossing_if/pkt_done_read_buf[0]" KEEP = "TRUE"; +NET "I4/ipbus/udp_if/clock_crossing_if/busy_up_buf[0]" KEEP = "TRUE"; +NET "I4/ipbus/udp_if/clock_crossing_if/busy_down_buf[0]" KEEP = "TRUE"; +NET "I4/ipbus/udp_if/clock_crossing_if/rx_read_buf_buf[1]" KEEP = "TRUE"; +NET "I4/ipbus/udp_if/clock_crossing_if/rx_read_buf_buf[0]" KEEP = "TRUE"; +NET "I4/ipbus/udp_if/clock_crossing_if/tx_write_buf_buf[1]" KEEP = "TRUE"; +NET "I4/ipbus/udp_if/clock_crossing_if/tx_write_buf_buf[0]" KEEP = "TRUE"; + +# PlanAhead Generated physical constraints + +NET "output_0_p[0]" LOC = N7; +NET "output_0_p[1]" LOC = C13; +NET "output_0_p[2]" LOC = C7; +NET "output_0_n[0]" LOC = P8; +NET "output_0_n[1]" LOC = A13; +NET "output_0_n[2]" LOC = A7; +NET "output_1_p[0]" LOC = R11; +NET "output_1_p[1]" LOC = C15; +NET "output_1_p[2]" LOC = T4; +NET "output_1_n[0]" LOC = T11; +NET "output_1_n[1]" LOC = A15; +NET "output_1_n[2]" LOC = V4; +NET "output_2_p[0]" LOC = R7; +NET "output_2_p[1]" LOC = R10; +NET "output_2_p[2]" LOC = E7; +NET "output_2_n[0]" LOC = T7; +NET "output_2_n[1]" LOC = T10; +NET "output_2_n[2]" LOC = E8; +NET "output_3_p[0]" LOC = N6; +NET "output_3_p[1]" LOC = B2; +NET "output_3_p[2]" LOC = D6; +NET "output_3_n[0]" LOC = P7; +NET "output_3_n[1]" LOC = A2; +NET "output_3_n[2]" LOC = C6; diff --git a/firmware/minitlu/hdl/test/sp605_FMC_mTLU.ucf b/firmware/minitlu/hdl/test/sp605_FMC_mTLU.ucf new file mode 100644 index 00000000..66bb1db8 --- /dev/null +++ b/firmware/minitlu/hdl/test/sp605_FMC_mTLU.ucf @@ -0,0 +1,164 @@ +NET sysclk_p_i LOC = K21 | IOSTANDARD = LVDS_25 | DIFF_TERM = TRUE | TNM_NET = tnm_sysclk; +NET sysclk_n_i LOC = K22 | IOSTANDARD = LVDS_25 | DIFF_TERM = TRUE; + +TIMESPEC TS_sysclk = PERIOD tnm_sysclk 200MHz; + +#NET Reset_i LOC=F3; ## Global Reset + +#NET ipb_clk TNM_NET = tnm_ipb_clk; +#NET clk125 TNM_NET = tnm_clk125; +#TIMESPEC TS_tig_ipb_125 = FROM tnm_ipb_clk TO tnm_clk125 TIG; +#TIMESPEC TS_tig_125_ipb = FROM tnm_clk125 TO tnm_ipb_clk TIG; + +# NET clocks/rst* TIG; + +NET leds_o<0> LOC=D17 | IOSTANDARD=LVCMOS25; +NET leds_o<1> LOC=AB4 | IOSTANDARD=LVCMOS25; +NET leds_o<2> LOC=D21 | IOSTANDARD=LVCMOS25; +NET leds_o<3> LOC=W15 | IOSTANDARD=LVCMOS25; + +NET dip_switch_i<0> LOC=C18; +NET dip_switch_i<1> LOC=Y6; +NET dip_switch_i<2> LOC=W6; +NET dip_switch_i<3> LOC=E4; + +# Ethernet PHY + +TIMEGRP TG_gmii_tx=PADS("gmii_tx*"); +TIMEGRP TG_gmii_tx OFFSET = OUT AFTER sysclk_p_i REFERENCE_PIN "gmii_gtx_clk_o" RISING; + +NET gmii_gtx_clk_o LOC=AB7 |IOSTANDARD=LVCMOS25 | SLEW=FAST; +NET gmii_txd_o<0> LOC=U10 |IOSTANDARD=LVCMOS25; +NET gmii_txd_o<1> LOC=T10 |IOSTANDARD=LVCMOS25; +NET gmii_txd_o<2> LOC=AB8 |IOSTANDARD=LVCMOS25; +NET gmii_txd_o<3> LOC=AA8 |IOSTANDARD=LVCMOS25; +NET gmii_txd_o<4> LOC=AB9 |IOSTANDARD=LVCMOS25; +NET gmii_txd_o<5> LOC=Y9 |IOSTANDARD=LVCMOS25; +NET gmii_txd_o<6> LOC=Y12 |IOSTANDARD=LVCMOS25; +NET gmii_txd_o<7> LOC=W12 |IOSTANDARD=LVCMOS25; +NET gmii_tx_en_o LOC=T8 |IOSTANDARD=LVCMOS25; +NET gmii_tx_er_o LOC=U8 |IOSTANDARD=LVCMOS25; + +NET gmii_rx_clk_i LOC=P20 |IOSTANDARD=LVCMOS25 |TNM_NET= "gmii_rx_clk"; +TIMESPEC "TS_GMII_RX_CLK_I" = PERIOD "gmii_rx_clk_i" 125MHz; +OFFSET = IN 2.5ns VALID 3ns BEFORE gmii_rx_clk_i; +NET gmii_rxd_i<0> LOC=P19 |IOSTANDARD=LVCMOS25; +NET gmii_rxd_i<1> LOC=Y22 |IOSTANDARD=LVCMOS25; +NET gmii_rxd_i<2> LOC=Y21 |IOSTANDARD=LVCMOS25; +NET gmii_rxd_i<3> LOC=W22 |IOSTANDARD=LVCMOS25; +NET gmii_rxd_i<4> LOC=W20 |IOSTANDARD=LVCMOS25; +NET gmii_rxd_i<5> LOC=V22 |IOSTANDARD=LVCMOS25; +NET gmii_rxd_i<6> LOC=V21 |IOSTANDARD=LVCMOS25; +NET gmii_rxd_i<7> LOC=U22 |IOSTANDARD=LVCMOS25; +NET gmii_rx_dv_i LOC=T22 |IOSTANDARD=LVCMOS25; +NET gmii_rx_er_i LOC=U20 |IOSTANDARD=LVCMOS25; + +NET phy_rstb_o LOC=J22 |IOSTANDARD=LVCMOS25; + + + +# Main I2C bus +#NET i2c_scl_io LOC=P11 | IOSTANDARD=LVCMOS25; +#NET i2c_sda_io LOC=N10 | IOSTANDARD=LVCMOS25; +NET "I2C_SDA_B" LOC = "R22"; ## C30 on FMC +NET "I2C_SCL_B" LOC = "T21"; ## C31 on FMC + +# +# I/O to devices under test + +#NET "BUSY_P_I<0>" LOC = "D4"; ## "FMC_LA06_P" , C10 on FMC +#NET "BUSY_N_I<0>" LOC = "D5"; ## "FMC_LA06_N" , C11 on FMC +#NET "BUSY_P_I<1>" LOC = "AA16"; ## "FMC_LA28_P" , H31 on FMC +#NET "BUSY_N_I<1>" LOC = "AB16"; ## "FMC_LA28_N" , H32 on FMC +#NET "BUSY_P_I<2>" LOC = "B2"; ## "FMC_LA07_P" , H13 on FMC +#NET "BUSY_N_I<2>" LOC = "A2"; ## "FMC_LA07_N" , H14 on FMC + +#NET "TRIGGERS_P_O<0>" LOC = "H10"; ## "FMC_LA10_P" , C14 on FMC +#NET "TRIGGERS_N_O<0>" LOC = "H11"; ## "FMC_LA10_N" , C15 on FMC +#NET "TRIGGERS_P_O<1>" LOC = "W17"; ## "FMC_LA32_P" , H37 on FMC +#NET "TRIGGERS_N_O<1>" LOC = "Y18"; ## "FMC_LA32_N" , H38 on FMC +#NET "TRIGGERS_P_O<2>" LOC = "F7"; ## "FMC_LA09_P" , D14 on FMC +#NET "TRIGGERS_N_O<2>" LOC = "F8"; ## "FMC_LA09_N" , D15 on FMC + +#NET "SHUTTERS_P_O<0>" LOC = "R9"; ## "FMC_LA20_P" , G21 on FMC +#NET "SHUTTERS_N_O<0>" LOC = "R8"; ## "FMC_LA20_N" , G22 on FMC +#NET "SHUTTERS_P_O<1>" LOC = "T12"; ## "FMC_LA18_CC_P" , C22 on FMC +#NET "SHUTTERS_N_O<1>" LOC = "U12"; ## "FMC_LA18_CC_N" , C23 on FMC +#NET "SHUTTERS_P_O<2>" LOC = "R11"; ## "FMC_LA19_P" , H22 on FMC +#NET "SHUTTERS_N_O<2>" LOC = "T11"; ## "FMC_LA19_N" , H23 on FMC + +#NET "DUT_CLK_P_I<0>" LOC = "U16"; ## "FMC_LA31_P" , G33 on FMC , "DUT_CLK_P_I<0> +#NET "DUT_CLK_N_I<0>" LOC = "V15"; ## "FMC_LA31_N" , G34 on FMC , DUT_CLK_N<0> +#NET "DUT_CLK_P_I<1>" LOC = "AA14"; ## "FMC_LA24_P" , H28 on FMC , CONT_P<1> +#NET "DUT_CLK_N_I<1>" LOC = "AB14"; ## "FMC_LA24_N" , H29 on FMC , CONT_N<1> +#NET "DUT_CLK_P_I<2>" LOC = "B20"; ## "FMC_LA08_P" , G12 on FMC , CONT_P<2> +#NET "DUT_CLK_N_I<2>" LOC = "A20"; ## "FMC_LA08_N" , G13 on FMC , CONT_N<2> + +#NET "RESET_OR_CLK_P_O<0>" LOC = "Y17"; ## "FMC_LA33_P" , G36 on FMC , CONT_P<0> +##NET "RESET_OR_CLK_N_O<0>" LOC = "AB17"; ## "FMC_LA33_N" , G37 on FMC , CONT_N<0> +#NET "RESET_OR_CLK_P_O<1>" LOC = "V11"; ## "FMC_LA21_P" , H25 on FMC , CLK_P<1> +##NET "RESET_OR_CLK_N_O<1>" LOC = "W11"; ## "FMC_LA21_N" , H26 on FMC , CLK_QN<1> +#NET "RESET_OR_CLK_P_O<2>" LOC = "C19"; ## "FMC_LA04_P" , H10 on FMC , CLK_P<2> +##NET "RESET_OR_CLK_N_O<2>" LOC = "A19"; ## "FMC_LA04_N" , H11 on FMC , CLK_N<2> + + +# Trigger inputs +# first constant-fraction-discrimiator comparator outputs +NET "CFD_DISCR_P_I<0>" LOC = "G9"; ## "FMC_LA00_CC_P" , G6 on FMC +NET "CFD_DISCR_N_I<0>" LOC = "F10"; ## "FMC_LA00_CC_N" , G7 on FMC +NET "CFD_DISCR_P_I<1>" LOC = "C17"; ## "FMC_LA14_P" , C18 on FMC +NET "CFD_DISCR_N_I<1>" LOC = "A17"; ## "FMC_LA14_N" , C19 on FMC +NET "CFD_DISCR_P_I<2>" LOC = "H13"; ## "FMC_LA12_P" , C22 on FMC +NET "CFD_DISCR_N_I<2>" LOC = "G13"; ## "FMC_LA12_N" , C23 on FMC +NET "CFD_DISCR_P_I<3>" LOC = "C5"; ## "FMC_LA16_P" , C26 on FMC +NET "CFD_DISCR_N_I<3>" LOC = "A5"; ## "FMC_LA16_N" , C27 on FMC +#NET "CFD_DISCR_P_I<2>" LOC = "T12"; ## "FMC_LA18_CC_P" , C22 on FMC +#NET "CFD_DISCR_N_I<2>" LOC = "U12"; ## "FMC_LA18_CC_N" , C23 on FMC +#NET "CFD_DISCR_P_I<3>" LOC = "AA10"; ## "FMC_LA27_P" , C26 on FMC +#NET "CFD_DISCR_N_I<3>" LOC = "AB10"; ## "FMC_LA27_N" , C27 on FMC +# then threshold comparator outputs +# N.B. These differ from v1 of schematics, since the original choice couldn't be routed. +NET "THRESHOLD_DISCR_P_I<0>" LOC = "F14"; ## "FMC_LA01_CC_P" , D8 on FMC +#NET "THRESHOLD_DISCR_N_I<0>" LOC = "F15"; ## "FMC_LA01_CC_N" , D9 on FMC +NET "THRESHOLD_DISCR_P_I<1>" LOC = "G16"; ## "FMC_LA13_P" , D17 on FMC +#NET "THRESHOLD_DISCR_N_I<1>" LOC = "F17"; ## "FMC_LA13_N" , D18 on FMC +NET "THRESHOLD_DISCR_P_I<2>" LOC = "D18"; ## "FMC_LA15_P" , H19 on FMC +#NET "THRESHOLD_DISCR_N_I<2>" LOC = "D19"; ## "FMC_LA15_N" , H20 on FMC +NET "THRESHOLD_DISCR_P_I<3>" LOC = "H14"; ## "FMC_LA11_P" , H16 on FMC +#NET "THRESHOLD_DISCR_N_I<3>" LOC = "G15"; ## "FMC_LA11_N" , H17 on FMC + +#NET "SPARE_P<2>" LOC = "Y11"; ## "FMC_LA17_CC_P" , D20 on FMC +#NET "SPARE_N<2>" LOC = "AB11"; ## "FMC_LA17_CC_N" , D21 on FMC +#NET "SPARE_P<1>" LOC = "Y15"; ## "FMC_LA30_P" , H34 on FMC +#NET "SPARE_N<1>" LOC = "AB15"; ## "FMC_LA30_N" , H35 on FMC + +NET "EXTCLK_P_B" LOC = "H12"; ## "FMC_CLK0_M2C_P" , H4 on FMC , "FRONT_PANEL_CLK_P" +NET "EXTCLK_N_B" LOC = "G11"; ## "FMC_CLK0_M2C_N" , H5 on FMC , "FRONT_PANEL_CLK_N" +#NET "HDMI_POWER_ENABLE1" LOC = "G8"; ## "FMC_LA02_P" , H7 on FMC +#NET "HDMI_POWER_ENABLE2" LOC = "F9"; ## "FMC_LA02_N" , H8 on FMC + + +NET "output_0_p[0]" LOC = H10; +NET "output_0_p[1]" LOC = W17; +NET "output_0_p[2]" LOC = F7; +NET "output_0_n[0]" LOC = H11; +NET "output_0_n[1]" LOC = Y18; +NET "output_0_n[2]" LOC = F8; +NET "output_1_p[0]" LOC = U16; +NET "output_1_p[1]" LOC = V11; +NET "output_1_p[2]" LOC = C19; +NET "output_1_n[0]" LOC = V15; +NET "output_1_n[1]" LOC = W11; +NET "output_1_n[2]" LOC = A19; +NET "output_2_p[0]" LOC = Y17; +NET "output_2_p[1]" LOC = AA14; +NET "output_2_p[2]" LOC = B20; +NET "output_2_n[0]" LOC = AB17; +NET "output_2_n[1]" LOC = AB14; +NET "output_2_n[2]" LOC = A20; +NET "output_3_p[0]" LOC = D4; +NET "output_3_p[1]" LOC = AA16; +NET "output_3_p[2]" LOC = B2; +NET "output_3_n[0]" LOC = D5; +NET "output_3_n[1]" LOC = AB16; +NET "output_3_n[2]" LOC = A2; \ No newline at end of file diff --git a/firmware/minitlu/hdl/test/sp605_FMC_mTLU_v1a.ucf b/firmware/minitlu/hdl/test/sp605_FMC_mTLU_v1a.ucf new file mode 100644 index 00000000..994eafd1 --- /dev/null +++ b/firmware/minitlu/hdl/test/sp605_FMC_mTLU_v1a.ucf @@ -0,0 +1,164 @@ +NET sysclk_p_i LOC = K21 | IOSTANDARD = LVDS_25 | DIFF_TERM = TRUE | TNM_NET = tnm_sysclk; +NET sysclk_n_i LOC = K22 | IOSTANDARD = LVDS_25 | DIFF_TERM = TRUE; + +TIMESPEC TS_sysclk = PERIOD tnm_sysclk 200MHz; + +#NET Reset_i LOC=F3; ## Global Reset + +#NET ipb_clk TNM_NET = tnm_ipb_clk; +#NET clk125 TNM_NET = tnm_clk125; +#TIMESPEC TS_tig_ipb_125 = FROM tnm_ipb_clk TO tnm_clk125 TIG; +#TIMESPEC TS_tig_125_ipb = FROM tnm_clk125 TO tnm_ipb_clk TIG; + +# NET clocks/rst* TIG; + +NET leds_o<0> LOC=D17 | IOSTANDARD=LVCMOS25; +NET leds_o<1> LOC=AB4 | IOSTANDARD=LVCMOS25; +NET leds_o<2> LOC=D21 | IOSTANDARD=LVCMOS25; +NET leds_o<3> LOC=W15 | IOSTANDARD=LVCMOS25; + +NET dip_switch_i<0> LOC=C18; +NET dip_switch_i<1> LOC=Y6; +NET dip_switch_i<2> LOC=W6; +NET dip_switch_i<3> LOC=E4; + +# Ethernet PHY + +TIMEGRP TG_gmii_tx=PADS("gmii_tx*"); +TIMEGRP TG_gmii_tx OFFSET = OUT AFTER sysclk_p_i REFERENCE_PIN "gmii_gtx_clk_o" RISING; + +NET gmii_gtx_clk_o LOC=AB7 |IOSTANDARD=LVCMOS25 | SLEW=FAST; +NET gmii_txd_o<0> LOC=U10 |IOSTANDARD=LVCMOS25; +NET gmii_txd_o<1> LOC=T10 |IOSTANDARD=LVCMOS25; +NET gmii_txd_o<2> LOC=AB8 |IOSTANDARD=LVCMOS25; +NET gmii_txd_o<3> LOC=AA8 |IOSTANDARD=LVCMOS25; +NET gmii_txd_o<4> LOC=AB9 |IOSTANDARD=LVCMOS25; +NET gmii_txd_o<5> LOC=Y9 |IOSTANDARD=LVCMOS25; +NET gmii_txd_o<6> LOC=Y12 |IOSTANDARD=LVCMOS25; +NET gmii_txd_o<7> LOC=W12 |IOSTANDARD=LVCMOS25; +NET gmii_tx_en_o LOC=T8 |IOSTANDARD=LVCMOS25; +NET gmii_tx_er_o LOC=U8 |IOSTANDARD=LVCMOS25; + +NET gmii_rx_clk_i LOC=P20 |IOSTANDARD=LVCMOS25 |TNM_NET= "gmii_rx_clk"; +TIMESPEC "TS_GMII_RX_CLK_I" = PERIOD "gmii_rx_clk_i" 125MHz; +OFFSET = IN 2.5ns VALID 3ns BEFORE gmii_rx_clk_i; +NET gmii_rxd_i<0> LOC=P19 |IOSTANDARD=LVCMOS25; +NET gmii_rxd_i<1> LOC=Y22 |IOSTANDARD=LVCMOS25; +NET gmii_rxd_i<2> LOC=Y21 |IOSTANDARD=LVCMOS25; +NET gmii_rxd_i<3> LOC=W22 |IOSTANDARD=LVCMOS25; +NET gmii_rxd_i<4> LOC=W20 |IOSTANDARD=LVCMOS25; +NET gmii_rxd_i<5> LOC=V22 |IOSTANDARD=LVCMOS25; +NET gmii_rxd_i<6> LOC=V21 |IOSTANDARD=LVCMOS25; +NET gmii_rxd_i<7> LOC=U22 |IOSTANDARD=LVCMOS25; +NET gmii_rx_dv_i LOC=T22 |IOSTANDARD=LVCMOS25; +NET gmii_rx_er_i LOC=U20 |IOSTANDARD=LVCMOS25; + +NET phy_rstb_o LOC=J22 |IOSTANDARD=LVCMOS25; + + + +# Main I2C bus +#NET i2c_scl_io LOC=P11 | IOSTANDARD=LVCMOS25; +#NET i2c_sda_io LOC=N10 | IOSTANDARD=LVCMOS25; +NET "I2C_SDA_B" LOC = "R22"; ## C30 on FMC +NET "I2C_SCL_B" LOC = "T21"; ## C31 on FMC + +# +# I/O to devices under test + +#NET "BUSY_P_I<0>" LOC = "D4"; ## "FMC_LA06_P" , C10 on FMC +#NET "BUSY_N_I<0>" LOC = "D5"; ## "FMC_LA06_N" , C11 on FMC +#NET "BUSY_P_I<1>" LOC = "AA16"; ## "FMC_LA28_P" , H31 on FMC +#NET "BUSY_N_I<1>" LOC = "AB16"; ## "FMC_LA28_N" , H32 on FMC +#NET "BUSY_P_I<2>" LOC = "B2"; ## "FMC_LA07_P" , H13 on FMC +#NET "BUSY_N_I<2>" LOC = "A2"; ## "FMC_LA07_N" , H14 on FMC + +#NET "TRIGGERS_P_O<0>" LOC = "H10"; ## "FMC_LA10_P" , C14 on FMC +#NET "TRIGGERS_N_O<0>" LOC = "H11"; ## "FMC_LA10_N" , C15 on FMC +#NET "TRIGGERS_P_O<1>" LOC = "W17"; ## "FMC_LA32_P" , H37 on FMC +#NET "TRIGGERS_N_O<1>" LOC = "Y18"; ## "FMC_LA32_N" , H38 on FMC +#NET "TRIGGERS_P_O<2>" LOC = "F7"; ## "FMC_LA09_P" , D14 on FMC +#NET "TRIGGERS_N_O<2>" LOC = "F8"; ## "FMC_LA09_N" , D15 on FMC + +#NET "SHUTTERS_P_O<0>" LOC = "R9"; ## "FMC_LA20_P" , G21 on FMC +#NET "SHUTTERS_N_O<0>" LOC = "R8"; ## "FMC_LA20_N" , G22 on FMC +#NET "SHUTTERS_P_O<1>" LOC = "T12"; ## "FMC_LA18_CC_P" , C22 on FMC +#NET "SHUTTERS_N_O<1>" LOC = "U12"; ## "FMC_LA18_CC_N" , C23 on FMC +#NET "SHUTTERS_P_O<2>" LOC = "R11"; ## "FMC_LA19_P" , H22 on FMC +#NET "SHUTTERS_N_O<2>" LOC = "T11"; ## "FMC_LA19_N" , H23 on FMC + +#NET "DUT_CLK_P_I<0>" LOC = "U16"; ## "FMC_LA31_P" , G33 on FMC , "DUT_CLK_P_I<0> +#NET "DUT_CLK_N_I<0>" LOC = "V15"; ## "FMC_LA31_N" , G34 on FMC , DUT_CLK_N<0> +#NET "DUT_CLK_P_I<1>" LOC = "AA14"; ## "FMC_LA24_P" , H28 on FMC , CONT_P<1> +#NET "DUT_CLK_N_I<1>" LOC = "AB14"; ## "FMC_LA24_N" , H29 on FMC , CONT_N<1> +#NET "DUT_CLK_P_I<2>" LOC = "B20"; ## "FMC_LA08_P" , G12 on FMC , CONT_P<2> +#NET "DUT_CLK_N_I<2>" LOC = "A20"; ## "FMC_LA08_N" , G13 on FMC , CONT_N<2> + +#NET "RESET_OR_CLK_P_O<0>" LOC = "Y17"; ## "FMC_LA33_P" , G36 on FMC , CONT_P<0> +##NET "RESET_OR_CLK_N_O<0>" LOC = "AB17"; ## "FMC_LA33_N" , G37 on FMC , CONT_N<0> +#NET "RESET_OR_CLK_P_O<1>" LOC = "V11"; ## "FMC_LA21_P" , H25 on FMC , CLK_P<1> +##NET "RESET_OR_CLK_N_O<1>" LOC = "W11"; ## "FMC_LA21_N" , H26 on FMC , CLK_QN<1> +#NET "RESET_OR_CLK_P_O<2>" LOC = "C19"; ## "FMC_LA04_P" , H10 on FMC , CLK_P<2> +##NET "RESET_OR_CLK_N_O<2>" LOC = "A19"; ## "FMC_LA04_N" , H11 on FMC , CLK_N<2> + + +# Trigger inputs +# first constant-fraction-discrimiator comparator outputs +#NET "CFD_DISCR_P_I<0>" LOC = "W17"; ## "FMC_LA00_CC_P" , G6 on FMC +#NET "CFD_DISCR_N_I<0>" LOC = "Y18"; ## "FMC_LA00_CC_N" , G7 on FMC +#NET "CFD_DISCR_P_I<1>" LOC = "Y15"; ## "FMC_LA14_P" , C18 on FMC +#NET "CFD_DISCR_N_I<1>" LOC = "AB15"; ## "FMC_LA14_N" , C19 on FMC +#NET "CFD_DISCR_P_I<2>" LOC = "AA16"; ## "FMC_LA12_P" , C22 on FMC +#NET "CFD_DISCR_N_I<2>" LOC = "AB16"; ## "FMC_LA12_N" , C23 on FMC +#NET "CFD_DISCR_P_I<3>" LOC = "AA14"; ## "FMC_LA16_P" , C26 on FMC +#NET "CFD_DISCR_N_I<3>" LOC = "AB14"; ## "FMC_LA16_N" , C27 on FMC +##NET "CFD_DISCR_P_I<2>" LOC = "T12"; ## "FMC_LA18_CC_P" , C22 on FMC +##NET "CFD_DISCR_N_I<2>" LOC = "U12"; ## "FMC_LA18_CC_N" , C23 on FMC +##NET "CFD_DISCR_P_I<3>" LOC = "AA10"; ## "FMC_LA27_P" , C26 on FMC +##NET "CFD_DISCR_N_I<3>" LOC = "AB10"; ## "FMC_LA27_N" , C27 on FMC +# then threshold comparator outputs +# N.B. These differ from v1 of schematics, since the original choice couldn't be routed. +NET "THRESHOLD_DISCR_P_I<0>" LOC = "F14"; ## "FMC_LA01_CC_P" , D8 on FMC +#NET "THRESHOLD_DISCR_N_I<0>" LOC = "F15"; ## "FMC_LA01_CC_N" , D9 on FMC +NET "THRESHOLD_DISCR_P_I<1>" LOC = "G16"; ## "FMC_LA13_P" , D17 on FMC +#NET "THRESHOLD_DISCR_N_I<1>" LOC = "F17"; ## "FMC_LA13_N" , D18 on FMC +NET "THRESHOLD_DISCR_P_I<2>" LOC = "D18"; ## "FMC_LA15_P" , H19 on FMC +#NET "THRESHOLD_DISCR_N_I<2>" LOC = "D19"; ## "FMC_LA15_N" , H20 on FMC +NET "THRESHOLD_DISCR_P_I<3>" LOC = "H14"; ## "FMC_LA11_P" , H16 on FMC +#NET "THRESHOLD_DISCR_N_I<3>" LOC = "G15"; ## "FMC_LA11_N" , H17 on FMC + +#NET "SPARE_P<2>" LOC = "Y11"; ## "FMC_LA17_CC_P" , D20 on FMC +#NET "SPARE_N<2>" LOC = "AB11"; ## "FMC_LA17_CC_N" , D21 on FMC +#NET "SPARE_P<1>" LOC = "Y15"; ## "FMC_LA30_P" , H34 on FMC +#NET "SPARE_N<1>" LOC = "AB15"; ## "FMC_LA30_N" , H35 on FMC + +NET "EXTCLK_P_B" LOC = "H12"; ## "FMC_CLK0_M2C_P" , H4 on FMC , "FRONT_PANEL_CLK_P" +NET "EXTCLK_N_B" LOC = "G11"; ## "FMC_CLK0_M2C_N" , H5 on FMC , "FRONT_PANEL_CLK_N" +#NET "HDMI_POWER_ENABLE1" LOC = "G8"; ## "FMC_LA02_P" , H7 on FMC +#NET "HDMI_POWER_ENABLE2" LOC = "F9"; ## "FMC_LA02_N" , H8 on FMC + + +NET "output_0_p[0]" LOC = R9; +NET "output_0_p[1]" LOC = B18; +NET "output_0_p[2]" LOC = C5; +NET "output_0_n[0]" LOC = R8; +NET "output_0_n[1]" LOC = A18; +NET "output_0_n[2]" LOC = A5; +NET "output_1_p[0]" LOC = AA10; +NET "output_1_p[1]" LOC = G8; +NET "output_1_p[2]" LOC = V11; +NET "output_1_n[0]" LOC = AB10; +NET "output_1_n[1]" LOC = F9; +NET "output_1_n[2]" LOC = W11; +NET "output_2_p[0]" LOC = V7; +NET "output_2_p[1]" LOC = T12; +NET "output_2_p[2]" LOC = B2; +NET "output_2_n[0]" LOC = W8; +NET "output_2_n[1]" LOC = U12; +NET "output_2_n[2]" LOC = A2; +NET "output_3_p[0]" LOC = R11; +NET "output_3_p[1]" LOC = C17; +NET "output_3_p[2]" LOC = H13; +NET "output_3_n[0]" LOC = T11; +NET "output_3_n[1]" LOC = A17; +NET "output_3_n[2]" LOC = G13; \ No newline at end of file diff --git a/firmware/minitlu/hdl/test/top_extphy_struct.vhd b/firmware/minitlu/hdl/test/top_extphy_struct.vhd new file mode 100644 index 00000000..4e165071 --- /dev/null +++ b/firmware/minitlu/hdl/test/top_extphy_struct.vhd @@ -0,0 +1,596 @@ +-- VHDL Entity work.top_extphy.symbol +-- +-- Created: +-- by - phdgc.users (fortis.phy.bris.ac.uk) +-- at - 16:06:33 01/24/14 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2012.2b (Build 5) +-- +LIBRARY ieee; +USE ieee.std_logic_1164.all; +USE ieee.numeric_std.all; + +ENTITY top_extphy IS + GENERIC( + g_NUM_DUTS : positive := 3; + g_NUM_TRIG_INPUTS : positive := 4; + g_NUM_EXT_SLAVES : positive := 10; --! Number of slaves outside IPBus interface + g_EVENT_DATA_WIDTH : positive := 64; + g_IPBUS_WIDTH : positive := 32; + g_NUM_EDGE_INPUTS : positive := 4; + g_SPILL_COUNTER_WIDTH : positive := 12 + ); + PORT( + --busy_n_i : IN std_logic_vector (g_NUM_DUTS-1 DOWNTO 0); + --busy_p_i : IN std_logic_vector (g_NUM_DUTS-1 DOWNTO 0); --! Busy lines from DUTs ( active high ) + cfd_discr_n_i : IN std_logic_vector (g_NUM_TRIG_INPUTS-1 DOWNTO 0); + cfd_discr_p_i : IN std_logic_vector (g_NUM_TRIG_INPUTS-1 DOWNTO 0); + dip_switch_i : IN std_logic_vector (3 DOWNTO 0); + dut_clk_n_i : IN std_logic_vector (g_NUM_DUTS-1 DOWNTO 0); + dut_clk_p_i : IN std_logic_vector (g_NUM_DUTS-1 DOWNTO 0); + gmii_rx_clk_i : IN std_logic; + gmii_rx_dv_i : IN std_logic; + gmii_rx_er_i : IN std_logic; + gmii_rxd_i : IN std_logic_vector (7 DOWNTO 0); + sysclk_n_i : IN std_logic; --! 200 MHz xtal clock + sysclk_p_i : IN std_logic; + threshold_discr_n_i : IN std_logic_vector (g_NUM_TRIG_INPUTS-1 DOWNTO 0); + threshold_discr_p_i : IN std_logic_vector (g_NUM_TRIG_INPUTS-1 DOWNTO 0); + gmii_gtx_clk_o : OUT std_logic; + gmii_tx_en_o : OUT std_logic; + gmii_tx_er_o : OUT std_logic; + gmii_txd_o : OUT std_logic_vector (7 DOWNTO 0); + gpio_hdr : OUT std_logic_vector (7 DOWNTO 0); + leds_o : OUT std_logic_vector (3 DOWNTO 0); + phy_rstb_o : OUT std_logic; +-- reset_or_clk_n_o : OUT std_logic_vector (g_NUM_DUTS-1 DOWNTO 0); +-- reset_or_clk_p_o : OUT std_logic_vector (g_NUM_DUTS-1 DOWNTO 0); +-- triggers_n_o : OUT std_logic_vector (g_NUM_DUTS-1 DOWNTO 0); +-- triggers_p_o : OUT std_logic_vector (g_NUM_DUTS-1 DOWNTO 0); --! Trigger lines to DUT + extclk_n_b : OUT std_logic; + extclk_p_b : OUT std_logic; --! either external clock in, or a clock being driven out + output_0_p : OUT std_logic_vector (g_NUM_DUTS-1 DOWNTO 0); + output_0_n : OUT std_logic_vector (g_NUM_DUTS-1 DOWNTO 0); + output_1_p : OUT std_logic_vector (g_NUM_DUTS-1 DOWNTO 0); + output_1_n : OUT std_logic_vector (g_NUM_DUTS-1 DOWNTO 0); + output_2_p : OUT std_logic_vector (g_NUM_DUTS-1 DOWNTO 0); + output_2_n : OUT std_logic_vector (g_NUM_DUTS-1 DOWNTO 0); + output_3_p : OUT std_logic_vector (g_NUM_DUTS-1 DOWNTO 0); + output_3_n : OUT std_logic_vector (g_NUM_DUTS-1 DOWNTO 0); + i2c_scl_b : INOUT std_logic; + i2c_sda_b : INOUT std_logic + ); + +-- Declarations + +END ENTITY top_extphy ; + +--============================================================================= +--! @file top_extphy_struct.vhd +--============================================================================= +-- +------------------------------------------------------------------------------- +-- -- +-- University of Bristol, High Energy Physics Group. +-- -- +------------------------------------------------------------------------------- -- +-- VHDL -- VHDL Architecture work.top_extphy.struct +-- +--! @brief \n +--! \n +-- +--! @author David Cussans , David.Cussans@bristol.ac.uk ( phdgc.users (fortis.phy.bris.ac.uk)) +-- +--! @date 16:18:26 01/24/14 +-- +--! @version v0.1 +-- +--! @details +--! +--! +--! <b>Dependencies:</b>\n +--! +--! <b>References:</b>\n +--! +--! <b>Modified by:</b>\n +--! Author: +------------------------------------------------------------------------------- +--! \n\n<b>Last changes:</b>\n +------------------------------------------------------------------------------- +--! @todo <next thing to do> \n +--! <another thing to do> \n +-- +-------------------------------------------------------------------------------- +-- +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2012.2b (Build 5) +-- +LIBRARY ieee; +USE ieee.std_logic_1164.all; +USE ieee.numeric_std.all; + +LIBRARY work; +USE work.ipbus.all; +USE work.emac_hostbus_decl.all; + +USE work.fmcTLU.all; +LIBRARY unisim; +USE unisim.vcomponents.all; + + +ARCHITECTURE struct OF top_extphy IS + + -- Architecture declarations + + -- Internal signal declarations + SIGNAL buffer_full_o : std_logic; --! Goes high when event buffer almost full + SIGNAL clk_16x_logic : std_logic; -- 640MHz clock + SIGNAL clk_4x_logic : std_logic; --! normally 160MHz + SIGNAL clk_logic_xtal : std_logic; -- ! 40MHz clock from onboard xtal + SIGNAL data_strobe : std_logic; -- goes high when data ready to load into event buffer + SIGNAL edge_fall_i : std_logic_vector(g_NUM_EDGE_INPUTS-1 DOWNTO 0); -- ! High when falling edge + SIGNAL edge_fall_time_i : t_triggerTimeArray(g_NUM_EDGE_INPUTS-1 DOWNTO 0); -- Array of edge times ( w.r.t. logic_strobe) + SIGNAL edge_rise_i : std_logic_vector(g_NUM_EDGE_INPUTS-1 DOWNTO 0); -- ! High when rising edge + SIGNAL edge_rise_time_i : t_triggerTimeArray(g_NUM_EDGE_INPUTS-1 DOWNTO 0); -- Array of edge times ( w.r.t. logic_strobe) + SIGNAL event_data : std_logic_vector(g_EVENT_DATA_WIDTH-1 DOWNTO 0); + SIGNAL event_number_o : std_logic_vector(g_IPBUS_WIDTH-1 DOWNTO 0); -- starts at one. Increments for each post_veto_trigger + SIGNAL ipbr : ipb_rbus_array(g_NUM_EXT_SLAVES-1 DOWNTO 0); --! IPBus read signals + SIGNAL ipbus_clk : std_logic; + SIGNAL ipbus_reset : std_logic; + SIGNAL ipbus_rst : std_logic; -- ! IPBus reset to slaves + SIGNAL ipbw : ipb_wbus_array(g_NUM_EXT_SLAVES-1 DOWNTO 0); --! IBus write signals + SIGNAL logic_clocks_reset : std_logic; -- Goes high to reset counters etc. Sync with clk_4x_logic + SIGNAL logic_reset : std_logic; -- Goes high to reset counters etc. Sync with clk_4x_logic + SIGNAL overall_trigger : std_logic; --! goes high to load trigger data + SIGNAL overall_veto : std_logic; --! Halts triggers when high + SIGNAL s_i2c_scl_enb : std_logic; + SIGNAL s_i2c_sda_enb : std_logic; + SIGNAL shutter_cnt_i : std_logic_vector(g_SPILL_COUNTER_WIDTH-1 DOWNTO 0); + SIGNAL shutter_i : std_logic; + SIGNAL spill_cnt_i : std_logic_vector(g_SPILL_COUNTER_WIDTH-1 DOWNTO 0); + SIGNAL spill_i : std_logic; + SIGNAL strobe_16x_logic : std_logic; --! Pulses one cycle every 4 of 16x clock. + SIGNAL strobe_4x_logic : std_logic; -- one pulse every 4 cycles of clk_4x + SIGNAL trigger_cnt_i : std_logic_vector(g_IPBUS_WIDTH-1 DOWNTO 0); + SIGNAL trigger_count : std_logic_vector(g_IPBUS_WIDTH-1 DOWNTO 0); + SIGNAL trigger_times : t_triggerTimeArray(g_NUM_TRIG_INPUTS-1 DOWNTO 0); -- ! trigger arrival time ( w.r.t. logic_strobe) + SIGNAL triggers : std_logic_vector(g_NUM_TRIG_INPUTS-1 DOWNTO 0); + SIGNAL veto_o : std_logic; --! goes high when one or more DUT are busy + + + -- Component Declarations + COMPONENT DUTInterfaces + GENERIC ( + g_NUM_DUTS : positive := 3; + g_IPBUS_WIDTH : positive := 32 + ); + PORT ( + --busy_from_dut_n_i : IN std_logic_vector (g_NUM_DUTS-1 DOWNTO 0); -- BUSY input from DUTs + --busy_from_dut_p_i : IN std_logic_vector (g_NUM_DUTS-1 DOWNTO 0); -- BUSY input from DUTs + clk_4x_logic_i : IN std_logic ; + clk_from_dut_n_i : IN std_logic_vector (g_NUM_DUTS-1 DOWNTO 0); -- clocks trigger data when in EUDET mode + clk_from_dut_p_i : IN std_logic_vector (g_NUM_DUTS-1 DOWNTO 0); -- clocks trigger data when in EUDET mode + ipbus_clk_i : IN std_logic ; + ipbus_i : IN ipb_wbus ; -- Signals from IPBus core TO slave + ipbus_reset_i : IN std_logic ; + strobe_4x_logic_i : IN std_logic ; -- ! goes high every 4th clock cycle + trigger_counter_i : IN std_logic_vector (g_IPBUS_WIDTH-1 DOWNTO 0); + trigger_i : IN std_logic ; -- goes high when trigger logic issues a trigger + --shutter_i : IN std_logic; -- goes high when trigger logic issues a shutter + ipbus_o : OUT ipb_rbus ; -- signals from slave TO IPBus core +-- reset_or_clk_to_dut_n_o : OUT std_logic_vector (g_NUM_DUTS-1 DOWNTO 0); -- ! Either reset line or trigger +-- reset_or_clk_to_dut_p_o : OUT std_logic_vector (g_NUM_DUTS-1 DOWNTO 0); -- ! Either reset line or trigger +-- trigger_to_dut_n_o : OUT std_logic_vector (g_NUM_DUTS-1 DOWNTO 0); -- ! Trigger output +-- trigger_to_dut_p_o : OUT std_logic_vector (g_NUM_DUTS-1 DOWNTO 0); -- ! Trigger output + --shutter_to_dut_n_o : OUT std_logic_vector (g_NUM_DUTS-1 DOWNTO 0); -- ! Shutter output + --shutter_to_dut_p_o : OUT std_logic_vector (g_NUM_DUTS-1 DOWNTO 0); -- ! Shutter output + output_0_p : OUT std_logic_vector (g_NUM_DUTS-1 DOWNTO 0); + output_0_n : OUT std_logic_vector (g_NUM_DUTS-1 DOWNTO 0); + output_1_p : OUT std_logic_vector (g_NUM_DUTS-1 DOWNTO 0); + output_1_n : OUT std_logic_vector (g_NUM_DUTS-1 DOWNTO 0); + output_2_p : OUT std_logic_vector (g_NUM_DUTS-1 DOWNTO 0); + output_2_n : OUT std_logic_vector (g_NUM_DUTS-1 DOWNTO 0); + output_3_p : OUT std_logic_vector (g_NUM_DUTS-1 DOWNTO 0); + output_3_n : OUT std_logic_vector (g_NUM_DUTS-1 DOWNTO 0); + veto_o : OUT std_logic -- goes high when one or more DUT are busy + ); + END COMPONENT DUTInterfaces; + COMPONENT IPBusInterface + GENERIC ( + NUM_EXT_SLAVES : positive := 5 + ); + PORT ( + gmii_rx_clk_i : IN std_logic ; + gmii_rx_dv_i : IN std_logic ; + gmii_rx_er_i : IN std_logic ; + gmii_rxd_i : IN std_logic_vector (7 DOWNTO 0); + ipbr_i : IN ipb_rbus_array (NUM_EXT_SLAVES-1 DOWNTO 0); -- ! IPBus read signals + sysclk_n_i : IN std_logic ; + sysclk_p_i : IN std_logic ; -- ! 200 MHz xtal clock + clocks_locked_o : OUT std_logic ; + gmii_gtx_clk_o : OUT std_logic ; + gmii_tx_en_o : OUT std_logic ; + gmii_tx_er_o : OUT std_logic ; + gmii_txd_o : OUT std_logic_vector (7 DOWNTO 0); + ipb_clk_o : OUT std_logic ; -- ! IPBus clock TO slaves + ipb_rst_o : OUT std_logic ; -- ! IPBus reset TO slaves + ipbw_o : OUT ipb_wbus_array (NUM_EXT_SLAVES-1 DOWNTO 0); -- ! IBus write signals + onehz_o : OUT std_logic ; + phy_rstb_o : OUT std_logic ; + dip_switch_i : IN std_logic_vector (3 DOWNTO 0); + clk_logic_xtal_o : OUT std_logic + ); + END COMPONENT IPBusInterface; + COMPONENT eventBuffer + GENERIC ( + g_EVENT_DATA_WIDTH : positive := 64; + g_IPBUS_WIDTH : positive := 32; + g_WRITE_COUNTER_WIDTH : positive := 13; + g_READ_COUNTER_WIDTH : positive := 14 + ); + PORT ( + clk_4x_logic_i : IN std_logic ; + data_strobe_i : IN std_logic ; -- Indicates data TO transfer + event_data_i : IN std_logic_vector (g_EVENT_DATA_WIDTH-1 DOWNTO 0); + ipbus_clk_i : IN std_logic ; + ipbus_i : IN ipb_wbus ; + ipbus_reset_i : IN std_logic ; + strobe_4x_logic_i : IN std_logic ; + trigger_count_i : IN std_logic_vector (g_IPBUS_WIDTH-1 DOWNTO 0); --! Not yet used. + buffer_full_o : OUT std_logic ; --! Goes high when event buffer almost full + ipbus_o : OUT ipb_rbus ; + logic_reset_i : IN std_logic -- reset buffers when high. Synch withclk_4x_logic + ); + END COMPONENT eventBuffer; + COMPONENT eventFormatter + GENERIC ( + g_EVENT_DATA_WIDTH : positive := 64; + g_IPBUS_WIDTH : positive := 32; + g_COUNTER_TRIG_WIDTH : positive := 32; + g_COUNTER_WIDTH : positive := 12; + g_EVTTYPE_WIDTH : positive := 4; -- Width of the event type word + --g_NUM_INPUT_TYPES : positive := 4; -- Number of different input types (trigger, shutter, edge...) + g_NUM_EDGE_INPUTS : positive := 4; -- Number of edge inputs + g_NUM_TRIG_INPUTS : positive := 5 -- Number of trigger inputs + ); + PORT ( + clk_4x_logic_i : IN std_logic ; -- ! Rising edge active + ipbus_clk_i : IN std_logic ; + logic_strobe_i : IN std_logic ; -- ! Pulses high once every 4 cycles of clk_4x_logic + logic_reset_i : IN std_logic ; -- goes high TO reset counters. Synchronous with clk_4x_logic + trigger_i : IN std_logic ; --! goes high TO load trigger data. One cycle of clk_4x_logic + trigger_times_i : IN t_triggerTimeArray (g_NUM_TRIG_INPUTS-1 DOWNTO 0); -- Array of trigger times ( w.r.t. logic_strobe) + trigger_inputs_fired_i : IN std_logic_vector (g_NUM_TRIG_INPUTS-1 DOWNTO 0); -- high for each input that "fired" + trigger_cnt_i : IN std_logic_vector (g_COUNTER_TRIG_WIDTH-1 DOWNTO 0); + shutter_i : IN std_logic ; + shutter_cnt_i : IN std_logic_vector (g_COUNTER_WIDTH-1 DOWNTO 0); + spill_i : IN std_logic ; + spill_cnt_i : IN std_logic_vector (g_COUNTER_WIDTH-1 DOWNTO 0); + edge_rise_i : IN std_logic_vector (g_NUM_EDGE_INPUTS-1 DOWNTO 0); -- ! High when rising edge + edge_fall_i : IN std_logic_vector (g_NUM_EDGE_INPUTS-1 DOWNTO 0); -- ! High when falling edge + edge_rise_time_i : IN t_triggerTimeArray (g_NUM_EDGE_INPUTS-1 DOWNTO 0); -- Array of edge times ( w.r.t. logic_strobe) + edge_fall_time_i : IN t_triggerTimeArray (g_NUM_EDGE_INPUTS-1 DOWNTO 0); -- Array of edge times ( w.r.t. logic_strobe) + ipbus_i : IN ipb_wbus ; + ipbus_o : OUT ipb_rbus ; + data_strobe_o : OUT std_logic ; -- goes high when data ready TO load into event buffer + event_data_o : OUT std_logic_vector (g_EVENT_DATA_WIDTH-1 DOWNTO 0); + event_number_i : IN std_logic_vector (g_IPBUS_WIDTH-1 DOWNTO 0); + trigger_count_o : OUT std_logic_vector (g_IPBUS_WIDTH-1 DOWNTO 0) + ); + END COMPONENT eventFormatter; + COMPONENT i2c_master + PORT ( + i2c_scl_i : IN std_logic ; + i2c_sda_i : IN std_logic ; + ipbus_clk_i : IN std_logic ; + ipbus_i : IN ipb_wbus ; -- Signals from IPBus core TO slave + ipbus_reset_i : IN std_logic ; + i2c_scl_enb_o : OUT std_logic ; + i2c_sda_enb_o : OUT std_logic ; + ipbus_o : OUT ipb_rbus -- signals from slave TO IPBus core + ); + END COMPONENT i2c_master; + COMPONENT logic_clocks + PORT ( + ipbus_clk_i : IN std_logic ; + ipbus_i : IN ipb_wbus ; + ipbus_reset_i : IN std_logic ; + Reset_i : IN std_logic ; + clk_logic_xtal_i : IN std_logic ; -- ! 40MHz clock from onboard xtal + clk_16x_logic_o : OUT std_logic ; -- 640MHz clock + clk_4x_logic_o : OUT std_logic ; -- 160MHz clock + ipbus_o : OUT ipb_rbus ; + strobe_16x_logic_o : OUT std_logic ; -- strobes once every 4 cycles of clk_16x + strobe_4x_logic_o : OUT std_logic ; -- one pulse every 4 cycles of clk_4x + extclk_p_b : OUT std_logic ; -- either external clock in, or a clock being driven out + extclk_n_b : OUT std_logic ; + clk_logic_o : OUT std_logic ; + logic_clocks_locked_o : OUT std_logic ; + logic_reset_o : OUT std_logic -- Goes high TO reset counters etc. Sync with clk_4x_logic + ); + END COMPONENT logic_clocks; + COMPONENT triggerInputs + GENERIC ( + g_NUM_INPUTS : natural := 1 + ); + PORT ( + cfd_discr_p_i : IN std_logic_vector (g_NUM_INPUTS-1 DOWNTO 0); + cfd_discr_n_i : IN std_logic_vector (g_NUM_INPUTS-1 DOWNTO 0); + clk_4x_logic : IN std_logic ; -- ! Rising edge active + strobe_4x_logic_i : IN std_logic ; -- ! Pulses high once every 4 cycles of clk_4x_logic + threshold_discr_p_i : IN std_logic_vector (g_NUM_INPUTS-1 DOWNTO 0); -- ! inputs from threshold comparators + threshold_discr_n_i : IN std_logic_vector (g_NUM_INPUTS-1 DOWNTO 0); -- ! inputs from threshold comparators + trigger_times_o : OUT t_triggerTimeArray (g_NUM_INPUTS-1 DOWNTO 0); -- ! trigger arrival time ( w.r.t. logic_strobe) + trigger_o : OUT std_logic_vector (g_NUM_INPUTS-1 DOWNTO 0); -- ! High when trigger active + trigger_debug_o : OUT std_logic_vector ( ((2*g_NUM_INPUTS)-1) DOWNTO 0); -- ! Copy of input trigger level. High bits CFD, Low threshold + edge_rising_times_o : OUT t_triggerTimeArray (g_NUM_INPUTS-1 DOWNTO 0); -- ! edge arrival time ( w.r.t. logic_strobe) + edge_falling_times_o : OUT t_triggerTimeArray (g_NUM_INPUTS-1 DOWNTO 0); -- ! edge arrival time ( w.r.t. logic_strobe) + edge_rising_o : OUT std_logic_vector (g_NUM_INPUTS-1 DOWNTO 0); -- ! High when rising edge + edge_falling_o : OUT std_logic_vector (g_NUM_INPUTS-1 DOWNTO 0); -- ! High when falling edge + ipbus_clk_i : IN std_logic ; + ipbus_reset_i : IN std_logic ; + ipbus_i : IN ipb_wbus ; -- Signals from IPBus core TO slave + ipbus_o : OUT ipb_rbus ; -- signals from slave TO IPBus core + clk_16x_logic_i : IN std_logic ; --! 640MHz clock ( 16x 40MHz ) + strobe_16x_logic_i : IN std_logic --! Pulses one cycle every 4 of 16x clock. + ); + END COMPONENT triggerInputs; + COMPONENT triggerLogic + GENERIC ( + g_NUM_INPUTS : positive := 4; + g_IPBUS_WIDTH : positive := 32 + ); + PORT ( + clk_4x_logic_i : IN std_logic ; -- ! Rising edge active + ipbus_clk_i : IN std_logic ; + ipbus_i : IN ipb_wbus ; -- Signals from IPBus core TO slave + ipbus_reset_i : IN std_logic ; + logic_reset_i : IN std_logic ; -- active high. Synchronous with clk_4x_logic + logic_strobe_i : IN std_logic ; -- ! Pulses high once every 4 cycles of clk_4x_logic + trigger_i : IN std_logic_vector (g_NUM_INPUTS-1 DOWNTO 0); -- ! High when trigger from input conector active + veto_i : IN std_logic ; -- ! Halts triggers when high + event_number_o : OUT std_logic_vector (g_IPBUS_WIDTH-1 DOWNTO 0); -- starts at one. Increments for each post_veto_trigger + ipbus_o : OUT ipb_rbus ; -- signals from slave TO IPBus core + post_veto_trigger_o : OUT std_logic ; -- ! goes high when trigger passes + pre_veto_trigger_o : OUT std_logic ; + trigger_active_o : OUT std_logic --! Goes high when triggers are active ( ie. not veoted) + ); + END COMPONENT triggerLogic; + + -- Optional embedded configurations + -- pragma synthesis_off + FOR ALL : DUTInterfaces USE ENTITY work.DUTInterfaces; + FOR ALL : IPBusInterface USE ENTITY work.IPBusInterface; + FOR ALL : eventBuffer USE ENTITY work.eventBuffer; + FOR ALL : eventFormatter USE ENTITY work.eventFormatter; + FOR ALL : i2c_master USE ENTITY work.i2c_master; + FOR ALL : logic_clocks USE ENTITY work.logic_clocks; + FOR ALL : triggerInputs USE ENTITY work.triggerInputs; + FOR ALL : triggerLogic USE ENTITY work.triggerLogic; + -- pragma synthesis_on + + +BEGIN + -- Architecture concurrent statements + -- HDL Embedded Text Block 1 i2c_tristate + -- eb1 1 + i2c_scl_b <= '0' when (s_i2c_scl_enb = '0') else 'Z'; + i2c_sda_b <= '0' when (s_i2c_sda_enb = '0') else 'Z'; + + + + + -- ModuleWare code(v1.12) for instance 'I9' of 'gnd' + logic_clocks_reset <= '0'; + + -- ModuleWare code(v1.12) for instance 'I10' of 'gnd' + --trigger_cnt_i <= (OTHERS => '0'); + trigger_cnt_i <= event_number_o; + + -- ModuleWare code(v1.12) for instance 'I11' of 'gnd' + spill_i <= '0'; + + -- ModuleWare code(v1.12) for instance 'I12' of 'gnd' + spill_cnt_i <= (OTHERS => '0'); + + -- ModuleWare code(v1.12) for instance 'I13' of 'gnd' + shutter_i <= '0'; + + -- ModuleWare code(v1.12) for instance 'I14' of 'gnd' + shutter_cnt_i <= (OTHERS => '0'); + + -- ModuleWare code(v1.12) for instance 'I8' of 'sor' + overall_veto <= buffer_full_o OR veto_o; + + -- Instance port mappings. + I0 : DUTInterfaces + GENERIC MAP ( + g_NUM_DUTS => g_NUM_DUTS, + g_IPBUS_WIDTH => g_IPBUS_WIDTH + ) + PORT MAP ( + --busy_from_dut_n_i => (others=>'0'), --busy_n_i, + --busy_from_dut_p_i => (others=>'0'), --busy_p_i, + clk_4x_logic_i => clk_4x_logic, + clk_from_dut_n_i => dut_clk_n_i, + clk_from_dut_p_i => dut_clk_p_i, + ipbus_clk_i => ipbus_clk, + ipbus_i => ipbw(0), + ipbus_reset_i => ipbus_reset, + strobe_4x_logic_i => strobe_4x_logic, + trigger_counter_i => trigger_count, + trigger_i => overall_trigger, + ipbus_o => ipbr(0), +-- reset_or_clk_to_dut_n_o => reset_or_clk_n_o, +-- reset_or_clk_to_dut_p_o => reset_or_clk_p_o, +-- trigger_to_dut_n_o => triggers_n_o, +-- trigger_to_dut_p_o => triggers_p_o, + output_0_p => output_0_p, + output_0_n => output_0_n, + output_1_p => output_1_p, + output_1_n => output_1_n, + output_2_p => output_2_p, + output_2_n => output_2_n, + output_3_p => output_3_p, + output_3_n => output_3_n, + veto_o => veto_o + ); + I4 : IPBusInterface + GENERIC MAP ( + NUM_EXT_SLAVES => g_NUM_EXT_SLAVES + ) + PORT MAP ( + gmii_rx_clk_i => gmii_rx_clk_i, + gmii_rx_dv_i => gmii_rx_dv_i, + gmii_rx_er_i => gmii_rx_er_i, + gmii_rxd_i => gmii_rxd_i, + ipbr_i => ipbr, + sysclk_n_i => sysclk_n_i, + sysclk_p_i => sysclk_p_i, + clocks_locked_o => leds_o(2), + gmii_gtx_clk_o => gmii_gtx_clk_o, + gmii_tx_en_o => gmii_tx_en_o, + gmii_tx_er_o => gmii_tx_er_o, + gmii_txd_o => gmii_txd_o, + ipb_clk_o => ipbus_clk, + ipb_rst_o => ipbus_rst, + ipbw_o => ipbw, + onehz_o => leds_o(3), + phy_rstb_o => phy_rstb_o, + dip_switch_i => dip_switch_i, + clk_logic_xtal_o => clk_logic_xtal + ); + I5 : eventBuffer + GENERIC MAP ( + g_EVENT_DATA_WIDTH => g_EVENT_DATA_WIDTH, + g_IPBUS_WIDTH => g_IPBUS_WIDTH, + g_WRITE_COUNTER_WIDTH => 13, + g_READ_COUNTER_WIDTH => 14 + ) + PORT MAP ( + clk_4x_logic_i => clk_4x_logic, + data_strobe_i => data_strobe, + event_data_i => event_data, + ipbus_clk_i => ipbus_clk, + ipbus_i => ipbw(3), + ipbus_reset_i => ipbus_reset, + strobe_4x_logic_i => strobe_4x_logic, + trigger_count_i => trigger_count, + buffer_full_o => buffer_full_o, + ipbus_o => ipbr(3), + logic_reset_i => logic_reset + ); + I2 : eventFormatter + GENERIC MAP ( + g_EVENT_DATA_WIDTH => g_EVENT_DATA_WIDTH, + g_IPBUS_WIDTH => g_IPBUS_WIDTH, + g_COUNTER_TRIG_WIDTH => g_IPBUS_WIDTH, + g_COUNTER_WIDTH => 12, + g_EVTTYPE_WIDTH => 4, -- Width of the event type word + --g_NUM_INPUT_TYPES : positive := 4; -- Number of different input types (trigger, shutter, edge...) + g_NUM_EDGE_INPUTS => g_NUM_EDGE_INPUTS, -- Number of edge inputs + g_NUM_TRIG_INPUTS => g_NUM_TRIG_INPUTS -- Number of trigger inputs + ) + PORT MAP ( + clk_4x_logic_i => clk_4x_logic, + ipbus_clk_i => ipbus_clk, + logic_strobe_i => strobe_4x_logic, + logic_reset_i => logic_reset, + trigger_i => overall_trigger, + trigger_times_i => trigger_times, + trigger_inputs_fired_i => triggers, + trigger_cnt_i => trigger_cnt_i, + shutter_i => shutter_i, + shutter_cnt_i => shutter_cnt_i, + spill_i => spill_i, + spill_cnt_i => spill_cnt_i, + edge_rise_i => edge_rise_i, + edge_fall_i => edge_fall_i, + edge_rise_time_i => edge_rise_time_i, + edge_fall_time_i => edge_fall_time_i, + ipbus_i => ipbw(9), + ipbus_o => ipbr(9), + data_strobe_o => data_strobe, + event_data_o => event_data, + event_number_i => event_number_o, + trigger_count_o => trigger_count + ); + I7 : i2c_master + PORT MAP ( + i2c_scl_i => i2c_scl_b, + i2c_sda_i => i2c_sda_b, + ipbus_clk_i => ipbus_clk, + ipbus_i => ipbw(5), + ipbus_reset_i => ipbus_reset, + i2c_scl_enb_o => s_i2c_scl_enb, + i2c_sda_enb_o => s_i2c_sda_enb, + ipbus_o => ipbr(5) + ); + I6 : logic_clocks + PORT MAP ( + ipbus_clk_i => ipbus_clk, + ipbus_i => ipbw(4), + ipbus_reset_i => ipbus_reset, + Reset_i => logic_clocks_reset, + clk_logic_xtal_i => clk_logic_xtal, + clk_16x_logic_o => clk_16x_logic, + clk_4x_logic_o => clk_4x_logic, + ipbus_o => ipbr(4), + strobe_16x_logic_o => strobe_16x_logic, + strobe_4x_logic_o => strobe_4x_logic, + extclk_p_b => extclk_p_b, + extclk_n_b => extclk_n_b, + clk_logic_o => OPEN, + logic_clocks_locked_o => leds_o(1), + logic_reset_o => logic_reset + ); + I1 : triggerInputs + GENERIC MAP ( + g_NUM_INPUTS => g_NUM_TRIG_INPUTS + ) + PORT MAP ( + cfd_discr_p_i => cfd_discr_p_i, + cfd_discr_n_i => cfd_discr_n_i, + clk_4x_logic => clk_4x_logic, + strobe_4x_logic_i => strobe_4x_logic, + threshold_discr_p_i => threshold_discr_p_i, + threshold_discr_n_i => threshold_discr_n_i, + trigger_times_o => trigger_times, + trigger_o => triggers, + trigger_debug_o => OPEN, --gpio_hdr, + edge_rising_times_o => OPEN, + edge_falling_times_o => OPEN, + edge_rising_o => OPEN, + edge_falling_o => OPEN, + ipbus_clk_i => ipbus_clk, + ipbus_reset_i => ipbus_reset, + ipbus_i => ipbw(1), + ipbus_o => ipbr(1), + clk_16x_logic_i => clk_16x_logic, + strobe_16x_logic_i => strobe_16x_logic + ); + I3 : triggerLogic + GENERIC MAP ( + g_NUM_INPUTS => g_NUM_TRIG_INPUTS, + g_IPBUS_WIDTH => g_IPBUS_WIDTH + ) + PORT MAP ( + clk_4x_logic_i => clk_4x_logic, + ipbus_clk_i => ipbus_clk, + ipbus_i => ipbw(2), + ipbus_reset_i => ipbus_reset, + logic_reset_i => logic_reset, + logic_strobe_i => strobe_4x_logic, + trigger_i => triggers, + veto_i => overall_veto, + event_number_o => event_number_o, + ipbus_o => ipbr(2), + post_veto_trigger_o => overall_trigger, + pre_veto_trigger_o => OPEN, + trigger_active_o => leds_o(0) + ); + + gpio_hdr(0) <= overall_trigger; + --gpio_hdr(1) <= clk_4x_logic; + +END ARCHITECTURE struct; diff --git a/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU.hdp b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU.hdp new file mode 100644 index 00000000..e15dc481 --- /dev/null +++ b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU.hdp @@ -0,0 +1,40 @@ +[DesignChecker] +work = $HDS_PROJECT_DIR/fmc_mTLU_lib/designcheck +[ModelSim] +work = $HDS_PROJECT_DIR/fmc_mTLU_lib/work +[QuestaSim] +simprim = /software/CAD/Xilinx/14.3_64b/14.3/ISE_DS/ISE/vhdl/questasim/10.0d/lin64/simprim +unisim = /software/CAD/Xilinx/14.3_64b/14.3/ISE_DS/ISE/vhdl/questasim/10.0d/lin64/unisim +xilinxcorelib = /software/CAD/Xilinx/14.3_64b/14.3/ISE_DS/ISE/vhdl/questasim/10.0d/lin64/xilinxcorelib +[XilinxISE] +work = $HDS_PROJECT_DIR/fmc_mTLU_lib/ise +[hdl] +exemplar = $HDS_HOME/examples/exemplar/hdl +hds_package_library = $HDS_HOME/hdl_libs/hds_package_library/hdl +renoir_package_library = $HDS_HOME/hdl_libs/renoir_package_library/hdl +work = $HDS_PROJECT_DIR/fmc_mTLU_lib/hdl +[hds] +exemplar = $HDS_HOME/examples/exemplar/hds +hds_package_library = $HDS_HOME/hdl_libs/hds_package_library/hds +renoir_package_library = $HDS_HOME/hdl_libs/renoir_package_library/hds +work = $HDS_PROJECT_DIR/fmc_mTLU_lib/hds +[hds_settings] +default_library = work +design_root = work.top_extphy(struct)top_extphy/struct.bd +project_description = Firmware for AIDA Mini-TLU in FMC format +version = 2 +[library_files_inclusion] +simprim = all +unisim = all +work = specify +xilinxcorelib = all +[library_type] +exemplar = regular +hds_package_library = regular +renoir_package_library = regular +simprim = downstream_only +unisim = downstream_only +work = regular +xilinxcorelib = downstream_only +[shared] +others = $HDS_TEAM_HOME/shared.hdp diff --git a/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/DUTInterfaces_rtl.vhd.rlnk b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/DUTInterfaces_rtl.vhd.rlnk new file mode 100644 index 00000000..41f02543 --- /dev/null +++ b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/DUTInterfaces_rtl.vhd.rlnk @@ -0,0 +1 @@ +/tmp/fmc_tlu/fmc-mtlu/firmware/hdl/common/DUTInterfaces_rtl.vhd \ No newline at end of file diff --git a/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/IPBusInterface_rtl.vhd.rlnk b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/IPBusInterface_rtl.vhd.rlnk new file mode 100644 index 00000000..8a1c89d1 --- /dev/null +++ b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/IPBusInterface_rtl.vhd.rlnk @@ -0,0 +1 @@ +/tmp/fmc_tlu/fmc-mtlu/firmware/hdl/common/IPBusInterface_rtl.vhd \ No newline at end of file diff --git a/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/arp.v.rlnk b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/arp.v.rlnk new file mode 100644 index 00000000..66d130d9 --- /dev/null +++ b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/arp.v.rlnk @@ -0,0 +1 @@ +/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/workspace/IPbusFirmware/firmware/ipbus/hdl/arp.v \ No newline at end of file diff --git a/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/arrivalTimeLUT_rtl.vhd.rlnk b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/arrivalTimeLUT_rtl.vhd.rlnk new file mode 100644 index 00000000..d10a01da --- /dev/null +++ b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/arrivalTimeLUT_rtl.vhd.rlnk @@ -0,0 +1 @@ +/tmp/fmc_tlu/fmc-mtlu/firmware/hdl/common/arrivalTimeLUT_rtl.vhd \ No newline at end of file diff --git a/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/bus_arb.vhd.rlnk b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/bus_arb.vhd.rlnk new file mode 100644 index 00000000..2bc82b82 --- /dev/null +++ b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/bus_arb.vhd.rlnk @@ -0,0 +1 @@ +/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/workspace/IPbusFirmware/firmware/ipbus/hdl/bus_arb.vhd \ No newline at end of file diff --git a/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/dualSERDES_1to4_rtl.vhd.rlnk b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/dualSERDES_1to4_rtl.vhd.rlnk new file mode 100644 index 00000000..4de80e65 --- /dev/null +++ b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/dualSERDES_1to4_rtl.vhd.rlnk @@ -0,0 +1 @@ +/tmp/fmc_tlu/fmc-mtlu/firmware/hdl/common/dualSERDES_1to4_rtl.vhd \ No newline at end of file diff --git a/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/emac_hostbus_decl.vhd.rlnk b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/emac_hostbus_decl.vhd.rlnk new file mode 100644 index 00000000..7c72d66d --- /dev/null +++ b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/emac_hostbus_decl.vhd.rlnk @@ -0,0 +1 @@ +/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/workspace/IPbusFirmware/firmware/ethernet/hdl/emac_hostbus_decl.vhd \ No newline at end of file diff --git a/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/eth_s6_1000basex.vhd.rlnk b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/eth_s6_1000basex.vhd.rlnk new file mode 100644 index 00000000..1866fa7e --- /dev/null +++ b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/eth_s6_1000basex.vhd.rlnk @@ -0,0 +1 @@ +/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/workspace/IPbusFirmware/firmware/ethernet/hdl/eth_s6_1000basex.vhd \ No newline at end of file diff --git a/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/eth_s6_gmii.vhd.rlnk b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/eth_s6_gmii.vhd.rlnk new file mode 100644 index 00000000..c4e9337d --- /dev/null +++ b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/eth_s6_gmii.vhd.rlnk @@ -0,0 +1 @@ +/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/workspace/IPbusFirmware/firmware/ethernet/hdl/eth_s6_gmii.vhd \ No newline at end of file diff --git a/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/eventBuffer_rtl.vhd.rlnk b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/eventBuffer_rtl.vhd.rlnk new file mode 100644 index 00000000..c24650ae --- /dev/null +++ b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/eventBuffer_rtl.vhd.rlnk @@ -0,0 +1 @@ +/tmp/fmc_tlu/fmc-mtlu/firmware/hdl/common/eventBuffer_rtl.vhd \ No newline at end of file diff --git a/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/eventFormatter_rtl.vhd.rlnk b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/eventFormatter_rtl.vhd.rlnk new file mode 100644 index 00000000..b81a1a5e --- /dev/null +++ b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/eventFormatter_rtl.vhd.rlnk @@ -0,0 +1 @@ +/tmp/fmc_tlu/fmc-mtlu/firmware/hdl/common/eventFormatter_rtl.vhd \ No newline at end of file diff --git a/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/fmcTLU_pkg.vhd b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/fmcTLU_pkg.vhd new file mode 100644 index 00000000..6c3004bd --- /dev/null +++ b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/fmcTLU_pkg.vhd @@ -0,0 +1,27 @@ +--============================================================================= +--! @file fmcTLU_pkg.vhd +--============================================================================= +--- +--! @brief VHDL Package Header fmc_mTLU_lib.fmcTLU +-- +--! @author phdgc +--! @date 16:44:31 11/08/12 +-- +-- using Mentor Graphics HDL Designer(TM) 2010.3 (Build 21) +-- +LIBRARY ieee; +USE ieee.std_logic_1164.all; +PACKAGE fmcTLU IS + + constant c_NUM_TIME_BITS : natural := 5; + constant c_NUM_TRIG_INPUTS : natural := 4; + constant c_EVENT_DATA_WIDTH : natural := 32; + constant c_DATA_WIDTH : natural := 32; + + --subtype t_triggerTime is std_logic_vector(c_NUM_TIME_BITS-1 downto 0); + --type t_triggerTimeArray is array(natural range <>) of t_triggerTime; + type t_triggerTimeArray is array(natural range <>) of std_logic_vector(c_NUM_TIME_BITS-1 downto 0) ; + + type t_registerArray is array(natural range <>) of std_logic_vector(c_DATA_WIDTH-1 downto 0) ; + +END fmcTLU; diff --git a/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/fmcTLU_pkg_body.vhd b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/fmcTLU_pkg_body.vhd new file mode 100644 index 00000000..9437776d --- /dev/null +++ b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/fmcTLU_pkg_body.vhd @@ -0,0 +1,13 @@ +--============================================================================= +--! @file fmcTLU_pkg_body.vhd +--============================================================================= +--- +--! @brief VHDL Package Body fmc_mTLU_lib.fmcTLU +-- +--! @author phdgc +--! @date 16:45:08 11/08/12 +-- +-- using Mentor Graphics HDL Designer(TM) 2010.3 (Build 21) +-- +PACKAGE BODY fmcTLU IS +END fmcTLU; diff --git a/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/gbe_rxpacketbuffer.v.rlnk b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/gbe_rxpacketbuffer.v.rlnk new file mode 100644 index 00000000..acd0a003 --- /dev/null +++ b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/gbe_rxpacketbuffer.v.rlnk @@ -0,0 +1 @@ +/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/workspace/IPbusFirmware/firmware/ipbus/hdl/gbe_rxpacketbuffer.v \ No newline at end of file diff --git a/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/gbe_txpacketbuffer.v.rlnk b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/gbe_txpacketbuffer.v.rlnk new file mode 100644 index 00000000..6af9187d --- /dev/null +++ b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/gbe_txpacketbuffer.v.rlnk @@ -0,0 +1 @@ +/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/workspace/IPbusFirmware/firmware/ipbus/hdl/gbe_txpacketbuffer.v \ No newline at end of file diff --git a/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/i2c_master_rtl.vhd.rlnk b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/i2c_master_rtl.vhd.rlnk new file mode 100644 index 00000000..1097e9a5 --- /dev/null +++ b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/i2c_master_rtl.vhd.rlnk @@ -0,0 +1 @@ +/tmp/fmc_tlu/fmc-mtlu/firmware/hdl/common/i2c_master_rtl.vhd \ No newline at end of file diff --git a/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/icmp.v.rlnk b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/icmp.v.rlnk new file mode 100644 index 00000000..117c5cc0 --- /dev/null +++ b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/icmp.v.rlnk @@ -0,0 +1 @@ +/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/workspace/IPbusFirmware/firmware/ipbus/hdl/icmp.v \ No newline at end of file diff --git a/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/ip_checksum_8bit.v.rlnk b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/ip_checksum_8bit.v.rlnk new file mode 100644 index 00000000..1e040858 --- /dev/null +++ b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/ip_checksum_8bit.v.rlnk @@ -0,0 +1 @@ +/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/workspace/IPbusFirmware/firmware/ipbus/hdl/ip_checksum_8bit.v \ No newline at end of file diff --git a/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/ipbus_bus_decl.vhd.rlnk b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/ipbus_bus_decl.vhd.rlnk new file mode 100644 index 00000000..47b23907 --- /dev/null +++ b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/ipbus_bus_decl.vhd.rlnk @@ -0,0 +1 @@ +/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/workspace/IPbusFirmware/firmware/ipbus/hdl/ipbus_bus_decl.vhd \ No newline at end of file diff --git a/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/ipbus_ctrl.vhd.rlnk b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/ipbus_ctrl.vhd.rlnk new file mode 100644 index 00000000..0162697c --- /dev/null +++ b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/ipbus_ctrl.vhd.rlnk @@ -0,0 +1 @@ +/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/workspace/IPbusFirmware/firmware/ipbus/hdl/ipbus_ctrl.vhd \ No newline at end of file diff --git a/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/ipbus_ctrl_decl.vhd.rlnk b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/ipbus_ctrl_decl.vhd.rlnk new file mode 100644 index 00000000..90d178e6 --- /dev/null +++ b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/ipbus_ctrl_decl.vhd.rlnk @@ -0,0 +1 @@ +/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/workspace/IPbusFirmware/firmware/ipbus/hdl/ipbus_ctrl_decl.vhd \ No newline at end of file diff --git a/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/ipbus_ctrl_udponly.vhd.rlnk b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/ipbus_ctrl_udponly.vhd.rlnk new file mode 100644 index 00000000..6630c192 --- /dev/null +++ b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/ipbus_ctrl_udponly.vhd.rlnk @@ -0,0 +1 @@ +/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/workspace/IPbusFirmware/firmware/ipbus/hdl/ipbus_ctrl_udponly.vhd \ No newline at end of file diff --git a/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/ipbus_fabric.vhd.rlnk b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/ipbus_fabric.vhd.rlnk new file mode 100644 index 00000000..5a5dfbad --- /dev/null +++ b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/ipbus_fabric.vhd.rlnk @@ -0,0 +1 @@ +/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/workspace/IPbusFirmware/firmware/ipbus/hdl/ipbus_fabric.vhd \ No newline at end of file diff --git a/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/ipbus_package.vhd.rlnk b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/ipbus_package.vhd.rlnk new file mode 100644 index 00000000..12d51cef --- /dev/null +++ b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/ipbus_package.vhd.rlnk @@ -0,0 +1 @@ +/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/workspace/IPbusFirmware/firmware/ipbus/hdl/ipbus_package.vhd \ No newline at end of file diff --git a/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/ipbus_v_defs.v.rlnk b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/ipbus_v_defs.v.rlnk new file mode 100644 index 00000000..313e15b7 --- /dev/null +++ b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/ipbus_v_defs.v.rlnk @@ -0,0 +1 @@ +/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/workspace/IPbusFirmware/firmware/ipbus/hdl/ipbus_v_defs.v \ No newline at end of file diff --git a/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/logic_clocks_rtl.vhd.rlnk b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/logic_clocks_rtl.vhd.rlnk new file mode 100644 index 00000000..73150355 --- /dev/null +++ b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/logic_clocks_rtl.vhd.rlnk @@ -0,0 +1 @@ +/tmp/fmc_tlu/fmc-mtlu/firmware/hdl/common/logic_clocks_rtl.vhd \ No newline at end of file diff --git a/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/mac_arbiter.vhd.rlnk b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/mac_arbiter.vhd.rlnk new file mode 100644 index 00000000..ba591c1c --- /dev/null +++ b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/mac_arbiter.vhd.rlnk @@ -0,0 +1 @@ +/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/workspace/IPbusFirmware/firmware/ethernet/hdl/mac_arbiter.vhd \ No newline at end of file diff --git a/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/mac_arbiter_decl.vhd.rlnk b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/mac_arbiter_decl.vhd.rlnk new file mode 100644 index 00000000..a779a30a --- /dev/null +++ b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/mac_arbiter_decl.vhd.rlnk @@ -0,0 +1 @@ +/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/workspace/IPbusFirmware/firmware/ethernet/hdl/mac_arbiter_decl.vhd \ No newline at end of file diff --git a/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/packet_handler.v.rlnk b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/packet_handler.v.rlnk new file mode 100644 index 00000000..4f99b77c --- /dev/null +++ b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/packet_handler.v.rlnk @@ -0,0 +1 @@ +/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/workspace/IPbusFirmware/firmware/ipbus/hdl/packet_handler.v \ No newline at end of file diff --git a/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/sub_packetbuffer.v.rlnk b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/sub_packetbuffer.v.rlnk new file mode 100644 index 00000000..6adccd4a --- /dev/null +++ b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/sub_packetbuffer.v.rlnk @@ -0,0 +1 @@ +/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/workspace/IPbusFirmware/firmware/ipbus/hdl/sub_packetbuffer.v \ No newline at end of file diff --git a/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/sub_packetreq.v.rlnk b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/sub_packetreq.v.rlnk new file mode 100644 index 00000000..8ca55eb0 --- /dev/null +++ b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/sub_packetreq.v.rlnk @@ -0,0 +1 @@ +/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/workspace/IPbusFirmware/firmware/ipbus/hdl/sub_packetreq.v \ No newline at end of file diff --git a/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/sub_packetresp.v.rlnk b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/sub_packetresp.v.rlnk new file mode 100644 index 00000000..42dbcaa2 --- /dev/null +++ b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/sub_packetresp.v.rlnk @@ -0,0 +1 @@ +/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/workspace/IPbusFirmware/firmware/ipbus/hdl/sub_packetresp.v \ No newline at end of file diff --git a/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/top_extphy_rtl.vhd b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/top_extphy_rtl.vhd new file mode 100644 index 00000000..24805110 --- /dev/null +++ b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/top_extphy_rtl.vhd @@ -0,0 +1,80 @@ +--============================================================================= +--! @file top_extphy_rtl.vhd +--============================================================================= +-- +------------------------------------------------------------------------------- +-- -- +-- University of Bristol, High Energy Physics Group. +-- -- +------------------------------------------------------------------------------- -- +-- VHDL Architecture fmc_mTLU_lib.top_extphy.rtl +-- +--! @brief \n +--! \n +-- +--! @author David Cussans , David.Cussans@bristol.ac.uk +-- +--! @date 15:11:55 11/09/12 +-- +--! @version v0.1 +-- +--! @details +--! +--! +--! <b>Dependencies:</b>\n +--! +--! <b>References:</b>\n +--! +--! <b>Modified by:</b>\n +--! Author: +------------------------------------------------------------------------------- +--! \n\n<b>Last changes:</b>\n +------------------------------------------------------------------------------- +--! @todo <next thing to do> \n +--! <another thing to do> \n +-- +-------------------------------------------------------------------------------- +-- +-- Created using using Mentor Graphics HDL Designer(TM) 2010.3 (Build 21) +-- +LIBRARY ieee; +USE ieee.std_logic_1164.all; +USE ieee.numeric_std.all; + +ENTITY top_extphy IS + GENERIC( + NUM_DUTS : positive := 3; + NUM_TRIG_INPUTS : positive := 4 + ); + PORT( + busy_i : IN std_logic_vector (NUM_DUTS-1 DOWNTO 0); + cfd_discr_i : IN std_logic_vector (NUM_TRIG_INPUTS-1 DOWNTO 0); + dut_clk : IN std_logic_vector (NUM_DUTS-1 DOWNTO 0); + gmii_rx_clk_i : IN std_logic; + gmii_rx_dv_i : IN std_logic; + gmii_rx_er_i : IN std_logic; + gmii_rxd_i : IN std_logic_vector (7 DOWNTO 0); + sysclk_n_i : IN std_logic; + sysclk_p_i : IN std_logic; -- ! 200 MHz xtal clock + threshold_discr_i : IN std_logic_vector (NUM_TRIG_INPUTS-1 DOWNTO 0); + gmii_gtx_clk_o : OUT std_logic; + gmii_tx_en_o : OUT std_logic; + gmii_tx_er_o : OUT std_logic; + gmii_txd_o : OUT std_logic_vector (7 DOWNTO 0); + i2c_scl_o : OUT std_logic; + leds_o : OUT std_logic_vector (3 DOWNTO 0); + phy_rstb_o : OUT std_logic; + reset_or_clk_o : OUT std_logic_vector (NUM_DUTS-1 DOWNTO 0); + triggers_o : OUT std_logic_vector (NUM_DUTS-1 DOWNTO 0); + i2c_sda_d : INOUT std_logic + ); + +-- Declarations + +END ENTITY top_extphy ; + +-- +ARCHITECTURE rtl OF top_extphy IS +BEGIN +END ARCHITECTURE rtl; + diff --git a/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/top_extphy_struct.vhd b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/top_extphy_struct.vhd new file mode 100644 index 00000000..a9386458 --- /dev/null +++ b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/top_extphy_struct.vhd @@ -0,0 +1,631 @@ +-- VHDL Entity work.top_extphy.symbol +-- +-- Created: +-- by - phdgc.users (fortis.phy.bris.ac.uk) +-- at - 16:06:33 01/24/14 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2012.2b (Build 5) +-- +LIBRARY ieee; +USE ieee.std_logic_1164.all; +USE ieee.numeric_std.all; + +ENTITY top_extphy IS + GENERIC( + g_NUM_DUTS : positive := 3; + g_NUM_TRIG_INPUTS : positive := 4; + g_NUM_EXT_SLAVES : positive := 11; --! Number of slaves outside IPBus interface + g_EVENT_DATA_WIDTH : positive := 64; + g_IPBUS_WIDTH : positive := 32; + g_NUM_EDGE_INPUTS : positive := 4; + g_SPILL_COUNTER_WIDTH : positive := 12 + ); + PORT( + busy_n_i : IN std_logic_vector (g_NUM_DUTS-1 DOWNTO 0); + busy_p_i : IN std_logic_vector (g_NUM_DUTS-1 DOWNTO 0); --! Busy lines from DUTs ( active high ) + cfd_discr_n_i : IN std_logic_vector (g_NUM_TRIG_INPUTS-1 DOWNTO 0); + cfd_discr_p_i : IN std_logic_vector (g_NUM_TRIG_INPUTS-1 DOWNTO 0); + dip_switch_i : IN std_logic_vector (3 DOWNTO 0); + gmii_rx_clk_i : IN std_logic; + gmii_rx_dv_i : IN std_logic; + gmii_rx_er_i : IN std_logic; + gmii_rxd_i : IN std_logic_vector (7 DOWNTO 0); + sysclk_n_i : IN std_logic; --! 200 MHz xtal clock + sysclk_p_i : IN std_logic; + threshold_discr_n_i : IN std_logic_vector (g_NUM_TRIG_INPUTS-1 DOWNTO 0); + threshold_discr_p_i : IN std_logic_vector (g_NUM_TRIG_INPUTS-1 DOWNTO 0); + reset_i : IN std_logic; + gmii_gtx_clk_o : OUT std_logic; + gmii_tx_en_o : OUT std_logic; + gmii_tx_er_o : OUT std_logic; + gmii_txd_o : OUT std_logic_vector (7 DOWNTO 0); + gpio_hdr : OUT std_logic_vector (7 DOWNTO 0); + leds_o : OUT std_logic_vector (3 DOWNTO 0); + phy_rstb_o : OUT std_logic; + dut_clk_n_o : INOUT std_logic_vector (g_NUM_DUTS-1 DOWNTO 0); + dut_clk_p_o : INOUT std_logic_vector (g_NUM_DUTS-1 DOWNTO 0); + reset_or_clk_n_o : OUT std_logic_vector (g_NUM_DUTS-1 DOWNTO 0); + reset_or_clk_p_o : OUT std_logic_vector (g_NUM_DUTS-1 DOWNTO 0); + triggers_n_o : OUT std_logic_vector (g_NUM_DUTS-1 DOWNTO 0); + triggers_p_o : OUT std_logic_vector (g_NUM_DUTS-1 DOWNTO 0); --! Trigger lines to DUT + extclk_n_b : INOUT std_logic; + extclk_p_b : INOUT std_logic; --! either external clock in, or a clock being driven out + i2c_scl_b : INOUT std_logic; + i2c_sda_b : INOUT std_logic + ); + +-- Declarations + +END ENTITY top_extphy ; + +--============================================================================= +--! @file top_extphy_struct.vhd +--============================================================================= +-- +------------------------------------------------------------------------------- +-- -- +-- University of Bristol, High Energy Physics Group. +-- -- +------------------------------------------------------------------------------- -- +-- VHDL -- VHDL Architecture work.top_extphy.struct +-- +--! @brief \n +--! \n +-- +--! @author David Cussans , David.Cussans@bristol.ac.uk ( phdgc.users (fortis.phy.bris.ac.uk)) +-- +--! @date 16:18:26 01/24/14 +-- +--! @version v0.1 +-- +--! @details +--! +--! +--! <b>Dependencies:</b>\n +--! +--! <b>References:</b>\n +--! +--! <b>Modified by:</b>\n +--! Author: +------------------------------------------------------------------------------- +--! \n\n<b>Last changes:</b>\n +------------------------------------------------------------------------------- +--! @todo <next thing to do> \n +--! <another thing to do> \n +-- +-------------------------------------------------------------------------------- +-- +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2012.2b (Build 5) +-- +LIBRARY ieee; +USE ieee.std_logic_1164.all; +USE ieee.numeric_std.all; + +LIBRARY work; +USE work.ipbus.all; +USE work.emac_hostbus_decl.all; + +USE work.fmcTLU.all; +LIBRARY unisim; +USE unisim.vcomponents.all; + + +ARCHITECTURE struct OF top_extphy IS + + -- Architecture declarations + + -- Internal signal declarations + SIGNAL buffer_full_o : std_logic; --! Goes high when event buffer almost full + SIGNAL clk_16x_logic : std_logic; -- 640MHz clock + SIGNAL clk_4x_logic : std_logic; --! normally 160MHz + SIGNAL clk_logic_xtal : std_logic; -- ! 40MHz clock from onboard xtal + SIGNAL s_DUT_clk : std_logic; -- ! Clock to DUT + SIGNAL data_strobe : std_logic; -- goes high when data ready to load into event buffer + SIGNAL edge_fall_i : std_logic_vector(g_NUM_EDGE_INPUTS-1 DOWNTO 0); -- ! High when falling edge + SIGNAL edge_fall_time_i : t_triggerTimeArray(g_NUM_EDGE_INPUTS-1 DOWNTO 0); -- Array of edge times ( w.r.t. logic_strobe) + SIGNAL edge_rise_i : std_logic_vector(g_NUM_EDGE_INPUTS-1 DOWNTO 0); -- ! High when rising edge + SIGNAL edge_rise_time_i : t_triggerTimeArray(g_NUM_EDGE_INPUTS-1 DOWNTO 0); -- Array of edge times ( w.r.t. logic_strobe) + SIGNAL event_data : std_logic_vector(g_EVENT_DATA_WIDTH-1 DOWNTO 0); + SIGNAL event_number_o : std_logic_vector(g_IPBUS_WIDTH-1 DOWNTO 0); -- starts at one. Increments for each post_veto_trigger + SIGNAL ipbr : ipb_rbus_array(g_NUM_EXT_SLAVES-1 DOWNTO 0); --! IPBus read signals + SIGNAL ipbus_clk : std_logic; + SIGNAL ipbus_reset : std_logic; + SIGNAL ipbus_rst : std_logic; -- ! IPBus reset to slaves + SIGNAL ipbw : ipb_wbus_array(g_NUM_EXT_SLAVES-1 DOWNTO 0); --! IBus write signals + SIGNAL logic_clocks_reset : std_logic; -- Goes high to reset counters etc. Sync with clk_4x_logic + SIGNAL logic_reset : std_logic; -- Goes high to reset counters etc. Sync with clk_4x_logic + SIGNAL overall_trigger : std_logic; --! goes high to load trigger data + SIGNAL overall_veto : std_logic; --! Halts triggers when high + SIGNAL postVeto_trigger_times : t_triggerTimeArray(g_NUM_TRIG_INPUTS-1 DOWNTO 0); -- ! trigger arrival time ( w.r.t. logic_strobe) + SIGNAL s_rst_buffer : std_logic; + SIGNAL s_AIDAhandshake : std_logic; + SIGNAL s_i2c_scl_enb : std_logic; + SIGNAL s_i2c_sda_enb : std_logic; + SIGNAL shutter_cnt_i : std_logic_vector(g_SPILL_COUNTER_WIDTH-1 DOWNTO 0); + SIGNAL shutter_i : std_logic; + SIGNAL spill_cnt_i : std_logic_vector(g_SPILL_COUNTER_WIDTH-1 DOWNTO 0); + SIGNAL spill_i : std_logic; + SIGNAL strobe_16x_logic : std_logic; --! Pulses one cycle every 4 of 16x clock. + SIGNAL strobe_4x_logic : std_logic; -- one pulse every 4 cycles of clk_4x + SIGNAL trigger_cnt_i : std_logic_vector(g_IPBUS_WIDTH-1 DOWNTO 0); + SIGNAL trigger_count : std_logic_vector(g_IPBUS_WIDTH-1 DOWNTO 0); + SIGNAL trigger_times : t_triggerTimeArray(g_NUM_TRIG_INPUTS-1 DOWNTO 0); -- ! trigger arrival time ( w.r.t. logic_strobe) + SIGNAL triggers : std_logic_vector(g_NUM_TRIG_INPUTS-1 DOWNTO 0); + SIGNAL postVeto_triggers : std_logic_vector(g_NUM_TRIG_INPUTS-1 DOWNTO 0); + SIGNAL veto_o : std_logic; --! goes high when one or more DUT are busy + SIGNAL s_Trig_TO_DUT : std_logic; + SIGNAL s_rst_or_clk_to_dut: std_logic; + + -- Component Declarations + COMPONENT DUTInterfaces + GENERIC ( + g_NUM_DUTS : positive := 3; + g_IPBUS_WIDTH : positive := 32 + ); + PORT ( + busy_from_dut_n_i : IN std_logic_vector (g_NUM_DUTS-1 DOWNTO 0); -- BUSY input from DUTs + busy_from_dut_p_i : IN std_logic_vector (g_NUM_DUTS-1 DOWNTO 0); -- BUSY input from DUTs + clk_4x_logic_i : IN std_logic ; + ipbus_clk_i : IN std_logic ; + ipbus_i : IN ipb_wbus ; -- Signals from IPBus core TO slave + ipbus_reset_i : IN std_logic ; + strobe_4x_logic_i : IN std_logic ; -- ! goes high every 4th clock cycle + --trigger_counter_i : IN std_logic_vector (g_IPBUS_WIDTH-1 DOWNTO 0); + trigger_i : IN std_logic ; -- goes high when trigger logic issues a trigger + clk_to_dut_i : IN std_logic ; -- ! clock to DUT + reset_or_clk_to_dut_i : IN std_logic ; -- ! Either reset line or trigger + AIDAhandshake_i : IN std_logic ; -- AIDA/EUDET + ipbus_o : OUT ipb_rbus ; -- signals from slave TO IPBus core + clk_to_dut_n_o : INOUT std_logic_vector (g_NUM_DUTS-1 DOWNTO 0); -- clocks trigger data when in EUDET mode + clk_to_dut_p_o : INOUT std_logic_vector (g_NUM_DUTS-1 DOWNTO 0); -- clocks trigger data when in EUDET mode + reset_or_clk_to_dut_n_o : OUT std_logic_vector (g_NUM_DUTS-1 DOWNTO 0); -- ! Either reset line or trigger + reset_or_clk_to_dut_p_o : OUT std_logic_vector (g_NUM_DUTS-1 DOWNTO 0); -- ! Either reset line or trigger + trigger_to_dut_n_o : OUT std_logic_vector (g_NUM_DUTS-1 DOWNTO 0); -- ! Trigger output + trigger_to_dut_p_o : OUT std_logic_vector (g_NUM_DUTS-1 DOWNTO 0); -- ! Trigger output + veto_o : OUT std_logic -- goes high when one or more DUT are busy + ); + END COMPONENT DUTInterfaces; + COMPONENT IPBusInterface + GENERIC ( + NUM_EXT_SLAVES : positive := 5 + ); + PORT ( + gmii_rx_clk_i : IN std_logic ; + gmii_rx_dv_i : IN std_logic ; + gmii_rx_er_i : IN std_logic ; + gmii_rxd_i : IN std_logic_vector (7 DOWNTO 0); + ipbr_i : IN ipb_rbus_array (NUM_EXT_SLAVES-1 DOWNTO 0); -- ! IPBus read signals + sysclk_n_i : IN std_logic ; + sysclk_p_i : IN std_logic ; -- ! 200 MHz xtal clock + clocks_locked_o : OUT std_logic ; + gmii_gtx_clk_o : OUT std_logic ; + gmii_tx_en_o : OUT std_logic ; + gmii_tx_er_o : OUT std_logic ; + gmii_txd_o : OUT std_logic_vector (7 DOWNTO 0); + ipb_clk_o : OUT std_logic ; -- ! IPBus clock TO slaves + ipb_rst_o : OUT std_logic ; -- ! IPBus reset TO slaves + ipbw_o : OUT ipb_wbus_array (NUM_EXT_SLAVES-1 DOWNTO 0); -- ! IBus write signals + onehz_o : OUT std_logic ; + phy_rstb_o : OUT std_logic ; + dip_switch_i : IN std_logic_vector (3 DOWNTO 0); + clk_logic_xtal_o : OUT std_logic + ); + END COMPONENT IPBusInterface; + COMPONENT eventBuffer + GENERIC ( + g_EVENT_DATA_WIDTH : positive := 64; + g_IPBUS_WIDTH : positive := 32; + g_WRITE_COUNTER_WIDTH : positive := 15; + g_READ_COUNTER_WIDTH : positive := 16 + ); + PORT ( + clk_4x_logic_i : IN std_logic ; + data_strobe_i : IN std_logic ; -- Indicates data TO transfer + event_data_i : IN std_logic_vector (g_EVENT_DATA_WIDTH-1 DOWNTO 0); + ipbus_clk_i : IN std_logic ; + ipbus_i : IN ipb_wbus ; + ipbus_reset_i : IN std_logic ; + strobe_4x_logic_i : IN std_logic ; + --trigger_count_i : IN std_logic_vector (g_IPBUS_WIDTH-1 DOWNTO 0); --! Not used yet. + rst_fifo_o : OUT std_logic; --! rst signal to first level fifos + buffer_full_o : OUT std_logic ; --! Goes high when event buffer almost full + ipbus_o : OUT ipb_rbus ; + logic_reset_i : IN std_logic -- reset buffers when high. Synch withclk_4x_logic + ); + END COMPONENT eventBuffer; + COMPONENT eventFormatter + GENERIC ( + g_EVENT_DATA_WIDTH : positive := 64; + g_IPBUS_WIDTH : positive := 32; + g_COUNTER_TRIG_WIDTH : positive := 32; + g_COUNTER_WIDTH : positive := 12; + g_EVTTYPE_WIDTH : positive := 4; -- Width of the event type word + --g_NUM_INPUT_TYPES : positive := 4; -- Number of different input types (trigger, shutter, edge...) + g_NUM_EDGE_INPUTS : positive := 4; -- Number of edge inputs + g_NUM_TRIG_INPUTS : positive := 5 -- Number of trigger inputs + ); + PORT ( + clk_4x_logic_i : IN std_logic ; -- ! Rising edge active + ipbus_clk_i : IN std_logic ; + logic_strobe_i : IN std_logic ; -- ! Pulses high once every 4 cycles of clk_4x_logic + logic_reset_i : IN std_logic ; -- goes high TO reset counters. Synchronous with clk_4x_logic + rst_fifo_i : IN std_logic; --! Reset fifos + buffer_full_i : IN std_logic; -- Buffer full signal from main buffer + trigger_i : IN std_logic ; --! goes high TO load trigger data. One cycle of clk_4x_logic + trigger_times_i : IN t_triggerTimeArray (g_NUM_TRIG_INPUTS-1 DOWNTO 0); -- Array of trigger times ( w.r.t. logic_strobe) + trigger_inputs_fired_i : IN std_logic_vector (g_NUM_TRIG_INPUTS-1 DOWNTO 0); -- high for each input that "fired" + trigger_cnt_i : IN std_logic_vector (g_COUNTER_TRIG_WIDTH-1 DOWNTO 0); + shutter_i : IN std_logic ; + shutter_cnt_i : IN std_logic_vector (g_COUNTER_WIDTH-1 DOWNTO 0); + spill_i : IN std_logic ; + spill_cnt_i : IN std_logic_vector (g_COUNTER_WIDTH-1 DOWNTO 0); + edge_rise_i : IN std_logic_vector (g_NUM_EDGE_INPUTS-1 DOWNTO 0); -- ! High when rising edge + edge_fall_i : IN std_logic_vector (g_NUM_EDGE_INPUTS-1 DOWNTO 0); -- ! High when falling edge + edge_rise_time_i : IN t_triggerTimeArray (g_NUM_EDGE_INPUTS-1 DOWNTO 0); -- Array of edge times ( w.r.t. logic_strobe) + edge_fall_time_i : IN t_triggerTimeArray (g_NUM_EDGE_INPUTS-1 DOWNTO 0); -- Array of edge times ( w.r.t. logic_strobe) + ipbus_i : IN ipb_wbus ; + ipbus_o : OUT ipb_rbus ; + data_strobe_o : OUT std_logic ; -- goes high when data ready TO load into event buffer + event_data_o : OUT std_logic_vector (g_EVENT_DATA_WIDTH-1 DOWNTO 0); + event_number_i : IN std_logic_vector (g_IPBUS_WIDTH-1 DOWNTO 0); + trigger_count_o : OUT std_logic_vector (g_IPBUS_WIDTH-1 DOWNTO 0) + ); + END COMPONENT eventFormatter; + COMPONENT i2c_master + PORT ( + i2c_scl_i : IN std_logic ; + i2c_sda_i : IN std_logic ; + ipbus_clk_i : IN std_logic ; + ipbus_i : IN ipb_wbus ; -- Signals from IPBus core TO slave + ipbus_reset_i : IN std_logic ; + i2c_scl_enb_o : OUT std_logic ; + i2c_sda_enb_o : OUT std_logic ; + ipbus_o : OUT ipb_rbus -- signals from slave TO IPBus core + ); + END COMPONENT i2c_master; + COMPONENT logic_clocks + PORT ( + ipbus_clk_i : IN std_logic ; + ipbus_i : IN ipb_wbus ; + ipbus_reset_i : IN std_logic ; + Reset_i : IN std_logic ; + clk_logic_xtal_i : IN std_logic ; -- ! 40MHz clock from onboard xtal + clk_16x_logic_o : OUT std_logic ; -- 640MHz clock + clk_4x_logic_o : OUT std_logic ; -- 160MHz clock + ipbus_o : OUT ipb_rbus ; + strobe_16x_logic_o : OUT std_logic ; -- strobes once every 4 cycles of clk_16x + strobe_4x_logic_o : OUT std_logic ; -- one pulse every 4 cycles of clk_4x + extclk_p_b : INOUT std_logic ; -- either external clock in, or a clock being driven out + extclk_n_b : INOUT std_logic ; + DUT_clk_o : OUT std_logic ; + logic_clocks_locked_o : OUT std_logic ; + logic_reset_o : OUT std_logic -- Goes high TO reset counters etc. Sync with clk_4x_logic + ); + END COMPONENT logic_clocks; + COMPONENT triggerInputs + GENERIC ( + g_NUM_INPUTS : natural := 1 + ); + PORT ( + cfd_discr_p_i : IN std_logic_vector (g_NUM_INPUTS-1 DOWNTO 0); + cfd_discr_n_i : IN std_logic_vector (g_NUM_INPUTS-1 DOWNTO 0); + clk_4x_logic : IN std_logic ; -- ! Rising edge active + strobe_4x_logic_i : IN std_logic ; -- ! Pulses high once every 4 cycles of clk_4x_logic + threshold_discr_p_i : IN std_logic_vector (g_NUM_INPUTS-1 DOWNTO 0); -- ! inputs from threshold comparators + threshold_discr_n_i : IN std_logic_vector (g_NUM_INPUTS-1 DOWNTO 0); -- ! inputs from threshold comparators + reset_i : IN std_logic; + trigger_times_o : OUT t_triggerTimeArray (g_NUM_INPUTS-1 DOWNTO 0); -- ! trigger arrival time ( w.r.t. logic_strobe) + trigger_o : OUT std_logic_vector (g_NUM_INPUTS-1 DOWNTO 0); -- ! High when trigger active + trigger_debug_o : OUT std_logic_vector ( ((2*g_NUM_INPUTS)-1) DOWNTO 0); -- ! Copy of input trigger level. High bits CFD, Low threshold + edge_rising_times_o : OUT t_triggerTimeArray (g_NUM_INPUTS-1 DOWNTO 0); -- ! edge arrival time ( w.r.t. logic_strobe) + edge_falling_times_o : OUT t_triggerTimeArray (g_NUM_INPUTS-1 DOWNTO 0); -- ! edge arrival time ( w.r.t. logic_strobe) + edge_rising_o : OUT std_logic_vector (g_NUM_INPUTS-1 DOWNTO 0); -- ! High when rising edge + edge_falling_o : OUT std_logic_vector (g_NUM_INPUTS-1 DOWNTO 0); -- ! High when falling edge + ipbus_clk_i : IN std_logic ; + ipbus_reset_i : IN std_logic ; + ipbus_i : IN ipb_wbus ; -- Signals from IPBus core TO slave + ipbus_o : OUT ipb_rbus ; -- signals from slave TO IPBus core + clk_16x_logic_i : IN std_logic ; --! 640MHz clock ( 16x 40MHz ) + strobe_16x_logic_i : IN std_logic --! Pulses one cycle every 4 of 16x clock. + ); + END COMPONENT triggerInputs; + COMPONENT triggerLogic + GENERIC ( + g_NUM_INPUTS : positive := 4; + g_IPBUS_WIDTH : positive := 32 + ); + PORT ( + clk_4x_logic_i : IN std_logic ; -- ! Rising edge active + ipbus_clk_i : IN std_logic ; + ipbus_i : IN ipb_wbus ; -- Signals from IPBus core TO slave + ipbus_reset_i : IN std_logic ; + logic_reset_i : IN std_logic ; -- active high. Synchronous with clk_4x_logic + logic_strobe_i : IN std_logic ; -- ! Pulses high once every 4 cycles of clk_4x_logic + trigger_i : IN std_logic_vector (g_NUM_INPUTS-1 DOWNTO 0); -- ! High when trigger from input connector active + trigger_times_i : IN t_triggerTimeArray (g_NUM_INPUTS-1 DOWNTO 0); --! trigger arrival time + veto_i : IN std_logic ; -- ! Halts triggers when high + trigger_o : OUT std_logic_vector (g_NUM_INPUTS-1 DOWNTO 0); -- ! High when trigger from input connector active and input enabled + trigger_times_o : OUT t_triggerTimeArray (g_NUM_INPUTS-1 DOWNTO 0); --! trigger arrival time + event_number_o : OUT std_logic_vector (g_IPBUS_WIDTH-1 DOWNTO 0); -- starts at one. Increments for each post_veto_trigger + ipbus_o : OUT ipb_rbus ; -- signals from slave TO IPBus core + post_veto_trigger_o : OUT std_logic ; -- ! goes high when trigger passes + pre_veto_trigger_o : OUT std_logic ; + trigger_active_o : OUT std_logic --! Goes high when triggers are active ( ie. not veoted) + ); + END COMPONENT triggerLogic; + COMPONENT handshakes + GENERIC( + g_IPBUS_WIDTH : positive := 32 + ); + PORT( + clk_i : IN std_logic; + Trigger_i : IN std_logic; + ipbus_clk_i : IN std_logic; + ipbus_i : IN ipb_wbus; + ipbus_reset_i : IN std_logic; + ipbus_o : OUT ipb_rbus; + logic_reset_i : IN std_logic; -- reset buffers when high. Synch withclk_4x_logic + Busy_i : IN std_logic; + AIDAhandshake_o : OUT std_logic; -- running an AIDA handshake or the old EUDET handshake + Trigger_o : OUT std_logic; + rst_or_clk_o : OUT std_logic + ); + END COMPONENT handshakes; + + -- Optional embedded configurations + -- pragma synthesis_off + FOR ALL : DUTInterfaces USE ENTITY work.DUTInterfaces; + FOR ALL : IPBusInterface USE ENTITY work.IPBusInterface; + FOR ALL : eventBuffer USE ENTITY work.eventBuffer; + FOR ALL : eventFormatter USE ENTITY work.eventFormatter; + FOR ALL : i2c_master USE ENTITY work.i2c_master; + FOR ALL : logic_clocks USE ENTITY work.logic_clocks; + FOR ALL : triggerInputs USE ENTITY work.triggerInputs; + FOR ALL : triggerLogic USE ENTITY work.triggerLogic; + FOR ALL : handshakes USE ENTITY work.handshakes; + -- pragma synthesis_on + + +BEGIN + -- Architecture concurrent statements + -- HDL Embedded Text Block 1 i2c_tristate + -- eb1 1 + i2c_scl_b <= '0' when (s_i2c_scl_enb = '0') else 'Z'; + i2c_sda_b <= '0' when (s_i2c_sda_enb = '0') else 'Z'; + + + + + -- ModuleWare code(v1.12) for instance 'I9' of 'gnd' + logic_clocks_reset <= '0'; + + -- ModuleWare code(v1.12) for instance 'I10' of 'gnd' + --trigger_cnt_i <= (OTHERS => '0'); + trigger_cnt_i <= event_number_o; + + -- ModuleWare code(v1.12) for instance 'I11' of 'gnd' + spill_i <= '0'; + + -- ModuleWare code(v1.12) for instance 'I12' of 'gnd' + spill_cnt_i <= (OTHERS => '0'); + + -- ModuleWare code(v1.12) for instance 'I13' of 'gnd' + shutter_i <= '0'; + + -- ModuleWare code(v1.12) for instance 'I14' of 'gnd' + shutter_cnt_i <= (OTHERS => '0'); + + -- ModuleWare code(v1.12) for instance 'I8' of 'sor' + overall_veto <= buffer_full_o; -- OR veto_o; + + -- Instance port mappings. + I0 : DUTInterfaces + GENERIC MAP ( + g_NUM_DUTS => g_NUM_DUTS, + g_IPBUS_WIDTH => g_IPBUS_WIDTH + ) + PORT MAP ( + busy_from_dut_n_i => busy_n_i, + busy_from_dut_p_i => busy_p_i, + clk_4x_logic_i => clk_4x_logic, + ipbus_clk_i => ipbus_clk, + ipbus_i => ipbw(0), + ipbus_reset_i => ipbus_reset, + strobe_4x_logic_i => strobe_4x_logic, + --trigger_counter_i => trigger_count, + clk_to_dut_i => s_DUT_clk, + trigger_i => s_Trig_TO_DUT, --overall_trigger, + reset_or_clk_to_dut_i => s_rst_or_clk_to_dut, + AIDAhandshake_i => s_AIDAhandshake, -- RJ45 DUT clock as output/input (1/0) + ipbus_o => ipbr(0), + clk_to_dut_n_o => dut_clk_n_o, + clk_to_dut_p_o => dut_clk_p_o, + reset_or_clk_to_dut_n_o => reset_or_clk_n_o, + reset_or_clk_to_dut_p_o => reset_or_clk_p_o, + trigger_to_dut_n_o => triggers_n_o, + trigger_to_dut_p_o => triggers_p_o, + veto_o => veto_o + ); + I4 : IPBusInterface + GENERIC MAP ( + NUM_EXT_SLAVES => g_NUM_EXT_SLAVES + ) + PORT MAP ( + gmii_rx_clk_i => gmii_rx_clk_i, + gmii_rx_dv_i => gmii_rx_dv_i, + gmii_rx_er_i => gmii_rx_er_i, + gmii_rxd_i => gmii_rxd_i, + ipbr_i => ipbr, + sysclk_n_i => sysclk_n_i, + sysclk_p_i => sysclk_p_i, + clocks_locked_o => leds_o(2), + gmii_gtx_clk_o => gmii_gtx_clk_o, + gmii_tx_en_o => gmii_tx_en_o, + gmii_tx_er_o => gmii_tx_er_o, + gmii_txd_o => gmii_txd_o, + ipb_clk_o => ipbus_clk, + ipb_rst_o => ipbus_rst, + ipbw_o => ipbw, + onehz_o => leds_o(3), + phy_rstb_o => phy_rstb_o, + dip_switch_i => dip_switch_i, + clk_logic_xtal_o => clk_logic_xtal + ); + I5 : eventBuffer + GENERIC MAP ( + g_EVENT_DATA_WIDTH => g_EVENT_DATA_WIDTH, + g_IPBUS_WIDTH => g_IPBUS_WIDTH, + g_WRITE_COUNTER_WIDTH => 15, + g_READ_COUNTER_WIDTH => 16 + ) + PORT MAP ( + clk_4x_logic_i => clk_4x_logic, + data_strobe_i => data_strobe, + event_data_i => event_data, + ipbus_clk_i => ipbus_clk, + ipbus_i => ipbw(3), + ipbus_reset_i => ipbus_reset, + strobe_4x_logic_i => strobe_4x_logic, + --trigger_count_i => trigger_count, + rst_fifo_o => s_rst_buffer, + buffer_full_o => buffer_full_o, + ipbus_o => ipbr(3), + logic_reset_i => logic_reset or reset_i + ); + I2 : eventFormatter + GENERIC MAP ( + g_EVENT_DATA_WIDTH => g_EVENT_DATA_WIDTH, + g_IPBUS_WIDTH => g_IPBUS_WIDTH, + g_COUNTER_TRIG_WIDTH => g_IPBUS_WIDTH, + g_COUNTER_WIDTH => 12, + g_EVTTYPE_WIDTH => 4, -- Width of the event type word + --g_NUM_INPUT_TYPES : positive := 4; -- Number of different input types (trigger, shutter, edge...) + g_NUM_EDGE_INPUTS => g_NUM_EDGE_INPUTS, -- Number of edge inputs + g_NUM_TRIG_INPUTS => g_NUM_TRIG_INPUTS -- Number of trigger inputs + ) + PORT MAP ( + clk_4x_logic_i => clk_4x_logic, + ipbus_clk_i => ipbus_clk, + logic_strobe_i => strobe_4x_logic, + logic_reset_i => logic_reset or reset_i, + rst_fifo_i => s_rst_buffer, + buffer_full_i => buffer_full_o, + trigger_i => overall_trigger, + trigger_times_i => postVeto_trigger_times, + trigger_inputs_fired_i => postVeto_triggers, + -- trigger_cnt_i => trigger_cnt_i, + trigger_cnt_i => event_number_o, + shutter_i => shutter_i, + shutter_cnt_i => shutter_cnt_i, + spill_i => spill_i, + spill_cnt_i => spill_cnt_i, + edge_rise_i => edge_rise_i, + edge_fall_i => edge_fall_i, + edge_rise_time_i => edge_rise_time_i, + edge_fall_time_i => edge_fall_time_i, + ipbus_i => ipbw(9), + ipbus_o => ipbr(9), + data_strobe_o => data_strobe, + event_data_o => event_data, + event_number_i => event_number_o, + trigger_count_o => trigger_count + ); + I7 : i2c_master + PORT MAP ( + i2c_scl_i => i2c_scl_b, + i2c_sda_i => i2c_sda_b, + ipbus_clk_i => ipbus_clk, + ipbus_i => ipbw(5), + ipbus_reset_i => ipbus_reset, + i2c_scl_enb_o => s_i2c_scl_enb, + i2c_sda_enb_o => s_i2c_sda_enb, + ipbus_o => ipbr(5) + ); + I6 : logic_clocks + PORT MAP ( + ipbus_clk_i => ipbus_clk, + ipbus_i => ipbw(4), + ipbus_reset_i => ipbus_reset, + Reset_i => logic_clocks_reset, + clk_logic_xtal_i => clk_logic_xtal, + clk_16x_logic_o => clk_16x_logic, + clk_4x_logic_o => clk_4x_logic, + ipbus_o => ipbr(4), + strobe_16x_logic_o => strobe_16x_logic, + strobe_4x_logic_o => strobe_4x_logic, + extclk_p_b => extclk_p_b, + extclk_n_b => extclk_n_b, + DUT_clk_o => s_DUT_clk, + logic_clocks_locked_o => leds_o(1), + logic_reset_o => logic_reset + ); + I1 : triggerInputs + GENERIC MAP ( + g_NUM_INPUTS => g_NUM_TRIG_INPUTS + ) + PORT MAP ( + cfd_discr_p_i => cfd_discr_p_i, + cfd_discr_n_i => cfd_discr_n_i, + clk_4x_logic => clk_4x_logic, + strobe_4x_logic_i => strobe_4x_logic, + threshold_discr_p_i => threshold_discr_p_i, + threshold_discr_n_i => threshold_discr_n_i, + reset_i => reset_i, + trigger_times_o => trigger_times, + trigger_o => triggers, + trigger_debug_o => gpio_hdr, + edge_rising_times_o => OPEN, + edge_falling_times_o => OPEN, + edge_rising_o => OPEN, + edge_falling_o => OPEN, + ipbus_clk_i => ipbus_clk, + ipbus_reset_i => ipbus_reset, + ipbus_i => ipbw(1), + ipbus_o => ipbr(1), + clk_16x_logic_i => clk_16x_logic, + strobe_16x_logic_i => strobe_16x_logic + ); + I3 : triggerLogic + GENERIC MAP ( + g_NUM_INPUTS => g_NUM_TRIG_INPUTS, + g_IPBUS_WIDTH => g_IPBUS_WIDTH + ) + PORT MAP ( + clk_4x_logic_i => clk_4x_logic, + ipbus_clk_i => ipbus_clk, + ipbus_i => ipbw(2), + ipbus_reset_i => ipbus_reset, + logic_reset_i => logic_reset or reset_i, + logic_strobe_i => strobe_4x_logic, + trigger_i => triggers, + trigger_times_i => trigger_times, + veto_i => overall_veto, + trigger_o => postVeto_triggers, + trigger_times_o => postVeto_trigger_times, + event_number_o => event_number_o, + ipbus_o => ipbr(2), + post_veto_trigger_o => overall_trigger, + pre_veto_trigger_o => OPEN, + trigger_active_o => leds_o(0) + ); + + I8 : handshakes + GENERIC MAP( + g_IPBUS_WIDTH => g_IPBUS_WIDTH + ) + PORT MAP( + clk_i => s_DUT_clk, + Trigger_i => overall_trigger, + ipbus_clk_i => ipbus_clk, + ipbus_i => ipbw(10), + ipbus_reset_i => ipbus_reset, + ipbus_o => ipbr(10), + logic_reset_i => logic_reset or reset_i, + Busy_i => veto_o, + AIDAhandshake_o => s_AIDAhandshake, + Trigger_o => s_Trig_TO_DUT, + rst_or_clk_o => s_rst_or_clk_to_dut + ); + +END ARCHITECTURE struct; diff --git a/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/transactor.vhd.rlnk b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/transactor.vhd.rlnk new file mode 100644 index 00000000..3375b6f5 --- /dev/null +++ b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/transactor.vhd.rlnk @@ -0,0 +1 @@ +/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/workspace/IPbusFirmware/firmware/ipbus/hdl/transactor.vhd \ No newline at end of file diff --git a/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/transactor_rx.vhd.rlnk b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/transactor_rx.vhd.rlnk new file mode 100644 index 00000000..9498aac6 --- /dev/null +++ b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/transactor_rx.vhd.rlnk @@ -0,0 +1 @@ +/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/workspace/IPbusFirmware/firmware/ipbus/hdl/transactor_rx.vhd \ No newline at end of file diff --git a/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/transactor_sm.vhd.rlnk b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/transactor_sm.vhd.rlnk new file mode 100644 index 00000000..8f6ea4a5 --- /dev/null +++ b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/transactor_sm.vhd.rlnk @@ -0,0 +1 @@ +/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/workspace/IPbusFirmware/firmware/ipbus/hdl/transactor_sm.vhd \ No newline at end of file diff --git a/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/transactor_tx.vhd.rlnk b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/transactor_tx.vhd.rlnk new file mode 100644 index 00000000..12abb3d0 --- /dev/null +++ b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/transactor_tx.vhd.rlnk @@ -0,0 +1 @@ +/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/workspace/IPbusFirmware/firmware/ipbus/hdl/transactor_tx.vhd \ No newline at end of file diff --git a/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/triggerInputs_rtl.vhd.rlnk b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/triggerInputs_rtl.vhd.rlnk new file mode 100644 index 00000000..812a5500 --- /dev/null +++ b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/triggerInputs_rtl.vhd.rlnk @@ -0,0 +1 @@ +/tmp/fmc_tlu/fmc-mtlu/firmware/hdl/common/triggerInputs_rtl.vhd \ No newline at end of file diff --git a/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/triggerLogic_rtl.vhd.rlnk b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/triggerLogic_rtl.vhd.rlnk new file mode 100644 index 00000000..8dc8e409 --- /dev/null +++ b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/triggerLogic_rtl.vhd.rlnk @@ -0,0 +1 @@ +/tmp/fmc_tlu/fmc-mtlu/firmware/hdl/common/triggerLogic_rtl.vhd \ No newline at end of file diff --git a/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/udp_shim.vhd.rlnk b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/udp_shim.vhd.rlnk new file mode 100644 index 00000000..627bc5a7 --- /dev/null +++ b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/udp_shim.vhd.rlnk @@ -0,0 +1 @@ +/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/workspace/IPbusFirmware/firmware/ipbus/hdl/udp_shim.vhd \ No newline at end of file diff --git a/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/.cache.dat b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/.cache.dat new file mode 100644 index 0000000000000000000000000000000000000000..9c5eefa82c953d1fb38ffe321451c08affd17721 GIT binary patch literal 17389 zcmd^HeP~=+6~8l+WZEW8nx<{q?pEXO>VA}FHnr9YWu2x;+u5dVXwv9f+{a|*P4d$D zNZ)&two+Uxi_0P_q9}+T6lGb(KSWS;5ybyQgw_8<{7(_VKLkZZD~jiL@4N5o-Zzs; z(%DuoysvxDIrp4%&%Ni|j~T|;?sHRfV^wR>F50)oO2yK$$tVpUeRksb_{7*#lTE8y z9y{?gGJ9_{>}z;Qc^7|q0y&fp<jeVH-pyNe*R(6elIi4Zw>rL9=B1bKtvt`z-J|p| zI5~6a^m${daO&*kQx|xtcLQBcGkrv6^Q?VSSLO>oSp#I(mdkAR>mRw}AxLocsLF+N ztG3vvjysDSNHFrLAJa#6?u84Jmu7ridP(Ad=L67x!N<Y8m0!&-Hl0A|n9NsM>i5e8 z*}2T4h1tob<4YY9`sy#@d>qp7p-6p|v6sF?n46Zmzi6-KDo$<OsS!EWd-<OAZ<d;T zlimmC=1<K}8y8NUK3BLn%}a5kl%!ZEw`w<5%#!Qm&P~r6g}UR~&6-(vi>}qE=SszL zu53EiQr*c_YNaDJx7y4RMEzQ>V%fDDMcd55DxFm_xLjeD+Tx7N_W`U`%FZp9t2yF) ze2vZCy$2(YH02<KLfs|TGg%>5de3(WRBgUq2u0~Zm+Mf`wym{dHSbzAGhamy%_*kw zB4bNaB+RBwV}GgIC|z?5=eS|sbXS*e#k#|DEY<%CRix?@x<pFS=iWGFZv;5{mPF#{ zbQ>!-Nn9sy=4~1a%)DbvxQ%0~(B~Pu`V)fRw9q4_y9|k!YL*p~cZ#Ln8l^fqm-iE0 z=oiGdY1d7cca4w`LbZ4Aky%7L$sTi!dL|8cm5OP{M70=u#odsog6bqE8XD$qd@El4 zXry|@iiv}j?-S0Z8`P_((y(hqmz-Hl(qoL>fg9|+Q3c^Ml5R-YrC-N|Jt%|?m{8;; zKE8TzE95=2v}hXkO&UV4nXcEk@u6_#-7Gc!hF0o!L*Xa8V9LP|ugVe|@iD>-ad>0R zD4P|B%0r1O!)>FCr&#KzuRkQph|1ELGKQ>DO?8ValPop%<qe7NcGVWZeN154(g%eF zlw7-NG|Q`vdNt;&tiQ7K&-Q4Alr)lj)yv%#648wj7DSHUN|56TZPtn<W4Yl_UysM= zCs}IbPa6_?R46n|+jG$;|3w73uB76U_^6aP1cm4)8N2=&`shD1bLm6I>2s$p&r4)H zlQiE66MF>1mExjpm10BuV~qXsEY;Kb5dZPFq3wkTiLI^*8t9n>eev5QXoq@!0HqwQ zHkPcCA;Ls8+IxmE*Cs(X9USZvZqisT*2`6j4I&evm2sAO6>-a8#}lFMsx&G@Y>aH< zArC~6m01%hA=CdOZSQFpDm*+&c*JQg8m%Ly6D)nl-H;l(5&ZMfk4OG-NjkHJy>4Sw zPWB=}Bcow_fn`>9XjMR&JSC0fzQ6Ea03N9<zO1vr*mwE~X!Bh>5XtaF!g3SR{JG!l zWbF1o>2>Jr^u_7RwA?XeES#I##46Hm*DltbV##g9W*XMtS!&?JR8{A0=yq4#P#{2} z6WZt6*3yz`(@NQDlNNiTOM|pD_gVP<&IOfp3!H3dMz!!Cq8Blq12Gnq2^Dei8k%|2 z2DDe!#C=j!R*P)s)kilZt0X(aJzcO0y6|#b)csKg;*x@g>)+f8Nq14~Y&gqSEh^*+ zsqr80*^rPQ>#F5S_7m+kDqs*ek$|heB>_7OoE|XgFg6c)D}?j3Ksa-ShuM9Uou;eT zGEGb(*pTCv4G~~XOdLOkte#@Eil+}VP^WcU3Z-etL?`kA&<A}W6d>)wBHi5d<*Dg8 zzC4G#ZFFVm@_{!~YqnXp9E&ed53H3MHJahk(v^dHB<B$cL-2q>8jS2ZAP1uK(6uET z`nxH8+!ceq<SfL@z{2SbF+aeUkHn%HNW(F1nQ~jOu5Ilh&WykA1%Akj-Un4?X^&uj z<_ap1y6l+O=)Ma`L2un?cAwSV;aN1TQI&X4lM-oFyfZxg0n_`s#B|tJH`vkogLn&^ zKSEceZ*FEB&?;-tbB`iuK%MWT3zL)k-Lw5B)>!HmXmY0~7>^Jj*SqL?+q(S$s=SBx z)xd~+qCG@dh91=_0TTYH&!N3Q?uCLkP9=scYmm>NCOPYjA^4vtAD}BUcL90n3EY9+ zDGMOdV=i+1G`6}U$t&gg>Gwv8=))`J`DyN9!w_C6&riQETq56x;~Qis=%FAH&p;Ph ze6~n%{a$5441NzIc%?#&Bq4<uNkR%Sl7!^qsX}`79tSUJeh+g6zPHof#gZ{`loNo+ z*((<da|Pb0y^~kYU_~#a3Vb2`iY|dlju;v1NBtW?XG_&<Wou0$?j#pv)W``Lbf^NE zqG1dIvC5`R8-)^5u!1j2sXE<y%ca`0{EKc1q5i4U7mU+0=V{(4VWK3S^ho&jtB}Zo z#N4bN{cDuH-GUCwj?YC%h1&|y;nbWKo4rBVYQ&@(Ah6ey20|N>fLAGPZ$kzH%>6TE z3>w?5M%k<`nP@wfem}o+&EXAJw2cE4Z^>_^K?H^3E#8lUUJ7_hJ$bspvir~~x;9BR z>(oaHFH3k<!$(xA7v=iOQy(M({hk0uIoZHl%aDR$_+(6*zPM@>UfPiPGvW2n#zi9j zh|{RJ29KPu6K@<d{Ba=CO)|*tYn01&vR-(xUM=aXgdUHsRpW%`T2Io1#wsyb@p{sT zy7>vTgzUiF2HOxvPrH9T$)kK9oPtMLbWDB^3+k1gKwg^PHKiZmrTiW)h3<MQR;3{` z6>}bN%Byy<W}LV=;g81=J7j+#T;4R%9a>OK$PQX;NY<P1%u+t&duT%%uW@)6R=vGf z%3Y}#cf)$vipKc?5$whOF$nuFK?CdxSEzZHF{K|4J}_|<WY|%1nl(d;2lf^F9kx~t zIt)suxd`&aqU8cAkRM-2zoBbH?4*Xbpi!ibW5dX9lduZ3gC-5eB94*)7?mpQsZl;a zDfRr3Zri-wIb>+jhoXB}5-!p~Glwa=A4+P&i^e=akW%kg=r-upMZTDhO(9#$(r|nq zMnXoI>bp(ZefCWvCd(Dwv>oq(Nhys*m|m>j;!DfacDX<*AtKCVzem}9eDz<JE6nr< zn*$OiLSq{?IQrc70;!`UIF8UtVL0}zF}#EVIMo_=BfCX{SM&xN-g(8mFpPlGUYhUm zKq4a^x^j-55q>~d&LfS?1b^tt`8+B!p`A{le(pLm;t|KE0f^I0oR4y<iSyBi$}2<m zbvOS=SW}vJSQnzW?Q}qy4zmYSqKL^!#GPUc6h|?TsoL8f37halWpEo5lsn#>B71Re zX5omiK5k?Z6_yu*)0?L6d$fk-8-~`bs8kpRHvm~Jo?e#_hQa-_rgTGo+oLSPwWNSd zglkGb1|HVY27AN2YTi3*^dWVvSZ#JJWwuI~-+7X^7-sy|N@~llcVlj<V$liWY2Al* zQA!=Q9m4OS`F<ju_+o%UBK-{EW`o|R<wmg&g-j3D40d}*;|%|oEQx$23^poVtON!7 zJX-D&q9}z{2J>=F{G!PUa7Q6Riv&oFKfw}f@W!dca5a@_vV!{e$MEBy*q%Zs=)!y@ z<gj^v3$x<&L*X$0C&oojB0gSfA`}R(4Lr4(L3<tuYfbZxY9EmHM0<MySkl<}|I^-Z zMtxS@n?0c6lz@FOn1|Trb$|rTjxS27H|dsbH1T5ro<TQ-&QO?+!{^Zoq4cK-Gq(Mg z6-TZ>H-N|Q9N;Lswm;W;3wD#+c#$2s(6*mX7Q)99lz}d_(>hu*XrIsD7E^;itPW)g zJYWQtPgY%@4>U}0NC*Eyw@iU|m?*BYXR6>H!QyOB!J7`zdw;D_ZdOhFN{_x+&r-dN zr-FF6iqeADP3e8zh%X65-hrXjbt8UGAo4N3BgEGQA`gE%Li`~iW(u6sh+;J>z>0#N zhW%#>oZASpvf-<sKK>1k%K43;YJyh<dHClXnMd;x<oE)(g89VfIi|na<=dcgP(eXG z{2WK+(||~&{jmI6(V?GY5rk?+Pd?8P`3ynVG)_a0H*$sJ2_H$X>o%en032Q4P~-nY z1k@@ajz+)sXKSsa5^g|YdLAyfjjf%=Lx&mrCMrWaC?h-_qmTzz>E~k-OtcHWkiI}y zM*L8?EsX#yLL-I1G~@aewB#cIi_-Wa(NK?lsIB!5f?H2gI-W{uY&~=cCbGt+l6kBR z85ugawx3Yc8(ByIaad3&N_t@I&$Dc?%Fpj%Rd}UhwSeC>rF<;tbaMxE+BC}J;kq>M zuyvU2`WBBQ0br;;I^o|B2v!;kj@m;~&5)k-Hv%zi`t7XlAn0iHY^Z;{I)+`PZ{3N` z{jpP?N%-JB?oqVA3Xau_&Z=>rNk6lVVS{VM8|@9Bqf6rVJYmM7*2pgt!ha(W3TI_A bspC+>DfSq{^rJYYj63>6;W5lf`ey$HQVT8; literal 0 HcmV?d00001 diff --git a/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/.xrf/top_extphy_struct.xrf b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/.xrf/top_extphy_struct.xrf new file mode 100644 index 00000000..ba081b22 --- /dev/null +++ b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/.xrf/top_extphy_struct.xrf @@ -0,0 +1,1100 @@ +DESIGN top_extphy +VIEW symbol.sb +NO_GRAPHIC 0 +DESIGN top_extphy +VIEW symbol.sb +GRAPHIC 50,0 8 0 +DESIGN top_extphy +VIEW symbol.sb +GRAPHIC 13,0 13 1 +DESIGN top_extphy +VIEW symbol.sb +GRAPHIC 1783,0 23 0 +DESIGN top_extphy +VIEW symbol.sb +GRAPHIC 206,0 24 0 +DESIGN top_extphy +VIEW symbol.sb +GRAPHIC 1282,0 25 0 +DESIGN top_extphy +VIEW symbol.sb +GRAPHIC 1277,0 26 0 +DESIGN top_extphy +VIEW symbol.sb +GRAPHIC 1812,0 27 0 +DESIGN top_extphy +VIEW symbol.sb +GRAPHIC 1798,0 28 0 +DESIGN top_extphy +VIEW symbol.sb +GRAPHIC 216,0 29 0 +DESIGN top_extphy +VIEW symbol.sb +GRAPHIC 171,0 30 0 +DESIGN top_extphy +VIEW symbol.sb +GRAPHIC 176,0 31 0 +DESIGN top_extphy +VIEW symbol.sb +GRAPHIC 181,0 32 0 +DESIGN top_extphy +VIEW symbol.sb +GRAPHIC 186,0 33 0 +DESIGN top_extphy +VIEW symbol.sb +GRAPHIC 339,0 34 0 +DESIGN top_extphy +VIEW symbol.sb +GRAPHIC 146,0 35 0 +DESIGN top_extphy +VIEW symbol.sb +GRAPHIC 1287,0 36 0 +DESIGN top_extphy +VIEW symbol.sb +GRAPHIC 1272,0 37 0 +DESIGN top_extphy +VIEW symbol.sb +GRAPHIC 156,0 38 0 +DESIGN top_extphy +VIEW symbol.sb +GRAPHIC 161,0 39 0 +DESIGN top_extphy +VIEW symbol.sb +GRAPHIC 166,0 40 0 +DESIGN top_extphy +VIEW symbol.sb +GRAPHIC 196,0 41 0 +DESIGN top_extphy +VIEW symbol.sb +GRAPHIC 1991,0 42 0 +DESIGN top_extphy +VIEW symbol.sb +GRAPHIC 151,0 43 0 +DESIGN top_extphy +VIEW symbol.sb +GRAPHIC 191,0 44 0 +DESIGN top_extphy +VIEW symbol.sb +GRAPHIC 1788,0 45 0 +DESIGN top_extphy +VIEW symbol.sb +GRAPHIC 211,0 46 0 +DESIGN top_extphy +VIEW symbol.sb +GRAPHIC 1793,0 47 0 +DESIGN top_extphy +VIEW symbol.sb +GRAPHIC 201,0 48 0 +DESIGN top_extphy +VIEW symbol.sb +GRAPHIC 950,0 49 0 +DESIGN top_extphy +VIEW symbol.sb +GRAPHIC 945,0 50 0 +DESIGN top_extphy +VIEW symbol.sb +GRAPHIC 1842,0 51 0 +DESIGN top_extphy +VIEW symbol.sb +GRAPHIC 1805,0 52 0 +DESIGN top_extphy +VIEW symbol.sb +GRAPHIC 1,0 55 0 +DESIGN top_extphy +VIEW symbol.sb +GRAPHIC 1,0 56 0 +DESIGN top_extphy +VIEW struct.bd +NO_GRAPHIC 59 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 345,0 99 0 +DESIGN top_extphy +VIEW struct.bd +NO_GRAPHIC 110 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 0,0 112 2 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 4988,0 117 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 4693,0 118 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 1205,0 119 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 4667,0 120 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 2360,0 121 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 10562,0 122 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 10570,0 123 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 10578,0 124 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 10586,0 125 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 3567,0 126 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 9139,0 127 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 1668,0 128 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 1429,0 129 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 10532,0 130 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 1431,0 131 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 7099,0 132 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 1588,0 133 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 11202,0 134 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 9381,0 135 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 1808,0 136 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 1810,0 137 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 10096,0 138 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 10122,0 139 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 10594,0 140 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 10602,0 141 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 10610,0 142 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 10618,0 143 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 4695,0 144 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 4691,0 145 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 10626,0 146 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 2273,0 147 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 3331,0 148 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 2117,0 149 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 4982,0 150 0 +DESIGN top_extphy +VIEW struct.bd +NO_GRAPHIC 151 +DESIGN top_extphy +VIEW struct.bd +NO_GRAPHIC 152 +LIBRARY work +DESIGN @d@u@t@interfaces +VIEW rtl +GRAPHIC 6898,0 154 0 +DESIGN @d@u@t@interfaces +VIEW symbol.sb +GRAPHIC 14,0 155 1 +DESIGN @d@u@t@interfaces +VIEW rtl +GRAPHIC 354,0 160 0 +DESIGN @d@u@t@interfaces +VIEW rtl +GRAPHIC 72,0 161 0 +DESIGN @d@u@t@interfaces +VIEW rtl +GRAPHIC 92,0 162 0 +DESIGN @d@u@t@interfaces +VIEW rtl +GRAPHIC 364,0 163 0 +DESIGN @d@u@t@interfaces +VIEW rtl +GRAPHIC 82,0 164 0 +DESIGN @d@u@t@interfaces +VIEW rtl +GRAPHIC 117,0 165 0 +DESIGN @d@u@t@interfaces +VIEW rtl +GRAPHIC 127,0 166 0 +DESIGN @d@u@t@interfaces +VIEW rtl +GRAPHIC 122,0 167 0 +DESIGN @d@u@t@interfaces +VIEW rtl +GRAPHIC 97,0 168 0 +DESIGN @d@u@t@interfaces +VIEW rtl +GRAPHIC 102,0 169 0 +DESIGN @d@u@t@interfaces +VIEW rtl +GRAPHIC 87,0 170 0 +DESIGN @d@u@t@interfaces +VIEW rtl +GRAPHIC 132,0 171 0 +DESIGN @d@u@t@interfaces +VIEW rtl +GRAPHIC 359,0 173 0 +DESIGN @d@u@t@interfaces +VIEW rtl +GRAPHIC 77,0 174 0 +DESIGN @d@u@t@interfaces +VIEW rtl +GRAPHIC 349,0 175 0 +DESIGN @d@u@t@interfaces +VIEW rtl +GRAPHIC 67,0 176 0 +DESIGN @d@u@t@interfaces +VIEW rtl +GRAPHIC 137,0 177 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 4555,0 182 0 +DESIGN @i@p@bus@interface +VIEW symbol.sb +GRAPHIC 14,0 183 1 +DESIGN @i@p@bus@interface +VIEW rtl +GRAPHIC 74,0 187 0 +DESIGN @i@p@bus@interface +VIEW rtl +GRAPHIC 79,0 188 0 +DESIGN @i@p@bus@interface +VIEW rtl +GRAPHIC 84,0 189 0 +DESIGN @i@p@bus@interface +VIEW rtl +GRAPHIC 89,0 190 0 +DESIGN @i@p@bus@interface +VIEW rtl +GRAPHIC 217,0 191 0 +DESIGN @i@p@bus@interface +VIEW rtl +GRAPHIC 119,0 192 0 +DESIGN @i@p@bus@interface +VIEW rtl +GRAPHIC 124,0 193 0 +DESIGN @i@p@bus@interface +VIEW rtl +GRAPHIC 292,0 194 0 +DESIGN @i@p@bus@interface +VIEW rtl +GRAPHIC 114,0 195 0 +DESIGN @i@p@bus@interface +VIEW rtl +GRAPHIC 94,0 196 0 +DESIGN @i@p@bus@interface +VIEW rtl +GRAPHIC 99,0 197 0 +DESIGN @i@p@bus@interface +VIEW rtl +GRAPHIC 104,0 198 0 +DESIGN @i@p@bus@interface +VIEW rtl +GRAPHIC 202,0 199 0 +DESIGN @i@p@bus@interface +VIEW rtl +GRAPHIC 207,0 200 0 +DESIGN @i@p@bus@interface +VIEW rtl +GRAPHIC 212,0 201 0 +DESIGN @i@p@bus@interface +VIEW rtl +GRAPHIC 287,0 202 0 +DESIGN @i@p@bus@interface +VIEW rtl +GRAPHIC 109,0 203 0 +DESIGN @i@p@bus@interface +VIEW rtl +GRAPHIC 308,0 204 0 +DESIGN @i@p@bus@interface +VIEW rtl +GRAPHIC 386,0 205 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 9363,0 208 0 +DESIGN event@buffer +VIEW symbol.sb +GRAPHIC 14,0 209 1 +DESIGN event@buffer +VIEW rtl +GRAPHIC 67,0 216 0 +DESIGN event@buffer +VIEW rtl +GRAPHIC 166,0 217 0 +DESIGN event@buffer +VIEW rtl +GRAPHIC 97,0 218 0 +DESIGN event@buffer +VIEW rtl +GRAPHIC 77,0 219 0 +DESIGN event@buffer +VIEW rtl +GRAPHIC 87,0 220 0 +DESIGN event@buffer +VIEW rtl +GRAPHIC 82,0 221 0 +DESIGN event@buffer +VIEW rtl +GRAPHIC 72,0 222 0 +DESIGN event@buffer +VIEW rtl +GRAPHIC 102,0 223 0 +DESIGN event@buffer +VIEW rtl +GRAPHIC 200,0 224 0 +DESIGN event@buffer +VIEW rtl +GRAPHIC 92,0 225 0 +DESIGN event@buffer +VIEW rtl +GRAPHIC 284,0 226 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 10522,0 229 0 +DESIGN event@formatter +VIEW symbol.sb +GRAPHIC 14,0 230 1 +DESIGN event@formatter +VIEW rtl +GRAPHIC 127,0 241 0 +DESIGN event@formatter +VIEW rtl +GRAPHIC 326,0 242 0 +DESIGN event@formatter +VIEW rtl +GRAPHIC 132,0 243 0 +DESIGN event@formatter +VIEW rtl +GRAPHIC 270,0 244 0 +DESIGN event@formatter +VIEW rtl +GRAPHIC 122,0 245 0 +DESIGN event@formatter +VIEW rtl +GRAPHIC 147,0 246 0 +DESIGN event@formatter +VIEW rtl +GRAPHIC 277,0 247 0 +DESIGN event@formatter +VIEW rtl +GRAPHIC 361,0 248 0 +DESIGN event@formatter +VIEW rtl +GRAPHIC 346,0 249 0 +DESIGN event@formatter +VIEW rtl +GRAPHIC 341,0 250 0 +DESIGN event@formatter +VIEW rtl +GRAPHIC 356,0 251 0 +DESIGN event@formatter +VIEW rtl +GRAPHIC 351,0 252 0 +DESIGN event@formatter +VIEW rtl +GRAPHIC 316,0 253 0 +DESIGN event@formatter +VIEW rtl +GRAPHIC 306,0 254 0 +DESIGN event@formatter +VIEW rtl +GRAPHIC 321,0 255 0 +DESIGN event@formatter +VIEW rtl +GRAPHIC 311,0 256 0 +DESIGN event@formatter +VIEW rtl +GRAPHIC 331,0 257 0 +DESIGN event@formatter +VIEW rtl +GRAPHIC 336,0 258 0 +DESIGN event@formatter +VIEW rtl +GRAPHIC 137,0 259 0 +DESIGN event@formatter +VIEW rtl +GRAPHIC 152,0 260 0 +DESIGN event@formatter +VIEW rtl +GRAPHIC 427,0 261 0 +DESIGN event@formatter +VIEW rtl +GRAPHIC 142,0 262 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 10058,0 265 0 +DESIGN i2c_master +VIEW rtl +GRAPHIC 208,0 267 0 +DESIGN i2c_master +VIEW rtl +GRAPHIC 88,0 268 0 +DESIGN i2c_master +VIEW rtl +GRAPHIC 63,0 269 0 +DESIGN i2c_master +VIEW rtl +GRAPHIC 68,0 270 0 +DESIGN i2c_master +VIEW rtl +GRAPHIC 78,0 271 0 +DESIGN i2c_master +VIEW rtl +GRAPHIC 83,0 272 0 +DESIGN i2c_master +VIEW rtl +GRAPHIC 176,0 273 0 +DESIGN i2c_master +VIEW rtl +GRAPHIC 73,0 274 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 11070,0 277 0 +DESIGN logic_clocks +VIEW rtl +GRAPHIC 130,0 279 0 +DESIGN logic_clocks +VIEW rtl +GRAPHIC 135,0 280 0 +DESIGN logic_clocks +VIEW rtl +GRAPHIC 145,0 281 0 +DESIGN logic_clocks +VIEW rtl +GRAPHIC 305,0 282 0 +DESIGN logic_clocks +VIEW rtl +GRAPHIC 92,0 283 0 +DESIGN logic_clocks +VIEW rtl +GRAPHIC 112,0 284 0 +DESIGN logic_clocks +VIEW rtl +GRAPHIC 102,0 285 0 +DESIGN logic_clocks +VIEW rtl +GRAPHIC 140,0 286 0 +DESIGN logic_clocks +VIEW rtl +GRAPHIC 117,0 287 0 +DESIGN logic_clocks +VIEW rtl +GRAPHIC 107,0 288 0 +DESIGN logic_clocks +VIEW rtl +GRAPHIC 97,0 289 0 +DESIGN logic_clocks +VIEW rtl +GRAPHIC 180,0 290 0 +DESIGN logic_clocks +VIEW rtl +GRAPHIC 194,0 291 0 +DESIGN logic_clocks +VIEW rtl +GRAPHIC 247,0 292 0 +DESIGN logic_clocks +VIEW rtl +GRAPHIC 277,0 293 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 11619,0 296 0 +DESIGN trigger@inputs +VIEW symbol.sb +GRAPHIC 14,0 297 1 +DESIGN trigger@inputs +VIEW rtl +GRAPHIC 131,0 301 0 +DESIGN trigger@inputs +VIEW rtl +GRAPHIC 334,0 302 0 +DESIGN trigger@inputs +VIEW rtl +GRAPHIC 116,0 303 0 +DESIGN trigger@inputs +VIEW rtl +GRAPHIC 121,0 304 0 +DESIGN trigger@inputs +VIEW rtl +GRAPHIC 126,0 305 0 +DESIGN trigger@inputs +VIEW rtl +GRAPHIC 339,0 306 0 +DESIGN trigger@inputs +VIEW rtl +GRAPHIC 145,0 307 0 +DESIGN trigger@inputs +VIEW rtl +GRAPHIC 184,0 308 0 +DESIGN trigger@inputs +VIEW rtl +GRAPHIC 466,0 309 0 +DESIGN trigger@inputs +VIEW rtl +GRAPHIC 428,0 310 0 +DESIGN trigger@inputs +VIEW rtl +GRAPHIC 418,0 311 0 +DESIGN trigger@inputs +VIEW rtl +GRAPHIC 423,0 312 0 +DESIGN trigger@inputs +VIEW rtl +GRAPHIC 413,0 313 0 +DESIGN trigger@inputs +VIEW rtl +GRAPHIC 199,0 314 0 +DESIGN trigger@inputs +VIEW rtl +GRAPHIC 214,0 315 0 +DESIGN trigger@inputs +VIEW rtl +GRAPHIC 204,0 316 0 +DESIGN trigger@inputs +VIEW rtl +GRAPHIC 209,0 317 0 +DESIGN trigger@inputs +VIEW rtl +GRAPHIC 320,0 318 0 +DESIGN trigger@inputs +VIEW rtl +GRAPHIC 325,0 319 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 9123,0 322 0 +DESIGN trigger@logic +VIEW symbol.sb +GRAPHIC 14,0 323 1 +DESIGN trigger@logic +VIEW rtl +GRAPHIC 67,0 328 0 +DESIGN trigger@logic +VIEW rtl +GRAPHIC 77,0 329 0 +DESIGN trigger@logic +VIEW rtl +GRAPHIC 82,0 330 0 +DESIGN trigger@logic +VIEW rtl +GRAPHIC 92,0 331 0 +DESIGN trigger@logic +VIEW rtl +GRAPHIC 359,0 332 0 +DESIGN trigger@logic +VIEW rtl +GRAPHIC 72,0 333 0 +DESIGN trigger@logic +VIEW rtl +GRAPHIC 97,0 334 0 +DESIGN trigger@logic +VIEW rtl +GRAPHIC 102,0 335 0 +DESIGN trigger@logic +VIEW rtl +GRAPHIC 352,0 336 0 +DESIGN trigger@logic +VIEW rtl +GRAPHIC 87,0 337 0 +DESIGN trigger@logic +VIEW rtl +GRAPHIC 109,0 338 0 +DESIGN trigger@logic +VIEW rtl +GRAPHIC 276,0 339 0 +DESIGN trigger@logic +VIEW rtl +GRAPHIC 244,0 340 0 +LIBRARY work +DESIGN top_extphy +VIEW struct.bd +NO_GRAPHIC 343 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 6898,0 346 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 4555,0 347 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 9363,0 348 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 10522,0 349 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 10058,0 350 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 11070,0 351 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 11619,0 352 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 9123,0 353 0 +DESIGN top_extphy +VIEW struct.bd +NO_GRAPHIC 356 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 10078,0 359 0 +DESIGN top_extphy +VIEW struct.bd +NO_GRAPHIC 365 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 11097,0 366 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 11116,0 369 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 11135,0 372 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 11154,0 375 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 11173,0 378 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 11192,0 381 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 4949,0 384 0 +DESIGN top_extphy +VIEW struct.bd +NO_GRAPHIC 387 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 6898,0 389 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 6905,0 390 1 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 6778,0 395 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 6722,0 396 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 1304,0 397 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 6820,0 398 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 6750,0 399 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 1415,0 400 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 1672,0 401 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 1423,0 402 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 1215,0 403 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 2141,0 404 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 1814,0 405 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 1680,0 406 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 6792,0 407 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 6736,0 408 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 6806,0 409 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 6708,0 410 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 4984,0 411 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 4555,0 413 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 4562,0 414 1 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 71,0 418 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 85,0 419 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 99,0 420 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 113,0 421 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 1391,0 422 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 751,0 423 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 765,0 424 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 6532,0 425 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 57,0 426 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 127,0 427 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 141,0 428 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 155,0 429 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 1359,0 430 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 1367,0 431 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 1383,0 432 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 6540,0 433 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 211,0 434 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 6914,0 435 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 4699,0 436 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 9363,0 438 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 9370,0 439 1 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 3521,0 446 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 2251,0 447 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 3415,0 448 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 3537,0 449 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 3553,0 450 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 3545,0 451 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 4897,0 452 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 3421,0 453 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 4990,0 454 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 3561,0 455 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 9385,0 456 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 10522,0 458 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 10529,0 459 1 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 2235,0 470 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 10534,0 471 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 2243,0 472 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 9393,0 473 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 2227,0 474 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 3327,0 475 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 9133,0 476 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 10628,0 477 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 10604,0 478 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 10596,0 479 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 10620,0 480 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 10612,0 481 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 10580,0 482 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 10564,0 483 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 10588,0 484 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 10572,0 485 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 10544,0 486 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 10554,0 487 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 2251,0 488 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 3415,0 489 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 9141,0 490 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 3421,0 491 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 10058,0 493 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 10100,0 495 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 10108,0 496 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 8086,0 497 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 8094,0 498 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 8102,0 499 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 10090,0 500 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 10116,0 501 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 8110,0 502 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 11070,0 504 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 4623,0 506 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 4615,0 507 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 4607,0 508 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 11082,0 509 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 4631,0 510 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 4583,0 511 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 4567,0 512 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 4599,0 513 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 4591,0 514 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 4575,0 515 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 4657,0 516 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 4663,0 517 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 6610,0 519 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 9375,0 520 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 11619,0 522 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 11626,0 523 1 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 29,0 527 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 6022,0 528 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 1698,0 529 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 1706,0 530 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 253,0 531 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 6036,0 532 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 3327,0 533 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 2101,0 534 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 11464,0 535 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 1714,0 540 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 1730,0 541 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 1722,0 542 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 2109,0 543 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 5764,0 544 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 5772,0 545 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 9123,0 547 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 9130,0 548 1 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 1754,0 553 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 1786,0 554 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 1794,0 555 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 1802,0 556 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 9401,0 557 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 1762,0 558 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 2101,0 559 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 1778,0 560 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 9141,0 561 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 1746,0 562 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 9065,0 563 0 +DESIGN top_extphy +VIEW struct.bd +GRAPHIC 6668,0 565 0 +DESIGN top_extphy +VIEW struct.bd +NO_GRAPHIC 568 diff --git a/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/@d@u@t@interfaces/_symbol.sb._fpf b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/@d@u@t@interfaces/_symbol.sb._fpf new file mode 100755 index 00000000..e0bac7fb --- /dev/null +++ b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/@d@u@t@interfaces/_symbol.sb._fpf @@ -0,0 +1,3 @@ +INCLUDE list { + DEFAULT atom 1 +} diff --git a/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/@d@u@t@interfaces/rtl.bd b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/@d@u@t@interfaces/rtl.bd new file mode 100644 index 00000000..46d5435e --- /dev/null +++ b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/@d@u@t@interfaces/rtl.bd @@ -0,0 +1,3337 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dmPackageRefs [ +] +properties [ +(HdrProperty +class "HDS" +name "DocView" +value "DUTInterfaces_rtl.vhd" +) +(HdrProperty +class "HDS" +name "DocViewState" +value "1352817281" +) +] +) +version "30.1" +appVersion "2010.3 (Build 21)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl" +) +(vvPair +variable "HDSDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/@d@u@t@interfaces/rtl.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/@d@u@t@interfaces/rtl.bd.user" +) +(vvPair +variable "SourceDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "rtl" +) +(vvPair +variable "config" +value "%(unit)_config" +) +(vvPair +variable "d" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/@d@u@t@interfaces" +) +(vvPair +variable "d_logical" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/DUTInterfaces" +) +(vvPair +variable "date" +value "11/13/12" +) +(vvPair +variable "day" +value "Tue" +) +(vvPair +variable "day_long" +value "Tuesday" +) +(vvPair +variable "dd" +value "13" +) +(vvPair +variable "entity_name" +value "DUTInterfaces" +) +(vvPair +variable "ext" +value "<TBD>" +) +(vvPair +variable "f" +value "rtl.bd" +) +(vvPair +variable "f_logical" +value "rtl.bd" +) +(vvPair +variable "f_noext" +value "rtl" +) +(vvPair +variable "group" +value "HEP_Instrumentation" +) +(vvPair +variable "host" +value "kipper.phy.bris.ac.uk" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "fmc_mTLU_lib" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/designcheck" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "DUTInterfaces" +) +(vvPair +variable "month" +value "Nov" +) +(vvPair +variable "month_long" +value "November" +) +(vvPair +variable "p" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/@d@u@t@interfaces/rtl.bd" +) +(vvPair +variable "p_logical" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/DUTInterfaces/rtl.bd" +) +(vvPair +variable "package_name" +value "<Undefined Variable>" +) +(vvPair +variable "project_name" +value "fmc_mTLU" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "<TBD>" +) +(vvPair +variable "task_DesignCompilerPath" +value "<TBD>" +) +(vvPair +variable "task_LeonardoPath" +value "/opt/CAD/Mentor/LeonardoSpectrum_2008a/bin" +) +(vvPair +variable "task_ModelSimPath" +value "/opt/CAD/Mentor/Modelsim/6.4a/modeltech/bin" +) +(vvPair +variable "task_NC-SimPath" +value "<TBD>" +) +(vvPair +variable "task_PrecisionRTLPath" +value "/opt/CAD/Mentor/Precis_Synth_2009a_update2/Mgc_home/bin" +) +(vvPair +variable "task_QuestaSimPath" +value "/opt/CAD/Mentor/HDS2008.1b/questasim/bin" +) +(vvPair +variable "task_VCSPath" +value "<TBD>" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "rtl" +) +(vvPair +variable "this_file_logical" +value "rtl" +) +(vvPair +variable "time" +value "16:59:30" +) +(vvPair +variable "unit" +value "DUTInterfaces" +) +(vvPair +variable "user" +value "phdgc" +) +(vvPair +variable "version" +value "2010.3 (Build 21)" +) +(vvPair +variable "view" +value "rtl" +) +(vvPair +variable "year" +value "2012" +) +(vvPair +variable "yy" +value "12" +) +] +) +LanguageMgr "VhdlLangMgr" +optionalChildren [ +*1 (PortIoIn +uid 9,0 +shape (CompositeShape +uid 10,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 11,0 +sl 0 +ro 270 +xt "-4000,6625,-2500,7375" +) +(Line +uid 12,0 +sl 0 +ro 270 +xt "-2500,7000,-2000,7000" +pts [ +"-2500,7000" +"-2000,7000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 13,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 14,0 +va (VaSet +font "courier,8,0" +) +xt "-13000,6500,-5000,7400" +st "busy_from_dut_i" +ju 2 +blo "-5000,7200" +tm "WireNameMgr" +) +) +) +*2 (Net +uid 15,0 +decl (Decl +n "busy_from_dut_i" +t "std_logic_vector" +b "(NUM_DUTS-1 DOWNTO 0)" +eolc "BUSY input from DUTs" +o 1 +suid 1,0 +) +declText (MLText +uid 16,0 +va (VaSet +font "courier,8,0" +) +xt "20000,14175,63000,15075" +st "busy_from_dut_i : std_logic_vector(NUM_DUTS-1 downto 0) -- BUSY input from DUTs +" +) +) +*3 (PortIoIn +uid 23,0 +shape (CompositeShape +uid 24,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 25,0 +sl 0 +ro 270 +xt "-4000,5625,-2500,6375" +) +(Line +uid 26,0 +sl 0 +ro 270 +xt "-2500,6000,-2000,6000" +pts [ +"-2500,6000" +"-2000,6000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 27,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 28,0 +va (VaSet +font "courier,8,0" +) +xt "-12500,5500,-5000,6400" +st "clk_4x_logic_i" +ju 2 +blo "-5000,6200" +tm "WireNameMgr" +) +) +) +*4 (Net +uid 29,0 +lang 11 +decl (Decl +n "clk_4x_logic_i" +t "std_logic" +o 2 +suid 2,0 +) +declText (MLText +uid 30,0 +va (VaSet +font "courier,8,0" +) +xt "20000,15075,37000,15975" +st "clk_4x_logic_i : std_logic +" +) +) +*5 (PortIoIn +uid 37,0 +shape (CompositeShape +uid 38,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 39,0 +sl 0 +ro 270 +xt "-4000,4625,-2500,5375" +) +(Line +uid 40,0 +sl 0 +ro 270 +xt "-2500,5000,-2000,5000" +pts [ +"-2500,5000" +"-2000,5000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 41,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 42,0 +va (VaSet +font "courier,8,0" +) +xt "-12500,4500,-5000,5400" +st "clk_from_dut_i" +ju 2 +blo "-5000,5200" +tm "WireNameMgr" +) +) +) +*6 (Net +uid 43,0 +decl (Decl +n "clk_from_dut_i" +t "std_logic_vector" +b "(NUM_DUTS-1 DOWNTO 0)" +eolc "clocks trigger data when in EUDET mode" +o 3 +suid 3,0 +) +declText (MLText +uid 44,0 +va (VaSet +font "courier,8,0" +) +xt "20000,15975,72000,16875" +st "clk_from_dut_i : std_logic_vector(NUM_DUTS-1 downto 0) -- clocks trigger data when in EUDET mode +" +) +) +*7 (PortIoIn +uid 51,0 +shape (CompositeShape +uid 52,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 53,0 +sl 0 +ro 270 +xt "-4000,3625,-2500,4375" +) +(Line +uid 54,0 +sl 0 +ro 270 +xt "-2500,4000,-2000,4000" +pts [ +"-2500,4000" +"-2000,4000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 55,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 56,0 +va (VaSet +font "courier,8,0" +) +xt "-11000,3500,-5000,4400" +st "ipbus_clk_i" +ju 2 +blo "-5000,4200" +tm "WireNameMgr" +) +) +) +*8 (Net +uid 57,0 +lang 11 +decl (Decl +n "ipbus_clk_i" +t "std_logic" +o 4 +suid 4,0 +) +declText (MLText +uid 58,0 +va (VaSet +font "courier,8,0" +) +xt "20000,16875,37000,17775" +st "ipbus_clk_i : std_logic +" +) +) +*9 (PortIoIn +uid 65,0 +shape (CompositeShape +uid 66,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 67,0 +sl 0 +ro 270 +xt "-4000,2625,-2500,3375" +) +(Line +uid 68,0 +sl 0 +ro 270 +xt "-2500,3000,-2000,3000" +pts [ +"-2500,3000" +"-2000,3000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 69,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 70,0 +va (VaSet +font "courier,8,0" +) +xt "-8500,2500,-5000,3400" +st "ipbus_i" +ju 2 +blo "-5000,3200" +tm "WireNameMgr" +) +) +) +*10 (Net +uid 71,0 +decl (Decl +n "ipbus_i" +t "ipb_wbus" +eolc "Signals from IPBus core to slave" +o 5 +suid 5,0 +) +declText (MLText +uid 72,0 +va (VaSet +font "courier,8,0" +) +xt "20000,17775,54500,18675" +st "ipbus_i : ipb_wbus -- Signals from IPBus core to slave +" +) +) +*11 (PortIoIn +uid 79,0 +shape (CompositeShape +uid 80,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 81,0 +sl 0 +ro 270 +xt "-4000,1625,-2500,2375" +) +(Line +uid 82,0 +sl 0 +ro 270 +xt "-2500,2000,-2000,2000" +pts [ +"-2500,2000" +"-2000,2000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 83,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 84,0 +va (VaSet +font "courier,8,0" +) +xt "-12000,1500,-5000,2400" +st "ipbus_reset_i" +ju 2 +blo "-5000,2200" +tm "WireNameMgr" +) +) +) +*12 (Net +uid 85,0 +lang 11 +decl (Decl +n "ipbus_reset_i" +t "std_logic" +o 6 +suid 6,0 +) +declText (MLText +uid 86,0 +va (VaSet +font "courier,8,0" +) +xt "20000,18675,37000,19575" +st "ipbus_reset_i : std_logic +" +) +) +*13 (PortIoIn +uid 93,0 +shape (CompositeShape +uid 94,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 95,0 +sl 0 +ro 270 +xt "-4000,625,-2500,1375" +) +(Line +uid 96,0 +sl 0 +ro 270 +xt "-2500,1000,-2000,1000" +pts [ +"-2500,1000" +"-2000,1000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 97,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 98,0 +va (VaSet +font "courier,8,0" +) +xt "-12500,500,-5000,1400" +st "logic_strobe_i" +ju 2 +blo "-5000,1200" +tm "WireNameMgr" +) +) +) +*14 (Net +uid 99,0 +decl (Decl +n "logic_strobe_i" +t "std_logic" +eolc "! goes high every 4th clock cycle" +o 7 +suid 7,0 +) +declText (MLText +uid 100,0 +va (VaSet +font "courier,8,0" +) +xt "20000,19575,55500,20475" +st "logic_strobe_i : std_logic -- ! goes high every 4th clock cycle +" +) +) +*15 (PortIoIn +uid 107,0 +shape (CompositeShape +uid 108,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 109,0 +sl 0 +ro 270 +xt "-4000,-375,-2500,375" +) +(Line +uid 110,0 +sl 0 +ro 270 +xt "-2500,0,-2000,0" +pts [ +"-2500,0" +"-2000,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 111,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 112,0 +va (VaSet +font "courier,8,0" +) +xt "-14000,-500,-5000,400" +st "trigger_counter_i" +ju 2 +blo "-5000,200" +tm "WireNameMgr" +) +) +) +*16 (Net +uid 113,0 +decl (Decl +n "trigger_counter_i" +t "std_logic_vector" +b "(IPBUS_WIDTH-1 DOWNTO 0)" +o 8 +suid 8,0 +) +declText (MLText +uid 114,0 +va (VaSet +font "courier,8,0" +) +xt "20000,20475,52500,21375" +st "trigger_counter_i : std_logic_vector(IPBUS_WIDTH-1 downto 0) +" +) +) +*17 (PortIoIn +uid 121,0 +shape (CompositeShape +uid 122,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 123,0 +sl 0 +ro 270 +xt "-4000,-1375,-2500,-625" +) +(Line +uid 124,0 +sl 0 +ro 270 +xt "-2500,-1000,-2000,-1000" +pts [ +"-2500,-1000" +"-2000,-1000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 125,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 126,0 +va (VaSet +font "courier,8,0" +) +xt "-9500,-1500,-5000,-600" +st "trigger_i" +ju 2 +blo "-5000,-800" +tm "WireNameMgr" +) +) +) +*18 (Net +uid 127,0 +decl (Decl +n "trigger_i" +t "std_logic" +eolc "goes high when trigger logic issues a trigger" +o 9 +suid 9,0 +) +declText (MLText +uid 128,0 +va (VaSet +font "courier,8,0" +) +xt "20000,21375,61500,22275" +st "trigger_i : std_logic -- goes high when trigger logic issues a trigger +" +) +) +*19 (PortIoOut +uid 135,0 +shape (CompositeShape +uid 136,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 137,0 +sl 0 +ro 270 +xt "500,3625,2000,4375" +) +(Line +uid 138,0 +sl 0 +ro 270 +xt "0,4000,500,4000" +pts [ +"0,4000" +"500,4000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 139,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 140,0 +va (VaSet +font "courier,8,0" +) +xt "3000,3500,6500,4400" +st "ipbus_o" +blo "3000,4200" +tm "WireNameMgr" +) +) +) +*20 (Net +uid 141,0 +decl (Decl +n "ipbus_o" +t "ipb_rbus" +eolc "signals from slave to IPBus core" +o 10 +suid 10,0 +) +declText (MLText +uid 142,0 +va (VaSet +font "courier,8,0" +) +xt "20000,22275,54500,23175" +st "ipbus_o : ipb_rbus -- signals from slave to IPBus core +" +) +) +*21 (PortIoOut +uid 149,0 +shape (CompositeShape +uid 150,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 151,0 +sl 0 +ro 270 +xt "500,2625,2000,3375" +) +(Line +uid 152,0 +sl 0 +ro 270 +xt "0,3000,500,3000" +pts [ +"0,3000" +"500,3000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 153,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 154,0 +va (VaSet +font "courier,8,0" +) +xt "3000,2500,14000,3400" +st "reset_or_clk_to_dut_o" +blo "3000,3200" +tm "WireNameMgr" +) +) +) +*22 (Net +uid 155,0 +decl (Decl +n "reset_or_clk_to_dut_o" +t "std_logic_vector" +b "(NUM_DUTS-1 DOWNTO 0)" +eolc "! Either reset line or trigger" +o 11 +suid 11,0 +) +declText (MLText +uid 156,0 +va (VaSet +font "courier,8,0" +) +xt "20000,23175,68000,24075" +st "reset_or_clk_to_dut_o : std_logic_vector(NUM_DUTS-1 downto 0) -- ! Either reset line or trigger +" +) +) +*23 (PortIoOut +uid 163,0 +shape (CompositeShape +uid 164,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 165,0 +sl 0 +ro 270 +xt "500,1625,2000,2375" +) +(Line +uid 166,0 +sl 0 +ro 270 +xt "0,2000,500,2000" +pts [ +"0,2000" +"500,2000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 167,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 168,0 +va (VaSet +font "courier,8,0" +) +xt "3000,1500,11500,2400" +st "trigger_to_dut_o" +blo "3000,2200" +tm "WireNameMgr" +) +) +) +*24 (Net +uid 169,0 +decl (Decl +n "trigger_to_dut_o" +t "std_logic_vector" +b "(NUM_DUTS-1 DOWNTO 0)" +eolc "! Trigger output" +o 12 +suid 12,0 +) +declText (MLText +uid 170,0 +va (VaSet +font "courier,8,0" +) +xt "20000,24075,61000,24975" +st "trigger_to_dut_o : std_logic_vector(NUM_DUTS-1 downto 0) -- ! Trigger output +" +) +) +*25 (PortIoOut +uid 177,0 +shape (CompositeShape +uid 178,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 179,0 +sl 0 +ro 270 +xt "500,625,2000,1375" +) +(Line +uid 180,0 +sl 0 +ro 270 +xt "0,1000,500,1000" +pts [ +"0,1000" +"500,1000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 181,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 182,0 +va (VaSet +font "courier,8,0" +) +xt "3000,500,6000,1400" +st "veto_o" +blo "3000,1200" +tm "WireNameMgr" +) +) +) +*26 (Net +uid 183,0 +decl (Decl +n "veto_o" +t "std_logic" +eolc "goes high when one or more DUT are busy" +o 13 +suid 13,0 +) +declText (MLText +uid 184,0 +va (VaSet +font "courier,8,0" +) +xt "20000,24975,58500,25875" +st "veto_o : std_logic -- goes high when one or more DUT are busy +" +) +) +*27 (CommentText +uid 191,0 +ps "EdgeToEdgeStrategy" +shape (Rectangle +uid 192,0 +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "-4000,-7000,11000,-3000" +) +text (MLText +uid 193,0 +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "-3800,-6800,-3800,-5900" +st " + + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 15000 +) +included 4 +) +*28 (Property +uid 194,0 +pclass "HDS" +pname "DocView" +pvalue "DUTInterfaces_rtl.vhd" +ptn "String" +) +*29 (Property +uid 195,0 +pclass "HDS" +pname "DocViewState" +pvalue "1352817281" +ptn "String" +) +*30 (Wire +uid 17,0 +shape (OrthoPolyLine +uid 18,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "-2000,7000,0,7000" +pts [ +"-2000,7000" +"0,7000" +] +) +start &1 +sat 32 +eat 16 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 21,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 22,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "1000,6100,9000,7000" +st "busy_from_dut_i" +blo "1000,6800" +tm "WireNameMgr" +) +) +on &2 +) +*31 (Wire +uid 31,0 +shape (OrthoPolyLine +uid 32,0 +va (VaSet +vasetType 3 +) +xt "-2000,6000,0,6000" +pts [ +"-2000,6000" +"0,6000" +] +) +start &3 +sat 32 +eat 16 +stc 0 +st 0 +si 0 +tg (WTG +uid 35,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 36,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "1000,5100,8500,6000" +st "clk_4x_logic_i" +blo "1000,5800" +tm "WireNameMgr" +) +) +on &4 +) +*32 (Wire +uid 45,0 +shape (OrthoPolyLine +uid 46,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "-2000,5000,0,5000" +pts [ +"-2000,5000" +"0,5000" +] +) +start &5 +sat 32 +eat 16 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 49,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 50,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "1000,4100,8500,5000" +st "clk_from_dut_i" +blo "1000,4800" +tm "WireNameMgr" +) +) +on &6 +) +*33 (Wire +uid 59,0 +shape (OrthoPolyLine +uid 60,0 +va (VaSet +vasetType 3 +) +xt "-2000,4000,0,4000" +pts [ +"-2000,4000" +"0,4000" +] +) +start &7 +sat 32 +eat 16 +stc 0 +st 0 +si 0 +tg (WTG +uid 63,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 64,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "1000,3100,7000,4000" +st "ipbus_clk_i" +blo "1000,3800" +tm "WireNameMgr" +) +) +on &8 +) +*34 (Wire +uid 73,0 +shape (OrthoPolyLine +uid 74,0 +va (VaSet +vasetType 3 +) +xt "-2000,3000,0,3000" +pts [ +"-2000,3000" +"0,3000" +] +) +start &9 +sat 32 +eat 16 +stc 0 +st 0 +si 0 +tg (WTG +uid 77,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 78,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "1000,2100,4500,3000" +st "ipbus_i" +blo "1000,2800" +tm "WireNameMgr" +) +) +on &10 +) +*35 (Wire +uid 87,0 +shape (OrthoPolyLine +uid 88,0 +va (VaSet +vasetType 3 +) +xt "-2000,2000,0,2000" +pts [ +"-2000,2000" +"0,2000" +] +) +start &11 +sat 32 +eat 16 +stc 0 +st 0 +si 0 +tg (WTG +uid 91,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 92,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "1000,1100,8000,2000" +st "ipbus_reset_i" +blo "1000,1800" +tm "WireNameMgr" +) +) +on &12 +) +*36 (Wire +uid 101,0 +shape (OrthoPolyLine +uid 102,0 +va (VaSet +vasetType 3 +) +xt "-2000,1000,0,1000" +pts [ +"-2000,1000" +"0,1000" +] +) +start &13 +sat 32 +eat 16 +stc 0 +st 0 +si 0 +tg (WTG +uid 105,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 106,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "1000,100,8500,1000" +st "logic_strobe_i" +blo "1000,800" +tm "WireNameMgr" +) +) +on &14 +) +*37 (Wire +uid 115,0 +shape (OrthoPolyLine +uid 116,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "-2000,0,0,0" +pts [ +"-2000,0" +"0,0" +] +) +start &15 +sat 32 +eat 16 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 119,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 120,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "1000,-900,10000,0" +st "trigger_counter_i" +blo "1000,-200" +tm "WireNameMgr" +) +) +on &16 +) +*38 (Wire +uid 129,0 +shape (OrthoPolyLine +uid 130,0 +va (VaSet +vasetType 3 +) +xt "-2000,-1000,0,-1000" +pts [ +"-2000,-1000" +"0,-1000" +] +) +start &17 +sat 32 +eat 16 +stc 0 +st 0 +si 0 +tg (WTG +uid 133,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 134,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "1000,-1900,5500,-1000" +st "trigger_i" +blo "1000,-1200" +tm "WireNameMgr" +) +) +on &18 +) +*39 (Wire +uid 143,0 +shape (OrthoPolyLine +uid 144,0 +va (VaSet +vasetType 3 +) +xt "-2000,4000,0,4000" +pts [ +"-2000,4000" +"0,4000" +] +) +end &19 +sat 16 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 147,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 148,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-6000,3100,-2500,4000" +st "ipbus_o" +blo "-6000,3800" +tm "WireNameMgr" +) +) +on &20 +) +*40 (Wire +uid 157,0 +shape (OrthoPolyLine +uid 158,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "-2000,3000,0,3000" +pts [ +"-2000,3000" +"0,3000" +] +) +end &21 +sat 16 +eat 32 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 161,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 162,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-22000,2100,-11000,3000" +st "reset_or_clk_to_dut_o" +blo "-22000,2800" +tm "WireNameMgr" +) +) +on &22 +) +*41 (Wire +uid 171,0 +shape (OrthoPolyLine +uid 172,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "-2000,2000,0,2000" +pts [ +"-2000,2000" +"0,2000" +] +) +end &23 +sat 16 +eat 32 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 175,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 176,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-19000,1100,-10500,2000" +st "trigger_to_dut_o" +blo "-19000,1800" +tm "WireNameMgr" +) +) +on &24 +) +*42 (Wire +uid 185,0 +shape (OrthoPolyLine +uid 186,0 +va (VaSet +vasetType 3 +) +xt "-2000,1000,0,1000" +pts [ +"-2000,1000" +"0,1000" +] +) +end &25 +sat 16 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 189,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 190,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-6000,100,-3000,1000" +st "veto_o" +blo "-6000,800" +tm "WireNameMgr" +) +) +on &26 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *43 (PackageList +uid 226,0 +stg "VerticalLayoutStrategy" +textVec [ +*44 (Text +uid 227,0 +va (VaSet +font "courier,8,1" +) +xt "1000,12375,7500,13275" +st "Package List" +blo "1000,13075" +) +*45 (MLText +uid 228,0 +va (VaSet +font "courier,8,0" +) +xt "1000,13275,15500,16875" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 229,0 +stg "VerticalLayoutStrategy" +textVec [ +*46 (Text +uid 230,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "21000,12375,31000,13275" +st "Compiler Directives" +blo "21000,13075" +) +*47 (Text +uid 231,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "21000,13275,32500,14175" +st "Pre-module directives:" +blo "21000,13975" +) +*48 (MLText +uid 232,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "21000,14175,31100,15975" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*49 (Text +uid 233,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "21000,15975,33000,16875" +st "Post-module directives:" +blo "21000,16675" +) +*50 (MLText +uid 234,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "21000,12375,21000,12375" +tm "BdCompilerDirectivesTextMgr" +) +*51 (Text +uid 235,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "21000,16875,32500,17775" +st "End-module directives:" +blo "21000,17575" +) +*52 (MLText +uid 236,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "21000,17775,21000,17775" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "0,0,1015,690" +viewArea "-14000,-7000,72000,28575" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +lastUid 236,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,4000,1900" +st "Panel0" +blo "1000,1700" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*53 (Text +va (VaSet +font "courier,8,1" +) +xt "1750,3650,6250,4550" +st "<library>" +blo "1750,4350" +tm "BdLibraryNameMgr" +) +*54 (Text +va (VaSet +font "courier,8,1" +) +xt "1750,4550,5250,5450" +st "<block>" +blo "1750,5250" +tm "BlkNameMgr" +) +*55 (Text +va (VaSet +font "courier,8,1" +) +xt "1750,5450,2750,6350" +st "I0" +blo "1750,6150" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "1750,13650,1750,13650" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*56 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,3650,4500,4550" +st "Library" +blo "1000,4350" +) +*57 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,4550,7000,5450" +st "MWComponent" +blo "1000,5250" +) +*58 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,5450,2000,6350" +st "I0" +blo "1000,6150" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6000,1650,-6000,1650" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*59 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,3650,4500,4550" +st "Library" +blo "1000,4350" +tm "BdLibraryNameMgr" +) +*60 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,4550,7000,5450" +st "SaComponent" +blo "1000,5250" +tm "CptNameMgr" +) +*61 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,5450,2000,6350" +st "I0" +blo "1000,6150" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6000,1650,-6000,1650" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +portVis (PortSigDisplay +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*62 (Text +va (VaSet +font "courier,8,1" +) +xt "500,3650,4000,4550" +st "Library" +blo "500,4350" +) +*63 (Text +va (VaSet +font "courier,8,1" +) +xt "500,4550,7500,5450" +st "VhdlComponent" +blo "500,5250" +) +*64 (Text +va (VaSet +font "courier,8,1" +) +xt "500,5450,1500,6350" +st "I0" +blo "500,6150" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6500,1650,-6500,1650" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-750,0,8750,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*65 (Text +va (VaSet +font "courier,8,1" +) +xt "-250,3650,3250,4550" +st "Library" +blo "-250,4350" +) +*66 (Text +va (VaSet +font "courier,8,1" +) +xt "-250,4550,8250,5450" +st "VerilogComponent" +blo "-250,5250" +) +*67 (Text +va (VaSet +font "courier,8,1" +) +xt "-250,5450,750,6350" +st "I0" +blo "-250,6150" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-7250,1650,-7250,1650" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*68 (Text +va (VaSet +font "courier,8,1" +) +xt "3250,4100,4750,5000" +st "eb1" +blo "3250,4800" +tm "HdlTextNameMgr" +) +*69 (Text +va (VaSet +font "courier,8,1" +) +xt "3250,5000,3750,5900" +st "1" +blo "3250,5700" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +font "courier,8,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +font "courier,8,1" +) +xt "-250,-450,250,450" +st "G" +blo "-250,250" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,0,2000,900" +st "sig0" +blo "0,700" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,0,2500,900" +st "dbus0" +blo "0,700" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,0" +) +xt "0,0,3500,900" +st "bundle0" +blo "0,700" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +font "courier,8,0" +) +xt "0,900,1000,1800" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +font "courier,8,0" +) +) +second (MLText +va (VaSet +font "courier,8,0" +) +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,-1000,14500,-100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1350" +) +num (Text +va (VaSet +font "courier,8,0" +) +xt "300,250,800,1150" +st "1" +blo "300,950" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*70 (Text +va (VaSet +font "courier,8,1" +) +xt "12500,20000,22000,20900" +st "Frame Declarations" +blo "12500,20700" +) +*71 (MLText +va (VaSet +font "courier,8,0" +) +xt "12500,20900,12500,20900" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,-1000,9000,-100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1350" +) +num (Text +va (VaSet +font "courier,8,0" +) +xt "300,250,800,1150" +st "1" +blo "300,950" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*72 (Text +va (VaSet +font "courier,8,1" +) +xt "12500,20000,22000,20900" +st "Frame Declarations" +blo "12500,20700" +) +*73 (MLText +va (VaSet +font "courier,8,0" +) +xt "12500,20900,12500,20900" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,2000,1650" +st "Port" +blo "0,1450" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,2000,1650" +st "Port" +blo "0,1450" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "courier,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "18000,12375,24500,13275" +st "Declarations" +blo "18000,13075" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "18000,13275,21000,14175" +st "Ports:" +blo "18000,13975" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "18000,25875,22500,26775" +st "Pre User:" +blo "18000,26575" +) +preUserText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "18000,12375,18000,12375" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "courier,8,1" +) +xt "18000,26775,26500,27675" +st "Diagram Signals:" +blo "18000,27475" +) +postUserLabel (Text +uid 7,0 +va (VaSet +font "courier,8,1" +) +xt "18000,27675,23500,28575" +st "Post User:" +blo "18000,28375" +) +postUserText (MLText +uid 8,0 +va (VaSet +font "courier,8,0" +) +xt "18000,12375,18000,12375" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 13,0 +usingSuid 1 +emptyRow *74 (LEmptyRow +) +optionalChildren [ +*75 (RefLabelRowHdr +) +*76 (TitleRowHdr +) +*77 (FilterRowHdr +) +*78 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*79 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*80 (GroupColHdr +tm "GroupColHdrMgr" +) +*81 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*82 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*83 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*84 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*85 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*86 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*87 (LeafLogPort +port (LogicalPort +decl (Decl +n "busy_from_dut_i" +t "std_logic_vector" +b "(NUM_DUTS-1 DOWNTO 0)" +eolc "BUSY input from DUTs" +o 1 +suid 1,0 +) +) +uid 196,0 +) +*88 (LeafLogPort +port (LogicalPort +decl (Decl +n "clk_4x_logic_i" +t "std_logic" +o 2 +suid 2,0 +) +) +uid 198,0 +) +*89 (LeafLogPort +port (LogicalPort +decl (Decl +n "clk_from_dut_i" +t "std_logic_vector" +b "(NUM_DUTS-1 DOWNTO 0)" +eolc "clocks trigger data when in EUDET mode" +o 3 +suid 3,0 +) +) +uid 200,0 +) +*90 (LeafLogPort +port (LogicalPort +decl (Decl +n "ipbus_clk_i" +t "std_logic" +o 4 +suid 4,0 +) +) +uid 202,0 +) +*91 (LeafLogPort +port (LogicalPort +decl (Decl +n "ipbus_i" +t "ipb_wbus" +eolc "Signals from IPBus core to slave" +o 5 +suid 5,0 +) +) +uid 204,0 +) +*92 (LeafLogPort +port (LogicalPort +decl (Decl +n "ipbus_reset_i" +t "std_logic" +o 6 +suid 6,0 +) +) +uid 206,0 +) +*93 (LeafLogPort +port (LogicalPort +decl (Decl +n "logic_strobe_i" +t "std_logic" +eolc "! goes high every 4th clock cycle" +o 7 +suid 7,0 +) +) +uid 208,0 +) +*94 (LeafLogPort +port (LogicalPort +decl (Decl +n "trigger_counter_i" +t "std_logic_vector" +b "(IPBUS_WIDTH-1 DOWNTO 0)" +o 8 +suid 8,0 +) +) +uid 210,0 +) +*95 (LeafLogPort +port (LogicalPort +decl (Decl +n "trigger_i" +t "std_logic" +eolc "goes high when trigger logic issues a trigger" +o 9 +suid 9,0 +) +) +uid 212,0 +) +*96 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "ipbus_o" +t "ipb_rbus" +eolc "signals from slave to IPBus core" +o 10 +suid 10,0 +) +) +uid 214,0 +) +*97 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "reset_or_clk_to_dut_o" +t "std_logic_vector" +b "(NUM_DUTS-1 DOWNTO 0)" +eolc "! Either reset line or trigger" +o 11 +suid 11,0 +) +) +uid 216,0 +) +*98 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "trigger_to_dut_o" +t "std_logic_vector" +b "(NUM_DUTS-1 DOWNTO 0)" +eolc "! Trigger output" +o 12 +suid 12,0 +) +) +uid 218,0 +) +*99 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "veto_o" +t "std_logic" +eolc "goes high when one or more DUT are busy" +o 13 +suid 13,0 +) +) +uid 220,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +optionalChildren [ +*100 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *101 (MRCItem +litem &74 +pos 3 +dimension 20 +) +optionalChildren [ +*102 (MRCItem +litem &75 +pos 0 +dimension 20 +) +*103 (MRCItem +litem &76 +pos 1 +dimension 23 +) +*104 (MRCItem +litem &77 +pos 2 +hidden 1 +dimension 20 +) +*105 (MRCItem +litem &87 +pos 1 +dimension 20 +uid 197,0 +) +*106 (MRCItem +litem &88 +pos 5 +dimension 20 +uid 199,0 +) +*107 (MRCItem +litem &89 +pos 3 +dimension 20 +uid 201,0 +) +*108 (MRCItem +litem &90 +pos 8 +dimension 20 +uid 203,0 +) +*109 (MRCItem +litem &91 +pos 10 +dimension 20 +uid 205,0 +) +*110 (MRCItem +litem &92 +pos 9 +dimension 20 +uid 207,0 +) +*111 (MRCItem +litem &93 +pos 6 +dimension 20 +uid 209,0 +) +*112 (MRCItem +litem &94 +pos 7 +dimension 20 +uid 211,0 +) +*113 (MRCItem +litem &95 +pos 4 +dimension 20 +uid 213,0 +) +*114 (MRCItem +litem &96 +pos 11 +dimension 20 +uid 215,0 +) +*115 (MRCItem +litem &97 +pos 2 +dimension 20 +uid 217,0 +) +*116 (MRCItem +litem &98 +pos 0 +dimension 20 +uid 219,0 +) +*117 (MRCItem +litem &99 +pos 12 +dimension 20 +uid 221,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +optionalChildren [ +*118 (MRCItem +litem &78 +pos 0 +dimension 20 +) +*119 (MRCItem +litem &80 +pos 1 +dimension 50 +) +*120 (MRCItem +litem &81 +pos 2 +dimension 100 +) +*121 (MRCItem +litem &82 +pos 3 +dimension 50 +) +*122 (MRCItem +litem &83 +pos 4 +dimension 100 +) +*123 (MRCItem +litem &84 +pos 5 +dimension 100 +) +*124 (MRCItem +litem &85 +pos 6 +dimension 50 +) +*125 (MRCItem +litem &86 +pos 7 +dimension 80 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +vaOverrides [ +] +) +] +) +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *126 (LEmptyRow +) +optionalChildren [ +*127 (RefLabelRowHdr +) +*128 (TitleRowHdr +) +*129 (FilterRowHdr +) +*130 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*131 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*132 (GroupColHdr +tm "GroupColHdrMgr" +) +*133 (NameColHdr +tm "GenericNameColHdrMgr" +) +*134 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*135 (InitColHdr +tm "GenericValueColHdrMgr" +) +*136 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*137 (EolColHdr +tm "GenericEolColHdrMgr" +) +*138 (LogGeneric +generic (GiElement +name "NUM_DUTS" +type "positive" +value "3" +) +uid 223,0 +) +*139 (LogGeneric +generic (GiElement +name "IPBUS_WIDTH" +type "positive" +value "32" +) +uid 225,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +optionalChildren [ +*140 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *141 (MRCItem +litem &126 +pos 3 +dimension 20 +) +optionalChildren [ +*142 (MRCItem +litem &127 +pos 0 +dimension 20 +) +*143 (MRCItem +litem &128 +pos 1 +dimension 23 +) +*144 (MRCItem +litem &129 +pos 2 +hidden 1 +dimension 20 +) +*145 (MRCItem +litem &138 +pos 0 +dimension 20 +uid 222,0 +) +*146 (MRCItem +litem &139 +pos 1 +dimension 20 +uid 224,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +optionalChildren [ +*147 (MRCItem +litem &130 +pos 0 +dimension 20 +) +*148 (MRCItem +litem &132 +pos 1 +dimension 50 +) +*149 (MRCItem +litem &133 +pos 2 +dimension 100 +) +*150 (MRCItem +litem &134 +pos 3 +dimension 100 +) +*151 (MRCItem +litem &135 +pos 4 +dimension 50 +) +*152 (MRCItem +litem &136 +pos 5 +dimension 50 +) +*153 (MRCItem +litem &137 +pos 6 +dimension 80 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +vaOverrides [ +] +) +] +) +type 1 +) +) diff --git a/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/@d@u@t@interfaces/symbol.sb b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/@d@u@t@interfaces/symbol.sb new file mode 100644 index 00000000..fd97c498 --- /dev/null +++ b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/@d@u@t@interfaces/symbol.sb @@ -0,0 +1,2488 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "work" +unitName "ipbus" +) +(DmPackageRef +library "unisim" +unitName "VComponents" +) +] +libraryRefs [ +"ieee" +"unisim" +] +) +version "25.1" +appVersion "2012.1 (Build 6)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 19,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 144,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "trigger_to_dut_p_o" +t "std_logic_vector" +b "(g_NUM_DUTS-1 DOWNTO 0)" +eolc "-- ! Trigger output" +posAdd 0 +o 16 +suid 1,0 +) +) +uid 51,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "busy_from_dut_p_i" +t "std_logic_vector" +b "(g_NUM_DUTS-1 DOWNTO 0)" +eolc "BUSY input from DUTs" +o 2 +suid 2,0 +) +) +uid 53,0 +) +*16 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "reset_or_clk_to_dut_p_o" +t "std_logic_vector" +b "(g_NUM_DUTS-1 DOWNTO 0)" +eolc "! Either reset line or trigger" +o 14 +suid 3,0 +) +) +uid 55,0 +) +*17 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "clk_from_dut_p_i" +t "std_logic_vector" +b "(g_NUM_DUTS-1 DOWNTO 0)" +eolc "clocks trigger data when in EUDET mode" +o 5 +suid 4,0 +) +) +uid 57,0 +) +*18 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "trigger_i" +t "std_logic" +eolc "-- goes high when trigger logic issues a trigger" +posAdd 0 +o 11 +suid 5,0 +) +) +uid 59,0 +) +*19 (LogPort +port (LogicalPort +decl (Decl +n "clk_4x_logic_i" +t "std_logic" +o 3 +suid 6,0 +) +) +uid 61,0 +) +*20 (LogPort +port (LogicalPort +decl (Decl +n "strobe_4x_logic_i" +t "std_logic" +eolc "! goes high every 4th clock cycle" +o 9 +suid 7,0 +) +) +uid 63,0 +) +*21 (LogPort +port (LogicalPort +decl (Decl +n "trigger_counter_i" +t "std_logic_vector" +b "(g_IPBUS_WIDTH-1 DOWNTO 0)" +o 10 +suid 8,0 +) +) +uid 65,0 +) +*22 (LogPort +port (LogicalPort +decl (Decl +n "ipbus_clk_i" +t "std_logic" +o 6 +suid 9,0 +) +) +uid 107,0 +) +*23 (LogPort +port (LogicalPort +decl (Decl +n "ipbus_reset_i" +t "std_logic" +o 8 +suid 10,0 +) +) +uid 109,0 +) +*24 (LogPort +port (LogicalPort +decl (Decl +n "ipbus_i" +t "ipb_wbus" +eolc "Signals from IPBus core to slave" +o 7 +suid 11,0 +) +) +uid 111,0 +) +*25 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "ipbus_o" +t "ipb_rbus" +prec "--shutter_i : IN std_logic; -- goes high when trigger logic issues a shutter" +eolc "signals from slave to IPBus core" +preAdd 0 +o 12 +suid 12,0 +) +) +uid 113,0 +) +*26 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "veto_o" +t "std_logic" +prec "--shutter_to_dut_n_o : OUT std_logic_vector (g_NUM_DUTS-1 DOWNTO 0); -- ! Shutter output + --shutter_to_dut_p_o : OUT std_logic_vector (g_NUM_DUTS-1 DOWNTO 0); -- ! Shutter output" +eolc "goes high when one or more DUT are busy" +preAdd 0 +o 17 +suid 13,0 +) +) +uid 115,0 +) +*27 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "trigger_to_dut_n_o" +t "std_logic_vector" +b "(g_NUM_DUTS-1 DOWNTO 0)" +eolc "! Trigger output" +o 15 +suid 14,0 +) +) +uid 341,0 +) +*28 (LogPort +port (LogicalPort +decl (Decl +n "busy_from_dut_n_i" +t "std_logic_vector" +b "(g_NUM_DUTS-1 DOWNTO 0)" +eolc "BUSY input from DUTs" +o 1 +suid 15,0 +) +) +uid 343,0 +) +*29 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "reset_or_clk_to_dut_n_o" +t "std_logic_vector" +b "(g_NUM_DUTS-1 DOWNTO 0)" +eolc "! Either reset line or trigger" +o 13 +suid 16,0 +) +) +uid 345,0 +) +*30 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "clk_from_dut_n_i" +t "std_logic_vector" +b "(g_NUM_DUTS-1 DOWNTO 0)" +eolc "clocks trigger data when in EUDET mode" +o 4 +suid 17,0 +) +) +uid 347,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 157,0 +optionalChildren [ +*31 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *32 (MRCItem +litem &1 +pos 17 +dimension 20 +) +uid 159,0 +optionalChildren [ +*33 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 160,0 +) +*34 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 161,0 +) +*35 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 162,0 +) +*36 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 52,0 +) +*37 (MRCItem +litem &15 +pos 2 +dimension 20 +uid 54,0 +) +*38 (MRCItem +litem &16 +pos 4 +dimension 20 +uid 56,0 +) +*39 (MRCItem +litem &17 +pos 6 +dimension 20 +uid 58,0 +) +*40 (MRCItem +litem &18 +pos 8 +dimension 20 +uid 60,0 +) +*41 (MRCItem +litem &19 +pos 9 +dimension 20 +uid 62,0 +) +*42 (MRCItem +litem &20 +pos 10 +dimension 20 +uid 64,0 +) +*43 (MRCItem +litem &21 +pos 11 +dimension 20 +uid 66,0 +) +*44 (MRCItem +litem &22 +pos 12 +dimension 20 +uid 108,0 +) +*45 (MRCItem +litem &23 +pos 13 +dimension 20 +uid 110,0 +) +*46 (MRCItem +litem &24 +pos 14 +dimension 20 +uid 112,0 +) +*47 (MRCItem +litem &25 +pos 15 +dimension 20 +uid 114,0 +) +*48 (MRCItem +litem &26 +pos 16 +dimension 20 +uid 116,0 +) +*49 (MRCItem +litem &27 +pos 1 +dimension 20 +uid 342,0 +) +*50 (MRCItem +litem &28 +pos 3 +dimension 20 +uid 344,0 +) +*51 (MRCItem +litem &29 +pos 5 +dimension 20 +uid 346,0 +) +*52 (MRCItem +litem &30 +pos 7 +dimension 20 +uid 348,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 163,0 +optionalChildren [ +*53 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 164,0 +) +*54 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 165,0 +) +*55 (MRCItem +litem &8 +pos 2 +dimension 164 +uid 166,0 +) +*56 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 167,0 +) +*57 (MRCItem +litem &10 +pos 4 +dimension 203 +uid 168,0 +) +*58 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 169,0 +) +*59 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 170,0 +) +*60 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 171,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 158,0 +vaOverrides [ +] +) +] +) +uid 143,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *61 (LEmptyRow +) +uid 173,0 +optionalChildren [ +*62 (RefLabelRowHdr +) +*63 (TitleRowHdr +) +*64 (FilterRowHdr +) +*65 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*66 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*67 (GroupColHdr +tm "GroupColHdrMgr" +) +*68 (NameColHdr +tm "GenericNameColHdrMgr" +) +*69 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*70 (InitColHdr +tm "GenericValueColHdrMgr" +) +*71 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*72 (EolColHdr +tm "GenericEolColHdrMgr" +) +*73 (LogGeneric +generic (GiElement +name "g_NUM_DUTS" +type "positive" +value "3" +) +uid 433,0 +) +*74 (LogGeneric +generic (GiElement +name "g_IPBUS_WIDTH" +type "positive" +value "32" +) +uid 435,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 185,0 +optionalChildren [ +*75 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *76 (MRCItem +litem &61 +pos 2 +dimension 20 +) +uid 187,0 +optionalChildren [ +*77 (MRCItem +litem &62 +pos 0 +dimension 20 +uid 188,0 +) +*78 (MRCItem +litem &63 +pos 1 +dimension 23 +uid 189,0 +) +*79 (MRCItem +litem &64 +pos 2 +hidden 1 +dimension 20 +uid 190,0 +) +*80 (MRCItem +litem &73 +pos 0 +dimension 20 +uid 434,0 +) +*81 (MRCItem +litem &74 +pos 1 +dimension 20 +uid 436,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 191,0 +optionalChildren [ +*82 (MRCItem +litem &65 +pos 0 +dimension 20 +uid 192,0 +) +*83 (MRCItem +litem &67 +pos 1 +dimension 50 +uid 193,0 +) +*84 (MRCItem +litem &68 +pos 2 +dimension 100 +uid 194,0 +) +*85 (MRCItem +litem &69 +pos 3 +dimension 100 +uid 195,0 +) +*86 (MRCItem +litem &70 +pos 4 +dimension 50 +uid 196,0 +) +*87 (MRCItem +litem &71 +pos 5 +dimension 50 +uid 197,0 +) +*88 (MRCItem +litem &72 +pos 6 +dimension 80 +uid 198,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 186,0 +vaOverrides [ +] +) +] +) +uid 172,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl" +) +(vvPair +variable "HDSDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/@d@u@t@interfaces/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/@d@u@t@interfaces/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "config" +value "%(unit)_config" +) +(vvPair +variable "d" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/@d@u@t@interfaces" +) +(vvPair +variable "d_logical" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/DUTInterfaces" +) +(vvPair +variable "date" +value "07/23/13" +) +(vvPair +variable "day" +value "Tue" +) +(vvPair +variable "day_long" +value "Tuesday" +) +(vvPair +variable "dd" +value "23" +) +(vvPair +variable "entity_name" +value "DUTInterfaces" +) +(vvPair +variable "ext" +value "<TBD>" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "group" +value "users" +) +(vvPair +variable "host" +value "fortis.phy.bris.ac.uk" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "work" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/designcheck" +) +(vvPair +variable "library_downstream_ISEPARInvoke" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/ise" +) +(vvPair +variable "library_downstream_ImpactInvoke" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/ise" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/work" +) +(vvPair +variable "library_downstream_XSTDataPrep" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/ise" +) +(vvPair +variable "mm" +value "07" +) +(vvPair +variable "module_name" +value "DUTInterfaces" +) +(vvPair +variable "month" +value "Jul" +) +(vvPair +variable "month_long" +value "July" +) +(vvPair +variable "p" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/@d@u@t@interfaces/symbol.sb" +) +(vvPair +variable "p_logical" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/DUTInterfaces/symbol.sb" +) +(vvPair +variable "package_name" +value "<Undefined Variable>" +) +(vvPair +variable "project_name" +value "fmc_mTLU" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "<TBD>" +) +(vvPair +variable "task_DesignCompilerPath" +value "<TBD>" +) +(vvPair +variable "task_LeonardoPath" +value "/opt/CAD/Mentor/LeonardoSpectrum_2008a/bin" +) +(vvPair +variable "task_ModelSimPath" +value "/opt/CAD/Mentor/Modelsim/6.4a/modeltech/bin" +) +(vvPair +variable "task_NC-SimPath" +value "<TBD>" +) +(vvPair +variable "task_PrecisionRTLPath" +value "/opt/CAD/Mentor/Precis_Synth_2009a_update2/Mgc_home/bin" +) +(vvPair +variable "task_QuestaSimPath" +value "/opt/CAD/Mentor/HDS2008.1b/questasim/bin" +) +(vvPair +variable "task_VCSPath" +value "<TBD>" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "16:34:25" +) +(vvPair +variable "unit" +value "DUTInterfaces" +) +(vvPair +variable "user" +value "phdgc" +) +(vvPair +variable "version" +value "2012.1 (Build 6)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2013" +) +(vvPair +variable "yy" +value "13" +) +] +) +LanguageMgr "VhdlLangMgr" +uid 142,0 +optionalChildren [ +*89 (SymbolBody +uid 8,0 +optionalChildren [ +*90 (CptPort +uid 67,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 68,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "59000,18625,59750,19375" +) +tg (CPTG +uid 69,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 70,0 +va (VaSet +font "courier,8,0" +) +xt "39000,18550,58000,19450" +st "trigger_to_dut_p_o : (g_NUM_DUTS-1:0)" +ju 2 +blo "58000,19250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 71,0 +va (VaSet +font "courier,8,0" +) +xt "44000,16200,92000,17100" +st "trigger_to_dut_p_o : OUT std_logic_vector (g_NUM_DUTS-1 DOWNTO 0) ; -- ! Trigger output +" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "trigger_to_dut_p_o" +t "std_logic_vector" +b "(g_NUM_DUTS-1 DOWNTO 0)" +eolc "-- ! Trigger output" +posAdd 0 +o 16 +suid 1,0 +) +) +) +*91 (CptPort +uid 72,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 73,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "13250,17625,14000,18375" +) +tg (CPTG +uid 74,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 75,0 +va (VaSet +font "courier,8,0" +) +xt "15000,17550,33500,18450" +st "busy_from_dut_p_i : (g_NUM_DUTS-1:0)" +blo "15000,18250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 76,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2700,94000,3600" +st "busy_from_dut_p_i : IN std_logic_vector (g_NUM_DUTS-1 DOWNTO 0) ; -- BUSY input from DUTs +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "busy_from_dut_p_i" +t "std_logic_vector" +b "(g_NUM_DUTS-1 DOWNTO 0)" +eolc "BUSY input from DUTs" +o 2 +suid 2,0 +) +) +) +*92 (CptPort +uid 77,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 78,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "59000,25625,59750,26375" +) +tg (CPTG +uid 79,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 80,0 +va (VaSet +font "courier,8,0" +) +xt "36500,25550,58000,26450" +st "reset_or_clk_to_dut_p_o : (g_NUM_DUTS-1:0)" +ju 2 +blo "58000,26250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 81,0 +va (VaSet +font "courier,8,0" +) +xt "44000,14400,99000,15300" +st "reset_or_clk_to_dut_p_o : OUT std_logic_vector (g_NUM_DUTS-1 DOWNTO 0) ; -- ! Either reset line or trigger +" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "reset_or_clk_to_dut_p_o" +t "std_logic_vector" +b "(g_NUM_DUTS-1 DOWNTO 0)" +eolc "! Either reset line or trigger" +o 14 +suid 3,0 +) +) +) +*93 (CptPort +uid 82,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 83,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "13250,21625,14000,22375" +) +tg (CPTG +uid 84,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 85,0 +va (VaSet +font "courier,8,0" +) +xt "15000,21550,33000,22450" +st "clk_from_dut_p_i : (g_NUM_DUTS-1:0)" +blo "15000,22250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 86,0 +va (VaSet +font "courier,8,0" +) +xt "44000,5400,103000,6300" +st "clk_from_dut_p_i : IN std_logic_vector (g_NUM_DUTS-1 DOWNTO 0) ; -- clocks trigger data when in EUDET mode +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "clk_from_dut_p_i" +t "std_logic_vector" +b "(g_NUM_DUTS-1 DOWNTO 0)" +eolc "clocks trigger data when in EUDET mode" +o 5 +suid 4,0 +) +) +) +*94 (CptPort +uid 87,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 88,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "13250,25625,14000,26375" +) +tg (CPTG +uid 89,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 90,0 +va (VaSet +font "courier,8,0" +) +xt "15000,25550,19500,26450" +st "trigger_i" +blo "15000,26250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 91,0 +va (VaSet +font "courier,8,0" +) +xt "44000,10800,91500,11700" +st "trigger_i : IN std_logic ; -- goes high when trigger logic issues a trigger +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "trigger_i" +t "std_logic" +eolc "-- goes high when trigger logic issues a trigger" +posAdd 0 +o 11 +suid 5,0 +) +) +) +*95 (CptPort +uid 92,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 93,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "13250,27625,14000,28375" +) +tg (CPTG +uid 94,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 95,0 +va (VaSet +font "courier,8,0" +) +xt "15000,27550,22500,28450" +st "clk_4x_logic_i" +blo "15000,28250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 96,0 +va (VaSet +font "courier,8,0" +) +xt "44000,3600,67000,4500" +st "clk_4x_logic_i : IN std_logic ; +" +) +thePort (LogicalPort +decl (Decl +n "clk_4x_logic_i" +t "std_logic" +o 3 +suid 6,0 +) +) +) +*96 (CptPort +uid 97,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 98,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "13250,29625,14000,30375" +) +tg (CPTG +uid 99,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 100,0 +va (VaSet +font "courier,8,0" +) +xt "15000,29550,24000,30450" +st "strobe_4x_logic_i" +blo "15000,30250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 101,0 +va (VaSet +font "courier,8,0" +) +xt "44000,9000,85500,9900" +st "strobe_4x_logic_i : IN std_logic ; -- ! goes high every 4th clock cycle +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "strobe_4x_logic_i" +t "std_logic" +eolc "! goes high every 4th clock cycle" +o 9 +suid 7,0 +) +) +) +*97 (CptPort +uid 102,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 103,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "13250,31625,14000,32375" +) +tg (CPTG +uid 104,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 105,0 +va (VaSet +font "courier,8,0" +) +xt "15000,31550,35000,32450" +st "trigger_counter_i : (g_IPBUS_WIDTH-1:0)" +blo "15000,32250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 106,0 +va (VaSet +font "courier,8,0" +) +xt "44000,9900,83500,10800" +st "trigger_counter_i : IN std_logic_vector (g_IPBUS_WIDTH-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +decl (Decl +n "trigger_counter_i" +t "std_logic_vector" +b "(g_IPBUS_WIDTH-1 DOWNTO 0)" +o 10 +suid 8,0 +) +) +) +*98 (CptPort +uid 117,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 118,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "13250,33625,14000,34375" +) +tg (CPTG +uid 119,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 120,0 +va (VaSet +font "courier,8,0" +) +xt "15000,33550,21000,34450" +st "ipbus_clk_i" +blo "15000,34250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 121,0 +va (VaSet +font "courier,8,0" +) +xt "44000,6300,67000,7200" +st "ipbus_clk_i : IN std_logic ; +" +) +thePort (LogicalPort +decl (Decl +n "ipbus_clk_i" +t "std_logic" +o 6 +suid 9,0 +) +) +) +*99 (CptPort +uid 122,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 123,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "13250,35625,14000,36375" +) +tg (CPTG +uid 124,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 125,0 +va (VaSet +font "courier,8,0" +) +xt "15000,35550,22000,36450" +st "ipbus_reset_i" +blo "15000,36250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 126,0 +va (VaSet +font "courier,8,0" +) +xt "44000,8100,67000,9000" +st "ipbus_reset_i : IN std_logic ; +" +) +thePort (LogicalPort +decl (Decl +n "ipbus_reset_i" +t "std_logic" +o 8 +suid 10,0 +) +) +) +*100 (CptPort +uid 127,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 128,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "13250,37625,14000,38375" +) +tg (CPTG +uid 129,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 130,0 +va (VaSet +font "courier,8,0" +) +xt "15000,37550,18500,38450" +st "ipbus_i" +blo "15000,38250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 131,0 +va (VaSet +font "courier,8,0" +) +xt "44000,7200,84500,8100" +st "ipbus_i : IN ipb_wbus ; -- Signals from IPBus core to slave +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "ipbus_i" +t "ipb_wbus" +eolc "Signals from IPBus core to slave" +o 7 +suid 11,0 +) +) +) +*101 (CptPort +uid 132,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 133,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "59000,32625,59750,33375" +) +tg (CPTG +uid 134,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 135,0 +va (VaSet +font "courier,8,0" +) +xt "54500,32550,58000,33450" +st "ipbus_o" +ju 2 +blo "58000,33250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 136,0 +va (VaSet +font "courier,8,0" +) +xt "44000,11700,109000,13500" +st "--shutter_i : IN std_logic; -- goes high when trigger logic issues a shutter +ipbus_o : OUT ipb_rbus ; -- signals from slave to IPBus core +" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "ipbus_o" +t "ipb_rbus" +prec "--shutter_i : IN std_logic; -- goes high when trigger logic issues a shutter" +eolc "signals from slave to IPBus core" +preAdd 0 +o 12 +suid 12,0 +) +) +) +*102 (CptPort +uid 137,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 138,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "59000,36625,59750,37375" +) +tg (CPTG +uid 139,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 140,0 +va (VaSet +font "courier,8,0" +) +xt "55000,36550,58000,37450" +st "veto_o" +ju 2 +blo "58000,37250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 141,0 +va (VaSet +font "courier,8,0" +) +xt "44000,17100,95500,19800" +st "--shutter_to_dut_n_o : OUT std_logic_vector (g_NUM_DUTS-1 DOWNTO 0); -- ! Shutter output + --shutter_to_dut_p_o : OUT std_logic_vector (g_NUM_DUTS-1 DOWNTO 0); -- ! Shutter output +veto_o : OUT std_logic -- goes high when one or more DUT are busy +" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "veto_o" +t "std_logic" +prec "--shutter_to_dut_n_o : OUT std_logic_vector (g_NUM_DUTS-1 DOWNTO 0); -- ! Shutter output + --shutter_to_dut_p_o : OUT std_logic_vector (g_NUM_DUTS-1 DOWNTO 0); -- ! Shutter output" +eolc "goes high when one or more DUT are busy" +preAdd 0 +o 17 +suid 13,0 +) +) +) +*103 (CptPort +uid 349,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 350,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "59000,20625,59750,21375" +) +tg (CPTG +uid 351,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 352,0 +va (VaSet +font "courier,8,0" +) +xt "39000,20550,58000,21450" +st "trigger_to_dut_n_o : (g_NUM_DUTS-1:0)" +ju 2 +blo "58000,21250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 353,0 +va (VaSet +font "courier,8,0" +) +xt "44000,15300,92000,16200" +st "trigger_to_dut_n_o : OUT std_logic_vector (g_NUM_DUTS-1 DOWNTO 0) ; -- ! Trigger output +" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "trigger_to_dut_n_o" +t "std_logic_vector" +b "(g_NUM_DUTS-1 DOWNTO 0)" +eolc "! Trigger output" +o 15 +suid 14,0 +) +) +) +*104 (CptPort +uid 354,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 355,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "13250,19625,14000,20375" +) +tg (CPTG +uid 356,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 357,0 +va (VaSet +font "courier,8,0" +) +xt "15000,19550,33500,20450" +st "busy_from_dut_n_i : (g_NUM_DUTS-1:0)" +blo "15000,20250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 358,0 +va (VaSet +font "courier,8,0" +) +xt "44000,1800,94000,2700" +st "busy_from_dut_n_i : IN std_logic_vector (g_NUM_DUTS-1 DOWNTO 0) ; -- BUSY input from DUTs +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "busy_from_dut_n_i" +t "std_logic_vector" +b "(g_NUM_DUTS-1 DOWNTO 0)" +eolc "BUSY input from DUTs" +o 1 +suid 15,0 +) +) +) +*105 (CptPort +uid 359,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 360,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "59000,27625,59750,28375" +) +tg (CPTG +uid 361,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 362,0 +va (VaSet +font "courier,8,0" +) +xt "36500,27550,58000,28450" +st "reset_or_clk_to_dut_n_o : (g_NUM_DUTS-1:0)" +ju 2 +blo "58000,28250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 363,0 +va (VaSet +font "courier,8,0" +) +xt "44000,13500,99000,14400" +st "reset_or_clk_to_dut_n_o : OUT std_logic_vector (g_NUM_DUTS-1 DOWNTO 0) ; -- ! Either reset line or trigger +" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "reset_or_clk_to_dut_n_o" +t "std_logic_vector" +b "(g_NUM_DUTS-1 DOWNTO 0)" +eolc "! Either reset line or trigger" +o 13 +suid 16,0 +) +) +) +*106 (CptPort +uid 364,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 365,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "13250,23625,14000,24375" +) +tg (CPTG +uid 366,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 367,0 +va (VaSet +font "courier,8,0" +) +xt "15000,23550,33000,24450" +st "clk_from_dut_n_i : (g_NUM_DUTS-1:0)" +blo "15000,24250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 368,0 +va (VaSet +font "courier,8,0" +) +xt "44000,4500,103000,5400" +st "clk_from_dut_n_i : IN std_logic_vector (g_NUM_DUTS-1 DOWNTO 0) ; -- clocks trigger data when in EUDET mode +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "clk_from_dut_n_i" +t "std_logic_vector" +b "(g_NUM_DUTS-1 DOWNTO 0)" +eolc "clocks trigger data when in EUDET mode" +o 4 +suid 17,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "14000,17000,59000,40000" +) +oxt "14000,17000,57000,37000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "23750,26100,25750,27000" +st "work" +blo "23750,26800" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "23750,27000,30750,27900" +st "DUTInterfaces" +blo "23750,27700" +) +) +gi *107 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "22000,13400,36000,17000" +st "Generic Declarations + +g_NUM_DUTS positive 3 +g_IPBUS_WIDTH positive 32 +" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "g_NUM_DUTS" +type "positive" +value "3" +) +(GiElement +name "g_IPBUS_WIDTH" +type "positive" +value "32" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +*108 (Grouping +uid 16,0 +optionalChildren [ +*109 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,50000,49000,51000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "32200,50050,44200,50950" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*110 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "49000,46000,53000,47000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "49200,46050,53200,46950" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*111 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,49000,49000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "32200,48050,45700,48950" +st " +<enter diagram title here> +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*112 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "28000,48000,32000,49000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "28200,48050,31200,48950" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*113 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "49000,47000,69000,51000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "49200,47200,60200,48100" +st " +<enter comments here> +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*114 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,46000,69000,47000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "53200,46050,57200,46950" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*115 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "28000,46000,49000,48000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "34000,46500,43000,47500" +st " +<company name> +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*116 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "28000,49000,32000,50000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "28200,49050,30700,49950" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*117 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "28000,50000,32000,51000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "28200,50050,31700,50950" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*118 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,49000,49000,50000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "32200,49050,45200,49950" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "28000,46000,69000,51000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *119 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*120 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,6500,900" +st "Package List" +blo "0,700" +) +*121 (MLText +uid 50,0 +va (VaSet +font "courier,8,0" +) +xt "0,900,14500,8100" +st "LIBRARY ieee; +USE ieee.std_logic_1164.all; +USE ieee.numeric_std.all; + +USE work.ipbus.all; + +library unisim; +use unisim.VComponents.all;" +tm "PackageList" +) +] +) +windowSize "2478,409,3493,1099" +viewArea "-11316,-11640,26700,12024" +cachedDiagramExtent "0,0,103000,51000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,4000,1900" +st "Panel0" +blo "1000,1700" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,39000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "24750,15100,29250,16000" +st "<library>" +blo "24750,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "24750,16000,27750,16900" +st "<cell>" +blo "24750,16700" +) +) +gi *122 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *123 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "42000,0,48500,900" +st "Declarations" +blo "42000,700" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "42000,900,45000,1800" +st "Ports:" +blo "42000,1600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "42000,19800,44500,20700" +st "User:" +blo "42000,20500" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "42000,0,49500,900" +st "Internal User:" +blo "42000,700" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "44000,20700,44000,20700" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 436,0 +activeModelName "Symbol" +) diff --git a/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/@i@p@bus@interface/_symbol.sb._fpf b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/@i@p@bus@interface/_symbol.sb._fpf new file mode 100755 index 00000000..e0bac7fb --- /dev/null +++ b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/@i@p@bus@interface/_symbol.sb._fpf @@ -0,0 +1,3 @@ +INCLUDE list { + DEFAULT atom 1 +} diff --git a/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/@i@p@bus@interface/rtl.bd b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/@i@p@bus@interface/rtl.bd new file mode 100644 index 00000000..dd3880ce --- /dev/null +++ b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/@i@p@bus@interface/rtl.bd @@ -0,0 +1,10323 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dmPackageRefs [ +] +instances [ +(Instance +name "clocks" +duLibraryName "fmc_mTLU_lib" +duName "clocks_s6_extphy" +elements [ +] +mwi 0 +uid 371,0 +) +(Instance +name "eth" +duLibraryName "fmc_mTLU_lib" +duName "eth_s6_gmii" +elements [ +(GiElement +name "IODEL" +type "integer" +value "10" +) +] +mwi 0 +uid 554,0 +) +(Instance +name "ipbus" +duLibraryName "fmc_mTLU_lib" +duName "ipbus_ctrl_udponly" +elements [ +] +mwi 0 +uid 831,0 +) +(Instance +name "fabric" +duLibraryName "fmc_mTLU_lib" +duName "ipbus_fabric" +elements [ +(GiElement +name "NSLV" +type "positive" +value "NUM_SLAVES" +) +(GiElement +name "STROBE_GAP" +type "boolean" +value "false" +) +] +mwi 0 +uid 1028,0 +) +(Instance +name "hostbus_interface" +duLibraryName "fmc_mTLU_lib" +duName "ipbus_emac_hostbus" +elements [ +] +mwi 0 +uid 1122,0 +) +] +embeddedInstances [ +(EmbeddedInstance +name "eb1" +number "1" +) +(EmbeddedInstance +name "eb2" +number "2" +) +(EmbeddedInstance +name "eb3" +number "3" +) +(EmbeddedInstance +name "eb4" +number "4" +) +(EmbeddedInstance +name "eb5" +number "5" +) +(EmbeddedInstance +name "eb6" +number "6" +) +] +properties [ +(HdrProperty +class "HDS" +name "DocView" +value "IPBusInterface_rtl.vhd" +) +(HdrProperty +class "HDS" +name "DocViewState" +value "1352817615" +) +] +) +version "30.1" +appVersion "2010.3 (Build 21)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl" +) +(vvPair +variable "HDSDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/@i@p@bus@interface/rtl.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/@i@p@bus@interface/rtl.bd.user" +) +(vvPair +variable "SourceDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "rtl" +) +(vvPair +variable "config" +value "%(unit)_config" +) +(vvPair +variable "d" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/@i@p@bus@interface" +) +(vvPair +variable "d_logical" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/IPBusInterface" +) +(vvPair +variable "date" +value "11/13/12" +) +(vvPair +variable "day" +value "Tue" +) +(vvPair +variable "day_long" +value "Tuesday" +) +(vvPair +variable "dd" +value "13" +) +(vvPair +variable "entity_name" +value "IPBusInterface" +) +(vvPair +variable "ext" +value "<TBD>" +) +(vvPair +variable "f" +value "rtl.bd" +) +(vvPair +variable "f_logical" +value "rtl.bd" +) +(vvPair +variable "f_noext" +value "rtl" +) +(vvPair +variable "group" +value "HEP_Instrumentation" +) +(vvPair +variable "host" +value "kipper.phy.bris.ac.uk" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "fmc_mTLU_lib" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/designcheck" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "IPBusInterface" +) +(vvPair +variable "month" +value "Nov" +) +(vvPair +variable "month_long" +value "November" +) +(vvPair +variable "p" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/@i@p@bus@interface/rtl.bd" +) +(vvPair +variable "p_logical" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/IPBusInterface/rtl.bd" +) +(vvPair +variable "package_name" +value "<Undefined Variable>" +) +(vvPair +variable "project_name" +value "fmc_mTLU" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "<TBD>" +) +(vvPair +variable "task_DesignCompilerPath" +value "<TBD>" +) +(vvPair +variable "task_LeonardoPath" +value "/opt/CAD/Mentor/LeonardoSpectrum_2008a/bin" +) +(vvPair +variable "task_ModelSimPath" +value "/opt/CAD/Mentor/Modelsim/6.4a/modeltech/bin" +) +(vvPair +variable "task_NC-SimPath" +value "<TBD>" +) +(vvPair +variable "task_PrecisionRTLPath" +value "/opt/CAD/Mentor/Precis_Synth_2009a_update2/Mgc_home/bin" +) +(vvPair +variable "task_QuestaSimPath" +value "/opt/CAD/Mentor/HDS2008.1b/questasim/bin" +) +(vvPair +variable "task_VCSPath" +value "<TBD>" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "rtl" +) +(vvPair +variable "this_file_logical" +value "rtl" +) +(vvPair +variable "time" +value "16:59:33" +) +(vvPair +variable "unit" +value "IPBusInterface" +) +(vvPair +variable "user" +value "phdgc" +) +(vvPair +variable "version" +value "2010.3 (Build 21)" +) +(vvPair +variable "view" +value "rtl" +) +(vvPair +variable "year" +value "2012" +) +(vvPair +variable "yy" +value "12" +) +] +) +LanguageMgr "VhdlLangMgr" +optionalChildren [ +*1 (Net +uid 9,0 +lang 11 +decl (Decl +n "clk125" +t "STD_LOGIC" +o 21 +suid 1,0 +) +declText (MLText +uid 10,0 +va (VaSet +font "courier,8,0" +) +xt "20000,83200,37500,84100" +st "SIGNAL clk125 : STD_LOGIC +" +) +) +*2 (Net +uid 11,0 +lang 11 +decl (Decl +n "clk_fast" +t "STD_LOGIC" +o 22 +suid 2,0 +) +declText (MLText +uid 12,0 +va (VaSet +font "courier,8,0" +) +xt "20000,84100,37500,85000" +st "SIGNAL clk_fast : STD_LOGIC +" +) +) +*3 (Net +uid 13,0 +lang 11 +decl (Decl +n "ipb_clk" +t "STD_LOGIC" +o 23 +suid 3,0 +) +declText (MLText +uid 14,0 +va (VaSet +font "courier,8,0" +) +xt "20000,85000,37500,85900" +st "SIGNAL ipb_clk : STD_LOGIC +" +) +) +*4 (Net +uid 17,0 +lang 11 +decl (Decl +n "rst_125" +t "STD_LOGIC" +o 24 +suid 5,0 +) +declText (MLText +uid 18,0 +va (VaSet +font "courier,8,0" +) +xt "20000,85900,37500,86800" +st "SIGNAL rst_125 : STD_LOGIC +" +) +) +*5 (Net +uid 19,0 +lang 11 +decl (Decl +n "rst_ipb" +t "STD_LOGIC" +o 25 +suid 6,0 +) +declText (MLText +uid 20,0 +va (VaSet +font "courier,8,0" +) +xt "20000,86800,37500,87700" +st "SIGNAL rst_ipb : STD_LOGIC +" +) +) +*6 (Net +uid 21,0 +lang 11 +decl (Decl +n "mac_txd" +t "STD_LOGIC_VECTOR" +b "(7 downto 0)" +o 26 +suid 7,0 +) +declText (MLText +uid 22,0 +va (VaSet +font "courier,8,0" +) +xt "20000,87700,47000,88600" +st "SIGNAL mac_txd : STD_LOGIC_VECTOR(7 downto 0) +" +) +) +*7 (Net +uid 23,0 +lang 11 +decl (Decl +n "mac_rxd" +t "STD_LOGIC_VECTOR" +b "(7 downto 0)" +o 27 +suid 8,0 +) +declText (MLText +uid 24,0 +va (VaSet +font "courier,8,0" +) +xt "20000,88600,47000,89500" +st "SIGNAL mac_rxd : STD_LOGIC_VECTOR(7 downto 0) +" +) +) +*8 (Net +uid 25,0 +lang 11 +decl (Decl +n "mac_txdvld" +t "STD_LOGIC" +o 28 +suid 9,0 +) +declText (MLText +uid 26,0 +va (VaSet +font "courier,8,0" +) +xt "20000,89500,37500,90400" +st "SIGNAL mac_txdvld : STD_LOGIC +" +) +) +*9 (Net +uid 27,0 +lang 11 +decl (Decl +n "mac_txack" +t "STD_LOGIC" +o 29 +suid 10,0 +) +declText (MLText +uid 28,0 +va (VaSet +font "courier,8,0" +) +xt "20000,90400,37500,91300" +st "SIGNAL mac_txack : STD_LOGIC +" +) +) +*10 (Net +uid 29,0 +lang 11 +decl (Decl +n "mac_rxclko" +t "STD_LOGIC" +o 30 +suid 11,0 +) +declText (MLText +uid 30,0 +va (VaSet +font "courier,8,0" +) +xt "20000,91300,37500,92200" +st "SIGNAL mac_rxclko : STD_LOGIC +" +) +) +*11 (Net +uid 31,0 +lang 11 +decl (Decl +n "mac_rxdvld" +t "STD_LOGIC" +o 31 +suid 12,0 +) +declText (MLText +uid 32,0 +va (VaSet +font "courier,8,0" +) +xt "20000,92200,37500,93100" +st "SIGNAL mac_rxdvld : STD_LOGIC +" +) +) +*12 (Net +uid 33,0 +lang 11 +decl (Decl +n "mac_rxgoodframe" +t "STD_LOGIC" +o 32 +suid 13,0 +) +declText (MLText +uid 34,0 +va (VaSet +font "courier,8,0" +) +xt "20000,93100,37500,94000" +st "SIGNAL mac_rxgoodframe : STD_LOGIC +" +) +) +*13 (Net +uid 35,0 +lang 11 +decl (Decl +n "mac_rxbadframe" +t "STD_LOGIC" +o 33 +suid 14,0 +) +declText (MLText +uid 36,0 +va (VaSet +font "courier,8,0" +) +xt "20000,94000,37500,94900" +st "SIGNAL mac_rxbadframe : STD_LOGIC +" +) +) +*14 (Net +uid 37,0 +lang 11 +decl (Decl +n "ipb_master_out" +t "ipb_wbus" +o 34 +suid 15,0 +) +declText (MLText +uid 38,0 +va (VaSet +font "courier,8,0" +) +xt "20000,94900,37000,95800" +st "SIGNAL ipb_master_out : ipb_wbus +" +) +) +*15 (Net +uid 39,0 +lang 11 +decl (Decl +n "ipb_master_in" +t "ipb_rbus" +o 35 +suid 16,0 +) +declText (MLText +uid 40,0 +va (VaSet +font "courier,8,0" +) +xt "20000,95800,37000,96700" +st "SIGNAL ipb_master_in : ipb_rbus +" +) +) +*16 (Net +uid 41,0 +lang 11 +decl (Decl +n "mac_addr" +t "std_logic_vector" +b "(47 downto 0)" +o 36 +suid 17,0 +) +declText (MLText +uid 42,0 +va (VaSet +font "courier,8,0" +) +xt "20000,96700,47500,97600" +st "SIGNAL mac_addr : std_logic_vector(47 downto 0) +" +) +) +*17 (Net +uid 43,0 +lang 11 +decl (Decl +n "ip_addr" +t "std_logic_vector" +b "(31 downto 0)" +o 37 +suid 18,0 +) +declText (MLText +uid 44,0 +va (VaSet +font "courier,8,0" +) +xt "20000,97600,47500,98500" +st "SIGNAL ip_addr : std_logic_vector(31 downto 0) +" +) +) +*18 (Net +uid 47,0 +lang 11 +decl (Decl +n "hostbus_in" +t "emac_hostbus_in" +o 38 +suid 20,0 +) +declText (MLText +uid 48,0 +va (VaSet +font "courier,8,0" +) +xt "20000,98500,40500,99400" +st "SIGNAL hostbus_in : emac_hostbus_in +" +) +) +*19 (Net +uid 49,0 +lang 11 +decl (Decl +n "hostbus_out" +t "emac_hostbus_out" +o 39 +suid 21,0 +) +declText (MLText +uid 50,0 +va (VaSet +font "courier,8,0" +) +xt "20000,99400,41000,100300" +st "SIGNAL hostbus_out : emac_hostbus_out +" +) +) +*20 (Net +uid 51,0 +lang 11 +decl (Decl +n "s_ipbw_internal" +t "ipb_wbus_array" +b "(NUM_SLAVES-1 DOWNTO 0)" +o 40 +suid 22,0 +) +declText (MLText +uid 52,0 +va (VaSet +font "courier,8,0" +) +xt "20000,100300,51500,101200" +st "SIGNAL s_ipbw_internal : ipb_wbus_array(NUM_SLAVES-1 DOWNTO 0) +" +) +) +*21 (Net +uid 53,0 +lang 11 +decl (Decl +n "s_ipbr_internal" +t "ipb_rbus_array" +b "(NUM_SLAVES-1 DOWNTO 0)" +o 41 +suid 23,0 +) +declText (MLText +uid 54,0 +va (VaSet +font "courier,8,0" +) +xt "20000,101200,51500,102100" +st "SIGNAL s_ipbr_internal : ipb_rbus_array(NUM_SLAVES-1 DOWNTO 0) +" +) +) +*22 (PortIoIn +uid 55,0 +shape (CompositeShape +uid 56,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 57,0 +sl 0 +ro 270 +xt "29000,16625,30500,17375" +) +(Line +uid 58,0 +sl 0 +ro 270 +xt "30500,17000,31000,17000" +pts [ +"30500,17000" +"31000,17000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 59,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 60,0 +va (VaSet +font "courier,8,0" +) +xt "21000,16500,28000,17400" +st "gmii_rx_clk_i" +ju 2 +blo "28000,17200" +tm "WireNameMgr" +) +) +) +*23 (Net +uid 61,0 +decl (Decl +n "gmii_rx_clk_i" +t "std_logic" +o 1 +suid 24,0 +) +declText (MLText +uid 62,0 +va (VaSet +font "courier,8,0" +) +xt "20000,59800,34000,60700" +st "gmii_rx_clk_i : std_logic +" +) +) +*24 (PortIoIn +uid 69,0 +shape (CompositeShape +uid 70,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 71,0 +sl 0 +ro 270 +xt "29000,17625,30500,18375" +) +(Line +uid 72,0 +sl 0 +ro 270 +xt "30500,18000,31000,18000" +pts [ +"30500,18000" +"31000,18000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 73,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 74,0 +va (VaSet +font "courier,8,0" +) +xt "21500,17500,28000,18400" +st "gmii_rx_dv_i" +ju 2 +blo "28000,18200" +tm "WireNameMgr" +) +) +) +*25 (Net +uid 75,0 +decl (Decl +n "gmii_rx_dv_i" +t "std_logic" +o 2 +suid 25,0 +) +declText (MLText +uid 76,0 +va (VaSet +font "courier,8,0" +) +xt "20000,60700,34000,61600" +st "gmii_rx_dv_i : std_logic +" +) +) +*26 (PortIoIn +uid 83,0 +shape (CompositeShape +uid 84,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 85,0 +sl 0 +ro 270 +xt "29000,18625,30500,19375" +) +(Line +uid 86,0 +sl 0 +ro 270 +xt "30500,19000,31000,19000" +pts [ +"30500,19000" +"31000,19000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 87,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 88,0 +va (VaSet +font "courier,8,0" +) +xt "21500,18500,28000,19400" +st "gmii_rx_er_i" +ju 2 +blo "28000,19200" +tm "WireNameMgr" +) +) +) +*27 (Net +uid 89,0 +decl (Decl +n "gmii_rx_er_i" +t "std_logic" +o 3 +suid 26,0 +) +declText (MLText +uid 90,0 +va (VaSet +font "courier,8,0" +) +xt "20000,61600,34000,62500" +st "gmii_rx_er_i : std_logic +" +) +) +*28 (PortIoIn +uid 97,0 +shape (CompositeShape +uid 98,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 99,0 +sl 0 +ro 270 +xt "29000,19625,30500,20375" +) +(Line +uid 100,0 +sl 0 +ro 270 +xt "30500,20000,31000,20000" +pts [ +"30500,20000" +"31000,20000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 101,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 102,0 +va (VaSet +font "courier,8,0" +) +xt "22500,19500,28000,20400" +st "gmii_rxd_i" +ju 2 +blo "28000,20200" +tm "WireNameMgr" +) +) +) +*29 (Net +uid 103,0 +decl (Decl +n "gmii_rxd_i" +t "std_logic_vector" +b "(7 DOWNTO 0)" +o 4 +suid 27,0 +) +declText (MLText +uid 104,0 +va (VaSet +font "courier,8,0" +) +xt "20000,62500,43500,63400" +st "gmii_rxd_i : std_logic_vector(7 downto 0) +" +) +) +*30 (PortIoIn +uid 111,0 +shape (CompositeShape +uid 112,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 113,0 +sl 0 +ro 270 +xt "-4000,32625,-2500,33375" +) +(Line +uid 114,0 +sl 0 +ro 270 +xt "-2500,33000,-2000,33000" +pts [ +"-2500,33000" +"-2000,33000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 115,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 116,0 +va (VaSet +font "courier,8,0" +) +xt "-8000,32500,-5000,33400" +st "ipbr_i" +ju 2 +blo "-5000,33200" +tm "WireNameMgr" +) +) +) +*31 (Net +uid 117,0 +lang 11 +decl (Decl +n "ipbr_i" +t "ipb_rbus_array" +b "(NUM_SLAVES-2 DOWNTO 0)" +eolc "! IPBus read signals" +o 5 +suid 28,0 +) +declText (MLText +uid 118,0 +va (VaSet +font "courier,8,0" +) +xt "20000,63400,60000,64300" +st "ipbr_i : ipb_rbus_array(NUM_SLAVES-2 downto 0) -- ! IPBus read signals +" +) +) +*32 (PortIoIn +uid 125,0 +shape (CompositeShape +uid 126,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 127,0 +sl 0 +ro 270 +xt "0,20625,1500,21375" +) +(Line +uid 128,0 +sl 0 +ro 270 +xt "1500,21000,2000,21000" +pts [ +"1500,21000" +"2000,21000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 129,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 130,0 +va (VaSet +font "courier,8,0" +) +xt "-6500,20500,-1000,21400" +st "sysclk_n_i" +ju 2 +blo "-1000,21200" +tm "WireNameMgr" +) +) +) +*33 (Net +uid 131,0 +decl (Decl +n "sysclk_n_i" +t "std_logic" +o 6 +suid 29,0 +) +declText (MLText +uid 132,0 +va (VaSet +font "courier,8,0" +) +xt "20000,64300,34000,65200" +st "sysclk_n_i : std_logic +" +) +) +*34 (PortIoIn +uid 139,0 +shape (CompositeShape +uid 140,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 141,0 +sl 0 +ro 270 +xt "0,21625,1500,22375" +) +(Line +uid 142,0 +sl 0 +ro 270 +xt "1500,22000,2000,22000" +pts [ +"1500,22000" +"2000,22000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 143,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 144,0 +va (VaSet +font "courier,8,0" +) +xt "-6500,21500,-1000,22400" +st "sysclk_p_i" +ju 2 +blo "-1000,22200" +tm "WireNameMgr" +) +) +) +*35 (Net +uid 145,0 +lang 11 +decl (Decl +n "sysclk_p_i" +t "std_logic" +eolc "! 200 MHz xtal clock" +o 7 +suid 30,0 +) +declText (MLText +uid 146,0 +va (VaSet +font "courier,8,0" +) +xt "20000,65200,46000,66100" +st "sysclk_p_i : std_logic -- ! 200 MHz xtal clock +" +) +) +*36 (PortIoOut +uid 153,0 +shape (CompositeShape +uid 154,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 155,0 +sl 0 +ro 270 +xt "122500,17625,124000,18375" +) +(Line +uid 156,0 +sl 0 +ro 270 +xt "122000,18000,122500,18000" +pts [ +"122000,18000" +"122500,18000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 157,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 158,0 +va (VaSet +font "courier,8,0" +) +xt "125000,17500,132500,18400" +st "clk_4x_logic_o" +blo "125000,18200" +tm "WireNameMgr" +) +) +) +*37 (Net +uid 159,0 +lang 11 +decl (Decl +n "clk_4x_logic_o" +t "std_logic" +eolc "! normally 160MHz" +o 8 +suid 31,0 +) +declText (MLText +uid 160,0 +va (VaSet +font "courier,8,0" +) +xt "20000,66100,44500,67000" +st "clk_4x_logic_o : std_logic -- ! normally 160MHz +" +) +) +*38 (PortIoOut +uid 167,0 +shape (CompositeShape +uid 168,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 169,0 +sl 0 +ro 270 +xt "17500,20625,19000,21375" +) +(Line +uid 170,0 +sl 0 +ro 270 +xt "17000,21000,17500,21000" +pts [ +"17000,21000" +"17500,21000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 171,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 172,0 +va (VaSet +font "courier,8,0" +) +xt "20000,20500,28000,21400" +st "clocks_locked_o" +blo "20000,21200" +tm "WireNameMgr" +) +) +) +*39 (Net +uid 173,0 +decl (Decl +n "clocks_locked_o" +t "std_logic" +o 9 +suid 32,0 +) +declText (MLText +uid 174,0 +va (VaSet +font "courier,8,0" +) +xt "20000,67000,34000,67900" +st "clocks_locked_o : std_logic +" +) +) +*40 (PortIoOut +uid 181,0 +shape (CompositeShape +uid 182,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 183,0 +sl 0 +ro 270 +xt "50500,16625,52000,17375" +) +(Line +uid 184,0 +sl 0 +ro 270 +xt "50000,17000,50500,17000" +pts [ +"50000,17000" +"50500,17000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 185,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 186,0 +va (VaSet +font "courier,8,0" +) +xt "53000,16500,60500,17400" +st "gmii_gtx_clk_o" +blo "53000,17200" +tm "WireNameMgr" +) +) +) +*41 (Net +uid 187,0 +decl (Decl +n "gmii_gtx_clk_o" +t "std_logic" +o 10 +suid 33,0 +) +declText (MLText +uid 188,0 +va (VaSet +font "courier,8,0" +) +xt "20000,67900,34000,68800" +st "gmii_gtx_clk_o : std_logic +" +) +) +*42 (PortIoOut +uid 195,0 +shape (CompositeShape +uid 196,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 197,0 +sl 0 +ro 270 +xt "50500,17625,52000,18375" +) +(Line +uid 198,0 +sl 0 +ro 270 +xt "50000,18000,50500,18000" +pts [ +"50000,18000" +"50500,18000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 199,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 200,0 +va (VaSet +font "courier,8,0" +) +xt "53000,17500,59500,18400" +st "gmii_tx_en_o" +blo "53000,18200" +tm "WireNameMgr" +) +) +) +*43 (Net +uid 201,0 +decl (Decl +n "gmii_tx_en_o" +t "std_logic" +o 11 +suid 34,0 +) +declText (MLText +uid 202,0 +va (VaSet +font "courier,8,0" +) +xt "20000,68800,34000,69700" +st "gmii_tx_en_o : std_logic +" +) +) +*44 (PortIoOut +uid 209,0 +shape (CompositeShape +uid 210,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 211,0 +sl 0 +ro 270 +xt "50500,18625,52000,19375" +) +(Line +uid 212,0 +sl 0 +ro 270 +xt "50000,19000,50500,19000" +pts [ +"50000,19000" +"50500,19000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 213,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 214,0 +va (VaSet +font "courier,8,0" +) +xt "53000,18500,59500,19400" +st "gmii_tx_er_o" +blo "53000,19200" +tm "WireNameMgr" +) +) +) +*45 (Net +uid 215,0 +decl (Decl +n "gmii_tx_er_o" +t "std_logic" +o 12 +suid 35,0 +) +declText (MLText +uid 216,0 +va (VaSet +font "courier,8,0" +) +xt "20000,69700,34000,70600" +st "gmii_tx_er_o : std_logic +" +) +) +*46 (PortIoOut +uid 223,0 +shape (CompositeShape +uid 224,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 225,0 +sl 0 +ro 270 +xt "50500,19625,52000,20375" +) +(Line +uid 226,0 +sl 0 +ro 270 +xt "50000,20000,50500,20000" +pts [ +"50000,20000" +"50500,20000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 227,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 228,0 +va (VaSet +font "courier,8,0" +) +xt "53000,19500,58500,20400" +st "gmii_txd_o" +blo "53000,20200" +tm "WireNameMgr" +) +) +) +*47 (Net +uid 229,0 +decl (Decl +n "gmii_txd_o" +t "std_logic_vector" +b "(7 DOWNTO 0)" +o 13 +suid 36,0 +) +declText (MLText +uid 230,0 +va (VaSet +font "courier,8,0" +) +xt "20000,70600,43500,71500" +st "gmii_txd_o : std_logic_vector(7 downto 0) +" +) +) +*48 (PortIoOut +uid 237,0 +shape (CompositeShape +uid 238,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 239,0 +sl 0 +ro 270 +xt "122500,14625,124000,15375" +) +(Line +uid 240,0 +sl 0 +ro 270 +xt "122000,15000,122500,15000" +pts [ +"122000,15000" +"122500,15000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 241,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 242,0 +va (VaSet +font "courier,8,0" +) +xt "125000,14500,129500,15400" +st "ipb_clk_o" +blo "125000,15200" +tm "WireNameMgr" +) +) +) +*49 (Net +uid 243,0 +lang 11 +decl (Decl +n "ipb_clk_o" +t "std_logic" +eolc "! IPBus clock to slaves" +o 14 +suid 37,0 +) +declText (MLText +uid 244,0 +va (VaSet +font "courier,8,0" +) +xt "20000,71500,47500,72400" +st "ipb_clk_o : std_logic -- ! IPBus clock to slaves +" +) +) +*50 (PortIoOut +uid 251,0 +shape (CompositeShape +uid 252,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 253,0 +sl 0 +ro 270 +xt "122500,15625,124000,16375" +) +(Line +uid 254,0 +sl 0 +ro 270 +xt "122000,16000,122500,16000" +pts [ +"122000,16000" +"122500,16000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 255,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 256,0 +va (VaSet +font "courier,8,0" +) +xt "125000,15500,129500,16400" +st "ipb_rst_o" +blo "125000,16200" +tm "WireNameMgr" +) +) +) +*51 (Net +uid 257,0 +lang 11 +decl (Decl +n "ipb_rst_o" +t "std_logic" +eolc "! IPBus reset to slaves" +o 15 +suid 38,0 +) +declText (MLText +uid 258,0 +va (VaSet +font "courier,8,0" +) +xt "20000,72400,47500,73300" +st "ipb_rst_o : std_logic -- ! IPBus reset to slaves +" +) +) +*52 (PortIoOut +uid 265,0 +shape (CompositeShape +uid 266,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 267,0 +sl 0 +ro 270 +xt "76500,-1375,78000,-625" +) +(Line +uid 268,0 +sl 0 +ro 270 +xt "76000,-1000,76500,-1000" +pts [ +"76000,-1000" +"76500,-1000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 269,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 270,0 +va (VaSet +font "courier,8,0" +) +xt "79000,-1500,82000,-600" +st "ipbw_o" +blo "79000,-800" +tm "WireNameMgr" +) +) +) +*53 (Net +uid 271,0 +lang 11 +decl (Decl +n "ipbw_o" +t "ipb_wbus_array" +b "(NUM_SLAVES-2 DOWNTO 0)" +eolc "! IBus write signals" +o 16 +suid 39,0 +) +declText (MLText +uid 272,0 +va (VaSet +font "courier,8,0" +) +xt "20000,73300,60000,74200" +st "ipbw_o : ipb_wbus_array(NUM_SLAVES-2 downto 0) -- ! IBus write signals +" +) +) +*54 (PortIoOut +uid 279,0 +shape (CompositeShape +uid 280,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 281,0 +sl 0 +ro 270 +xt "122500,16625,124000,17375" +) +(Line +uid 282,0 +sl 0 +ro 270 +xt "122000,17000,122500,17000" +pts [ +"122000,17000" +"122500,17000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 283,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 284,0 +va (VaSet +font "courier,8,0" +) +xt "125000,16500,132500,17400" +st "logic_strobe_o" +blo "125000,17200" +tm "WireNameMgr" +) +) +) +*55 (Net +uid 285,0 +decl (Decl +n "logic_strobe_o" +t "std_logic" +eolc "! 40MHz strobe sync with 160MHz clock" +o 17 +suid 40,0 +) +declText (MLText +uid 286,0 +va (VaSet +font "courier,8,0" +) +xt "20000,74200,54500,75100" +st "logic_strobe_o : std_logic -- ! 40MHz strobe sync with 160MHz clock +" +) +) +*56 (PortIoOut +uid 293,0 +shape (CompositeShape +uid 294,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 295,0 +sl 0 +ro 270 +xt "17500,21625,19000,22375" +) +(Line +uid 296,0 +sl 0 +ro 270 +xt "17000,22000,17500,22000" +pts [ +"17000,22000" +"17500,22000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 297,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 298,0 +va (VaSet +font "courier,8,0" +) +xt "20000,21500,23500,22400" +st "onehz_o" +blo "20000,22200" +tm "WireNameMgr" +) +) +) +*57 (Net +uid 299,0 +decl (Decl +n "onehz_o" +t "std_logic" +o 18 +suid 41,0 +) +declText (MLText +uid 300,0 +va (VaSet +font "courier,8,0" +) +xt "20000,75100,34000,76000" +st "onehz_o : std_logic +" +) +) +*58 (PortIoOut +uid 307,0 +shape (CompositeShape +uid 308,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 309,0 +sl 0 +ro 270 +xt "12500,50625,14000,51375" +) +(Line +uid 310,0 +sl 0 +ro 270 +xt "12000,51000,12500,51000" +pts [ +"12000,51000" +"12500,51000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 311,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 312,0 +va (VaSet +font "courier,8,0" +) +xt "15000,50500,20500,51400" +st "phy_rstb_o" +blo "15000,51200" +tm "WireNameMgr" +) +) +) +*59 (Net +uid 313,0 +decl (Decl +n "phy_rstb_o" +t "std_logic" +o 19 +suid 42,0 +) +declText (MLText +uid 314,0 +va (VaSet +font "courier,8,0" +) +xt "20000,76000,34000,76900" +st "phy_rstb_o : std_logic +" +) +) +*60 (PortIoIn +uid 321,0 +shape (CompositeShape +uid 322,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 323,0 +sl 0 +ro 270 +xt "-4000,45625,-2500,46375" +) +(Line +uid 324,0 +sl 0 +ro 270 +xt "-2500,46000,-2000,46000" +pts [ +"-2500,46000" +"-2000,46000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 325,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 326,0 +va (VaSet +font "courier,8,0" +) +xt "-11500,45500,-5000,46400" +st "dip_switch_i" +ju 2 +blo "-5000,46200" +tm "WireNameMgr" +) +) +) +*61 (Net +uid 327,0 +decl (Decl +n "dip_switch_i" +t "std_logic_vector" +b "(3 DOWNTO 0)" +o 20 +suid 43,0 +) +declText (MLText +uid 328,0 +va (VaSet +font "courier,8,0" +) +xt "20000,76900,43500,77800" +st "dip_switch_i : std_logic_vector(3 downto 0) +" +) +) +*62 (SaComponent +uid 371,0 +optionalChildren [ +*63 (CptPort +uid 381,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 382,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "3250,21625,4000,22375" +) +tg (CPTG +uid 383,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 384,0 +va (VaSet +font "courier,8,0" +) +xt "5000,21550,9000,22450" +st "sysclk_p" +blo "5000,22250" +) +) +thePort (LogicalPort +decl (Decl +n "sysclk_p" +t "std_logic" +preAdd 0 +posAdd 0 +o 1 +) +) +) +*64 (CptPort +uid 385,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 386,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "3250,20625,4000,21375" +) +tg (CPTG +uid 387,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 388,0 +va (VaSet +font "courier,8,0" +) +xt "5000,20550,9000,21450" +st "sysclk_n" +blo "5000,21250" +) +) +thePort (LogicalPort +decl (Decl +n "sysclk_n" +t "std_logic" +preAdd 0 +posAdd 0 +o 2 +) +) +) +*65 (CptPort +uid 389,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 390,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "15000,26625,15750,27375" +) +tg (CPTG +uid 391,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 392,0 +va (VaSet +font "courier,8,0" +) +xt "10000,26550,14000,27450" +st "clko_125" +ju 2 +blo "14000,27250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "clko_125" +t "std_logic" +preAdd 0 +posAdd 0 +o 3 +) +) +) +*66 (CptPort +uid 393,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 394,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "15000,21625,15750,22375" +) +tg (CPTG +uid 395,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 396,0 +va (VaSet +font "courier,8,0" +) +xt "10000,21550,14000,22450" +st "clko_ipb" +ju 2 +blo "14000,22250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "clko_ipb" +t "std_logic" +preAdd 0 +posAdd 0 +o 4 +) +) +) +*67 (CptPort +uid 397,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 398,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "15000,25625,15750,26375" +) +tg (CPTG +uid 399,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 400,0 +va (VaSet +font "courier,8,0" +) +xt "9500,25550,14000,26450" +st "clko_fast" +ju 2 +blo "14000,26250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "clko_fast" +t "std_logic" +preAdd 0 +posAdd 0 +o 5 +) +) +) +*68 (CptPort +uid 401,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 402,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "15000,20625,15750,21375" +) +tg (CPTG +uid 403,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 404,0 +va (VaSet +font "courier,8,0" +) +xt "11000,20550,14000,21450" +st "locked" +ju 2 +blo "14000,21250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "locked" +t "std_logic" +preAdd 0 +posAdd 0 +o 6 +) +) +) +*69 (CptPort +uid 405,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 406,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "15000,24625,15750,25375" +) +tg (CPTG +uid 407,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 408,0 +va (VaSet +font "courier,8,0" +) +xt "10000,24550,14000,25450" +st "rsto_125" +ju 2 +blo "14000,25250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "rsto_125" +t "std_logic" +preAdd 0 +posAdd 0 +o 7 +) +) +) +*70 (CptPort +uid 409,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 410,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "15000,25625,15750,26375" +) +tg (CPTG +uid 411,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 412,0 +va (VaSet +font "courier,8,0" +) +xt "10000,25550,14000,26450" +st "rsto_ipb" +ju 2 +blo "14000,26250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "rsto_ipb" +t "std_logic" +preAdd 0 +posAdd 0 +o 8 +) +) +) +*71 (CptPort +uid 413,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 414,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "15000,21625,15750,22375" +) +tg (CPTG +uid 415,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 416,0 +va (VaSet +font "courier,8,0" +) +xt "11500,21550,14000,22450" +st "onehz" +ju 2 +blo "14000,22250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "onehz" +t "std_logic" +preAdd 0 +posAdd 0 +o 9 +) +) +) +*72 (CommentText +uid 471,0 +ps "EdgeToEdgeStrategy" +shape (Rectangle +uid 472,0 +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "4000,20000,19000,24000" +) +text (MLText +uid 473,0 +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "4200,20200,18700,22000" +st " + DCM clock generation for internal bus, ethernet + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 15000 +) +included 1 +) +*73 (PortMapFrame +uid 1368,0 +ps "PortMapFrameStrategy" +shape (RectFrame +uid 1369,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "2000,18000,17000,30000" +) +portMapText (BiTextGroup +uid 1370,0 +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +uid 1371,0 +va (VaSet +font "courier,8,0" +) +xt "17000,30000,30500,34500" +st "sysclk_n => sysclk_n_i, +sysclk_p => sysclk_p_i, +clko_fast => clk_fast, +locked => clocks_locked_o, +onehz => onehz_o," +) +second (MLText +uid 1372,0 +va (VaSet +font "courier,8,0" +) +xt "17000,34500,27500,38100" +st "clko_125 => clk125, +rsto_125 => rst_125, +rsto_ipb => rst_ipb, +clko_ipb => ipb_clk" +tm "PortMapTextMgr" +) +) +) +] +shape (Rectangle +uid 372,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "4000,20000,15000,28000" +) +ttg (MlTextGroup +uid 373,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*74 (Text +uid 374,0 +va (VaSet +font "courier,8,1" +) +xt "5250,28000,11750,28900" +st "fmc_mTLU_lib" +blo "5250,28700" +tm "BdLibraryNameMgr" +) +*75 (Text +uid 375,0 +va (VaSet +font "courier,8,1" +) +xt "5250,28900,13750,29800" +st "clocks_s6_extphy" +blo "5250,29600" +tm "CptNameMgr" +) +*76 (Text +uid 376,0 +va (VaSet +font "courier,8,1" +) +xt "5250,29800,8250,30700" +st "clocks" +blo "5250,30500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 377,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 378,0 +text (MLText +uid 379,0 +va (VaSet +font "courier,8,0" +) +xt "2750,20000,2750,20000" +) +header "" +) +elements [ +] +includeGenericsInHDL 0 +) +viewicon (ZoomableIcon +uid 380,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "4250,26250,5750,27750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*77 (SaComponent +uid 554,0 +optionalChildren [ +*78 (CptPort +uid 564,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 565,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32250,21625,33000,22375" +) +tg (CPTG +uid 566,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 567,0 +va (VaSet +font "courier,8,0" +) +xt "34000,21550,37000,22450" +st "clk125" +blo "34000,22250" +) +) +thePort (LogicalPort +decl (Decl +n "clk125" +t "std_logic" +preAdd 0 +posAdd 0 +o 1 +) +) +) +*79 (CptPort +uid 568,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 569,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32250,22625,33000,23375" +) +tg (CPTG +uid 570,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 571,0 +va (VaSet +font "courier,8,0" +) +xt "34000,22550,35500,23450" +st "rst" +blo "34000,23250" +) +) +thePort (LogicalPort +decl (Decl +n "rst" +t "std_logic" +preAdd 0 +posAdd 0 +o 2 +) +) +) +*80 (CptPort +uid 572,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 573,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,16625,48750,17375" +) +tg (CPTG +uid 574,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 575,0 +va (VaSet +font "courier,8,0" +) +xt "40500,16550,47000,17450" +st "gmii_gtx_clk" +ju 2 +blo "47000,17250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "gmii_gtx_clk" +t "std_logic" +preAdd 0 +posAdd 0 +o 3 +) +) +) +*81 (CptPort +uid 576,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 577,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,19625,48750,20375" +) +tg (CPTG +uid 578,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 579,0 +va (VaSet +font "courier,8,0" +) +xt "43000,19550,47000,20450" +st "gmii_txd" +ju 2 +blo "47000,20250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "gmii_txd" +t "std_logic_vector" +b "(7 downto 0)" +preAdd 0 +posAdd 0 +o 4 +) +) +) +*82 (CptPort +uid 580,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 581,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,17625,48750,18375" +) +tg (CPTG +uid 582,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 583,0 +va (VaSet +font "courier,8,0" +) +xt "41500,17550,47000,18450" +st "gmii_tx_en" +ju 2 +blo "47000,18250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "gmii_tx_en" +t "std_logic" +preAdd 0 +posAdd 0 +o 5 +) +) +) +*83 (CptPort +uid 584,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 585,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,18625,48750,19375" +) +tg (CPTG +uid 586,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 587,0 +va (VaSet +font "courier,8,0" +) +xt "41500,18550,47000,19450" +st "gmii_tx_er" +ju 2 +blo "47000,19250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "gmii_tx_er" +t "std_logic" +preAdd 0 +posAdd 0 +o 6 +) +) +) +*84 (CptPort +uid 588,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 589,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32250,16625,33000,17375" +) +tg (CPTG +uid 590,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 591,0 +va (VaSet +font "courier,8,0" +) +xt "34000,16550,40000,17450" +st "gmii_rx_clk" +blo "34000,17250" +) +) +thePort (LogicalPort +decl (Decl +n "gmii_rx_clk" +t "std_logic" +preAdd 0 +posAdd 0 +o 7 +) +) +) +*85 (CptPort +uid 592,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 593,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32250,19625,33000,20375" +) +tg (CPTG +uid 594,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 595,0 +va (VaSet +font "courier,8,0" +) +xt "34000,19550,38000,20450" +st "gmii_rxd" +blo "34000,20250" +) +) +thePort (LogicalPort +decl (Decl +n "gmii_rxd" +t "std_logic_vector" +b "(7 downto 0)" +preAdd 0 +posAdd 0 +o 8 +) +) +) +*86 (CptPort +uid 596,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 597,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32250,17625,33000,18375" +) +tg (CPTG +uid 598,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 599,0 +va (VaSet +font "courier,8,0" +) +xt "34000,17550,39500,18450" +st "gmii_rx_dv" +blo "34000,18250" +) +) +thePort (LogicalPort +decl (Decl +n "gmii_rx_dv" +t "std_logic" +preAdd 0 +posAdd 0 +o 9 +) +) +) +*87 (CptPort +uid 600,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 601,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32250,18625,33000,19375" +) +tg (CPTG +uid 602,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 603,0 +va (VaSet +font "courier,8,0" +) +xt "34000,18550,39500,19450" +st "gmii_rx_er" +blo "34000,19250" +) +) +thePort (LogicalPort +decl (Decl +n "gmii_rx_er" +t "std_logic" +preAdd 0 +posAdd 0 +o 10 +) +) +) +*88 (CptPort +uid 604,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 605,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32250,23625,33000,24375" +) +tg (CPTG +uid 606,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 607,0 +va (VaSet +font "courier,8,0" +) +xt "34000,23550,35500,24450" +st "txd" +blo "34000,24250" +) +) +thePort (LogicalPort +decl (Decl +n "txd" +t "std_logic_vector" +b "(7 downto 0)" +preAdd 0 +posAdd 0 +o 11 +) +) +) +*89 (CptPort +uid 608,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 609,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32250,24625,33000,25375" +) +tg (CPTG +uid 610,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 611,0 +va (VaSet +font "courier,8,0" +) +xt "34000,24550,37000,25450" +st "txdvld" +blo "34000,25250" +) +) +thePort (LogicalPort +decl (Decl +n "txdvld" +t "std_logic" +preAdd 0 +posAdd 0 +o 12 +) +) +) +*90 (CptPort +uid 612,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 613,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,21625,48750,22375" +) +tg (CPTG +uid 614,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 615,0 +va (VaSet +font "courier,8,0" +) +xt "44500,21550,47000,22450" +st "txack" +ju 2 +blo "47000,22250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "txack" +t "std_logic" +preAdd 0 +posAdd 0 +o 13 +) +) +) +*91 (CptPort +uid 616,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 617,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,22625,48750,23375" +) +tg (CPTG +uid 618,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 619,0 +va (VaSet +font "courier,8,0" +) +xt "44000,22550,47000,23450" +st "rxclko" +ju 2 +blo "47000,23250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "rxclko" +t "std_logic" +preAdd 0 +posAdd 0 +o 14 +) +) +) +*92 (CptPort +uid 620,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 621,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,23625,48750,24375" +) +tg (CPTG +uid 622,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 623,0 +va (VaSet +font "courier,8,0" +) +xt "45500,23550,47000,24450" +st "rxd" +ju 2 +blo "47000,24250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "rxd" +t "std_logic_vector" +b "(7 downto 0)" +preAdd 0 +posAdd 0 +o 15 +) +) +) +*93 (CptPort +uid 624,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 625,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,24625,48750,25375" +) +tg (CPTG +uid 626,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 627,0 +va (VaSet +font "courier,8,0" +) +xt "44000,24550,47000,25450" +st "rxdvld" +ju 2 +blo "47000,25250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "rxdvld" +t "std_logic" +preAdd 0 +posAdd 0 +o 16 +) +) +) +*94 (CptPort +uid 628,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 629,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,25625,48750,26375" +) +tg (CPTG +uid 630,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 631,0 +va (VaSet +font "courier,8,0" +) +xt "41000,25550,47000,26450" +st "rxgoodframe" +ju 2 +blo "47000,26250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "rxgoodframe" +t "std_logic" +preAdd 0 +posAdd 0 +o 17 +) +) +) +*95 (CptPort +uid 632,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 633,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,26625,48750,27375" +) +tg (CPTG +uid 634,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 635,0 +va (VaSet +font "courier,8,0" +) +xt "41500,26550,47000,27450" +st "rxbadframe" +ju 2 +blo "47000,27250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "rxbadframe" +t "std_logic" +preAdd 0 +posAdd 0 +o 18 +) +) +) +*96 (CptPort +uid 636,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 637,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32250,25625,33000,26375" +) +tg (CPTG +uid 638,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 639,0 +va (VaSet +font "courier,8,0" +) +xt "34000,25550,39500,26450" +st "hostbus_in" +blo "34000,26250" +) +) +thePort (LogicalPort +decl (Decl +n "hostbus_in" +t "emac_hostbus_in" +preAdd 0 +posAdd 0 +o 19 +) +) +) +*97 (CptPort +uid 640,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 641,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,26625,48750,27375" +) +tg (CPTG +uid 642,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 643,0 +va (VaSet +font "courier,8,0" +) +xt "41000,26550,47000,27450" +st "hostbus_out" +ju 2 +blo "47000,27250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "hostbus_out" +t "emac_hostbus_out" +preAdd 0 +posAdd 0 +o 20 +) +) +) +*98 (CommentText +uid 764,0 +ps "EdgeToEdgeStrategy" +shape (Rectangle +uid 765,0 +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "33000,16000,48000,20000" +) +text (MLText +uid 766,0 +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "33200,16200,48200,19800" +st " + leds <= ('0', '0', locked, onehz); + + Ethernet MAC core and PHY interface + In this version, consists of hard MAC core and GMII interface to external PHY + Can be replaced by any other MAC / PHY combination + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 15000 +) +included 1 +) +*99 (PortMapFrame +uid 1373,0 +ps "PortMapFrameStrategy" +shape (RectFrame +uid 1374,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "31000,14000,50000,30000" +) +portMapText (BiTextGroup +uid 1375,0 +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +uid 1376,0 +va (VaSet +font "courier,8,0" +) +xt "50000,30000,66000,39000" +st "gmii_rx_clk => gmii_rx_clk_i, +gmii_rx_dv => gmii_rx_dv_i, +gmii_rx_er => gmii_rx_er_i, +gmii_rxd => gmii_rxd_i, +hostbus_in => hostbus_in, +gmii_gtx_clk => gmii_gtx_clk_o, +gmii_tx_en => gmii_tx_en_o, +gmii_tx_er => gmii_tx_er_o, +gmii_txd => gmii_txd_o, +hostbus_out => hostbus_out," +) +second (MLText +uid 1377,0 +va (VaSet +font "courier,8,0" +) +xt "50000,39000,66000,48000" +st "clk125 => clk125, +rst => rst_125, +rxclko => mac_rxclko, +rxbadframe => mac_rxbadframe, +txack => mac_txack, +rxgoodframe => mac_rxgoodframe, +rxd => mac_rxd, +rxdvld => mac_rxdvld, +txdvld => mac_txdvld, +txd => mac_txd" +tm "PortMapTextMgr" +) +) +) +] +shape (Rectangle +uid 555,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "33000,16000,48000,28000" +) +ttg (MlTextGroup +uid 556,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*100 (Text +uid 557,0 +va (VaSet +font "courier,8,1" +) +xt "37250,28000,43750,28900" +st "fmc_mTLU_lib" +blo "37250,28700" +tm "BdLibraryNameMgr" +) +*101 (Text +uid 558,0 +va (VaSet +font "courier,8,1" +) +xt "37250,28900,43250,29800" +st "eth_s6_gmii" +blo "37250,29600" +tm "CptNameMgr" +) +*102 (Text +uid 559,0 +va (VaSet +font "courier,8,1" +) +xt "37250,29800,38750,30700" +st "eth" +blo "37250,30500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 560,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 561,0 +text (MLText +uid 562,0 +va (VaSet +font "courier,8,0" +) +xt "32750,15100,46750,16000" +st "IODEL = 10 ( integer ) +" +) +header "" +) +elements [ +(GiElement +name "IODEL" +type "integer" +value "10" +) +] +includeGenericsInHDL 0 +) +viewicon (ZoomableIcon +uid 563,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "33250,26250,34750,27750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*103 (SaComponent +uid 831,0 +optionalChildren [ +*104 (CptPort +uid 841,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 842,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "64250,18625,65000,19375" +) +tg (CPTG +uid 843,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 844,0 +va (VaSet +font "courier,8,0" +) +xt "66000,18550,69500,19450" +st "ipb_clk" +blo "66000,19250" +) +) +thePort (LogicalPort +decl (Decl +n "ipb_clk" +t "std_logic" +preAdd 0 +posAdd 0 +o 1 +) +) +) +*105 (CptPort +uid 845,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 846,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "64250,19625,65000,20375" +) +tg (CPTG +uid 847,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 848,0 +va (VaSet +font "courier,8,0" +) +xt "66000,19550,69500,20450" +st "rst_ipb" +blo "66000,20250" +) +) +thePort (LogicalPort +decl (Decl +n "rst_ipb" +t "std_logic" +preAdd 0 +posAdd 0 +o 2 +) +) +) +*106 (CptPort +uid 849,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 850,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "64250,20625,65000,21375" +) +tg (CPTG +uid 851,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 852,0 +va (VaSet +font "courier,8,0" +) +xt "66000,20550,71500,21450" +st "rst_macclk" +blo "66000,21250" +) +) +thePort (LogicalPort +decl (Decl +n "rst_macclk" +t "std_logic" +preAdd 0 +posAdd 0 +o 3 +) +) +) +*107 (CptPort +uid 853,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 854,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "64250,21625,65000,22375" +) +tg (CPTG +uid 855,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 856,0 +va (VaSet +font "courier,8,0" +) +xt "66000,21550,70500,22450" +st "mac_txclk" +blo "66000,22250" +) +) +thePort (LogicalPort +decl (Decl +n "mac_txclk" +t "std_logic" +preAdd 0 +posAdd 0 +o 4 +) +) +) +*108 (CptPort +uid 857,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 858,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "64250,22625,65000,23375" +) +tg (CPTG +uid 859,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 860,0 +va (VaSet +font "courier,8,0" +) +xt "66000,22550,70500,23450" +st "mac_rxclk" +blo "66000,23250" +) +) +thePort (LogicalPort +decl (Decl +n "mac_rxclk" +t "std_logic" +preAdd 0 +posAdd 0 +o 5 +) +) +) +*109 (CptPort +uid 861,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 862,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "64250,23625,65000,24375" +) +tg (CPTG +uid 863,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 864,0 +va (VaSet +font "courier,8,0" +) +xt "66000,23550,69500,24450" +st "mac_rxd" +blo "66000,24250" +) +) +thePort (LogicalPort +decl (Decl +n "mac_rxd" +t "std_logic_vector" +b "(7 downto 0)" +preAdd 0 +posAdd 0 +o 6 +) +) +) +*110 (CptPort +uid 865,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 866,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "64250,24625,65000,25375" +) +tg (CPTG +uid 867,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 868,0 +va (VaSet +font "courier,8,0" +) +xt "66000,24550,71500,25450" +st "mac_rxdvld" +blo "66000,25250" +) +) +thePort (LogicalPort +decl (Decl +n "mac_rxdvld" +t "std_logic" +preAdd 0 +posAdd 0 +o 7 +) +) +) +*111 (CptPort +uid 869,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 870,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "64250,25625,65000,26375" +) +tg (CPTG +uid 871,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 872,0 +va (VaSet +font "courier,8,0" +) +xt "66000,25550,74000,26450" +st "mac_rxgoodframe" +blo "66000,26250" +) +) +thePort (LogicalPort +decl (Decl +n "mac_rxgoodframe" +t "std_logic" +preAdd 0 +posAdd 0 +o 8 +) +) +) +*112 (CptPort +uid 873,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 874,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "64250,26625,65000,27375" +) +tg (CPTG +uid 875,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 876,0 +va (VaSet +font "courier,8,0" +) +xt "66000,26550,73500,27450" +st "mac_rxbadframe" +blo "66000,27250" +) +) +thePort (LogicalPort +decl (Decl +n "mac_rxbadframe" +t "std_logic" +preAdd 0 +posAdd 0 +o 9 +) +) +) +*113 (CptPort +uid 877,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 878,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "81000,14625,81750,15375" +) +tg (CPTG +uid 879,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 880,0 +va (VaSet +font "courier,8,0" +) +xt "76500,14550,80000,15450" +st "mac_txd" +ju 2 +blo "80000,15250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "mac_txd" +t "std_logic_vector" +b "(7 downto 0)" +preAdd 0 +posAdd 0 +o 10 +) +) +) +*114 (CptPort +uid 881,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 882,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "81000,15625,81750,16375" +) +tg (CPTG +uid 883,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 884,0 +va (VaSet +font "courier,8,0" +) +xt "74500,15550,80000,16450" +st "mac_txdvld" +ju 2 +blo "80000,16250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "mac_txdvld" +t "std_logic" +preAdd 0 +posAdd 0 +o 11 +) +) +) +*115 (CptPort +uid 885,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 886,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "64250,23625,65000,24375" +) +tg (CPTG +uid 887,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 888,0 +va (VaSet +font "courier,8,0" +) +xt "66000,23550,70500,24450" +st "mac_txack" +blo "66000,24250" +) +) +thePort (LogicalPort +decl (Decl +n "mac_txack" +t "std_logic" +preAdd 0 +posAdd 0 +o 12 +) +) +) +*116 (CptPort +uid 889,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 890,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "81000,26625,81750,27375" +) +tg (CPTG +uid 891,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 892,0 +va (VaSet +font "courier,8,0" +) +xt "76500,26550,80000,27450" +st "ipb_out" +ju 2 +blo "80000,27250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "ipb_out" +t "ipb_wbus" +preAdd 0 +posAdd 0 +o 13 +) +) +) +*117 (CptPort +uid 893,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 894,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "64250,26625,65000,27375" +) +tg (CPTG +uid 895,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 896,0 +va (VaSet +font "courier,8,0" +) +xt "66000,26550,69000,27450" +st "ipb_in" +blo "66000,27250" +) +) +thePort (LogicalPort +decl (Decl +n "ipb_in" +t "ipb_rbus" +preAdd 0 +posAdd 0 +o 14 +) +) +) +*118 (CptPort +uid 897,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 898,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "64250,15625,65000,16375" +) +tg (CPTG +uid 899,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 900,0 +va (VaSet +font "courier,8,0" +) +xt "66000,15550,70000,16450" +st "mac_addr" +blo "66000,16250" +) +) +thePort (LogicalPort +decl (Decl +n "mac_addr" +t "std_logic_vector" +b "(47 downto 0)" +preAdd 0 +posAdd 0 +o 15 +) +) +) +*119 (CptPort +uid 901,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 902,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "64250,17625,65000,18375" +) +tg (CPTG +uid 903,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 904,0 +va (VaSet +font "courier,8,0" +) +xt "66000,17550,69500,18450" +st "ip_addr" +blo "66000,18250" +) +) +thePort (LogicalPort +decl (Decl +n "ip_addr" +t "std_logic_vector" +b "(31 downto 0)" +preAdd 0 +posAdd 0 +o 16 +) +) +) +*120 (CommentText +uid 1001,0 +ps "EdgeToEdgeStrategy" +shape (Rectangle +uid 1002,0 +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "65000,14000,80000,18000" +) +text (MLText +uid 1003,0 +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "65200,14200,75700,15100" +st " + ipbus control logic + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 15000 +) +included 1 +) +*121 (PortMapFrame +uid 1439,0 +ps "PortMapFrameStrategy" +shape (RectFrame +uid 1440,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "63000,12000,83000,30000" +) +portMapText (BiTextGroup +uid 1441,0 +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +uid 1442,0 +va (VaSet +font "courier,8,0" +) +xt "83000,30000,96500,33600" +st "ip_addr => ip_addr, +ipb_in => ipb_master_in, +mac_addr => mac_addr, +ipb_out => ipb_master_out," +) +second (MLText +uid 1443,0 +va (VaSet +font "courier,8,0" +) +xt "83000,33600,101000,44400" +st "rst_macclk => rst_125, +rst_ipb => rst_ipb, +mac_txclk => clk125, +ipb_clk => ipb_clk, +mac_rxgoodframe => mac_rxgoodframe, +mac_rxbadframe => mac_rxbadframe, +mac_rxdvld => mac_rxdvld, +mac_txack => mac_txack, +mac_rxd => mac_rxd, +mac_rxclk => mac_rxclko, +mac_txd => mac_txd, +mac_txdvld => mac_txdvld" +tm "PortMapTextMgr" +) +) +) +] +shape (Rectangle +uid 832,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "65000,14000,81000,28000" +) +ttg (MlTextGroup +uid 833,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*122 (Text +uid 834,0 +va (VaSet +font "courier,8,1" +) +xt "68250,28000,74750,28900" +st "fmc_mTLU_lib" +blo "68250,28700" +tm "BdLibraryNameMgr" +) +*123 (Text +uid 835,0 +va (VaSet +font "courier,8,1" +) +xt "68250,28900,77750,29800" +st "ipbus_ctrl_udponly" +blo "68250,29600" +tm "CptNameMgr" +) +*124 (Text +uid 836,0 +va (VaSet +font "courier,8,1" +) +xt "68250,29800,70750,30700" +st "ipbus" +blo "68250,30500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 837,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 838,0 +text (MLText +uid 839,0 +va (VaSet +font "courier,8,0" +) +xt "63250,14000,63250,14000" +) +header "" +) +elements [ +] +includeGenericsInHDL 0 +) +viewicon (ZoomableIcon +uid 840,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "65250,26250,66750,27750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*125 (SaComponent +uid 1028,0 +optionalChildren [ +*126 (CptPort +uid 1038,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1039,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "64250,6625,65000,7375" +) +tg (CPTG +uid 1040,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1041,0 +va (VaSet +font "courier,8,0" +) +xt "66000,6550,69500,7450" +st "ipb_clk" +blo "66000,7250" +) +) +thePort (LogicalPort +decl (Decl +n "ipb_clk" +t "STD_LOGIC" +preAdd 0 +posAdd 0 +o 1 +) +) +) +*127 (CptPort +uid 1042,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1043,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "64250,7625,65000,8375" +) +tg (CPTG +uid 1044,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1045,0 +va (VaSet +font "courier,8,0" +) +xt "66000,7550,67500,8450" +st "rst" +blo "66000,8250" +) +) +thePort (LogicalPort +decl (Decl +n "rst" +t "STD_LOGIC" +preAdd 0 +posAdd 0 +o 2 +) +) +) +*128 (CptPort +uid 1046,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1047,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "64250,8625,65000,9375" +) +tg (CPTG +uid 1048,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1049,0 +va (VaSet +font "courier,8,0" +) +xt "66000,8550,69000,9450" +st "ipb_in" +blo "66000,9250" +) +) +thePort (LogicalPort +decl (Decl +n "ipb_in" +t "ipb_wbus" +preAdd 0 +posAdd 0 +o 3 +) +) +) +*129 (CptPort +uid 1050,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1051,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "82000,8625,82750,9375" +) +tg (CPTG +uid 1052,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1053,0 +va (VaSet +font "courier,8,0" +) +xt "77500,8550,81000,9450" +st "ipb_out" +ju 2 +blo "81000,9250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "ipb_out" +t "ipb_rbus" +preAdd 0 +posAdd 0 +o 4 +) +) +) +*130 (CptPort +uid 1054,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1055,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "82000,7625,82750,8375" +) +tg (CPTG +uid 1056,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1057,0 +va (VaSet +font "courier,8,0" +) +xt "74000,7550,81000,8450" +st "ipb_to_slaves" +ju 2 +blo "81000,8250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "ipb_to_slaves" +t "ipb_wbus_array" +b "(NSLV-1 downto 0)" +preAdd 0 +posAdd 0 +o 5 +) +) +) +*131 (CptPort +uid 1058,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1059,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "64250,7625,65000,8375" +) +tg (CPTG +uid 1060,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1061,0 +va (VaSet +font "courier,8,0" +) +xt "66000,7550,74000,8450" +st "ipb_from_slaves" +blo "66000,8250" +) +) +thePort (LogicalPort +decl (Decl +n "ipb_from_slaves" +t "ipb_rbus_array" +b "(NSLV-1 downto 0)" +preAdd 0 +posAdd 0 +o 6 +) +) +) +*132 (PortMapFrame +uid 1466,0 +ps "PortMapFrameStrategy" +shape (RectFrame +uid 1467,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "63000,3000,84000,12000" +) +portMapText (BiTextGroup +uid 1468,0 +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +uid 1469,0 +va (VaSet +font "courier,8,0" +) +xt "84000,12000,102000,15600" +st "ipb_from_slaves => s_ipbr_internal, +ipb_in => ipb_master_out, +ipb_out => ipb_master_in, +ipb_to_slaves => s_ipbw_internal," +) +second (MLText +uid 1470,0 +va (VaSet +font "courier,8,0" +) +xt "84000,15600,94000,17400" +st "ipb_clk => ipb_clk, +rst => rst_ipb" +tm "PortMapTextMgr" +) +) +) +] +shape (Rectangle +uid 1029,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "65000,5000,82000,10000" +) +ttg (MlTextGroup +uid 1030,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*133 (Text +uid 1031,0 +va (VaSet +font "courier,8,1" +) +xt "70250,10000,76750,10900" +st "fmc_mTLU_lib" +blo "70250,10700" +tm "BdLibraryNameMgr" +) +*134 (Text +uid 1032,0 +va (VaSet +font "courier,8,1" +) +xt "70250,10900,76750,11800" +st "ipbus_fabric" +blo "70250,11600" +tm "CptNameMgr" +) +*135 (Text +uid 1033,0 +va (VaSet +font "courier,8,1" +) +xt "70250,11800,73250,12700" +st "fabric" +blo "70250,12500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1034,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1035,0 +text (MLText +uid 1036,0 +va (VaSet +font "courier,8,0" +) +xt "64750,3200,85750,5000" +st "NSLV = NUM_SLAVES ( positive ) +STROBE_GAP = false ( boolean ) +" +) +header "" +) +elements [ +(GiElement +name "NSLV" +type "positive" +value "NUM_SLAVES" +) +(GiElement +name "STROBE_GAP" +type "boolean" +value "false" +) +] +) +viewicon (ZoomableIcon +uid 1037,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "65250,8250,66750,9750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*136 (SaComponent +uid 1122,0 +optionalChildren [ +*137 (CptPort +uid 1132,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1133,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "98250,38625,99000,39375" +) +tg (CPTG +uid 1134,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1135,0 +va (VaSet +font "courier,8,0" +) +xt "100000,38550,101500,39450" +st "clk" +blo "100000,39250" +) +) +thePort (LogicalPort +decl (Decl +n "clk" +t "std_logic" +preAdd 0 +posAdd 0 +o 1 +) +) +) +*138 (CptPort +uid 1136,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1137,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "98250,39625,99000,40375" +) +tg (CPTG +uid 1138,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1139,0 +va (VaSet +font "courier,8,0" +) +xt "100000,39550,102500,40450" +st "reset" +blo "100000,40250" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_logic" +preAdd 0 +posAdd 0 +o 2 +) +) +) +*139 (CptPort +uid 1140,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1141,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "98250,37625,99000,38375" +) +tg (CPTG +uid 1142,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1143,0 +va (VaSet +font "courier,8,0" +) +xt "100000,37550,104000,38450" +st "ipbus_in" +blo "100000,38250" +) +) +thePort (LogicalPort +decl (Decl +n "ipbus_in" +t "ipb_wbus" +preAdd 0 +posAdd 0 +o 3 +) +) +) +*140 (CptPort +uid 1144,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1145,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "113000,40625,113750,41375" +) +tg (CPTG +uid 1146,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1147,0 +va (VaSet +font "courier,8,0" +) +xt "107500,40550,112000,41450" +st "ipbus_out" +ju 2 +blo "112000,41250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "ipbus_out" +t "ipb_rbus" +preAdd 0 +posAdd 0 +o 4 +) +) +) +*141 (CptPort +uid 1148,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1149,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "113000,39625,113750,40375" +) +tg (CPTG +uid 1150,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1151,0 +va (VaSet +font "courier,8,0" +) +xt "106000,39550,112000,40450" +st "hostbus_out" +ju 2 +blo "112000,40250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "hostbus_out" +t "emac_hostbus_in" +preAdd 0 +posAdd 0 +o 5 +) +) +) +*142 (CptPort +uid 1152,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1153,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "98250,39625,99000,40375" +) +tg (CPTG +uid 1154,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1155,0 +va (VaSet +font "courier,8,0" +) +xt "100000,39550,105500,40450" +st "hostbus_in" +blo "100000,40250" +) +) +thePort (LogicalPort +decl (Decl +n "hostbus_in" +t "emac_hostbus_out" +preAdd 0 +posAdd 0 +o 6 +) +) +) +*143 (CommentText +uid 1192,0 +ps "EdgeToEdgeStrategy" +shape (Rectangle +uid 1193,0 +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "99000,37000,114000,41000" +) +text (MLText +uid 1194,0 +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "99200,37200,113700,40800" +st " + Hostbus slave. Need to connect or IPBus_pre131_ral won't work... + No point in passing host bus out in/out of block. + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 15000 +) +included 1 +) +*144 (PortMapFrame +uid 1400,0 +ps "PortMapFrameStrategy" +shape (RectFrame +uid 1401,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "97000,35000,115000,44000" +) +portMapText (BiTextGroup +uid 1402,0 +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +uid 1403,0 +va (VaSet +font "courier,8,0" +) +xt "115000,44000,137000,47600" +st "hostbus_in => hostbus_out, +ipbus_in => s_ipbw_internal(NUM_SLAVES-1), +hostbus_out => hostbus_in, +ipbus_out => s_ipbr_internal(NUM_SLAVES-1)," +) +second (MLText +uid 1404,0 +va (VaSet +font "courier,8,0" +) +xt "115000,47600,123500,49400" +st "clk => ipb_clk, +reset => rst_ipb" +tm "PortMapTextMgr" +) +) +) +] +shape (Rectangle +uid 1123,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "99000,37000,113000,42000" +) +ttg (MlTextGroup +uid 1124,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*145 (Text +uid 1125,0 +va (VaSet +font "courier,8,1" +) +xt "101250,42000,107750,42900" +st "fmc_mTLU_lib" +blo "101250,42700" +tm "BdLibraryNameMgr" +) +*146 (Text +uid 1126,0 +va (VaSet +font "courier,8,1" +) +xt "101250,42900,110750,43800" +st "ipbus_emac_hostbus" +blo "101250,43600" +tm "CptNameMgr" +) +*147 (Text +uid 1127,0 +va (VaSet +font "courier,8,1" +) +xt "101250,43800,110250,44700" +st "hostbus_interface" +blo "101250,44500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1128,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1129,0 +text (MLText +uid 1130,0 +va (VaSet +font "courier,8,0" +) +xt "97250,37000,97250,37000" +) +header "" +) +elements [ +] +includeGenericsInHDL 0 +) +viewicon (ZoomableIcon +uid 1131,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "99250,40250,100750,41750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*148 (HdlText +uid 1195,0 +optionalChildren [ +*149 (EmbeddedText +uid 1201,0 +commentText (CommentText +uid 1202,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1203,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "16000,50000,34000,55000" +) +text (MLText +uid 1204,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "16200,50200,25900,51100" +st " +phy_rstb_o <= '1'; + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +] +shape (Rectangle +uid 1196,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "8000,50000,11000,53000" +) +ttg (MlTextGroup +uid 1197,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*150 (Text +uid 1198,0 +va (VaSet +font "courier,8,1" +) +xt "8750,50600,10250,51500" +st "eb1" +blo "8750,51300" +tm "HdlTextNameMgr" +) +*151 (Text +uid 1199,0 +va (VaSet +font "courier,8,1" +) +xt "8750,51500,9250,52400" +st "1" +blo "8750,52200" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 1200,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "8250,51250,9750,52750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*152 (HdlText +uid 1213,0 +optionalChildren [ +*153 (EmbeddedText +uid 1219,0 +commentText (CommentText +uid 1220,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1221,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "16000,38000,34000,43000" +) +text (MLText +uid 1222,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "16200,38200,33200,41800" +st " +mac_addr <= X\"020ddba115\" & dip_switch_i & X\"0\"; -- Careful here, arbitrary addresses do not always work + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +] +shape (Rectangle +uid 1214,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "8000,38000,11000,41000" +) +ttg (MlTextGroup +uid 1215,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*154 (Text +uid 1216,0 +va (VaSet +font "courier,8,1" +) +xt "8750,38600,10250,39500" +st "eb2" +blo "8750,39300" +tm "HdlTextNameMgr" +) +*155 (Text +uid 1217,0 +va (VaSet +font "courier,8,1" +) +xt "8750,39500,9250,40400" +st "2" +blo "8750,40200" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 1218,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "8250,39250,9750,40750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*156 (HdlText +uid 1239,0 +optionalChildren [ +*157 (EmbeddedText +uid 1245,0 +commentText (CommentText +uid 1246,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1247,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "47000,38000,65000,43000" +) +text (MLText +uid 1248,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "47200,38200,63700,40900" +st " +ip_addr <= X\"c0a8c8\" & dip_switch_i & X\"0\"; -- 192.168.200.X + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +] +shape (Rectangle +uid 1240,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "39000,38000,42000,41000" +) +ttg (MlTextGroup +uid 1241,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*158 (Text +uid 1242,0 +va (VaSet +font "courier,8,1" +) +xt "39750,38600,41250,39500" +st "eb3" +blo "39750,39300" +tm "HdlTextNameMgr" +) +*159 (Text +uid 1243,0 +va (VaSet +font "courier,8,1" +) +xt "39750,39500,40250,40400" +st "3" +blo "39750,40200" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 1244,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "39250,39250,40750,40750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*160 (HdlText +uid 1265,0 +optionalChildren [ +*161 (EmbeddedText +uid 1271,0 +commentText (CommentText +uid 1272,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1273,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "80000,-2000,98000,3000" +) +text (MLText +uid 1274,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "80200,-1800,93700,0" +st " +ipbw_o <= s_ipbw_internal(NUM_SLAVES-2 downto 0); + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +] +shape (Rectangle +uid 1266,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "72000,-2000,75000,1000" +) +ttg (MlTextGroup +uid 1267,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*162 (Text +uid 1268,0 +va (VaSet +font "courier,8,1" +) +xt "72750,-1400,74250,-500" +st "eb4" +blo "72750,-700" +tm "HdlTextNameMgr" +) +*163 (Text +uid 1269,0 +va (VaSet +font "courier,8,1" +) +xt "72750,-500,73250,400" +st "4" +blo "72750,200" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 1270,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "72250,-750,73750,750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*164 (HdlText +uid 1291,0 +optionalChildren [ +*165 (EmbeddedText +uid 1297,0 +commentText (CommentText +uid 1298,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1299,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "16000,-2000,34000,3000" +) +text (MLText +uid 1300,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "16200,-1800,31200,0" +st " +s_ipbr_internal(NUM_SLAVES-2 downto 0) <= ipbr_i; + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +] +shape (Rectangle +uid 1292,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "8000,-2000,11000,1000" +) +ttg (MlTextGroup +uid 1293,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*166 (Text +uid 1294,0 +va (VaSet +font "courier,8,1" +) +xt "8750,-1400,10250,-500" +st "eb5" +blo "8750,-700" +tm "HdlTextNameMgr" +) +*167 (Text +uid 1295,0 +va (VaSet +font "courier,8,1" +) +xt "8750,-500,9250,400" +st "5" +blo "8750,200" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 1296,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "8250,-750,9750,750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*168 (HdlText +uid 1317,0 +optionalChildren [ +*169 (EmbeddedText +uid 1323,0 +commentText (CommentText +uid 1324,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1325,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "47000,-2000,65000,3000" +) +text (MLText +uid 1326,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "47200,-1800,62200,0" +st " +s_ipbr_internal(NUM_SLAVES-2 downto 0) <= ipbr_i; + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +] +shape (Rectangle +uid 1318,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "39000,-2000,42000,1000" +) +ttg (MlTextGroup +uid 1319,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*170 (Text +uid 1320,0 +va (VaSet +font "courier,8,1" +) +xt "39750,-1400,41250,-500" +st "eb6" +blo "39750,-700" +tm "HdlTextNameMgr" +) +*171 (Text +uid 1321,0 +va (VaSet +font "courier,8,1" +) +xt "39750,-500,40250,400" +st "6" +blo "39750,200" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 1322,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "39250,-750,40750,750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*172 (CommentText +uid 1343,0 +ps "EdgeToEdgeStrategy" +shape (Rectangle +uid 1344,0 +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "-4000,-8000,11000,-4000" +) +text (MLText +uid 1345,0 +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "-3800,-7800,-3800,-6900" +st " + + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 15000 +) +included 4 +) +*173 (BundleNet +uid 1346,0 +bundleNetName "bundle_clocks_eth_0" +bundleContents [ +*174 (Wire +uid 1356,0 +shape (OrthoPolyLine +uid 1357,0 +sl 0 +va (VaSet +vasetType 3 +) +xt "82750,23000,85000,24000" +pts [ +"82750,23000" +"85000,24000" +] +) +sat 32 +eat 16 +cm 0 +stc 0 +st 0 +si 0 +tg (WTG +uid 1360,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1361,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "80000,22100,83000,23000" +st "clk125" +blo "80000,22800" +tm "WireNameMgr" +) +) +on &1 +) +*175 (Wire +uid 1362,0 +shape (OrthoPolyLine +uid 1363,0 +sl 0 +va (VaSet +vasetType 3 +) +xt "82750,27000,85000,32000" +pts [ +"82750,27000" +"85000,32000" +] +) +sat 32 +eat 16 +cm 0 +stc 0 +st 0 +si 0 +tg (WTG +uid 1366,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1367,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "79000,26100,82500,27000" +st "rst_125" +blo "79000,26800" +tm "WireNameMgr" +) +) +on &4 +) +] +) +*176 (BundleNet +uid 1378,0 +bundleNetName "bundle_clocks_hostbus_interface_0" +bundleContents [ +*177 (Wire +uid 1388,0 +shape (OrthoPolyLine +uid 1389,0 +sl 0 +va (VaSet +vasetType 3 +) +xt "82000,28000,82750,30750" +pts [ +"82750,28000" +"82000,30750" +] +) +sat 32 +eat 16 +cm 0 +stc 0 +st 0 +si 0 +tg (WTG +uid 1392,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1393,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "83000,27100,86500,28000" +st "rst_ipb" +blo "83000,27800" +tm "WireNameMgr" +) +) +on &5 +) +*178 (Wire +uid 1394,0 +shape (OrthoPolyLine +uid 1395,0 +sl 0 +va (VaSet +vasetType 3 +) +xt "82750,24000,85000,26000" +pts [ +"82750,24000" +"85000,26000" +] +) +sat 32 +eat 16 +cm 0 +stc 0 +st 0 +si 0 +tg (WTG +uid 1398,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1399,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "79000,23100,82500,24000" +st "ipb_clk" +blo "79000,23800" +tm "WireNameMgr" +) +) +on &3 +) +] +) +*179 (BundleNet +uid 1405,0 +bundleNetName "bundle_clocks_ipbus_0" +bundleContents [ +*180 (Wire +uid 1415,0 +shape (OrthoPolyLine +uid 1416,0 +sl 0 +va (VaSet +vasetType 3 +) +xt "82000,28000,82750,30750" +pts [ +"82750,28000" +"82000,30750" +] +) +sat 32 +eat 16 +cm 0 +stc 0 +st 0 +si 0 +tg (WTG +uid 1419,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1420,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "83000,27100,86500,28000" +st "rst_ipb" +blo "83000,27800" +tm "WireNameMgr" +) +) +on &5 +) +*181 (Wire +uid 1421,0 +shape (OrthoPolyLine +uid 1422,0 +sl 0 +va (VaSet +vasetType 3 +) +xt "82750,24000,85000,26000" +pts [ +"82750,24000" +"85000,26000" +] +) +sat 32 +eat 16 +cm 0 +stc 0 +st 0 +si 0 +tg (WTG +uid 1425,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1426,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "79000,23100,82500,24000" +st "ipb_clk" +blo "79000,23800" +tm "WireNameMgr" +) +) +on &3 +) +*182 (Wire +uid 1427,0 +shape (OrthoPolyLine +uid 1428,0 +sl 0 +va (VaSet +vasetType 3 +) +xt "82750,23000,85000,24000" +pts [ +"82750,23000" +"85000,24000" +] +) +sat 32 +eat 16 +cm 0 +stc 0 +st 0 +si 0 +tg (WTG +uid 1431,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1432,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "80000,22100,83000,23000" +st "clk125" +blo "80000,22800" +tm "WireNameMgr" +) +) +on &1 +) +*183 (Wire +uid 1433,0 +shape (OrthoPolyLine +uid 1434,0 +sl 0 +va (VaSet +vasetType 3 +) +xt "82750,27000,85000,32000" +pts [ +"82750,27000" +"85000,32000" +] +) +sat 32 +eat 16 +cm 0 +stc 0 +st 0 +si 0 +tg (WTG +uid 1437,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1438,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "79000,26100,82500,27000" +st "rst_125" +blo "79000,26800" +tm "WireNameMgr" +) +) +on &4 +) +] +) +*184 (BundleNet +uid 1444,0 +bundleNetName "bundle_clocks_fabric_0" +bundleContents [ +*185 (Wire +uid 1454,0 +shape (OrthoPolyLine +uid 1455,0 +sl 0 +va (VaSet +vasetType 3 +) +xt "82000,28000,82750,30750" +pts [ +"82750,28000" +"82000,30750" +] +) +sat 32 +eat 16 +cm 0 +stc 0 +st 0 +si 0 +tg (WTG +uid 1458,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1459,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "83000,27100,86500,28000" +st "rst_ipb" +blo "83000,27800" +tm "WireNameMgr" +) +) +on &5 +) +*186 (Wire +uid 1460,0 +shape (OrthoPolyLine +uid 1461,0 +sl 0 +va (VaSet +vasetType 3 +) +xt "82750,24000,85000,26000" +pts [ +"82750,24000" +"85000,26000" +] +) +sat 32 +eat 16 +cm 0 +stc 0 +st 0 +si 0 +tg (WTG +uid 1464,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1465,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "79000,23100,82500,24000" +st "ipb_clk" +blo "79000,23800" +tm "WireNameMgr" +) +) +on &3 +) +] +) +*187 (BundleNet +uid 1471,0 +bundleNetName "bundle_eth_ipbus_0" +bundleContents [ +*188 (Wire +uid 1481,0 +shape (OrthoPolyLine +uid 1482,0 +sl 0 +va (VaSet +vasetType 3 +) +xt "209000,24000,213750,30750" +pts [ +"213750,24000" +"209000,30750" +] +) +sat 32 +eat 16 +cm 0 +stc 0 +st 0 +si 0 +tg (WTG +uid 1485,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1486,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "214000,23100,219500,24000" +st "mac_rxclko" +blo "214000,23800" +tm "WireNameMgr" +) +) +on &10 +) +*189 (Wire +uid 1487,0 +shape (OrthoPolyLine +uid 1488,0 +sl 0 +va (VaSet +vasetType 3 +) +xt "209000,28000,213750,30750" +pts [ +"213750,28000" +"209000,30750" +] +) +sat 32 +eat 16 +cm 0 +stc 0 +st 0 +si 0 +tg (WTG +uid 1491,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1492,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "214000,27100,221500,28000" +st "mac_rxbadframe" +blo "214000,27800" +tm "WireNameMgr" +) +) +on &13 +) +*190 (Wire +uid 1493,0 +shape (OrthoPolyLine +uid 1494,0 +sl 0 +va (VaSet +vasetType 3 +) +xt "213750,23000,216000,28000" +pts [ +"213750,23000" +"216000,28000" +] +) +sat 32 +eat 16 +cm 0 +stc 0 +st 0 +si 0 +tg (WTG +uid 1497,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1498,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "209000,22100,213500,23000" +st "mac_txack" +blo "209000,22800" +tm "WireNameMgr" +) +) +on &9 +) +*191 (Wire +uid 1499,0 +shape (OrthoPolyLine +uid 1500,0 +sl 0 +va (VaSet +vasetType 3 +) +xt "209000,27000,213750,30750" +pts [ +"213750,27000" +"209000,30750" +] +) +sat 32 +eat 16 +cm 0 +stc 0 +st 0 +si 0 +tg (WTG +uid 1503,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1504,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "214000,26100,222000,27000" +st "mac_rxgoodframe" +blo "214000,26800" +tm "WireNameMgr" +) +) +on &12 +) +*192 (Wire +uid 1505,0 +shape (OrthoPolyLine +uid 1506,0 +sl 0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "209000,25000,213750,30750" +pts [ +"213750,25000" +"209000,30750" +] +) +sat 32 +eat 16 +sty 1 +cm 0 +stc 0 +st 0 +si 0 +tg (WTG +uid 1509,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1510,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "214000,24100,217500,25000" +st "mac_rxd" +blo "214000,24800" +tm "WireNameMgr" +) +) +on &7 +) +*193 (Wire +uid 1511,0 +shape (OrthoPolyLine +uid 1512,0 +sl 0 +va (VaSet +vasetType 3 +) +xt "209000,26000,213750,30750" +pts [ +"213750,26000" +"209000,30750" +] +) +sat 32 +eat 16 +cm 0 +stc 0 +st 0 +si 0 +tg (WTG +uid 1515,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1516,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "214000,25100,219500,26000" +st "mac_rxdvld" +blo "214000,25800" +tm "WireNameMgr" +) +) +on &11 +) +] +) +*194 (BundleNet +uid 1517,0 +bundleNetName "bundle_ipbus_eth_0" +bundleContents [ +*195 (Wire +uid 1527,0 +shape (OrthoPolyLine +uid 1528,0 +sl 0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "337750,17000,340000,18000" +pts [ +"337750,17000" +"340000,18000" +] +) +sat 32 +eat 16 +sty 1 +cm 0 +stc 0 +st 0 +si 0 +tg (WTG +uid 1531,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1532,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "330000,16100,333500,17000" +st "mac_txd" +blo "330000,16800" +tm "WireNameMgr" +) +) +on &6 +) +*196 (Wire +uid 1533,0 +shape (OrthoPolyLine +uid 1534,0 +sl 0 +va (VaSet +vasetType 3 +) +xt "337750,18000,340000,20000" +pts [ +"337750,18000" +"340000,20000" +] +) +sat 32 +eat 16 +cm 0 +stc 0 +st 0 +si 0 +tg (WTG +uid 1537,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1538,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "332000,17100,337500,18000" +st "mac_txdvld" +blo "332000,17800" +tm "WireNameMgr" +) +) +on &8 +) +] +) +*197 (Property +uid 1553,0 +pclass "HDS" +pname "DocView" +pvalue "IPBusInterface_rtl.vhd" +ptn "String" +) +*198 (Property +uid 1554,0 +pclass "HDS" +pname "DocViewState" +pvalue "1352817615" +ptn "String" +) +*199 (Wire +uid 63,0 +shape (OrthoPolyLine +uid 64,0 +va (VaSet +vasetType 3 +) +xt "31000,17000,32250,17000" +pts [ +"31000,17000" +"32250,17000" +] +) +start &22 +end &84 +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 67,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 68,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "28000,16100,35000,17000" +st "gmii_rx_clk_i" +blo "28000,16800" +tm "WireNameMgr" +) +) +on &23 +) +*200 (Wire +uid 77,0 +shape (OrthoPolyLine +uid 78,0 +va (VaSet +vasetType 3 +) +xt "31000,18000,32250,18000" +pts [ +"31000,18000" +"32250,18000" +] +) +start &24 +end &86 +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 81,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 82,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "28000,17100,34500,18000" +st "gmii_rx_dv_i" +blo "28000,17800" +tm "WireNameMgr" +) +) +on &25 +) +*201 (Wire +uid 91,0 +shape (OrthoPolyLine +uid 92,0 +va (VaSet +vasetType 3 +) +xt "31000,19000,32250,19000" +pts [ +"31000,19000" +"32250,19000" +] +) +start &26 +end &87 +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 95,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 96,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "28000,18100,34500,19000" +st "gmii_rx_er_i" +blo "28000,18800" +tm "WireNameMgr" +) +) +on &27 +) +*202 (Wire +uid 105,0 +shape (OrthoPolyLine +uid 106,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "31000,20000,32250,20000" +pts [ +"31000,20000" +"32250,20000" +] +) +start &28 +end &85 +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 109,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 110,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "27000,19100,32500,20000" +st "gmii_rxd_i" +blo "27000,19800" +tm "WireNameMgr" +) +) +on &29 +) +*203 (Wire +uid 119,0 +optionalChildren [ +*204 (BdJunction +uid 1545,0 +ps "OnConnectorStrategy" +shape (Circle +uid 1546,0 +va (VaSet +vasetType 1 +) +xt "1600,32600,2400,33400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 120,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "-2000,0,39000,33000" +pts [ +"-2000,33000" +"32000,33000" +"32000,0" +"39000,0" +] +) +start &30 +end &168 +ss 0 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 123,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 124,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "25000,-900,28000,0" +st "ipbr_i" +blo "25000,-200" +tm "WireNameMgr" +) +) +on &31 +) +*205 (Wire +uid 133,0 +shape (OrthoPolyLine +uid 134,0 +va (VaSet +vasetType 3 +) +xt "2000,21000,3250,21000" +pts [ +"2000,21000" +"3250,21000" +] +) +start &32 +end &64 +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 137,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 138,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,20100,5500,21000" +st "sysclk_n_i" +blo "0,20800" +tm "WireNameMgr" +) +) +on &33 +) +*206 (Wire +uid 147,0 +shape (OrthoPolyLine +uid 148,0 +va (VaSet +vasetType 3 +) +xt "2000,22000,3250,22000" +pts [ +"2000,22000" +"3250,22000" +] +) +start &34 +end &63 +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 151,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 152,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,21100,5500,22000" +st "sysclk_p_i" +blo "0,21800" +tm "WireNameMgr" +) +) +on &35 +) +*207 (Wire +uid 161,0 +shape (OrthoPolyLine +uid 162,0 +va (VaSet +vasetType 3 +) +xt "120000,18000,122000,18000" +pts [ +"120000,18000" +"122000,18000" +] +) +end &36 +sat 16 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 165,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 166,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "113000,17100,120500,18000" +st "clk_4x_logic_o" +blo "113000,17800" +tm "WireNameMgr" +) +) +on &37 +) +*208 (Wire +uid 245,0 +shape (OrthoPolyLine +uid 246,0 +va (VaSet +vasetType 3 +) +xt "120000,15000,122000,15000" +pts [ +"120000,15000" +"122000,15000" +] +) +end &48 +sat 16 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 249,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 250,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "115000,14100,119500,15000" +st "ipb_clk_o" +blo "115000,14800" +tm "WireNameMgr" +) +) +on &49 +) +*209 (Wire +uid 259,0 +shape (OrthoPolyLine +uid 260,0 +va (VaSet +vasetType 3 +) +xt "120000,16000,122000,16000" +pts [ +"120000,16000" +"122000,16000" +] +) +end &50 +sat 16 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 263,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 264,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "115000,15100,119500,16000" +st "ipb_rst_o" +blo "115000,15800" +tm "WireNameMgr" +) +) +on &51 +) +*210 (Wire +uid 287,0 +shape (OrthoPolyLine +uid 288,0 +va (VaSet +vasetType 3 +) +xt "120000,17000,122000,17000" +pts [ +"120000,17000" +"122000,17000" +] +) +end &54 +sat 16 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 291,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 292,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "113000,16100,120500,17000" +st "logic_strobe_o" +blo "113000,16800" +tm "WireNameMgr" +) +) +on &55 +) +*211 (Wire +uid 329,0 +optionalChildren [ +*212 (BdJunction +uid 1543,0 +ps "OnConnectorStrategy" +shape (Circle +uid 1544,0 +va (VaSet +vasetType 1 +) +xt "1600,45600,2400,46400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 330,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "-2000,40000,39000,46000" +pts [ +"-2000,46000" +"33000,46000" +"33000,40000" +"39000,40000" +] +) +start &60 +end &156 +ss 0 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 333,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 334,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "28000,39100,34500,40000" +st "dip_switch_i" +blo "28000,39800" +tm "WireNameMgr" +) +) +on &61 +) +*213 (Wire +uid 435,0 +shape (OrthoPolyLine +uid 436,0 +va (VaSet +vasetType 3 +) +xt "15750,26000,18000,26000" +pts [ +"15750,26000" +"18000,26000" +] +) +start &67 +sat 32 +eat 16 +stc 0 +st 0 +si 0 +tg (WTG +uid 439,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 440,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "19000,25100,23000,26000" +st "clk_fast" +blo "19000,25800" +tm "WireNameMgr" +) +) +on &2 +) +*214 (Wire +uid 447,0 +shape (OrthoPolyLine +uid 448,0 +va (VaSet +vasetType 3 +) +xt "15750,21000,17000,21000" +pts [ +"15750,21000" +"17000,21000" +] +) +start &68 +end &38 +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 451,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 452,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "12000,20100,20000,21000" +st "clocks_locked_o" +blo "12000,20800" +tm "WireNameMgr" +) +) +on &39 +) +*215 (Wire +uid 465,0 +shape (OrthoPolyLine +uid 466,0 +va (VaSet +vasetType 3 +) +xt "15750,22000,17000,22000" +pts [ +"15750,22000" +"17000,22000" +] +) +start &71 +end &56 +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 469,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 470,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "15000,21100,18500,22000" +st "onehz_o" +blo "15000,21800" +tm "WireNameMgr" +) +) +on &57 +) +*216 (Wire +uid 656,0 +shape (OrthoPolyLine +uid 657,0 +va (VaSet +vasetType 3 +) +xt "48750,17000,50000,17000" +pts [ +"48750,17000" +"50000,17000" +] +) +start &80 +end &40 +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 660,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 661,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "46000,16100,53500,17000" +st "gmii_gtx_clk_o" +blo "46000,16800" +tm "WireNameMgr" +) +) +on &41 +) +*217 (Wire +uid 662,0 +shape (OrthoPolyLine +uid 663,0 +va (VaSet +vasetType 3 +) +xt "48750,18000,50000,18000" +pts [ +"48750,18000" +"50000,18000" +] +) +start &82 +end &42 +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 666,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 667,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "46000,17100,52500,18000" +st "gmii_tx_en_o" +blo "46000,17800" +tm "WireNameMgr" +) +) +on &43 +) +*218 (Wire +uid 668,0 +shape (OrthoPolyLine +uid 669,0 +va (VaSet +vasetType 3 +) +xt "48750,19000,50000,19000" +pts [ +"48750,19000" +"50000,19000" +] +) +start &83 +end &44 +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 672,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 673,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "46000,18100,52500,19000" +st "gmii_tx_er_o" +blo "46000,18800" +tm "WireNameMgr" +) +) +on &45 +) +*219 (Wire +uid 674,0 +shape (OrthoPolyLine +uid 675,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "48750,20000,50000,20000" +pts [ +"48750,20000" +"50000,20000" +] +) +start &81 +end &46 +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 678,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 679,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "45000,19100,50500,20000" +st "gmii_txd_o" +blo "45000,19800" +tm "WireNameMgr" +) +) +on &47 +) +*220 (Wire +uid 758,0 +shape (OrthoPolyLine +uid 759,0 +va (VaSet +vasetType 3 +) +xt "48750,27000,98250,40000" +pts [ +"48750,27000" +"54000,27000" +"54000,40000" +"98250,40000" +] +) +start &97 +end &142 +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 762,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 763,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "50000,26100,56000,27000" +st "hostbus_out" +blo "50000,26800" +tm "WireNameMgr" +) +) +on &19 +) +*221 (Wire +uid 977,0 +shape (OrthoPolyLine +uid 978,0 +va (VaSet +vasetType 3 +) +xt "59000,9000,88000,29000" +pts [ +"81750,27000" +"88000,27000" +"88000,29000" +"59000,29000" +"59000,9000" +"64250,9000" +] +) +start &116 +end &128 +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 981,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 982,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "83000,26100,90500,27000" +st "ipb_master_out" +blo "83000,26800" +tm "WireNameMgr" +) +) +on &14 +) +*222 (Wire +uid 1080,0 +shape (OrthoPolyLine +uid 1081,0 +va (VaSet +vasetType 3 +) +xt "58000,9000,90000,31000" +pts [ +"82750,9000" +"90000,9000" +"90000,31000" +"58000,31000" +"58000,27000" +"64250,27000" +] +) +start &129 +end &117 +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 1084,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1085,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "84000,8100,91000,9000" +st "ipb_master_in" +blo "84000,8800" +tm "WireNameMgr" +) +) +on &15 +) +*223 (Wire +uid 1086,0 +optionalChildren [ +*224 (Ripper +uid 1549,0 +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"89000,10000" +"90000,11000" +] +uid 1550,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "89000,10000,90000,11000" +) +) +] +shape (OrthoPolyLine +uid 1087,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "64000,0,89000,11000" +pts [ +"82750,8000" +"89000,8000" +"89000,11000" +"64000,11000" +"64000,0" +"72000,0" +] +) +start &130 +end &160 +ss 0 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 1090,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1091,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "54000,-900,62000,0" +st "s_ipbw_internal" +blo "54000,-200" +tm "WireNameMgr" +) +) +on &20 +) +*225 (Wire +uid 1092,0 +optionalChildren [ +*226 (Ripper +uid 1541,0 +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"119000,42000" +"118000,41000" +] +uid 1542,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "118000,41000,119000,42000" +) +) +*227 (BdJunction +uid 1551,0 +ps "OnConnectorStrategy" +shape (Circle +uid 1552,0 +va (VaSet +vasetType 1 +) +xt "56600,7600,57400,8400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 1093,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "57000,8000,119000,43000" +pts [ +"119000,41000" +"119000,43000" +"57000,43000" +"57000,8000" +"64250,8000" +] +) +end &131 +sat 16 +eat 32 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 1096,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1097,0 +ro 270 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "118100,32000,119000,40000" +st "s_ipbr_internal" +blo "118800,40000" +tm "WireNameMgr" +) +) +on &21 +) +*228 (Wire +uid 1168,0 +shape (OrthoPolyLine +uid 1169,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "90000,11000,98250,38000" +pts [ +"90000,11000" +"93000,11000" +"93000,38000" +"98250,38000" +] +) +start &224 +end &139 +sat 32 +eat 32 +sty 1 +sl "(NUM_SLAVES-1)" +stc 0 +st 0 +si 0 +tg (WTG +uid 1172,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1173,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "74000,37100,89000,38000" +st "s_ipbw_internal(NUM_SLAVES-1)" +blo "74000,37800" +tm "WireNameMgr" +) +) +on &20 +) +*229 (Wire +uid 1174,0 +shape (OrthoPolyLine +uid 1175,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "113750,41000,119000,41000" +pts [ +"113750,41000" +"119000,41000" +] +) +start &140 +end &226 +sat 32 +eat 32 +sty 1 +sl "(NUM_SLAVES-1)" +stc 0 +st 0 +si 0 +tg (WTG +uid 1178,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1179,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "104000,40100,119000,41000" +st "s_ipbr_internal(NUM_SLAVES-1)" +blo "104000,40800" +tm "WireNameMgr" +) +) +on &21 +) +*230 (Wire +uid 1180,0 +shape (OrthoPolyLine +uid 1181,0 +va (VaSet +vasetType 3 +) +xt "27000,26000,120000,44000" +pts [ +"113750,40000" +"120000,40000" +"120000,44000" +"27000,44000" +"27000,26000" +"32250,26000" +] +) +start &141 +end &96 +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 1184,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1185,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "115000,39100,120500,40000" +st "hostbus_in" +blo "115000,39800" +tm "WireNameMgr" +) +) +on &18 +) +*231 (Wire +uid 1205,0 +shape (OrthoPolyLine +uid 1206,0 +va (VaSet +vasetType 3 +) +xt "11000,51000,12000,51000" +pts [ +"11000,51000" +"12000,51000" +] +) +start &148 +end &58 +es 0 +sat 2 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 1211,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1212,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "9000,50100,14500,51000" +st "phy_rstb_o" +blo "9000,50800" +tm "WireNameMgr" +) +) +on &59 +) +*232 (Wire +uid 1223,0 +shape (OrthoPolyLine +uid 1224,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "2000,40000,8000,46000" +pts [ +"2000,46000" +"2000,40000" +"8000,40000" +] +) +start &212 +end &152 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 1229,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1230,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-3000,39100,3500,40000" +st "dip_switch_i" +blo "-3000,39800" +tm "WireNameMgr" +) +) +on &61 +) +*233 (Wire +uid 1231,0 +shape (OrthoPolyLine +uid 1232,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "11000,14000,64250,39000" +pts [ +"11000,39000" +"17000,39000" +"17000,14000" +"58000,14000" +"58000,16000" +"64250,16000" +] +) +start &152 +end &118 +es 0 +sat 2 +eat 32 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 1237,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1238,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "12000,38100,16000,39000" +st "mac_addr" +blo "12000,38800" +tm "WireNameMgr" +) +) +on &16 +) +*234 (Wire +uid 1257,0 +shape (OrthoPolyLine +uid 1258,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "42000,18000,64250,39000" +pts [ +"42000,39000" +"49000,39000" +"49000,18000" +"64250,18000" +] +) +start &156 +end &119 +es 0 +sat 2 +eat 32 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 1263,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1264,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "43000,38100,46500,39000" +st "ip_addr" +blo "43000,38800" +tm "WireNameMgr" +) +) +on &17 +) +*235 (Wire +uid 1283,0 +shape (OrthoPolyLine +uid 1284,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "75000,-1000,76000,-1000" +pts [ +"75000,-1000" +"76000,-1000" +] +) +start &160 +end &52 +es 0 +sat 2 +eat 32 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 1289,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1290,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "69000,-1900,72000,-1000" +st "ipbw_o" +blo "69000,-1200" +tm "WireNameMgr" +) +) +on &53 +) +*236 (Wire +uid 1301,0 +shape (OrthoPolyLine +uid 1302,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "2000,0,8000,33000" +pts [ +"2000,33000" +"2000,0" +"8000,0" +] +) +start &204 +end &164 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 1307,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1308,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-6000,-900,-3000,0" +st "ipbr_i" +blo "-6000,-200" +tm "WireNameMgr" +) +) +on &31 +) +*237 (Wire +uid 1309,0 +shape (OrthoPolyLine +uid 1310,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "11000,-1000,57000,8000" +pts [ +"11000,-1000" +"57000,-1000" +"57000,8000" +] +) +start &164 +end &227 +sat 2 +eat 32 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 1315,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1316,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "12000,-1900,20000,-1000" +st "s_ipbr_internal" +blo "12000,-1200" +tm "WireNameMgr" +) +) +on &21 +) +*238 (Wire +uid 1335,0 +shape (OrthoPolyLine +uid 1336,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "42000,-1000,44000,-1000" +pts [ +"42000,-1000" +"44000,-1000" +] +) +start &168 +sat 2 +eat 16 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 1341,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1342,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "45000,-1900,53000,-1000" +st "s_ipbr_internal" +blo "45000,-1200" +tm "WireNameMgr" +) +) +on &21 +) +*239 (Bundle +uid 1347,0 +shape (OrthoPolyLine +uid 1348,0 +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +xt "16996,21000,31004,25000" +pts [ +"16996,24999" +"24000,25000" +"24000,21000" +"31004,21000" +] +) +start &73 +end &99 +sat 2 +eat 1 +textGroup (BiTextGroup +uid 1353,0 +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 1354,0 +va (VaSet +font "courier,8,0" +) +xt "18000,24100,28000,25000" +st "bundle_clocks_eth_0" +blo "18000,24800" +tm "BundleNameMgr" +) +second (MLText +uid 1355,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "18000,25000,26500,25900" +st "(clk125,rst_125)" +tm "BundleContentsMgr" +) +) +bundleNet &173 +) +&174 +&175 +*240 (Bundle +uid 1379,0 +shape (OrthoPolyLine +uid 1380,0 +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +xt "16996,13000,97004,41000" +pts [ +"16996,26998" +"23000,27000" +"23000,13000" +"92000,13000" +"92000,41000" +"97004,40999" +] +) +start &73 +end &144 +sat 2 +eat 1 +textGroup (BiTextGroup +uid 1385,0 +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 1386,0 +va (VaSet +font "courier,8,0" +) +xt "18000,26100,35000,27000" +st "bundle_clocks_hostbus_interface_0" +blo "18000,26800" +tm "BundleNameMgr" +) +second (MLText +uid 1387,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "18000,27000,27000,27900" +st "(ipb_clk,rst_ipb)" +tm "BundleContentsMgr" +) +) +bundleNet &176 +) +&177 +&178 +*241 (Bundle +uid 1406,0 +shape (OrthoPolyLine +uid 1407,0 +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +xt "16996,15000,63004,24000" +pts [ +"16996,24000" +"22000,24000" +"22000,15000" +"63004,15002" +] +) +start &73 +end &121 +sat 2 +eat 1 +textGroup (BiTextGroup +uid 1412,0 +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 1413,0 +va (VaSet +font "courier,8,0" +) +xt "18000,23100,29000,24000" +st "bundle_clocks_ipbus_0" +blo "18000,23800" +tm "BundleNameMgr" +) +second (MLText +uid 1414,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "18000,24000,34500,24900" +st "(clk125,ipb_clk,rst_125,rst_ipb)" +tm "BundleContentsMgr" +) +) +bundleNet &179 +) +&180 +&181 +&182 +&183 +*242 (Bundle +uid 1445,0 +shape (OrthoPolyLine +uid 1446,0 +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +xt "16996,6000,63004,23001" +pts [ +"16996,23001" +"21000,23000" +"21000,6000" +"63004,6001" +] +) +start &73 +end &132 +sat 2 +eat 1 +textGroup (BiTextGroup +uid 1451,0 +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 1452,0 +va (VaSet +font "courier,8,0" +) +xt "17000,21100,28500,22000" +st "bundle_clocks_fabric_0" +blo "17000,21800" +tm "BundleNameMgr" +) +second (MLText +uid 1453,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "17000,22000,26000,22900" +st "(ipb_clk,rst_ipb)" +tm "BundleContentsMgr" +) +) +bundleNet &184 +) +&185 +&186 +*243 (Bundle +uid 1472,0 +shape (OrthoPolyLine +uid 1473,0 +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +xt "49996,17000,63004,21000" +pts [ +"49996,21000" +"55000,21000" +"55000,17000" +"63004,17002" +] +) +start &99 +end &121 +sat 2 +eat 1 +textGroup (BiTextGroup +uid 1478,0 +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 1479,0 +va (VaSet +font "courier,8,0" +) +xt "51000,20100,60500,21000" +st "bundle_eth_ipbus_0" +blo "51000,20800" +tm "BundleNameMgr" +) +second (MLText +uid 1480,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "51000,21000,87500,21900" +st "(mac_rxbadframe,mac_rxclko,mac_rxd,mac_rxdvld,mac_rxgoodframe,mac_txack)" +tm "BundleContentsMgr" +) +) +bundleNet &187 +) +&188 +&189 +&190 +&191 +&192 +&193 +*244 (Bundle +uid 1518,0 +shape (OrthoPolyLine +uid 1519,0 +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +xt "26000,25998,89000,30000" +pts [ +"82996,25998" +"89000,26000" +"89000,30000" +"26000,30000" +"26000,27000" +"31004,26998" +] +) +start &121 +end &99 +sat 2 +eat 1 +textGroup (BiTextGroup +uid 1524,0 +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 1525,0 +va (VaSet +font "courier,8,0" +) +xt "84000,25100,93500,26000" +st "bundle_ipbus_eth_0" +blo "84000,25800" +tm "BundleNameMgr" +) +second (MLText +uid 1526,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "84000,26000,94500,26900" +st "(mac_txd,mac_txdvld)" +tm "BundleContentsMgr" +) +) +bundleNet &194 +) +&195 +&196 +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *245 (PackageList +uid 1639,0 +stg "VerticalLayoutStrategy" +textVec [ +*246 (Text +uid 1640,0 +va (VaSet +font "courier,8,1" +) +xt "1000,58000,7500,58900" +st "Package List" +blo "1000,58700" +) +*247 (MLText +uid 1641,0 +va (VaSet +font "courier,8,0" +) +xt "1000,58900,15500,62500" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 1642,0 +stg "VerticalLayoutStrategy" +textVec [ +*248 (Text +uid 1643,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "21000,58000,31000,58900" +st "Compiler Directives" +blo "21000,58700" +) +*249 (Text +uid 1644,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "21000,58900,32500,59800" +st "Pre-module directives:" +blo "21000,59600" +) +*250 (MLText +uid 1645,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "21000,59800,31100,61600" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*251 (Text +uid 1646,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "21000,61600,33000,62500" +st "Post-module directives:" +blo "21000,62300" +) +*252 (MLText +uid 1647,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "21000,58000,21000,58000" +tm "BdCompilerDirectivesTextMgr" +) +*253 (Text +uid 1648,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "21000,62500,32500,63400" +st "End-module directives:" +blo "21000,63200" +) +*254 (MLText +uid 1649,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "21000,63400,21000,63400" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "0,0,1015,690" +viewArea "-11500,-8000,137000,103000" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +lastUid 1649,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,4000,1900" +st "Panel0" +blo "1000,1700" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*255 (Text +va (VaSet +font "courier,8,1" +) +xt "1750,3650,6250,4550" +st "<library>" +blo "1750,4350" +tm "BdLibraryNameMgr" +) +*256 (Text +va (VaSet +font "courier,8,1" +) +xt "1750,4550,5250,5450" +st "<block>" +blo "1750,5250" +tm "BlkNameMgr" +) +*257 (Text +va (VaSet +font "courier,8,1" +) +xt "1750,5450,2750,6350" +st "I0" +blo "1750,6150" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "1750,13650,1750,13650" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*258 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,3650,4500,4550" +st "Library" +blo "1000,4350" +) +*259 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,4550,7000,5450" +st "MWComponent" +blo "1000,5250" +) +*260 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,5450,2000,6350" +st "I0" +blo "1000,6150" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6000,1650,-6000,1650" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*261 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,3650,4500,4550" +st "Library" +blo "1000,4350" +tm "BdLibraryNameMgr" +) +*262 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,4550,7000,5450" +st "SaComponent" +blo "1000,5250" +tm "CptNameMgr" +) +*263 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,5450,2000,6350" +st "I0" +blo "1000,6150" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6000,1650,-6000,1650" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +portVis (PortSigDisplay +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*264 (Text +va (VaSet +font "courier,8,1" +) +xt "500,3650,4000,4550" +st "Library" +blo "500,4350" +) +*265 (Text +va (VaSet +font "courier,8,1" +) +xt "500,4550,7500,5450" +st "VhdlComponent" +blo "500,5250" +) +*266 (Text +va (VaSet +font "courier,8,1" +) +xt "500,5450,1500,6350" +st "I0" +blo "500,6150" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6500,1650,-6500,1650" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-750,0,8750,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*267 (Text +va (VaSet +font "courier,8,1" +) +xt "-250,3650,3250,4550" +st "Library" +blo "-250,4350" +) +*268 (Text +va (VaSet +font "courier,8,1" +) +xt "-250,4550,8250,5450" +st "VerilogComponent" +blo "-250,5250" +) +*269 (Text +va (VaSet +font "courier,8,1" +) +xt "-250,5450,750,6350" +st "I0" +blo "-250,6150" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-7250,1650,-7250,1650" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*270 (Text +va (VaSet +font "courier,8,1" +) +xt "3250,4100,4750,5000" +st "eb1" +blo "3250,4800" +tm "HdlTextNameMgr" +) +*271 (Text +va (VaSet +font "courier,8,1" +) +xt "3250,5000,3750,5900" +st "1" +blo "3250,5700" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +font "courier,8,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +font "courier,8,1" +) +xt "-250,-450,250,450" +st "G" +blo "-250,250" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,0,2000,900" +st "sig0" +blo "0,700" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,0,2500,900" +st "dbus0" +blo "0,700" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,0" +) +xt "0,0,3500,900" +st "bundle0" +blo "0,700" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +font "courier,8,0" +) +xt "0,900,1000,1800" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +font "courier,8,0" +) +) +second (MLText +va (VaSet +font "courier,8,0" +) +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,-1000,14500,-100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1350" +) +num (Text +va (VaSet +font "courier,8,0" +) +xt "300,250,800,1150" +st "1" +blo "300,950" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*272 (Text +va (VaSet +font "courier,8,1" +) +xt "12500,20000,22000,20900" +st "Frame Declarations" +blo "12500,20700" +) +*273 (MLText +va (VaSet +font "courier,8,0" +) +xt "12500,20900,12500,20900" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,-1000,9000,-100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1350" +) +num (Text +va (VaSet +font "courier,8,0" +) +xt "300,250,800,1150" +st "1" +blo "300,950" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*274 (Text +va (VaSet +font "courier,8,1" +) +xt "12500,20000,22000,20900" +st "Frame Declarations" +blo "12500,20700" +) +*275 (MLText +va (VaSet +font "courier,8,0" +) +xt "12500,20900,12500,20900" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,2000,1650" +st "Port" +blo "0,1450" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,2000,1650" +st "Port" +blo "0,1450" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "courier,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "18000,58000,24500,58900" +st "Declarations" +blo "18000,58700" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "18000,58900,21000,59800" +st "Ports:" +blo "18000,59600" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "18000,77800,22500,78700" +st "Pre User:" +blo "18000,78500" +) +preUserText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "20000,78700,35500,82300" +st " +SIGNAL locked : STD_LOGIC; + +SIGNAL s_ipb_clk : std_logic; +" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "courier,8,1" +) +xt "18000,82300,26500,83200" +st "Diagram Signals:" +blo "18000,83000" +) +postUserLabel (Text +uid 7,0 +va (VaSet +font "courier,8,1" +) +xt "18000,102100,23500,103000" +st "Post User:" +blo "18000,102800" +) +postUserText (MLText +uid 8,0 +va (VaSet +font "courier,8,0" +) +xt "18000,58000,18000,58000" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 43,0 +usingSuid 1 +emptyRow *276 (LEmptyRow +) +optionalChildren [ +*277 (RefLabelRowHdr +) +*278 (TitleRowHdr +) +*279 (FilterRowHdr +) +*280 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*281 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*282 (GroupColHdr +tm "GroupColHdrMgr" +) +*283 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*284 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*285 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*286 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*287 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*288 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*289 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "clk125" +t "STD_LOGIC" +o 21 +suid 1,0 +) +) +uid 1555,0 +) +*290 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "clk_fast" +t "STD_LOGIC" +o 22 +suid 2,0 +) +) +uid 1557,0 +) +*291 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "ipb_clk" +t "STD_LOGIC" +o 23 +suid 3,0 +) +) +uid 1559,0 +) +*292 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "rst_125" +t "STD_LOGIC" +o 24 +suid 5,0 +) +) +uid 1561,0 +) +*293 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "rst_ipb" +t "STD_LOGIC" +o 25 +suid 6,0 +) +) +uid 1563,0 +) +*294 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "mac_txd" +t "STD_LOGIC_VECTOR" +b "(7 downto 0)" +o 26 +suid 7,0 +) +) +uid 1565,0 +) +*295 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "mac_rxd" +t "STD_LOGIC_VECTOR" +b "(7 downto 0)" +o 27 +suid 8,0 +) +) +uid 1567,0 +) +*296 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "mac_txdvld" +t "STD_LOGIC" +o 28 +suid 9,0 +) +) +uid 1569,0 +) +*297 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "mac_txack" +t "STD_LOGIC" +o 29 +suid 10,0 +) +) +uid 1571,0 +) +*298 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "mac_rxclko" +t "STD_LOGIC" +o 30 +suid 11,0 +) +) +uid 1573,0 +) +*299 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "mac_rxdvld" +t "STD_LOGIC" +o 31 +suid 12,0 +) +) +uid 1575,0 +) +*300 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "mac_rxgoodframe" +t "STD_LOGIC" +o 32 +suid 13,0 +) +) +uid 1577,0 +) +*301 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "mac_rxbadframe" +t "STD_LOGIC" +o 33 +suid 14,0 +) +) +uid 1579,0 +) +*302 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "ipb_master_out" +t "ipb_wbus" +o 34 +suid 15,0 +) +) +uid 1581,0 +) +*303 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "ipb_master_in" +t "ipb_rbus" +o 35 +suid 16,0 +) +) +uid 1583,0 +) +*304 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "mac_addr" +t "std_logic_vector" +b "(47 downto 0)" +o 36 +suid 17,0 +) +) +uid 1585,0 +) +*305 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "ip_addr" +t "std_logic_vector" +b "(31 downto 0)" +o 37 +suid 18,0 +) +) +uid 1587,0 +) +*306 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "hostbus_in" +t "emac_hostbus_in" +o 38 +suid 20,0 +) +) +uid 1589,0 +) +*307 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "hostbus_out" +t "emac_hostbus_out" +o 39 +suid 21,0 +) +) +uid 1591,0 +) +*308 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "s_ipbw_internal" +t "ipb_wbus_array" +b "(NUM_SLAVES-1 DOWNTO 0)" +o 40 +suid 22,0 +) +) +uid 1593,0 +) +*309 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "s_ipbr_internal" +t "ipb_rbus_array" +b "(NUM_SLAVES-1 DOWNTO 0)" +o 41 +suid 23,0 +) +) +uid 1595,0 +) +*310 (LeafLogPort +port (LogicalPort +decl (Decl +n "gmii_rx_clk_i" +t "std_logic" +o 1 +suid 24,0 +) +) +uid 1597,0 +) +*311 (LeafLogPort +port (LogicalPort +decl (Decl +n "gmii_rx_dv_i" +t "std_logic" +o 2 +suid 25,0 +) +) +uid 1599,0 +) +*312 (LeafLogPort +port (LogicalPort +decl (Decl +n "gmii_rx_er_i" +t "std_logic" +o 3 +suid 26,0 +) +) +uid 1601,0 +) +*313 (LeafLogPort +port (LogicalPort +decl (Decl +n "gmii_rxd_i" +t "std_logic_vector" +b "(7 DOWNTO 0)" +o 4 +suid 27,0 +) +) +uid 1603,0 +) +*314 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "ipbr_i" +t "ipb_rbus_array" +b "(NUM_SLAVES-2 DOWNTO 0)" +eolc "! IPBus read signals" +o 5 +suid 28,0 +) +) +uid 1605,0 +) +*315 (LeafLogPort +port (LogicalPort +decl (Decl +n "sysclk_n_i" +t "std_logic" +o 6 +suid 29,0 +) +) +uid 1607,0 +) +*316 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "sysclk_p_i" +t "std_logic" +eolc "! 200 MHz xtal clock" +o 7 +suid 30,0 +) +) +uid 1609,0 +) +*317 (LeafLogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "clk_4x_logic_o" +t "std_logic" +eolc "! normally 160MHz" +o 8 +suid 31,0 +) +) +uid 1611,0 +) +*318 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "clocks_locked_o" +t "std_logic" +o 9 +suid 32,0 +) +) +uid 1613,0 +) +*319 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "gmii_gtx_clk_o" +t "std_logic" +o 10 +suid 33,0 +) +) +uid 1615,0 +) +*320 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "gmii_tx_en_o" +t "std_logic" +o 11 +suid 34,0 +) +) +uid 1617,0 +) +*321 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "gmii_tx_er_o" +t "std_logic" +o 12 +suid 35,0 +) +) +uid 1619,0 +) +*322 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "gmii_txd_o" +t "std_logic_vector" +b "(7 DOWNTO 0)" +o 13 +suid 36,0 +) +) +uid 1621,0 +) +*323 (LeafLogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "ipb_clk_o" +t "std_logic" +eolc "! IPBus clock to slaves" +o 14 +suid 37,0 +) +) +uid 1623,0 +) +*324 (LeafLogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "ipb_rst_o" +t "std_logic" +eolc "! IPBus reset to slaves" +o 15 +suid 38,0 +) +) +uid 1625,0 +) +*325 (LeafLogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "ipbw_o" +t "ipb_wbus_array" +b "(NUM_SLAVES-2 DOWNTO 0)" +eolc "! IBus write signals" +o 16 +suid 39,0 +) +) +uid 1627,0 +) +*326 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "logic_strobe_o" +t "std_logic" +eolc "! 40MHz strobe sync with 160MHz clock" +o 17 +suid 40,0 +) +) +uid 1629,0 +) +*327 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "onehz_o" +t "std_logic" +o 18 +suid 41,0 +) +) +uid 1631,0 +) +*328 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "phy_rstb_o" +t "std_logic" +o 19 +suid 42,0 +) +) +uid 1633,0 +) +*329 (LeafLogPort +port (LogicalPort +decl (Decl +n "dip_switch_i" +t "std_logic_vector" +b "(3 DOWNTO 0)" +o 20 +suid 43,0 +) +) +uid 1635,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +optionalChildren [ +*330 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *331 (MRCItem +litem &276 +pos 3 +dimension 20 +) +optionalChildren [ +*332 (MRCItem +litem &277 +pos 0 +dimension 20 +) +*333 (MRCItem +litem &278 +pos 1 +dimension 23 +) +*334 (MRCItem +litem &279 +pos 2 +hidden 1 +dimension 20 +) +*335 (MRCItem +litem &289 +pos 20 +dimension 20 +uid 1556,0 +) +*336 (MRCItem +litem &290 +pos 21 +dimension 20 +uid 1558,0 +) +*337 (MRCItem +litem &291 +pos 22 +dimension 20 +uid 1560,0 +) +*338 (MRCItem +litem &292 +pos 23 +dimension 20 +uid 1562,0 +) +*339 (MRCItem +litem &293 +pos 24 +dimension 20 +uid 1564,0 +) +*340 (MRCItem +litem &294 +pos 25 +dimension 20 +uid 1566,0 +) +*341 (MRCItem +litem &295 +pos 26 +dimension 20 +uid 1568,0 +) +*342 (MRCItem +litem &296 +pos 27 +dimension 20 +uid 1570,0 +) +*343 (MRCItem +litem &297 +pos 28 +dimension 20 +uid 1572,0 +) +*344 (MRCItem +litem &298 +pos 29 +dimension 20 +uid 1574,0 +) +*345 (MRCItem +litem &299 +pos 30 +dimension 20 +uid 1576,0 +) +*346 (MRCItem +litem &300 +pos 31 +dimension 20 +uid 1578,0 +) +*347 (MRCItem +litem &301 +pos 32 +dimension 20 +uid 1580,0 +) +*348 (MRCItem +litem &302 +pos 33 +dimension 20 +uid 1582,0 +) +*349 (MRCItem +litem &303 +pos 34 +dimension 20 +uid 1584,0 +) +*350 (MRCItem +litem &304 +pos 35 +dimension 20 +uid 1586,0 +) +*351 (MRCItem +litem &305 +pos 36 +dimension 20 +uid 1588,0 +) +*352 (MRCItem +litem &306 +pos 37 +dimension 20 +uid 1590,0 +) +*353 (MRCItem +litem &307 +pos 38 +dimension 20 +uid 1592,0 +) +*354 (MRCItem +litem &308 +pos 39 +dimension 20 +uid 1594,0 +) +*355 (MRCItem +litem &309 +pos 40 +dimension 20 +uid 1596,0 +) +*356 (MRCItem +litem &310 +pos 3 +dimension 20 +uid 1598,0 +) +*357 (MRCItem +litem &311 +pos 4 +dimension 20 +uid 1600,0 +) +*358 (MRCItem +litem &312 +pos 5 +dimension 20 +uid 1602,0 +) +*359 (MRCItem +litem &313 +pos 6 +dimension 20 +uid 1604,0 +) +*360 (MRCItem +litem &314 +pos 14 +dimension 20 +uid 1606,0 +) +*361 (MRCItem +litem &315 +pos 1 +dimension 20 +uid 1608,0 +) +*362 (MRCItem +litem &316 +pos 0 +dimension 20 +uid 1610,0 +) +*363 (MRCItem +litem &317 +pos 15 +dimension 20 +uid 1612,0 +) +*364 (MRCItem +litem &318 +pos 18 +dimension 20 +uid 1614,0 +) +*365 (MRCItem +litem &319 +pos 2 +dimension 20 +uid 1616,0 +) +*366 (MRCItem +litem &320 +pos 7 +dimension 20 +uid 1618,0 +) +*367 (MRCItem +litem &321 +pos 8 +dimension 20 +uid 1620,0 +) +*368 (MRCItem +litem &322 +pos 9 +dimension 20 +uid 1622,0 +) +*369 (MRCItem +litem &323 +pos 11 +dimension 20 +uid 1624,0 +) +*370 (MRCItem +litem &324 +pos 12 +dimension 20 +uid 1626,0 +) +*371 (MRCItem +litem &325 +pos 13 +dimension 20 +uid 1628,0 +) +*372 (MRCItem +litem &326 +pos 16 +dimension 20 +uid 1630,0 +) +*373 (MRCItem +litem &327 +pos 17 +dimension 20 +uid 1632,0 +) +*374 (MRCItem +litem &328 +pos 10 +dimension 20 +uid 1634,0 +) +*375 (MRCItem +litem &329 +pos 19 +dimension 20 +uid 1636,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +optionalChildren [ +*376 (MRCItem +litem &280 +pos 0 +dimension 20 +) +*377 (MRCItem +litem &282 +pos 1 +dimension 50 +) +*378 (MRCItem +litem &283 +pos 2 +dimension 100 +) +*379 (MRCItem +litem &284 +pos 3 +dimension 50 +) +*380 (MRCItem +litem &285 +pos 4 +dimension 100 +) +*381 (MRCItem +litem &286 +pos 5 +dimension 100 +) +*382 (MRCItem +litem &287 +pos 6 +dimension 50 +) +*383 (MRCItem +litem &288 +pos 7 +dimension 80 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +vaOverrides [ +] +) +] +) +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *384 (LEmptyRow +) +optionalChildren [ +*385 (RefLabelRowHdr +) +*386 (TitleRowHdr +) +*387 (FilterRowHdr +) +*388 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*389 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*390 (GroupColHdr +tm "GroupColHdrMgr" +) +*391 (NameColHdr +tm "GenericNameColHdrMgr" +) +*392 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*393 (InitColHdr +tm "GenericValueColHdrMgr" +) +*394 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*395 (EolColHdr +tm "GenericEolColHdrMgr" +) +*396 (LogGeneric +generic (GiElement +name "NUM_SLAVES" +type "positive" +value "6" +) +uid 1638,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +optionalChildren [ +*397 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *398 (MRCItem +litem &384 +pos 3 +dimension 20 +) +optionalChildren [ +*399 (MRCItem +litem &385 +pos 0 +dimension 20 +) +*400 (MRCItem +litem &386 +pos 1 +dimension 23 +) +*401 (MRCItem +litem &387 +pos 2 +hidden 1 +dimension 20 +) +*402 (MRCItem +litem &396 +pos 0 +dimension 20 +uid 1637,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +optionalChildren [ +*403 (MRCItem +litem &388 +pos 0 +dimension 20 +) +*404 (MRCItem +litem &390 +pos 1 +dimension 50 +) +*405 (MRCItem +litem &391 +pos 2 +dimension 100 +) +*406 (MRCItem +litem &392 +pos 3 +dimension 100 +) +*407 (MRCItem +litem &393 +pos 4 +dimension 50 +) +*408 (MRCItem +litem &394 +pos 5 +dimension 50 +) +*409 (MRCItem +litem &395 +pos 6 +dimension 80 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +vaOverrides [ +] +) +] +) +type 1 +) +) diff --git a/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/@i@p@bus@interface/symbol.sb b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/@i@p@bus@interface/symbol.sb new file mode 100644 index 00000000..0c60ef7a --- /dev/null +++ b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/@i@p@bus@interface/symbol.sb @@ -0,0 +1,2531 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "work" +unitName "ipbus" +) +(DmPackageRef +library "work" +unitName "emac_hostbus_decl" +) +] +libraryRefs [ +"ieee" +] +) +version "25.1" +appVersion "2010.3 (Build 21)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 26,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 133,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "gmii_rx_clk_i" +t "std_logic" +o 1 +suid 2,0 +) +) +uid 50,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "gmii_rx_dv_i" +t "std_logic" +o 2 +suid 3,0 +) +) +uid 52,0 +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "gmii_rx_er_i" +t "std_logic" +o 3 +suid 4,0 +) +) +uid 54,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "gmii_rxd_i" +t "std_logic_vector" +b "(7 DOWNTO 0)" +o 4 +suid 5,0 +) +) +uid 56,0 +) +*18 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "gmii_tx_en_o" +t "std_logic" +o 10 +suid 6,0 +) +) +uid 58,0 +) +*19 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "gmii_tx_er_o" +t "std_logic" +o 11 +suid 7,0 +) +) +uid 60,0 +) +*20 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "gmii_txd_o" +t "std_logic_vector" +b "(7 DOWNTO 0)" +o 12 +suid 8,0 +) +) +uid 62,0 +) +*21 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "phy_rstb_o" +t "std_logic" +o 17 +suid 10,0 +) +) +uid 66,0 +) +*22 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "gmii_gtx_clk_o" +t "std_logic" +o 9 +suid 1,0 +) +) +uid 48,0 +) +*23 (LogPort +port (LogicalPort +decl (Decl +n "sysclk_n_i" +t "std_logic" +o 6 +suid 12,0 +) +) +uid 70,0 +) +*24 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "sysclk_p_i" +t "std_logic" +eolc "! 200 MHz xtal clock" +o 7 +suid 13,0 +) +) +uid 72,0 +) +*25 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "ipb_clk_o" +t "std_logic" +eolc "! IPBus clock to slaves" +o 13 +suid 14,0 +) +) +uid 190,0 +) +*26 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "ipb_rst_o" +t "std_logic" +eolc "! IPBus reset to slaves" +o 14 +suid 15,0 +) +) +uid 192,0 +) +*27 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "ipbw_o" +t "ipb_wbus_array" +b "(NUM_EXT_SLAVES-1 DOWNTO 0)" +eolc "! IBus write signals" +o 15 +suid 16,0 +) +) +uid 194,0 +) +*28 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "ipbr_i" +t "ipb_rbus_array" +b "(NUM_EXT_SLAVES-1 DOWNTO 0)" +eolc "! IPBus read signals" +o 5 +suid 19,0 +) +) +uid 200,0 +) +*29 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "onehz_o" +t "std_logic" +o 16 +suid 22,0 +) +) +uid 283,0 +) +*30 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "clocks_locked_o" +t "std_logic" +o 8 +suid 23,0 +) +) +uid 285,0 +) +*31 (LogPort +port (LogicalPort +decl (Decl +n "dip_switch_i" +t "std_logic_vector" +b "(3 DOWNTO 0)" +o 18 +suid 25,0 +) +) +uid 306,0 +) +*32 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "clk_logic_xtal_o" +t "std_logic" +o 19 +suid 26,0 +) +) +uid 384,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 146,0 +optionalChildren [ +*33 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *34 (MRCItem +litem &1 +pos 19 +dimension 20 +) +uid 148,0 +optionalChildren [ +*35 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 149,0 +) +*36 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 150,0 +) +*37 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 151,0 +) +*38 (MRCItem +litem &14 +pos 3 +dimension 20 +uid 51,0 +) +*39 (MRCItem +litem &15 +pos 4 +dimension 20 +uid 53,0 +) +*40 (MRCItem +litem &16 +pos 5 +dimension 20 +uid 55,0 +) +*41 (MRCItem +litem &17 +pos 6 +dimension 20 +uid 57,0 +) +*42 (MRCItem +litem &18 +pos 7 +dimension 20 +uid 59,0 +) +*43 (MRCItem +litem &19 +pos 8 +dimension 20 +uid 61,0 +) +*44 (MRCItem +litem &20 +pos 9 +dimension 20 +uid 63,0 +) +*45 (MRCItem +litem &21 +pos 10 +dimension 20 +uid 67,0 +) +*46 (MRCItem +litem &22 +pos 2 +dimension 20 +uid 49,0 +) +*47 (MRCItem +litem &23 +pos 1 +dimension 20 +uid 71,0 +) +*48 (MRCItem +litem &24 +pos 0 +dimension 20 +uid 73,0 +) +*49 (MRCItem +litem &25 +pos 11 +dimension 20 +uid 191,0 +) +*50 (MRCItem +litem &26 +pos 12 +dimension 20 +uid 193,0 +) +*51 (MRCItem +litem &27 +pos 13 +dimension 20 +uid 195,0 +) +*52 (MRCItem +litem &28 +pos 14 +dimension 20 +uid 201,0 +) +*53 (MRCItem +litem &29 +pos 15 +dimension 20 +uid 284,0 +) +*54 (MRCItem +litem &30 +pos 16 +dimension 20 +uid 286,0 +) +*55 (MRCItem +litem &31 +pos 17 +dimension 20 +uid 307,0 +) +*56 (MRCItem +litem &32 +pos 18 +dimension 20 +uid 385,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 152,0 +optionalChildren [ +*57 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 153,0 +) +*58 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 154,0 +) +*59 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 155,0 +) +*60 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 156,0 +) +*61 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 157,0 +) +*62 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 158,0 +) +*63 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 159,0 +) +*64 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 160,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 147,0 +vaOverrides [ +] +) +] +) +uid 132,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *65 (LEmptyRow +) +uid 162,0 +optionalChildren [ +*66 (RefLabelRowHdr +) +*67 (TitleRowHdr +) +*68 (FilterRowHdr +) +*69 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*70 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*71 (GroupColHdr +tm "GroupColHdrMgr" +) +*72 (NameColHdr +tm "GenericNameColHdrMgr" +) +*73 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*74 (InitColHdr +tm "GenericValueColHdrMgr" +) +*75 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*76 (EolColHdr +tm "GenericEolColHdrMgr" +) +*77 (LogGeneric +generic (GiElement +name "NUM_EXT_SLAVES" +type "positive" +value "5" +) +uid 313,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 174,0 +optionalChildren [ +*78 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *79 (MRCItem +litem &65 +pos 1 +dimension 20 +) +uid 176,0 +optionalChildren [ +*80 (MRCItem +litem &66 +pos 0 +dimension 20 +uid 177,0 +) +*81 (MRCItem +litem &67 +pos 1 +dimension 23 +uid 178,0 +) +*82 (MRCItem +litem &68 +pos 2 +hidden 1 +dimension 20 +uid 179,0 +) +*83 (MRCItem +litem &77 +pos 0 +dimension 20 +uid 314,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 180,0 +optionalChildren [ +*84 (MRCItem +litem &69 +pos 0 +dimension 20 +uid 181,0 +) +*85 (MRCItem +litem &71 +pos 1 +dimension 50 +uid 182,0 +) +*86 (MRCItem +litem &72 +pos 2 +dimension 100 +uid 183,0 +) +*87 (MRCItem +litem &73 +pos 3 +dimension 100 +uid 184,0 +) +*88 (MRCItem +litem &74 +pos 4 +dimension 50 +uid 185,0 +) +*89 (MRCItem +litem &75 +pos 5 +dimension 50 +uid 186,0 +) +*90 (MRCItem +litem &76 +pos 6 +dimension 80 +uid 187,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 175,0 +vaOverrides [ +] +) +] +) +uid 161,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl" +) +(vvPair +variable "HDSDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/@i@p@bus@interface/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/@i@p@bus@interface/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "config" +value "%(unit)_config" +) +(vvPair +variable "d" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/@i@p@bus@interface" +) +(vvPair +variable "d_logical" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/IPBusInterface" +) +(vvPair +variable "date" +value "11/15/12" +) +(vvPair +variable "day" +value "Thu" +) +(vvPair +variable "day_long" +value "Thursday" +) +(vvPair +variable "dd" +value "15" +) +(vvPair +variable "entity_name" +value "IPBusInterface" +) +(vvPair +variable "ext" +value "<TBD>" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "group" +value "HEP_Instrumentation" +) +(vvPair +variable "host" +value "calgary.phy.bris.ac.uk" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "work" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/designcheck" +) +(vvPair +variable "library_downstream_ISEPARInvoke" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/ise" +) +(vvPair +variable "library_downstream_ImpactInvoke" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/ise" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/work" +) +(vvPair +variable "library_downstream_XSTDataPrep" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/ise" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "IPBusInterface" +) +(vvPair +variable "month" +value "Nov" +) +(vvPair +variable "month_long" +value "November" +) +(vvPair +variable "p" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/@i@p@bus@interface/symbol.sb" +) +(vvPair +variable "p_logical" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/IPBusInterface/symbol.sb" +) +(vvPair +variable "package_name" +value "<Undefined Variable>" +) +(vvPair +variable "project_name" +value "fmc_mTLU" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "<TBD>" +) +(vvPair +variable "task_DesignCompilerPath" +value "<TBD>" +) +(vvPair +variable "task_LeonardoPath" +value "/opt/CAD/Mentor/LeonardoSpectrum_2008a/bin" +) +(vvPair +variable "task_ModelSimPath" +value "/opt/CAD/Mentor/Modelsim/6.4a/modeltech/bin" +) +(vvPair +variable "task_NC-SimPath" +value "<TBD>" +) +(vvPair +variable "task_PrecisionRTLPath" +value "/opt/CAD/Mentor/Precis_Synth_2009a_update2/Mgc_home/bin" +) +(vvPair +variable "task_QuestaSimPath" +value "/opt/CAD/Mentor/HDS2008.1b/questasim/bin" +) +(vvPair +variable "task_VCSPath" +value "<TBD>" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "18:00:57" +) +(vvPair +variable "unit" +value "IPBusInterface" +) +(vvPair +variable "user" +value "phdgc" +) +(vvPair +variable "version" +value "2010.3 (Build 21)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2012" +) +(vvPair +variable "yy" +value "12" +) +] +) +LanguageMgr "VhdlLangMgr" +uid 222,0 +optionalChildren [ +*91 (SymbolBody +uid 8,0 +optionalChildren [ +*92 (CptPort +uid 74,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 75,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "4250,22625,5000,23375" +) +tg (CPTG +uid 76,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 77,0 +va (VaSet +font "courier,8,0" +) +xt "6000,22550,13000,23450" +st "gmii_rx_clk_i" +blo "6000,23250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 78,0 +va (VaSet +font "courier,8,0" +) +xt "32000,2600,51500,3500" +st "gmii_rx_clk_i : IN std_logic ;" +) +thePort (LogicalPort +decl (Decl +n "gmii_rx_clk_i" +t "std_logic" +o 1 +suid 2,0 +) +) +) +*93 (CptPort +uid 79,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 80,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "4250,25625,5000,26375" +) +tg (CPTG +uid 81,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 82,0 +va (VaSet +font "courier,8,0" +) +xt "6000,25550,12500,26450" +st "gmii_rx_dv_i" +blo "6000,26250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 83,0 +va (VaSet +font "courier,8,0" +) +xt "32000,3500,51500,4400" +st "gmii_rx_dv_i : IN std_logic ;" +) +thePort (LogicalPort +decl (Decl +n "gmii_rx_dv_i" +t "std_logic" +o 2 +suid 3,0 +) +) +) +*94 (CptPort +uid 84,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 85,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "4250,28625,5000,29375" +) +tg (CPTG +uid 86,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 87,0 +va (VaSet +font "courier,8,0" +) +xt "6000,28550,12500,29450" +st "gmii_rx_er_i" +blo "6000,29250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 88,0 +va (VaSet +font "courier,8,0" +) +xt "32000,4400,51500,5300" +st "gmii_rx_er_i : IN std_logic ;" +) +thePort (LogicalPort +decl (Decl +n "gmii_rx_er_i" +t "std_logic" +o 3 +suid 4,0 +) +) +) +*95 (CptPort +uid 89,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 90,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "4250,31625,5000,32375" +) +tg (CPTG +uid 91,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 92,0 +va (VaSet +font "courier,8,0" +) +xt "6000,31550,15500,32450" +st "gmii_rxd_i : (7:0)" +blo "6000,32250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 93,0 +va (VaSet +font "courier,8,0" +) +xt "32000,5300,61000,6200" +st "gmii_rxd_i : IN std_logic_vector (7 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "gmii_rxd_i" +t "std_logic_vector" +b "(7 DOWNTO 0)" +o 4 +suid 5,0 +) +) +) +*96 (CptPort +uid 94,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 95,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38000,21625,38750,22375" +) +tg (CPTG +uid 96,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 97,0 +va (VaSet +font "courier,8,0" +) +xt "30500,21550,37000,22450" +st "gmii_tx_en_o" +ju 2 +blo "37000,22250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 98,0 +va (VaSet +font "courier,8,0" +) +xt "32000,10700,51500,11600" +st "gmii_tx_en_o : OUT std_logic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "gmii_tx_en_o" +t "std_logic" +o 10 +suid 6,0 +) +) +) +*97 (CptPort +uid 99,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 100,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38000,23625,38750,24375" +) +tg (CPTG +uid 101,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 102,0 +va (VaSet +font "courier,8,0" +) +xt "30500,23550,37000,24450" +st "gmii_tx_er_o" +ju 2 +blo "37000,24250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 103,0 +va (VaSet +font "courier,8,0" +) +xt "32000,11600,51500,12500" +st "gmii_tx_er_o : OUT std_logic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "gmii_tx_er_o" +t "std_logic" +o 11 +suid 7,0 +) +) +) +*98 (CptPort +uid 104,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 105,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38000,26625,38750,27375" +) +tg (CPTG +uid 106,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 107,0 +va (VaSet +font "courier,8,0" +) +xt "27500,26550,37000,27450" +st "gmii_txd_o : (7:0)" +ju 2 +blo "37000,27250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 108,0 +va (VaSet +font "courier,8,0" +) +xt "32000,12500,61000,13400" +st "gmii_txd_o : OUT std_logic_vector (7 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "gmii_txd_o" +t "std_logic_vector" +b "(7 DOWNTO 0)" +o 12 +suid 8,0 +) +) +) +*99 (CptPort +uid 109,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 110,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38000,28625,38750,29375" +) +tg (CPTG +uid 111,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 112,0 +va (VaSet +font "courier,8,0" +) +xt "31500,28550,37000,29450" +st "phy_rstb_o" +ju 2 +blo "37000,29250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 113,0 +va (VaSet +font "courier,8,0" +) +xt "32000,17000,51500,17900" +st "phy_rstb_o : OUT std_logic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "phy_rstb_o" +t "std_logic" +o 17 +suid 10,0 +) +) +) +*100 (CptPort +uid 114,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 115,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38000,30625,38750,31375" +) +tg (CPTG +uid 116,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 117,0 +va (VaSet +font "courier,8,0" +) +xt "29500,30550,37000,31450" +st "gmii_gtx_clk_o" +ju 2 +blo "37000,31250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 118,0 +va (VaSet +font "courier,8,0" +) +xt "32000,9800,51500,10700" +st "gmii_gtx_clk_o : OUT std_logic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "gmii_gtx_clk_o" +t "std_logic" +o 9 +suid 1,0 +) +) +) +*101 (CptPort +uid 119,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 120,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "4250,34625,5000,35375" +) +tg (CPTG +uid 121,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 122,0 +va (VaSet +font "courier,8,0" +) +xt "6000,34550,11500,35450" +st "sysclk_n_i" +blo "6000,35250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 123,0 +va (VaSet +font "courier,8,0" +) +xt "32000,7100,51500,8000" +st "sysclk_n_i : IN std_logic ;" +) +thePort (LogicalPort +decl (Decl +n "sysclk_n_i" +t "std_logic" +o 6 +suid 12,0 +) +) +) +*102 (CptPort +uid 124,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 125,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "4250,37625,5000,38375" +) +tg (CPTG +uid 126,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 127,0 +va (VaSet +font "courier,8,0" +) +xt "6000,37550,11500,38450" +st "sysclk_p_i" +blo "6000,38250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 128,0 +va (VaSet +font "courier,8,0" +) +xt "32000,8000,63500,8900" +st "sysclk_p_i : IN std_logic ; -- ! 200 MHz xtal clock" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "sysclk_p_i" +t "std_logic" +eolc "! 200 MHz xtal clock" +o 7 +suid 13,0 +) +) +) +*103 (CptPort +uid 202,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 203,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38000,32625,38750,33375" +) +tg (CPTG +uid 204,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 205,0 +va (VaSet +font "courier,8,0" +) +xt "32500,32550,37000,33450" +st "ipb_clk_o" +ju 2 +blo "37000,33250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 206,0 +va (VaSet +font "courier,8,0" +) +xt "32000,13400,65000,14300" +st "ipb_clk_o : OUT std_logic ; -- ! IPBus clock to slaves" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "ipb_clk_o" +t "std_logic" +eolc "! IPBus clock to slaves" +o 13 +suid 14,0 +) +) +) +*104 (CptPort +uid 207,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 208,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38000,35625,38750,36375" +) +tg (CPTG +uid 209,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 210,0 +va (VaSet +font "courier,8,0" +) +xt "32500,35550,37000,36450" +st "ipb_rst_o" +ju 2 +blo "37000,36250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 211,0 +va (VaSet +font "courier,8,0" +) +xt "32000,14300,65000,15200" +st "ipb_rst_o : OUT std_logic ; -- ! IPBus reset to slaves" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "ipb_rst_o" +t "std_logic" +eolc "! IPBus reset to slaves" +o 14 +suid 15,0 +) +) +) +*105 (CptPort +uid 212,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 213,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38000,37625,38750,38375" +) +tg (CPTG +uid 214,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 215,0 +va (VaSet +font "courier,8,0" +) +xt "22000,37550,37000,38450" +st "ipbw_o : (NUM_EXT_SLAVES-1:0)" +ju 2 +blo "37000,38250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 216,0 +va (VaSet +font "courier,8,0" +) +xt "32000,15200,79500,16100" +st "ipbw_o : OUT ipb_wbus_array (NUM_EXT_SLAVES-1 DOWNTO 0) ; -- ! IBus write signals" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "ipbw_o" +t "ipb_wbus_array" +b "(NUM_EXT_SLAVES-1 DOWNTO 0)" +eolc "! IBus write signals" +o 15 +suid 16,0 +) +) +) +*106 (CptPort +uid 217,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 218,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "4250,40625,5000,41375" +) +tg (CPTG +uid 219,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 220,0 +va (VaSet +font "courier,8,0" +) +xt "6000,40550,21000,41450" +st "ipbr_i : (NUM_EXT_SLAVES-1:0)" +blo "6000,41250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 221,0 +va (VaSet +font "courier,8,0" +) +xt "32000,6200,79500,7100" +st "ipbr_i : IN ipb_rbus_array (NUM_EXT_SLAVES-1 DOWNTO 0) ; -- ! IPBus read signals" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "ipbr_i" +t "ipb_rbus_array" +b "(NUM_EXT_SLAVES-1 DOWNTO 0)" +eolc "! IPBus read signals" +o 5 +suid 19,0 +) +) +) +*107 (CptPort +uid 287,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 288,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38000,39625,38750,40375" +) +tg (CPTG +uid 289,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 290,0 +va (VaSet +font "courier,8,0" +) +xt "33500,39550,37000,40450" +st "onehz_o" +ju 2 +blo "37000,40250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 291,0 +va (VaSet +font "courier,8,0" +) +xt "32000,16100,51500,17000" +st "onehz_o : OUT std_logic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "onehz_o" +t "std_logic" +o 16 +suid 22,0 +) +) +) +*108 (CptPort +uid 292,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 293,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38000,42625,38750,43375" +) +tg (CPTG +uid 294,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 295,0 +va (VaSet +font "courier,8,0" +) +xt "29000,42550,37000,43450" +st "clocks_locked_o" +ju 2 +blo "37000,43250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 296,0 +va (VaSet +font "courier,8,0" +) +xt "32000,8900,51500,9800" +st "clocks_locked_o : OUT std_logic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "clocks_locked_o" +t "std_logic" +o 8 +suid 23,0 +) +) +) +*109 (CptPort +uid 308,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 309,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "4250,43625,5000,44375" +) +tg (CPTG +uid 310,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 311,0 +va (VaSet +font "courier,8,0" +) +xt "6000,43550,16500,44450" +st "dip_switch_i : (3:0)" +blo "6000,44250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 312,0 +va (VaSet +font "courier,8,0" +) +xt "32000,17900,61000,18800" +st "dip_switch_i : IN std_logic_vector (3 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "dip_switch_i" +t "std_logic_vector" +b "(3 DOWNTO 0)" +o 18 +suid 25,0 +) +) +) +*110 (CptPort +uid 386,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 387,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38000,44625,38750,45375" +) +tg (CPTG +uid 388,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 389,0 +va (VaSet +font "courier,8,0" +) +xt "28500,44550,37000,45450" +st "clk_logic_xtal_o" +ju 2 +blo "37000,45250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 390,0 +va (VaSet +font "courier,8,0" +) +xt "32000,18800,50500,19700" +st "clk_logic_xtal_o : OUT std_logic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "clk_logic_xtal_o" +t "std_logic" +o 19 +suid 26,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "5000,21000,38000,46000" +) +oxt "20000,19000,49000,39000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "14750,32100,16750,33000" +st "work" +blo "14750,32800" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "14750,33000,22250,33900" +st "IPBusInterface" +blo "14750,33700" +) +) +gi *111 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "13000,19300,27000,22000" +st "Generic Declarations + +NUM_EXT_SLAVES positive 5 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "NUM_EXT_SLAVES" +type "positive" +value "5" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +*112 (Grouping +uid 16,0 +optionalChildren [ +*113 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,50000,49000,51000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "32200,50050,44200,50950" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*114 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "49000,46000,53000,47000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "49200,46050,53200,46950" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*115 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,49000,49000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "32200,48050,45700,48950" +st " +<enter diagram title here> +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*116 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "28000,48000,32000,49000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "28200,48050,31200,48950" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*117 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "49000,47000,69000,51000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "49200,47200,60200,48100" +st " +<enter comments here> +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*118 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,46000,69000,47000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "53200,46050,57200,46950" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*119 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "28000,46000,49000,48000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "34000,46500,43000,47500" +st " +<company name> +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*120 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "28000,49000,32000,50000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "28200,49050,30700,49950" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*121 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "28000,50000,32000,51000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "28200,50050,31700,50950" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*122 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,49000,49000,50000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "32200,49050,45700,49950" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "28000,46000,69000,51000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *123 (PackageList +uid 129,0 +stg "VerticalLayoutStrategy" +textVec [ +*124 (Text +uid 130,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,6500,900" +st "Package List" +blo "0,700" +) +*125 (MLText +uid 131,0 +va (VaSet +font "courier,8,0" +) +xt "0,900,16000,6300" +st "LIBRARY ieee; +USE ieee.std_logic_1164.all; +USE ieee.numeric_std.all; + +USE work.ipbus.all; +use work.emac_hostbus_decl.all;" +tm "PackageList" +) +] +) +windowSize "346,240,1962,930" +viewArea "-20000,17213,62187,47834" +cachedDiagramExtent "0,0,79500,51000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,4000,1900" +st "Panel0" +blo "1000,1700" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,39000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "24750,15100,29250,16000" +st "<library>" +blo "24750,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "24750,16000,27750,16900" +st "<cell>" +blo "24750,16700" +) +) +gi *126 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *127 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "30000,800,36500,1700" +st "Declarations" +blo "30000,1500" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "30000,1700,33000,2600" +st "Ports:" +blo "30000,2400" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "30000,19700,32500,20600" +st "User:" +blo "30000,20400" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "30000,800,37500,1700" +st "Internal User:" +blo "30000,1500" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "32000,20600,32000,20600" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "30000,800,30000,800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 413,0 +activeModelName "Symbol" +) diff --git a/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/_arrivaltimelut._epf b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/_arrivaltimelut._epf new file mode 100755 index 00000000..a299428c --- /dev/null +++ b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/_arrivaltimelut._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom rtl +DEFAULT_FILE atom arrivalTimeLUT_rtl.vhd diff --git a/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/_clocks_s6_extphy._epf b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/_clocks_s6_extphy._epf new file mode 100755 index 00000000..dfa7ad18 --- /dev/null +++ b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/_clocks_s6_extphy._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom rtl +DEFAULT_FILE atom clocks_s6_extphy.vhd diff --git a/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/_dualserdes_1to4._epf b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/_dualserdes_1to4._epf new file mode 100755 index 00000000..6cf3d0b0 --- /dev/null +++ b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/_dualserdes_1to4._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom rtl +DEFAULT_FILE atom dualSERDES_1to4_rtl.vhd diff --git a/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/_dutinterfaces._epf b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/_dutinterfaces._epf new file mode 100755 index 00000000..9539d765 --- /dev/null +++ b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/_dutinterfaces._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom rtl +DEFAULT_FILE atom DUTInterfaces_rtl.vhd diff --git a/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/_eventbuffer._epf b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/_eventbuffer._epf new file mode 100755 index 00000000..c3baf18f --- /dev/null +++ b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/_eventbuffer._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom rtl +DEFAULT_FILE atom eventBuffer_rtl.vhd diff --git a/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/_eventformatter._epf b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/_eventformatter._epf new file mode 100755 index 00000000..02462c3f --- /dev/null +++ b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/_eventformatter._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom rtl +DEFAULT_FILE atom eventFormatter_rtl.vhd diff --git a/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/_ipbusinterface._epf b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/_ipbusinterface._epf new file mode 100755 index 00000000..d9e2bc05 --- /dev/null +++ b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/_ipbusinterface._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom rtl +DEFAULT_FILE atom IPBusInterface_rtl.vhd diff --git a/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/_logic_clocks._epf b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/_logic_clocks._epf new file mode 100755 index 00000000..54f29c78 --- /dev/null +++ b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/_logic_clocks._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom rtl +DEFAULT_FILE atom logic_clocks_rtl.vhd diff --git a/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/_top_extphy._epf b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/_top_extphy._epf new file mode 100755 index 00000000..b60e62e0 --- /dev/null +++ b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/_top_extphy._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom struct +DEFAULT_FILE atom top_extphy/struct.bd diff --git a/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/_triggerinputs._epf b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/_triggerinputs._epf new file mode 100755 index 00000000..419d0f1b --- /dev/null +++ b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/_triggerinputs._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom rtl +DEFAULT_FILE atom triggerInputs_rtl.vhd diff --git a/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/_triggerlogic._epf b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/_triggerlogic._epf new file mode 100755 index 00000000..41217221 --- /dev/null +++ b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/_triggerlogic._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom triggerLogic_rtl.vhd +DEFAULT_ARCHITECTURE atom rtl diff --git a/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/arp/fsm.sm b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/arp/fsm.sm new file mode 100644 index 00000000..7002c462 --- /dev/null +++ b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/arp/fsm.sm @@ -0,0 +1,6443 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +language 1 +dialect 5 +dmPackageRefs [ +] +machine (Machine +name "state" +children [ +(Machine +name "state" +children [ +] +stateSignalName "state" +) +] +) +properties [ +(HdrProperty +class "HDS" +name "DocView" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/workspace/IPbusFirmware/firmware/ipbus/hdl/arp.v" +) +(HdrProperty +class "HDS" +name "DocViewState" +value "1352727141" +) +] +) +version "25.1" +appVersion "2010.3 (Build 21)" +model (StateMachine +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl" +) +(vvPair +variable "HDSDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/arp/fsm.sm.info" +) +(vvPair +variable "SideDataUserDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/arp/fsm.sm.user" +) +(vvPair +variable "SourceDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "fsm" +) +(vvPair +variable "config" +value "%(unit)_config" +) +(vvPair +variable "d" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/arp" +) +(vvPair +variable "d_logical" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/arp" +) +(vvPair +variable "date" +value "11/13/12" +) +(vvPair +variable "day" +value "Tue" +) +(vvPair +variable "day_long" +value "Tuesday" +) +(vvPair +variable "dd" +value "13" +) +(vvPair +variable "entity_name" +value "arp" +) +(vvPair +variable "ext" +value "<TBD>" +) +(vvPair +variable "f" +value "fsm.sm" +) +(vvPair +variable "f_logical" +value "fsm.sm" +) +(vvPair +variable "f_noext" +value "fsm" +) +(vvPair +variable "group" +value "HEP_Instrumentation" +) +(vvPair +variable "host" +value "kipper.phy.bris.ac.uk" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "fmc_mTLU_lib" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/designcheck" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "arp" +) +(vvPair +variable "month" +value "Nov" +) +(vvPair +variable "month_long" +value "November" +) +(vvPair +variable "p" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/arp/fsm.sm" +) +(vvPair +variable "p_logical" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/arp/fsm.sm" +) +(vvPair +variable "package_name" +value "<Undefined Variable>" +) +(vvPair +variable "project_name" +value "fmc_mTLU" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "<TBD>" +) +(vvPair +variable "task_DesignCompilerPath" +value "<TBD>" +) +(vvPair +variable "task_LeonardoPath" +value "/opt/CAD/Mentor/LeonardoSpectrum_2008a/bin" +) +(vvPair +variable "task_ModelSimPath" +value "/opt/CAD/Mentor/Modelsim/6.4a/modeltech/bin" +) +(vvPair +variable "task_NC-SimPath" +value "<TBD>" +) +(vvPair +variable "task_PrecisionRTLPath" +value "/opt/CAD/Mentor/Precis_Synth_2009a_update2/Mgc_home/bin" +) +(vvPair +variable "task_QuestaSimPath" +value "/opt/CAD/Mentor/HDS2008.1b/questasim/bin" +) +(vvPair +variable "task_VCSPath" +value "<TBD>" +) +(vvPair +variable "this_ext" +value "sm" +) +(vvPair +variable "this_file" +value "fsm" +) +(vvPair +variable "this_file_logical" +value "fsm" +) +(vvPair +variable "time" +value "16:59:31" +) +(vvPair +variable "unit" +value "arp" +) +(vvPair +variable "user" +value "phdgc" +) +(vvPair +variable "version" +value "2010.3 (Build 21)" +) +(vvPair +variable "view" +value "fsm" +) +(vvPair +variable "year" +value "2012" +) +(vvPair +variable "yy" +value "12" +) +] +) +optionalChildren [ +*1 (ConcurrentSM +uid 1,0 +topDiagram (StateDiagram +LanguageMgr "None" +uid 2,0 +optionalChildren [ +*2 (State +uid 126,0 +shape (Circle +uid 127,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "84802,4000,90802,10000" +radius 3000 +) +name (Text +uid 128,0 +va (VaSet +font "courier,10,1" +) +xt "85702,5850,89902,6850" +st "ST_IDLE" +ju 0 +blo "87802,6650" +tm "ONodeName" +) +wait (TextAssociate +uid 129,0 +ps "CenterOffsetStrategy" +text (Text +uid 130,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "88202,6750,91802,7750" +st "wait 2" +blo "88202,7550" +tm "SmWaitText" +) +) +encoding (Text +uid 131,0 +va (VaSet +font "courier,8,1" +) +xt "86752,7250,88852,8150" +st "4'h0" +blo "86752,7950" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 134,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 135,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "91702,9900,91902,10100" +) +autoResize 1 +tline (Line +uid 136,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "87802,7000,87802,7000" +pts [ +"87802,7000" +"87802,7000" +] +) +bline (Line +uid 137,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "87802,7000,87802,7000" +pts [ +"87802,7000" +"87802,7000" +] +) +ttri (Triangle +uid 138,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "87352,6825,87702,7175" +) +btri (Triangle +uid 139,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "87352,6825,87702,7175" +) +entryActions (MLText +uid 140,0 +va (VaSet +font "courier,8,0" +) +xt "87802,7000,87802,7000" +tm "Actions" +) +inActions (MLText +uid 141,0 +va (VaSet +font "courier,8,0" +) +xt "87802,7000,87802,7000" +tm "Actions" +) +exitActions (MLText +uid 142,0 +va (VaSet +font "courier,8,0" +) +xt "87802,7000,87802,7000" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 132,0 +ps "CenterOffsetStrategy" +text (MLText +uid 133,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "85652,7800,91152,8700" +st "CASE: expr" +tm "SmCaseExpr" +) +) +ifStyle 2 +impLoop 0 +) +*3 (State +uid 143,0 +shape (Circle +uid 144,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "120244,10455,132284,22495" +radius 6020 +) +name (Text +uid 145,0 +va (VaSet +font "courier,10,1" +) +xt "120864,15325,131664,16325" +st "ST_CHECKCONSTWAIT" +ju 0 +blo "126264,16125" +tm "ONodeName" +) +wait (TextAssociate +uid 146,0 +ps "CenterOffsetStrategy" +text (Text +uid 147,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "126664,16225,130264,17225" +st "wait 2" +blo "126664,17025" +tm "SmWaitText" +) +) +encoding (Text +uid 148,0 +va (VaSet +font "courier,8,1" +) +xt "125214,16725,127314,17625" +st "4'h1" +blo "125214,17425" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 151,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 152,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "130164,19375,130364,19575" +) +autoResize 1 +tline (Line +uid 153,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "126264,16475,126264,16475" +pts [ +"126264,16475" +"126264,16475" +] +) +bline (Line +uid 154,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "126264,16475,126264,16475" +pts [ +"126264,16475" +"126264,16475" +] +) +ttri (Triangle +uid 155,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "125814,16300,126164,16650" +) +btri (Triangle +uid 156,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "125814,16300,126164,16650" +) +entryActions (MLText +uid 157,0 +va (VaSet +font "courier,8,0" +) +xt "126264,16475,126264,16475" +tm "Actions" +) +inActions (MLText +uid 158,0 +va (VaSet +font "courier,8,0" +) +xt "126264,16475,126264,16475" +tm "Actions" +) +exitActions (MLText +uid 159,0 +va (VaSet +font "courier,8,0" +) +xt "126264,16475,126264,16475" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 149,0 +ps "CenterOffsetStrategy" +text (MLText +uid 150,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "124114,17275,129614,18175" +st "CASE: expr" +tm "SmCaseExpr" +) +) +impLoop 0 +) +*4 (State +uid 160,0 +shape (Circle +uid 161,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "151073,37881,160773,47581" +radius 4850 +) +name (Text +uid 162,0 +va (VaSet +font "courier,10,1" +) +xt "151723,41581,160123,42581" +st "ST_CHECKCONST" +ju 0 +blo "155923,42381" +tm "ONodeName" +) +wait (TextAssociate +uid 163,0 +ps "CenterOffsetStrategy" +text (Text +uid 164,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "156323,42481,159923,43481" +st "wait 2" +blo "156323,43281" +tm "SmWaitText" +) +) +encoding (Text +uid 165,0 +va (VaSet +font "courier,8,1" +) +xt "154873,42981,156973,43881" +st "4'h2" +blo "154873,43681" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 168,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 169,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "159823,45631,160023,45831" +) +autoResize 1 +tline (Line +uid 170,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "155923,42731,155923,42731" +pts [ +"155923,42731" +"155923,42731" +] +) +bline (Line +uid 171,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "155923,42731,155923,42731" +pts [ +"155923,42731" +"155923,42731" +] +) +ttri (Triangle +uid 172,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "155473,42556,155823,42906" +) +btri (Triangle +uid 173,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "155473,42556,155823,42906" +) +entryActions (MLText +uid 174,0 +va (VaSet +font "courier,8,0" +) +xt "155923,42731,155923,42731" +tm "Actions" +) +inActions (MLText +uid 175,0 +va (VaSet +font "courier,8,0" +) +xt "155923,42731,155923,42731" +tm "Actions" +) +exitActions (MLText +uid 176,0 +va (VaSet +font "courier,8,0" +) +xt "155923,42731,155923,42731" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 166,0 +ps "CenterOffsetStrategy" +text (MLText +uid 167,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "153773,43531,159273,44431" +st "CASE: expr" +tm "SmCaseExpr" +) +) +impLoop 0 +) +*5 (State +uid 177,0 +shape (Circle +uid 178,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "164558,74326,175426,85194" +radius 5434 +) +name (Text +uid 179,0 +va (VaSet +font "courier,10,1" +) +xt "165192,78610,174792,79610" +st "ST_CHECKIP_WAIT" +ju 0 +blo "169992,79410" +tm "ONodeName" +) +wait (TextAssociate +uid 180,0 +ps "CenterOffsetStrategy" +text (Text +uid 181,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "170392,79510,173992,80510" +st "wait 2" +blo "170392,80310" +tm "SmWaitText" +) +) +encoding (Text +uid 182,0 +va (VaSet +font "courier,8,1" +) +xt "168942,80010,171042,80910" +st "4'h3" +blo "168942,80710" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 185,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 186,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "173892,82660,174092,82860" +) +autoResize 1 +tline (Line +uid 187,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "169992,79760,169992,79760" +pts [ +"169992,79760" +"169992,79760" +] +) +bline (Line +uid 188,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "169992,79760,169992,79760" +pts [ +"169992,79760" +"169992,79760" +] +) +ttri (Triangle +uid 189,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "169542,79585,169892,79935" +) +btri (Triangle +uid 190,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "169542,79585,169892,79935" +) +entryActions (MLText +uid 191,0 +va (VaSet +font "courier,8,0" +) +xt "169992,79760,169992,79760" +tm "Actions" +) +inActions (MLText +uid 192,0 +va (VaSet +font "courier,8,0" +) +xt "169992,79760,169992,79760" +tm "Actions" +) +exitActions (MLText +uid 193,0 +va (VaSet +font "courier,8,0" +) +xt "169992,79760,169992,79760" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 183,0 +ps "CenterOffsetStrategy" +text (MLText +uid 184,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "167842,80560,173342,81460" +st "CASE: expr" +tm "SmCaseExpr" +) +) +impLoop 0 +) +*6 (State +uid 194,0 +shape (Circle +uid 195,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "161267,115104,169235,123072" +radius 3984 +) +name (Text +uid 196,0 +va (VaSet +font "courier,10,1" +) +xt "161951,117938,168551,118938" +st "ST_CHECKIP" +ju 0 +blo "165251,118738" +tm "ONodeName" +) +wait (TextAssociate +uid 197,0 +ps "CenterOffsetStrategy" +text (Text +uid 198,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "165651,118838,169251,119838" +st "wait 2" +blo "165651,119638" +tm "SmWaitText" +) +) +encoding (Text +uid 199,0 +va (VaSet +font "courier,8,1" +) +xt "164201,119338,166301,120238" +st "4'h4" +blo "164201,120038" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 202,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 203,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "169151,121988,169351,122188" +) +autoResize 1 +tline (Line +uid 204,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "165251,119088,165251,119088" +pts [ +"165251,119088" +"165251,119088" +] +) +bline (Line +uid 205,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "165251,119088,165251,119088" +pts [ +"165251,119088" +"165251,119088" +] +) +ttri (Triangle +uid 206,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "164801,118913,165151,119263" +) +btri (Triangle +uid 207,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "164801,118913,165151,119263" +) +entryActions (MLText +uid 208,0 +va (VaSet +font "courier,8,0" +) +xt "165251,119088,165251,119088" +tm "Actions" +) +inActions (MLText +uid 209,0 +va (VaSet +font "courier,8,0" +) +xt "165251,119088,165251,119088" +tm "Actions" +) +exitActions (MLText +uid 210,0 +va (VaSet +font "courier,8,0" +) +xt "165251,119088,165251,119088" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 200,0 +ps "CenterOffsetStrategy" +text (MLText +uid 201,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "163101,119888,168601,120788" +st "CASE: expr" +tm "SmCaseExpr" +) +) +impLoop 0 +) +*7 (State +uid 211,0 +shape (Circle +uid 212,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "137351,146278,148219,157146" +radius 5434 +) +name (Text +uid 213,0 +va (VaSet +font "courier,10,1" +) +xt "137985,150562,147585,151562" +st "ST_RESP_READSET" +ju 0 +blo "142785,151362" +tm "ONodeName" +) +wait (TextAssociate +uid 214,0 +ps "CenterOffsetStrategy" +text (Text +uid 215,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "143185,151462,146785,152462" +st "wait 2" +blo "143185,152262" +tm "SmWaitText" +) +) +encoding (Text +uid 216,0 +va (VaSet +font "courier,8,1" +) +xt "141735,151962,143835,152862" +st "4'h5" +blo "141735,152662" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 219,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 220,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "146685,154612,146885,154812" +) +autoResize 1 +tline (Line +uid 221,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "142785,151712,142785,151712" +pts [ +"142785,151712" +"142785,151712" +] +) +bline (Line +uid 222,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "142785,151712,142785,151712" +pts [ +"142785,151712" +"142785,151712" +] +) +ttri (Triangle +uid 223,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "142335,151537,142685,151887" +) +btri (Triangle +uid 224,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "142335,151537,142685,151887" +) +entryActions (MLText +uid 225,0 +va (VaSet +font "courier,8,0" +) +xt "142785,151712,142785,151712" +tm "Actions" +) +inActions (MLText +uid 226,0 +va (VaSet +font "courier,8,0" +) +xt "142785,151712,159785,152612" +st "packet_read_addr<=resp_read_addr;" +tm "Actions" +) +exitActions (MLText +uid 227,0 +va (VaSet +font "courier,8,0" +) +xt "142785,151712,142785,151712" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 217,0 +ps "CenterOffsetStrategy" +text (MLText +uid 218,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "140635,152512,146135,153412" +st "CASE: expr" +tm "SmCaseExpr" +) +) +impLoop 0 +) +*8 (State +uid 228,0 +shape (Circle +uid 229,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "102008,164441,113462,175895" +radius 5727 +) +name (Text +uid 230,0 +va (VaSet +font "courier,10,1" +) +xt "102635,169018,112835,170018" +st "ST_RESP_READWAIT" +ju 0 +blo "107735,169818" +tm "ONodeName" +) +wait (TextAssociate +uid 231,0 +ps "CenterOffsetStrategy" +text (Text +uid 232,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "108135,169918,111735,170918" +st "wait 2" +blo "108135,170718" +tm "SmWaitText" +) +) +encoding (Text +uid 233,0 +va (VaSet +font "courier,8,1" +) +xt "106685,170418,108785,171318" +st "4'h6" +blo "106685,171118" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 236,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 237,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "111635,173068,111835,173268" +) +autoResize 1 +tline (Line +uid 238,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "107735,170168,107735,170168" +pts [ +"107735,170168" +"107735,170168" +] +) +bline (Line +uid 239,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "107735,170168,107735,170168" +pts [ +"107735,170168" +"107735,170168" +] +) +ttri (Triangle +uid 240,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "107285,169993,107635,170343" +) +btri (Triangle +uid 241,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "107285,169993,107635,170343" +) +entryActions (MLText +uid 242,0 +va (VaSet +font "courier,8,0" +) +xt "107735,170168,107735,170168" +tm "Actions" +) +inActions (MLText +uid 243,0 +va (VaSet +font "courier,8,0" +) +xt "107735,170168,107735,170168" +tm "Actions" +) +exitActions (MLText +uid 244,0 +va (VaSet +font "courier,8,0" +) +xt "107735,170168,107735,170168" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 234,0 +ps "CenterOffsetStrategy" +text (MLText +uid 235,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "105585,170968,111085,171868" +st "CASE: expr" +tm "SmCaseExpr" +) +) +impLoop 0 +) +*9 (State +uid 245,0 +shape (Circle +uid 246,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "61849,164148,73889,176188" +radius 6020 +) +name (Text +uid 247,0 +va (VaSet +font "courier,10,1" +) +xt "62469,169018,73269,170018" +st "ST_RESP_READWAIT2" +ju 0 +blo "67869,169818" +tm "ONodeName" +) +wait (TextAssociate +uid 248,0 +ps "CenterOffsetStrategy" +text (Text +uid 249,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "68269,169918,71869,170918" +st "wait 2" +blo "68269,170718" +tm "SmWaitText" +) +) +encoding (Text +uid 250,0 +va (VaSet +font "courier,8,1" +) +xt "66819,170418,68919,171318" +st "4'h9" +blo "66819,171118" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 253,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 254,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "71769,173068,71969,173268" +) +autoResize 1 +tline (Line +uid 255,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "67869,170168,67869,170168" +pts [ +"67869,170168" +"67869,170168" +] +) +bline (Line +uid 256,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "67869,170168,67869,170168" +pts [ +"67869,170168" +"67869,170168" +] +) +ttri (Triangle +uid 257,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "67419,169993,67769,170343" +) +btri (Triangle +uid 258,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "67419,169993,67769,170343" +) +entryActions (MLText +uid 259,0 +va (VaSet +font "courier,8,0" +) +xt "67869,170168,67869,170168" +tm "Actions" +) +inActions (MLText +uid 260,0 +va (VaSet +font "courier,8,0" +) +xt "67869,170168,67869,170168" +tm "Actions" +) +exitActions (MLText +uid 261,0 +va (VaSet +font "courier,8,0" +) +xt "67869,170168,67869,170168" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 251,0 +ps "CenterOffsetStrategy" +text (MLText +uid 252,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "65719,170968,71219,171868" +st "CASE: expr" +tm "SmCaseExpr" +) +) +impLoop 0 +) +*10 (State +uid 262,0 +shape (Circle +uid 263,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "28835,147728,36803,155696" +radius 3984 +) +name (Text +uid 264,0 +va (VaSet +font "courier,10,1" +) +xt "29519,150562,36119,151562" +st "ST_RESP_WE" +ju 0 +blo "32819,151362" +tm "ONodeName" +) +wait (TextAssociate +uid 265,0 +ps "CenterOffsetStrategy" +text (Text +uid 266,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "33219,151462,36819,152462" +st "wait 2" +blo "33219,152262" +tm "SmWaitText" +) +) +encoding (Text +uid 267,0 +va (VaSet +font "courier,8,1" +) +xt "31769,151962,33869,152862" +st "4'h7" +blo "31769,152662" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 270,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 271,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "36719,154612,36919,154812" +) +autoResize 1 +tline (Line +uid 272,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "32819,151712,32819,151712" +pts [ +"32819,151712" +"32819,151712" +] +) +bline (Line +uid 273,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "32819,151712,32819,151712" +pts [ +"32819,151712" +"32819,151712" +] +) +ttri (Triangle +uid 274,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "32369,151537,32719,151887" +) +btri (Triangle +uid 275,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "32369,151537,32719,151887" +) +entryActions (MLText +uid 276,0 +va (VaSet +font "courier,8,0" +) +xt "32819,151712,32819,151712" +tm "Actions" +) +inActions (MLText +uid 277,0 +va (VaSet +font "courier,8,0" +) +xt "32819,151712,44319,153512" +st "packet_out<=resp_data; +packet_out_we<=1;" +tm "Actions" +) +exitActions (MLText +uid 278,0 +va (VaSet +font "courier,8,0" +) +xt "32819,151712,32819,151712" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 268,0 +ps "CenterOffsetStrategy" +text (MLText +uid 269,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "30669,152512,36169,153412" +st "CASE: expr" +tm "SmCaseExpr" +) +) +impLoop 0 +) +*11 (State +uid 279,0 +shape (Circle +uid 280,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "5793,114528,14913,123648" +radius 4560 +) +name (Text +uid 281,0 +va (VaSet +font "courier,10,1" +) +xt "6453,117938,14253,118938" +st "ST_RESP_NEXT" +ju 0 +blo "10353,118738" +tm "ONodeName" +) +wait (TextAssociate +uid 282,0 +ps "CenterOffsetStrategy" +text (Text +uid 283,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "10753,118838,14353,119838" +st "wait 2" +blo "10753,119638" +tm "SmWaitText" +) +) +encoding (Text +uid 284,0 +va (VaSet +font "courier,8,1" +) +xt "9303,119338,11403,120238" +st "4'h8" +blo "9303,120038" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 287,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 288,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "14253,121988,14453,122188" +) +autoResize 1 +tline (Line +uid 289,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "10353,119088,10353,119088" +pts [ +"10353,119088" +"10353,119088" +] +) +bline (Line +uid 290,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "10353,119088,10353,119088" +pts [ +"10353,119088" +"10353,119088" +] +) +ttri (Triangle +uid 291,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "9903,118913,10253,119263" +) +btri (Triangle +uid 292,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "9903,118913,10253,119263" +) +entryActions (MLText +uid 293,0 +va (VaSet +font "courier,8,0" +) +xt "10353,119088,10353,119088" +tm "Actions" +) +inActions (MLText +uid 294,0 +va (VaSet +font "courier,8,0" +) +xt "10353,119088,19353,119988" +st "packet_out_we<=0;" +tm "Actions" +) +exitActions (MLText +uid 295,0 +va (VaSet +font "courier,8,0" +) +xt "10353,119088,10353,119088" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 285,0 +ps "CenterOffsetStrategy" +text (MLText +uid 286,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "8203,119888,13703,120788" +st "CASE: expr" +tm "SmCaseExpr" +) +) +impLoop 0 +) +*12 (State +uid 296,0 +shape (Circle +uid 297,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "45068,12203,53612,20747" +radius 4272 +) +name (Text +uid 298,0 +va (VaSet +font "courier,10,1" +) +xt "45740,15325,52940,16325" +st "ST_DONEFAIL" +ju 0 +blo "49340,16125" +tm "ONodeName" +) +wait (TextAssociate +uid 299,0 +ps "CenterOffsetStrategy" +text (Text +uid 300,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "49740,16225,53340,17225" +st "wait 2" +blo "49740,17025" +tm "SmWaitText" +) +) +encoding (Text +uid 301,0 +va (VaSet +font "courier,8,1" +) +xt "48290,16725,50390,17625" +st "4'hf" +blo "48290,17425" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 304,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 305,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "53240,19375,53440,19575" +) +autoResize 1 +tline (Line +uid 306,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "49340,16475,49340,16475" +pts [ +"49340,16475" +"49340,16475" +] +) +bline (Line +uid 307,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "49340,16475,49340,16475" +pts [ +"49340,16475" +"49340,16475" +] +) +ttri (Triangle +uid 308,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "48890,16300,49240,16650" +) +btri (Triangle +uid 309,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "48890,16300,49240,16650" +) +entryActions (MLText +uid 310,0 +va (VaSet +font "courier,8,0" +) +xt "49340,16475,49340,16475" +tm "Actions" +) +inActions (MLText +uid 311,0 +va (VaSet +font "courier,8,0" +) +xt "49340,16475,59840,17375" +st "done_with_packet<=1;" +tm "Actions" +) +exitActions (MLText +uid 312,0 +va (VaSet +font "courier,8,0" +) +xt "49340,16475,49340,16475" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 302,0 +ps "CenterOffsetStrategy" +text (MLText +uid 303,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "47190,17275,52690,18175" +st "CASE: expr" +tm "SmCaseExpr" +) +) +impLoop 0 +) +*13 (State +uid 313,0 +shape (Circle +uid 314,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "2195,76343,9029,83177" +radius 3417 +) +name (Text +uid 315,0 +va (VaSet +font "courier,10,1" +) +xt "2912,78610,8312,79610" +st "ST_DONEOK" +ju 0 +blo "5612,79410" +tm "ONodeName" +) +wait (TextAssociate +uid 316,0 +ps "CenterOffsetStrategy" +text (Text +uid 317,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "6012,79510,9612,80510" +st "wait 2" +blo "6012,80310" +tm "SmWaitText" +) +) +encoding (Text +uid 318,0 +va (VaSet +font "courier,8,1" +) +xt "4562,80010,6662,80910" +st "4'he" +blo "4562,80710" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 321,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 322,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "9512,82660,9712,82860" +) +autoResize 1 +tline (Line +uid 323,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "5612,79760,5612,79760" +pts [ +"5612,79760" +"5612,79760" +] +) +bline (Line +uid 324,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "5612,79760,5612,79760" +pts [ +"5612,79760" +"5612,79760" +] +) +ttri (Triangle +uid 325,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "5162,79585,5512,79935" +) +btri (Triangle +uid 326,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "5162,79585,5512,79935" +) +entryActions (MLText +uid 327,0 +va (VaSet +font "courier,8,0" +) +xt "5612,79760,5612,79760" +tm "Actions" +) +inActions (MLText +uid 328,0 +va (VaSet +font "courier,8,0" +) +xt "5612,79760,16112,81560" +st "done_with_packet<=1; +packet_xmit<=1;" +tm "Actions" +) +exitActions (MLText +uid 329,0 +va (VaSet +font "courier,8,0" +) +xt "5612,79760,5612,79760" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 319,0 +ps "CenterOffsetStrategy" +text (MLText +uid 320,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "3462,80560,8962,81460" +st "CASE: expr" +tm "SmCaseExpr" +) +) +impLoop 0 +) +*14 (State +uid 330,0 +shape (Circle +uid 331,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "15697,38747,23665,46715" +radius 3984 +) +name (Text +uid 332,0 +va (VaSet +font "courier,10,1" +) +xt "16381,41581,22981,42581" +st "ST_PREIDLE" +ju 0 +blo "19681,42381" +tm "ONodeName" +) +wait (TextAssociate +uid 333,0 +ps "CenterOffsetStrategy" +text (Text +uid 334,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "20081,42481,23681,43481" +st "wait 2" +blo "20081,43281" +tm "SmWaitText" +) +) +encoding (Text +uid 335,0 +va (VaSet +font "courier,8,1" +) +xt "18631,42981,20731,43881" +st "4'hd" +blo "18631,43681" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 338,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 339,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "23581,45631,23781,45831" +) +autoResize 1 +tline (Line +uid 340,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "19681,42731,19681,42731" +pts [ +"19681,42731" +"19681,42731" +] +) +bline (Line +uid 341,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "19681,42731,19681,42731" +pts [ +"19681,42731" +"19681,42731" +] +) +ttri (Triangle +uid 342,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "19231,42556,19581,42906" +) +btri (Triangle +uid 343,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "19231,42556,19581,42906" +) +entryActions (MLText +uid 344,0 +va (VaSet +font "courier,8,0" +) +xt "19681,42731,19681,42731" +tm "Actions" +) +inActions (MLText +uid 345,0 +va (VaSet +font "courier,8,0" +) +xt "19681,42731,30181,44531" +st "done_with_packet<=0; +packet_xmit<=0;" +tm "Actions" +) +exitActions (MLText +uid 346,0 +va (VaSet +font "courier,8,0" +) +xt "19681,42731,19681,42731" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 336,0 +ps "CenterOffsetStrategy" +text (MLText +uid 337,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "17531,43531,23031,44431" +st "CASE: expr" +tm "SmCaseExpr" +) +) +impLoop 0 +) +*15 (SmResetPoint +uid 347,0 +shape (CompositeShape +uid 348,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +uid 349,0 +sl 0 +ro 270 +xt "-5375,-11375,-3125,-10375" +) +(OrthoPolyLine +uid 350,0 +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "-4475,-11175,-3876,-10575" +pts [ +"-3876,-10575" +"-4176,-10575" +"-4176,-11175" +"-4475,-11175" +] +) +(Line +uid 351,0 +sl 0 +ro 270 +xt "-5126,-11050,-5026,-11000" +pts [ +"-5126,-11000" +"-5026,-11050" +] +) +(Line +uid 352,0 +sl 0 +ro 270 +xt "-5126,-11050,-5126,-10700" +pts [ +"-5126,-10700" +"-5126,-11050" +] +) +(Circle +uid 353,0 +layer 10 +sl 0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,0" +) +xt "-4326,-11025,-4026,-10725" +radius 150 +) +] +) +cond (SmControlCondition +uid 359,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 360,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "-5875,-12875,-2675,-11775" +) +autoResize 1 +cond (MLText +uid 361,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-5775,-12775,-2775,-11875" +st "!reset" +tm "SmControlConditionMgr" +) +) +prio (TransitionPriority +uid 356,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 357,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "-3125,-11665,-1545,-10085" +radius 790 +) +pr (Text +uid 358,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-2585,-11325,-2085,-10425" +st "1" +ju 0 +blo "-2335,-10625" +tm "TransitionPriority" +) +padding "200,200" +) +name (TextAssociate +uid 354,0 +ps "CenterOffsetStrategy" +text (Text +uid 355,0 +va (VaSet +font "courier,8,0" +) +xt "-7875,-11325,-5375,-10425" +st "reset" +ju 2 +blo "-5375,-10625" +tm "SmControlSignalNameMgr" +) +) +actions (TextAssociate +uid 362,0 +ps "CenterOffsetStrategy" +text (MLText +uid 363,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "2500,-6750,9500,-5850" +st "< Automatic >" +tm "Actions" +) +) +) +*16 (SmClockPoint +uid 364,0 +shape (CompositeShape +uid 365,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +uid 366,0 +sl 0 +ro 270 +xt "-4250,-6375,-2000,-5375" +) +(OrthoPolyLine +uid 367,0 +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "-3350,-6175,-2751,-5575" +pts [ +"-3350,-5575" +"-3051,-5575" +"-3051,-6175" +"-2751,-6175" +] +) +(Arc2D +pts [ +"-3741,-5722" +"-3996,-6028" +"-3741,-6028" +] +uid 368,0 +sl 0 +ro 270 +va (VaSet +vasetType 1 +transparent 1 +) +xt "-3996,-6028,-3741,-5722" +) +] +) +name (TextAssociate +uid 369,0 +ps "CenterOffsetStrategy" +text (Text +uid 370,0 +va (VaSet +font "courier,8,0" +) +xt "-8250,-6375,-4750,-5475" +st "mac_clk" +ju 2 +blo "-4750,-5675" +tm "SmControlSignalNameMgr" +) +) +cond (SmControlCondition +uid 371,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 372,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "-2000,-6425,6200,-5325" +) +autoResize 1 +cond (MLText +uid 373,0 +va (VaSet +font "courier,8,0" +) +xt "-1900,-6325,6100,-5425" +st "posedge mac_clk" +tm "SmControlConditionMgr" +) +) +) +*17 (Link +uid 374,0 +shape (CompositeShape +uid 375,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +optionalChildren [ +(Pentagon +uid 376,0 +sl 0 +ro 270 +xt "3375,-11375,5625,-10375" +) +(Line +uid 377,0 +sl 0 +ro 270 +xt "2875,-10875,3375,-10875" +pts [ +"2875,-10875" +"3375,-10875" +] +) +] +) +name (TextAssociate +uid 378,0 +ps "CenterOffsetStrategy" +text (Text +uid 379,0 +va (VaSet +font "courier,8,1" +) +xt "6125,-11375,9625,-10475" +st "ST_IDLE" +blo "6125,-10675" +tm "LinkName" +) +) +) +*18 (Property +uid 600,0 +pclass "HDS" +pname "DocView" +pvalue "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/workspace/IPbusFirmware/firmware/ipbus/hdl/arp.v" +ptn "String" +) +*19 (Property +uid 601,0 +pclass "HDS" +pname "DocViewState" +pvalue "1352727141" +ptn "String" +) +*20 (Transition +uid 380,0 +shape (Spline +uid 381,0 +va (VaSet +vasetType 3 +) +xt "-3125,-10875,2875,-10875" +pts [ +"-3125,-10875" +"2875,-10875" +] +) +start &15 +end &17 +ss 0 +es 0 +cond "!reset" +tb (TransitionBlock +uid 382,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 383,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "-2125,-11825,1875,-9925" +) +autoResize 1 +lineShape (Line +uid 384,0 +va (VaSet +vasetType 3 +) +xt "-1625,-10525,1375,-10525" +pts [ +"-1625,-10525" +"1375,-10525" +] +) +condition (MLText +uid 385,0 +va (VaSet +font "courier,8,0" +) +xt "-1625,-11825,1375,-10925" +st "!reset" +tm "Condition" +) +actions (MLText +uid 386,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-3625,-10125,3375,-9225" +st "< Automatic >" +tm "Actions" +) +) +tp (TransitionPriority +uid 387,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 388,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "-3314,-11665,-1734,-10085" +radius 790 +) +pr (Text +uid 389,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-2774,-11325,-2274,-10425" +st "1" +ju 0 +blo "-2524,-10625" +tm "TransitionPriority" +) +padding "200,200" +) +) +*21 (Transition +uid 390,0 +shape (Spline +uid 391,0 +va (VaSet +vasetType 3 +) +xt "90780,7361,120635,14342" +pts [ +"90780,7361" +"107607,9403" +"120635,14342" +] +arrow 1 +) +start &2 +end &3 +ss 0 +es 0 +cond "packet_ready" +tb (TransitionBlock +uid 392,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 393,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "97807,8903,123907,15703" +) +autoResize 1 +lineShape (Line +uid 394,0 +va (VaSet +vasetType 3 +) +xt "98307,10503,123407,10503" +pts [ +"98307,10503" +"123407,10503" +] +) +condition (MLText +uid 395,0 +va (VaSet +font "courier,8,0" +) +xt "107607,9403,114107,10303" +st "packet_ready" +tm "Condition" +) +actions (MLText +uid 396,0 +va (VaSet +font "courier,8,0" +) +xt "98307,10703,123407,15203" +st "packet_read_addr<=6'd14; // just after the header +packet_out_addr<=0; +packet_out_we<=0; +packet_xmit<=0; +done_with_packet<=0;" +tm "Actions" +) +) +tp (TransitionPriority +uid 397,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 398,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "93066,6866,94646,8446" +radius 790 +) +pr (Text +uid 399,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "93606,7206,94106,8106" +st "1" +ju 0 +blo "93856,7906" +tm "TransitionPriority" +) +padding "200,200" +) +) +*22 (Transition +uid 400,0 +shape (Spline +uid 401,0 +va (VaSet +vasetType 3 +) +xt "131219,19893,152706,39102" +pts [ +"131219,19893" +"142687,27803" +"152706,39102" +] +arrow 1 +) +start &3 +end &4 +ss 0 +es 0 +tb (TransitionBlock +uid 402,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 403,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "142187,27748,147687,28758" +) +autoResize 1 +lineShape (Line +uid 404,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "144937,29103,144937,29103" +pts [ +"144937,29103" +"144937,29103" +] +) +condition (MLText +uid 405,0 +va (VaSet +font "courier,8,0" +) +xt "142687,27803,147187,28703" +tm "Condition" +) +actions (MLText +uid 406,0 +va (VaSet +font "courier,8,0" +) +xt "144937,29103,144937,29103" +tm "Actions" +) +) +tp (TransitionPriority +uid 407,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 408,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "132879,20667,134459,22247" +radius 790 +) +pr (Text +uid 409,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "133419,21007,133919,21907" +st "1" +ju 0 +blo "133669,21707" +tm "TransitionPriority" +) +padding "200,200" +) +) +*23 (Transition +uid 410,0 +shape (Spline +uid 411,0 +va (VaSet +vasetType 3 +) +xt "53262,18168,151087,42366" +pts [ +"151087,42366" +"100432,38532" +"53262,18168" +] +arrow 1 +) +start &4 +end &12 +ss 0 +es 0 +cond "packet_data != compareConst" +tb (TransitionBlock +uid 412,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 413,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "99932,38032,114932,39932" +) +autoResize 1 +lineShape (Line +uid 414,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "102682,39832,102682,39832" +pts [ +"102682,39832" +"102682,39832" +] +) +condition (MLText +uid 415,0 +va (VaSet +font "courier,8,0" +) +xt "100432,38532,114432,39432" +st "packet_data != compareConst" +tm "Condition" +) +actions (MLText +uid 416,0 +va (VaSet +font "courier,8,0" +) +xt "107432,39832,107432,39832" +tm "Actions" +) +) +tp (TransitionPriority +uid 417,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 418,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "140066,41254,141646,42834" +radius 790 +) +pr (Text +uid 419,0 +va (VaSet +font "courier,8,0" +) +xt "140606,41594,141106,42494" +st "1" +ju 0 +blo "140856,42294" +tm "TransitionPriority" +) +padding "200,200" +) +) +*24 (Transition +uid 420,0 +shape (Spline +uid 421,0 +va (VaSet +vasetType 3 +) +xt "158179,47024,168689,74485" +pts [ +"158179,47024" +"165205,60392" +"168689,74485" +] +arrow 1 +) +start &4 +end &5 +ss 0 +es 0 +cond "packet_read_addr==6'd21" +tb (TransitionBlock +uid 422,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 423,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "164455,59892,178055,63092" +) +autoResize 1 +lineShape (Line +uid 424,0 +va (VaSet +vasetType 3 +) +xt "164955,61492,177555,61492" +pts [ +"164955,61492" +"177555,61492" +] +) +condition (MLText +uid 425,0 +va (VaSet +font "courier,8,0" +) +xt "165205,60392,177305,61292" +st "packet_read_addr==6'd21" +tm "Condition" +) +actions (MLText +uid 426,0 +va (VaSet +font "courier,8,0" +) +xt "164955,61692,177555,62592" +st "packet_read_addr<=6'd38;" +tm "Actions" +) +) +tp (TransitionPriority +uid 427,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 428,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "158847,48815,160427,50395" +radius 790 +) +pr (Text +uid 429,0 +va (VaSet +font "courier,8,0" +) +xt "159387,49155,159887,50055" +st "2" +ju 0 +blo "159637,49855" +tm "TransitionPriority" +) +padding "200,200" +) +) +*25 (Transition +uid 430,0 +shape (Spline +uid 431,0 +va (VaSet +vasetType 3 +) +xt "129043,21815,151381,41030" +pts [ +"151381,41030" +"136058,35291" +"129043,21815" +] +arrow 1 +) +start &4 +end &3 +ss 0 +es 0 +tb (TransitionBlock +uid 432,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 433,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "128308,35681,148308,37991" +) +autoResize 1 +lineShape (Line +uid 434,0 +va (VaSet +vasetType 3 +) +xt "128808,36391,147808,36391" +pts [ +"128808,36391" +"147808,36391" +] +) +condition (MLText +uid 435,0 +va (VaSet +font "courier,8,0" +) +xt "136058,35291,140558,36191" +tm "Condition" +) +actions (MLText +uid 436,0 +va (VaSet +font "courier,8,0" +) +xt "128808,36591,147808,37491" +st "packet_read_addr<=packet_read_addr+1;" +tm "Actions" +) +) +tp (TransitionPriority +uid 437,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 438,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "147536,39362,149116,40942" +radius 790 +) +pr (Text +uid 439,0 +va (VaSet +font "courier,8,0" +) +xt "148076,39702,148576,40602" +st "3" +ju 0 +blo "148326,40402" +tm "TransitionPriority" +) +padding "200,200" +) +) +*26 (Transition +uid 440,0 +shape (Spline +uid 441,0 +va (VaSet +vasetType 3 +) +xt "166200,85194,170286,115219" +pts [ +"169996,85194" +"170008,99712" +"166200,115219" +] +arrow 1 +) +start &5 +end &6 +ss 0 +es 0 +tb (TransitionBlock +uid 442,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 443,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "169508,99657,175008,100667" +) +autoResize 1 +lineShape (Line +uid 444,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "172258,101012,172258,101012" +pts [ +"172258,101012" +"172258,101012" +] +) +condition (MLText +uid 445,0 +va (VaSet +font "courier,8,0" +) +xt "170008,99712,174508,100612" +tm "Condition" +) +actions (MLText +uid 446,0 +va (VaSet +font "courier,8,0" +) +xt "172258,101012,172258,101012" +tm "Actions" +) +) +tp (TransitionPriority +uid 447,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 448,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "169318,87453,170898,89033" +radius 790 +) +pr (Text +uid 449,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "169858,87793,170358,88693" +st "1" +ju 0 +blo "170108,88493" +tm "TransitionPriority" +) +padding "200,200" +) +) +*27 (Transition +uid 450,0 +shape (Spline +uid 451,0 +va (VaSet +vasetType 3 +) +xt "52659,19163,162387,116320" +pts [ +"162387,116320" +"109544,65241" +"52659,19163" +] +arrow 1 +) +start &6 +end &12 +ss 0 +es 0 +cond "packet_data != compareIP" +tb (TransitionBlock +uid 452,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 453,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "109044,64741,122544,66641" +) +autoResize 1 +lineShape (Line +uid 454,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "111794,66541,111794,66541" +pts [ +"111794,66541" +"111794,66541" +] +) +condition (MLText +uid 455,0 +va (VaSet +font "courier,8,0" +) +xt "109544,65241,122044,66141" +st "packet_data != compareIP" +tm "Condition" +) +actions (MLText +uid 456,0 +va (VaSet +font "courier,8,0" +) +xt "115794,66541,115794,66541" +tm "Actions" +) +) +tp (TransitionPriority +uid 457,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 458,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "151167,105209,152747,106789" +radius 790 +) +pr (Text +uid 459,0 +va (VaSet +font "courier,8,0" +) +xt "151707,105549,152207,106449" +st "1" +ju 0 +blo "151957,106249" +tm "TransitionPriority" +) +padding "200,200" +) +) +*28 (Transition +uid 460,0 +shape (Spline +uid 461,0 +va (VaSet +vasetType 3 +) +xt "146383,122617,163404,147641" +pts [ +"163404,122617" +"155998,136763" +"146383,147641" +] +arrow 1 +) +start &6 +end &7 +ss 0 +es 0 +cond "packet_read_addr==6'd41" +tb (TransitionBlock +uid 462,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 463,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "155498,136263,168598,138163" +) +autoResize 1 +lineShape (Line +uid 464,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "158248,138063,158248,138063" +pts [ +"158248,138063" +"158248,138063" +] +) +condition (MLText +uid 465,0 +va (VaSet +font "courier,8,0" +) +xt "155998,136763,168098,137663" +st "packet_read_addr==6'd41" +tm "Condition" +) +actions (MLText +uid 466,0 +va (VaSet +font "courier,8,0" +) +xt "162048,138063,162048,138063" +tm "Actions" +) +) +tp (TransitionPriority +uid 467,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 468,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "161275,124568,162855,126148" +radius 790 +) +pr (Text +uid 469,0 +va (VaSet +font "courier,8,0" +) +xt "161815,124908,162315,125808" +st "2" +ju 0 +blo "162065,125608" +tm "TransitionPriority" +) +padding "200,200" +) +) +*29 (Transition +uid 470,0 +shape (Spline +uid 471,0 +va (VaSet +vasetType 3 +) +xt "160037,84564,167453,115225" +pts [ +"164280,115225" +"160080,98515" +"167453,84564" +] +arrow 1 +) +start &6 +end &5 +ss 0 +es 0 +tb (TransitionBlock +uid 472,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 473,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "152330,98905,172330,101215" +) +autoResize 1 +lineShape (Line +uid 474,0 +va (VaSet +vasetType 3 +) +xt "152830,99615,171830,99615" +pts [ +"152830,99615" +"171830,99615" +] +) +condition (MLText +uid 475,0 +va (VaSet +font "courier,8,0" +) +xt "160080,98515,164580,99415" +tm "Condition" +) +actions (MLText +uid 476,0 +va (VaSet +font "courier,8,0" +) +xt "152830,99815,171830,100715" +st "packet_read_addr<=packet_read_addr+1;" +tm "Actions" +) +) +tp (TransitionPriority +uid 477,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 478,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "162433,111283,164013,112863" +radius 790 +) +pr (Text +uid 479,0 +va (VaSet +font "courier,8,0" +) +xt "162973,111623,163473,112523" +st "3" +ju 0 +blo "163223,112323" +tm "TransitionPriority" +) +padding "200,200" +) +) +*30 (Transition +uid 480,0 +shape (Spline +uid 481,0 +va (VaSet +vasetType 3 +) +xt "113087,154804,138317,168130" +pts [ +"138317,154804" +"126380,163067" +"113087,168130" +] +arrow 1 +) +start &7 +end &8 +ss 0 +es 0 +tb (TransitionBlock +uid 482,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 483,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "125880,163012,131380,164022" +) +autoResize 1 +lineShape (Line +uid 484,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "128630,164367,128630,164367" +pts [ +"128630,164367" +"128630,164367" +] +) +condition (MLText +uid 485,0 +va (VaSet +font "courier,8,0" +) +xt "126380,163067,130880,163967" +tm "Condition" +) +actions (MLText +uid 486,0 +va (VaSet +font "courier,8,0" +) +xt "128630,164367,128630,164367" +tm "Actions" +) +) +tp (TransitionPriority +uid 487,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 488,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "135213,155722,136793,157302" +radius 790 +) +pr (Text +uid 489,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "135753,156062,136253,156962" +st "1" +ju 0 +blo "136003,156762" +tm "TransitionPriority" +) +padding "200,200" +) +) +*31 (Transition +uid 490,0 +shape (Spline +uid 491,0 +va (VaSet +vasetType 3 +) +xt "73845,170866,102051,172603" +pts [ +"102051,170866" +"87933,172603" +"73845,170893" +] +arrow 1 +) +start &8 +end &9 +ss 0 +es 0 +tb (TransitionBlock +uid 492,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 493,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "87433,172548,92933,173558" +) +autoResize 1 +lineShape (Line +uid 494,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "90183,173903,90183,173903" +pts [ +"90183,173903" +"90183,173903" +] +) +condition (MLText +uid 495,0 +va (VaSet +font "courier,8,0" +) +xt "87933,172603,92433,173503" +tm "Condition" +) +actions (MLText +uid 496,0 +va (VaSet +font "courier,8,0" +) +xt "90183,173903,90183,173903" +tm "Actions" +) +) +tp (TransitionPriority +uid 497,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 498,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "98449,170513,100029,172093" +radius 790 +) +pr (Text +uid 499,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "98989,170853,99489,171753" +st "1" +ju 0 +blo "99239,171553" +tm "TransitionPriority" +) +padding "200,200" +) +) +*32 (Transition +uid 500,0 +shape (Spline +uid 501,0 +va (VaSet +vasetType 3 +) +xt "36098,153974,62240,168035" +pts [ +"62240,168035" +"49456,163190" +"36098,153974" +] +arrow 1 +) +start &9 +end &10 +ss 0 +es 0 +tb (TransitionBlock +uid 502,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 503,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "48956,163135,54456,164145" +) +autoResize 1 +lineShape (Line +uid 504,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "51706,164490,51706,164490" +pts [ +"51706,164490" +"51706,164490" +] +) +condition (MLText +uid 505,0 +va (VaSet +font "courier,8,0" +) +xt "49456,163190,53956,164090" +tm "Condition" +) +actions (MLText +uid 506,0 +va (VaSet +font "courier,8,0" +) +xt "51706,164490,51706,164490" +tm "Actions" +) +) +tp (TransitionPriority +uid 507,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 508,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "58610,166297,60190,167877" +radius 790 +) +pr (Text +uid 509,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "59150,166637,59650,167537" +st "1" +ju 0 +blo "59400,167337" +tm "TransitionPriority" +) +padding "200,200" +) +) +*33 (Transition +uid 510,0 +shape (Spline +uid 511,0 +va (VaSet +vasetType 3 +) +xt "12474,123124,30176,148732" +pts [ +"30176,148732" +"19755,136980" +"12474,123124" +] +arrow 1 +) +start &10 +end &11 +ss 0 +es 0 +tb (TransitionBlock +uid 512,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 513,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "19255,136925,24755,137935" +) +autoResize 1 +lineShape (Line +uid 514,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "22005,138280,22005,138280" +pts [ +"22005,138280" +"22005,138280" +] +) +condition (MLText +uid 515,0 +va (VaSet +font "courier,8,0" +) +xt "19755,136980,24255,137880" +tm "Condition" +) +actions (MLText +uid 516,0 +va (VaSet +font "courier,8,0" +) +xt "22005,138280,22005,138280" +tm "Actions" +) +) +tp (TransitionPriority +uid 517,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 518,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "27229,145661,28809,147241" +radius 790 +) +pr (Text +uid 519,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "27769,146001,28269,146901" +st "1" +ju 0 +blo "28019,146701" +tm "TransitionPriority" +) +padding "200,200" +) +) +*34 (Transition +uid 520,0 +shape (Spline +uid 521,0 +va (VaSet +vasetType 3 +) +xt "5354,83177,9259,114662" +pts [ +"9259,114662" +"5627,99973" +"5614,83177" +] +arrow 1 +) +start &11 +end &13 +ss 0 +es 0 +cond "packet_out_addr==6'd42" +tb (TransitionBlock +uid 522,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 523,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "5127,99473,17727,101373" +) +autoResize 1 +lineShape (Line +uid 524,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "7877,101273,7877,101273" +pts [ +"7877,101273" +"7877,101273" +] +) +condition (MLText +uid 525,0 +va (VaSet +font "courier,8,0" +) +xt "5627,99973,17227,100873" +st "packet_out_addr==6'd42" +tm "Condition" +) +actions (MLText +uid 526,0 +va (VaSet +font "courier,8,0" +) +xt "11427,101273,11427,101273" +tm "Actions" +) +) +tp (TransitionPriority +uid 527,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 528,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "7587,110798,9167,112378" +radius 790 +) +pr (Text +uid 529,0 +va (VaSet +font "courier,8,0" +) +xt "8127,111138,8627,112038" +st "1" +ju 0 +blo "8377,111838" +tm "TransitionPriority" +) +padding "200,200" +) +) +*35 (Transition +uid 530,0 +shape (Spline +uid 531,0 +va (VaSet +vasetType 3 +) +xt "14838,119907,137598,150094" +pts [ +"14838,119907" +"77630,131377" +"137598,150094" +] +arrow 1 +) +start &11 +end &7 +ss 0 +es 0 +tb (TransitionBlock +uid 532,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 533,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "70380,131767,89380,134077" +) +autoResize 1 +lineShape (Line +uid 534,0 +va (VaSet +vasetType 3 +) +xt "70880,132477,88880,132477" +pts [ +"70880,132477" +"88880,132477" +] +) +condition (MLText +uid 535,0 +va (VaSet +font "courier,8,0" +) +xt "77630,131377,82130,132277" +tm "Condition" +) +actions (MLText +uid 536,0 +va (VaSet +font "courier,8,0" +) +xt "70880,132677,88880,133577" +st "packet_out_addr<=packet_out_addr+1;" +tm "Actions" +) +) +tp (TransitionPriority +uid 537,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 538,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "26544,121193,28124,122773" +radius 790 +) +pr (Text +uid 539,0 +va (VaSet +font "courier,8,0" +) +xt "27084,121533,27584,122433" +st "2" +ju 0 +blo "27334,122233" +tm "TransitionPriority" +) +padding "200,200" +) +) +*36 (Transition +uid 540,0 +shape (Spline +uid 541,0 +va (VaSet +vasetType 3 +) +xt "34830,-1877,52079,14592" +pts [ +"45506,14592" +"34978,9421" +"39993,-1206" +"51600,635" +"49943,12246" +] +arrow 1 +) +start &12 +end &12 +ss 0 +es 0 +cond "done_with_packet==0" +tb (TransitionBlock +uid 542,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 543,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "39493,-1706,50493,194" +) +autoResize 1 +lineShape (Line +uid 544,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "42243,94,42243,94" +pts [ +"42243,94" +"42243,94" +] +) +condition (MLText +uid 545,0 +va (VaSet +font "courier,8,0" +) +xt "39993,-1206,49993,-306" +st "done_with_packet==0" +tm "Condition" +) +actions (MLText +uid 546,0 +va (VaSet +font "courier,8,0" +) +xt "44993,94,44993,94" +tm "Actions" +) +) +tp (TransitionPriority +uid 547,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 548,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "40126,12232,41706,13812" +radius 790 +) +pr (Text +uid 549,0 +va (VaSet +font "courier,8,0" +) +xt "40666,12572,41166,13472" +st "1" +ju 0 +blo "40916,13272" +tm "TransitionPriority" +) +padding "200,200" +) +) +*37 (Transition +uid 550,0 +shape (Spline +uid 551,0 +va (VaSet +vasetType 3 +) +xt "23417,20256,47353,41349" +pts [ +"47353,20256" +"39371,35445" +"23417,41349" +] +arrow 1 +) +start &12 +end &14 +ss 0 +es 0 +tb (TransitionBlock +uid 552,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 553,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "38871,35390,44371,36400" +) +autoResize 1 +lineShape (Line +uid 554,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "41621,36745,41621,36745" +pts [ +"41621,36745" +"41621,36745" +] +) +condition (MLText +uid 555,0 +va (VaSet +font "courier,8,0" +) +xt "39371,35445,43871,36345" +tm "Condition" +) +actions (MLText +uid 556,0 +va (VaSet +font "courier,8,0" +) +xt "41621,36745,41621,36745" +tm "Actions" +) +) +tp (TransitionPriority +uid 557,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 558,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "45226,22637,46806,24217" +radius 790 +) +pr (Text +uid 559,0 +va (VaSet +font "courier,8,0" +) +xt "45766,22977,46266,23877" +st "2" +ju 0 +blo "46016,23677" +tm "TransitionPriority" +) +padding "200,200" +) +) +*38 (Transition +uid 560,0 +shape (Spline +uid 561,0 +va (VaSet +vasetType 3 +) +xt "-14263,68896,3104,87604" +pts [ +"2630,81427" +"-8354,87565" +"-14248,77397" +"-6134,68896" +"3104,77440" +] +arrow 1 +) +start &13 +end &13 +ss 0 +es 0 +cond "done_with_packet==0" +tb (TransitionBlock +uid 562,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 563,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "-14748,76897,-3748,78797" +) +autoResize 1 +lineShape (Line +uid 564,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "-11998,78697,-11998,78697" +pts [ +"-11998,78697" +"-11998,78697" +] +) +condition (MLText +uid 565,0 +va (VaSet +font "courier,8,0" +) +xt "-14248,77397,-4248,78297" +st "done_with_packet==0" +tm "Condition" +) +actions (MLText +uid 566,0 +va (VaSet +font "courier,8,0" +) +xt "-9248,78697,-9248,78697" +tm "Actions" +) +) +tp (TransitionPriority +uid 567,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 568,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "-2224,83591,-644,85171" +radius 790 +) +pr (Text +uid 569,0 +va (VaSet +font "courier,8,0" +) +xt "-1684,83931,-1184,84831" +st "1" +ju 0 +blo "-1434,84631" +tm "TransitionPriority" +) +padding "200,200" +) +) +*39 (Transition +uid 570,0 +shape (Spline +uid 571,0 +va (VaSet +vasetType 3 +) +xt "6426,46260,17834,76442" +pts [ +"6426,76442" +"10305,60639" +"17834,46260" +] +arrow 1 +) +start &13 +end &14 +ss 0 +es 0 +tb (TransitionBlock +uid 572,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 573,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "9805,60584,15305,61594" +) +autoResize 1 +lineShape (Line +uid 574,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "12555,61939,12555,61939" +pts [ +"12555,61939" +"12555,61939" +] +) +condition (MLText +uid 575,0 +va (VaSet +font "courier,8,0" +) +xt "10305,60639,14805,61539" +tm "Condition" +) +actions (MLText +uid 576,0 +va (VaSet +font "courier,8,0" +) +xt "12555,61939,12555,61939" +tm "Actions" +) +) +tp (TransitionPriority +uid 577,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 578,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "6309,72467,7889,74047" +radius 790 +) +pr (Text +uid 579,0 +va (VaSet +font "courier,8,0" +) +xt "6849,72807,7349,73707" +st "2" +ju 0 +blo "7099,73507" +tm "TransitionPriority" +) +padding "200,200" +) +) +*40 (Transition +uid 580,0 +shape (Spline +uid 581,0 +va (VaSet +vasetType 3 +) +xt "1989,27315,18348,43930" +pts [ +"15699,42836" +"3686,43155" +"3190,31414" +"14325,27654" +"18348,38977" +] +arrow 1 +) +start &14 +end &14 +ss 0 +es 0 +cond "done_with_packet==1" +tb (TransitionBlock +uid 582,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 583,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "2690,30914,13690,32814" +) +autoResize 1 +lineShape (Line +uid 584,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "5440,32714,5440,32714" +pts [ +"5440,32714" +"5440,32714" +] +) +condition (MLText +uid 585,0 +va (VaSet +font "courier,8,0" +) +xt "3190,31414,13190,32314" +st "done_with_packet==1" +tm "Condition" +) +actions (MLText +uid 586,0 +va (VaSet +font "courier,8,0" +) +xt "8190,32714,8190,32714" +tm "Actions" +) +) +tp (TransitionPriority +uid 587,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 588,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "10055,42782,11635,44362" +radius 790 +) +pr (Text +uid 589,0 +va (VaSet +font "courier,8,0" +) +xt "10595,43122,11095,44022" +st "1" +ju 0 +blo "10845,43822" +tm "TransitionPriority" +) +padding "200,200" +) +) +*41 (Transition +uid 590,0 +shape (Spline +uid 591,0 +va (VaSet +vasetType 3 +) +xt "20365,-19516,85066,38807" +pts [ +"20365,38807" +"30413,-18765" +"85066,5772" +] +arrow 1 +) +start &14 +end &2 +ss 0 +es 0 +tb (TransitionBlock +uid 592,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 593,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "29913,-18820,35413,-17810" +) +autoResize 1 +lineShape (Line +uid 594,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "32663,-17465,32663,-17465" +pts [ +"32663,-17465" +"32663,-17465" +] +) +condition (MLText +uid 595,0 +va (VaSet +font "courier,8,0" +) +xt "30413,-18765,34913,-17865" +tm "Condition" +) +actions (MLText +uid 596,0 +va (VaSet +font "courier,8,0" +) +xt "32663,-17465,32663,-17465" +tm "Actions" +) +) +tp (TransitionPriority +uid 597,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 598,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "20327,25961,21907,27541" +radius 790 +) +pr (Text +uid 599,0 +va (VaSet +font "courier,8,0" +) +xt "20867,26301,21367,27201" +st "2" +ju 0 +blo "21117,27001" +tm "TransitionPriority" +) +padding "200,200" +) +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 0 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *42 (PackageList +uid 33,0 +stg "VerticalLayoutStrategy" +textVec [ +*43 (Text +uid 34,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,3000,6500,3900" +st "Package List" +blo "0,3700" +) +*44 (MLText +uid 35,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,3900,14500,7500" +tm "SmPackageListTextMgr" +) +] +) +compDirBlock (MlTextGroup +uid 36,0 +stg "VerticalLayoutStrategy" +textVec [ +*45 (Text +uid 37,0 +va (VaSet +font "courier,8,1" +) +xt "-14748,178188,-4748,179088" +st "Compiler Directives" +blo "-14748,178888" +) +*46 (Text +uid 38,0 +va (VaSet +font "courier,8,1" +) +xt "-14748,179088,-3248,179988" +st "Pre-module directives:" +blo "-14748,179788" +) +*47 (MLText +uid 39,0 +va (VaSet +font "courier,8,0" +) +xt "-14748,179988,26752,197988" +st "`timescale 1ns / 1ps +////////////////////////////////////////////////////////////////////////////////// +// Company: +// Engineer: +// +// Create Date: 09:58:54 01/14/2010 +// Design Name: +// Module Name: arp +// Project Name: +// Target Devices: +// Tool versions: +// Description: +// +// Dependencies: +// +// Revision: +// Revision 0.01 - File Created +// Additional Comments: +// +////////////////////////////////////////////////////////////////////////////////// +" +tm "SmCompilerDirectivesTextMgr" +) +*48 (Text +uid 40,0 +va (VaSet +font "courier,8,1" +) +xt "-14748,197988,-2748,198888" +st "Post-module directives:" +blo "-14748,198688" +) +*49 (MLText +uid 41,0 +va (VaSet +font "courier,8,0" +) +xt "-14748,178188,-14748,178188" +tm "SmCompilerDirectivesTextMgr" +) +*50 (Text +uid 42,0 +va (VaSet +font "courier,8,1" +) +xt "-14748,198888,-3248,199788" +st "End-module directives:" +blo "-14748,199588" +) +*51 (MLText +uid 43,0 +va (VaSet +font "courier,8,0" +) +xt "-14748,199788,-14748,199788" +tm "SmCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "0,0,1015,690" +viewArea "-14748,-19516,178055,199788" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +isTopLevel 1 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,4000,1900" +st "Panel0" +blo "1000,1700" +tm "PanelText" +) +) +) +localPreDecl *52 (SmLocalDecl +uid 3,0 +stg "VerticalLayoutStrategy" +first (Text +uid 4,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,0,13000,900" +st "Architecture Declarations" +blo "0,700" +) +second (MLText +uid 5,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,900,0,900" +tm "LocalDeclTextMgr" +) +declType 1 +) +localDecl *53 (SmLocalDecl +uid 6,0 +stg "VerticalLayoutStrategy" +first (Text +uid 7,0 +va (VaSet +font "courier,8,1" +) +xt "81252,178188,91252,179088" +st "Module Declarations" +blo "81252,178888" +) +second (MLText +uid 8,0 +va (VaSet +font "courier,8,0" +) +xt "81252,179088,81252,179088" +tm "LocalDeclTextMgr" +) +declType 2 +) +localPostDecl *54 (SmLocalDecl +uid 9,0 +stg "VerticalLayoutStrategy" +first (Text +uid 10,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,0,13000,900" +st "Architecture Declarations" +blo "0,700" +) +second (MLText +uid 11,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,900,0,900" +tm "LocalDeclTextMgr" +) +declType 3 +) +processDecl *55 (SmProcessDecl +uid 12,0 +stg "VerticalLayoutStrategy" +textVec [ +*56 (Text +uid 13,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "66500,-1000,77000,-100" +st "Process Declarations" +blo "66500,-300" +) +*57 (Text +uid 14,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "66500,-100,75000,800" +st "Clocked Process:" +blo "66500,600" +) +*58 (MLText +uid 15,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "66500,-1000,66500,-1000" +tm "ProcessDeclTextMgr" +) +*59 (Text +uid 16,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "66500,800,74500,1700" +st "Output Process:" +blo "66500,1500" +) +*60 (MLText +uid 17,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "66500,1700,66500,1700" +tm "ProcessDeclTextMgr" +) +] +associable 1 +) +defaultActions *61 (MlTextGroup +uid 18,0 +stg "VerticalLayoutStrategy" +textVec [ +*62 (Text +uid 19,0 +va (VaSet +font "courier,8,1" +) +xt "49502,178188,57002,179088" +st "Global Actions" +blo "49502,178888" +) +*63 (Text +uid 20,0 +va (VaSet +font "courier,8,1" +) +xt "49502,179088,56002,179988" +st "Pre Actions:" +blo "49502,179788" +) +*64 (MLText +uid 21,0 +va (VaSet +font "courier,8,0" +) +xt "49502,178188,49502,178188" +tm "Actions" +) +*65 (Text +uid 22,0 +va (VaSet +font "courier,8,1" +) +xt "49502,179988,56502,180888" +st "Post Actions:" +blo "49502,180688" +) +*66 (MLText +uid 23,0 +va (VaSet +font "courier,8,0" +) +xt "49502,180888,49502,180888" +tm "Actions" +) +] +associable 1 +) +archConcurrentStatementBlock *67 (BiTextGroup +uid 24,0 +stg "VerticalLayoutStrategy" +first (Text +uid 25,0 +va (VaSet +font "courier,8,1" +) +xt "62752,178188,73752,179088" +st "Concurrent Statements" +blo "62752,178888" +) +second (MLText +uid 26,0 +va (VaSet +font "courier,8,0" +) +xt "62752,179088,62752,179088" +tm "ArchConcStmtTextMgr" +) +associable 1 +) +signalsGenStatus *68 (SmSignalGenStatus +uid 30,0 +stg "VerticalLayoutStrategy" +first (Text +uid 31,0 +va (VaSet +font "courier,8,1" +) +xt "98252,178188,105252,179088" +st "Signal Status" +blo "98252,178888" +) +second (MLText +uid 32,0 +va (VaSet +font "courier,8,0" +) +xt "98252,179088,98252,179088" +tm "SmSignalsGenStatusTextMgr" +) +) +stateRegBlock *69 (BiTextGroup +uid 27,0 +stg "VerticalLayoutStrategy" +first (Text +uid 28,0 +va (VaSet +font "courier,8,1" +) +xt "110752,178188,123752,179088" +st "State Register Statements" +blo "110752,178888" +) +second (MLText +uid 29,0 +va (VaSet +font "courier,8,0" +) +xt "110752,179088,110752,179088" +tm "Actions" +) +associable 1 +) +) +genChar (SmGenChar +uid 44,0 +csName "state" +nextStateClocking 0 +numProcs 1 +) +encoding (Encoding +scheme 0 +encodingStyles [ +(pair +scheme 0 +style 6 +) +(pair +scheme 1 +style 1 +) +(pair +scheme 2 +style 0 +) +(pair +scheme 3 +style 0 +) +(pair +scheme 4 +style 0 +) +(pair +scheme 5 +style 0 +) +] +otherValues [ +(pair +scheme 0 +otherValue "" +) +(pair +scheme 1 +otherValue "" +) +(pair +scheme 2 +otherValue "" +) +(pair +scheme 3 +otherValue "" +) +(pair +scheme 4 +otherValue "" +) +(pair +scheme 5 +otherValue "" +) +] +attribute 1 +synSafe 0 +outputEncodedLocals 0 +useVerilogParameterRange 0 +radix 2 +) +stateOrder [ +&2 +&3 +&4 +&5 +&6 +&7 +&8 +&9 +&10 +&11 +&12 +&13 +&14 +] +name "state" +) +] +lastUid 605,0 +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +emptyRow *70 (LEmptyRow +) +optionalChildren [ +*71 (RefLabelRowHdr +) +*72 (TitleRowHdr +) +*73 (FilterRowHdr +) +*74 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*75 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*76 (GroupColHdr +tm "GroupColHdrMgr" +) +*77 (NameColHdr +tm "SmNameColHdrMgr" +) +*78 (ModeColHdr +tm "SmModeColHdrMgr" +) +*79 (TypeColHdr +tm "SmTypeColHdrMgr" +) +*80 (SignedColHdr +tm "SmSignedColHdrMgr" +) +*81 (BoundsColHdr +tm "SmBoundsColHdrMgr" +) +*82 (DelayColHdr +tm "SmDelayColHdrMgr" +) +*83 (InitColHdr +tm "SmInitColHdrMgr" +) +*84 (ColumnHdr +tm "SmCategoryColHdrMgr" +) +*85 (ColumnHdr +tm "SmAssignColHdrMgr" +) +*86 (ColumnHdr +tm "SmExprColHdrMgr" +) +*87 (ColumnHdr +tm "SmSchemeColHdrMgr" +) +*88 (ColumnHdr +tm "SmDefValColHdrMgr" +) +*89 (ColumnHdr +tm "SmRstValColHdrMgr" +) +*90 (EolColHdr +tm "SmEolColHdrMgr" +) +*91 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "mac_clk" +t "wire" +o 1 +) +) +uid 602,0 +cat 1 +scheme 0 +expr "posedge mac_clk" +) +*92 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "reset" +t "wire" +o 2 +) +) +uid 604,0 +cat 8 +scheme 0 +expr "!reset" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +optionalChildren [ +*93 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *94 (MRCItem +litem &70 +pos 3 +dimension 20 +) +optionalChildren [ +*95 (MRCItem +litem &71 +pos 0 +dimension 20 +) +*96 (MRCItem +litem &72 +pos 1 +dimension 23 +) +*97 (MRCItem +litem &73 +pos 2 +hidden 1 +dimension 20 +) +*98 (MRCItem +litem &91 +pos 0 +dimension 20 +uid 603,0 +) +*99 (MRCItem +litem &92 +pos 1 +dimension 20 +uid 605,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +optionalChildren [ +*100 (MRCItem +litem &74 +pos 0 +dimension 20 +) +*101 (MRCItem +litem &76 +pos 1 +dimension 50 +) +*102 (MRCItem +litem &77 +pos 2 +dimension 70 +) +*103 (MRCItem +litem &78 +pos 3 +dimension 50 +) +*104 (MRCItem +litem &79 +pos 4 +dimension 80 +) +*105 (MRCItem +litem &80 +pos 5 +dimension 60 +) +*106 (MRCItem +litem &81 +pos 6 +dimension 80 +) +*107 (MRCItem +litem &82 +pos 7 +dimension 40 +) +*108 (MRCItem +litem &83 +pos 8 +dimension 40 +) +*109 (MRCItem +litem &84 +pos 9 +dimension 100 +) +*110 (MRCItem +litem &85 +pos 10 +dimension 60 +) +*111 (MRCItem +litem &86 +pos 11 +dimension 130 +) +*112 (MRCItem +litem &87 +pos 12 +dimension 56 +) +*113 (MRCItem +litem &88 +pos 13 +dimension 50 +) +*114 (MRCItem +litem &89 +pos 14 +dimension 50 +) +*115 (MRCItem +litem &90 +pos 15 +dimension 80 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +vaOverrides [ +] +) +] +) +) +cdmCsm &1 +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *116 (LEmptyRow +) +optionalChildren [ +*117 (RefLabelRowHdr +) +*118 (TitleRowHdr +) +*119 (FilterRowHdr +) +*120 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*121 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*122 (GroupColHdr +tm "GroupColHdrMgr" +) +*123 (NameColHdr +tm "GenericNameColHdrMgr" +) +*124 (InitColHdr +tm "GenericValueColHdrMgr" +) +*125 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +optionalChildren [ +*126 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *127 (MRCItem +litem &116 +pos 3 +dimension 20 +) +optionalChildren [ +*128 (MRCItem +litem &117 +pos 0 +dimension 20 +) +*129 (MRCItem +litem &118 +pos 1 +dimension 23 +) +*130 (MRCItem +litem &119 +pos 2 +hidden 1 +dimension 20 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +optionalChildren [ +*131 (MRCItem +litem &120 +pos 0 +dimension 20 +) +*132 (MRCItem +litem &122 +pos 1 +dimension 50 +) +*133 (MRCItem +litem &123 +pos 2 +dimension 100 +) +*134 (MRCItem +litem &124 +pos 3 +dimension 50 +) +*135 (MRCItem +litem &125 +pos 4 +dimension 80 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +vaOverrides [ +] +) +] +) +type 1 +) +signalSuffix "_int" +clockSuffix "_cld" +defaultState (State +shape (Circle +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "-3000,-3000,3000,3000" +radius 3000 +) +name (Text +va (VaSet +font "courier,10,1" +) +xt "0,0,1200,1000" +st "s0" +ju 0 +blo "600,800" +tm "ONodeName" +) +wait (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "1000,900,4600,1900" +st "wait 2" +blo "1000,1700" +tm "SmWaitText" +) +) +encoding (Text +va (VaSet +font "courier,8,1" +) +blo "0,0" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "3900,2900,4100,3100" +) +autoResize 1 +tline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +pts [ +"0,0" +"0,0" +] +) +bline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +pts [ +"0,0" +"0,0" +] +) +ttri (Triangle +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "-450,-175,-100,175" +) +btri (Triangle +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "-450,-175,-100,175" +) +entryActions (MLText +va (VaSet +font "courier,8,0" +) +tm "Actions" +) +inActions (MLText +va (VaSet +font "courier,8,0" +) +tm "Actions" +) +exitActions (MLText +va (VaSet +font "courier,8,0" +) +tm "Actions" +) +) +caseExpr (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-2150,800,3350,1700" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +defaultWaitState (State +shape (CircleInOctagon +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "26368,26368,26368" +lineWidth 2 +) +xt "-529,-529,6529,6529" +) +name (Text +va (VaSet +font "courier,10,1" +) +xt "0,0,1200,1000" +st "s0" +ju 0 +blo "600,800" +tm "ONodeName" +) +wait (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "1000,900,4600,1900" +st "wait 2" +blo "1000,1700" +tm "SmWaitText" +) +) +encoding (Text +va (VaSet +font "courier,8,1" +) +blo "0,0" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "8900,5900,9100,6100" +) +autoResize 1 +tline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "5000,3000,5000,3000" +pts [ +"5000,3000" +"5000,3000" +] +) +bline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "5000,3000,5000,3000" +pts [ +"5000,3000" +"5000,3000" +] +) +ttri (Triangle +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "4550,2825,4900,3175" +) +btri (Triangle +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "4550,2825,4900,3175" +) +entryActions (MLText +va (VaSet +font "courier,8,0" +) +xt "5000,3000,5000,3000" +tm "Actions" +) +inActions (MLText +va (VaSet +font "courier,8,0" +) +xt "5000,3000,5000,3000" +tm "Actions" +) +exitActions (MLText +va (VaSet +font "courier,8,0" +) +xt "5000,3000,5000,3000" +tm "Actions" +) +) +caseExpr (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-2150,800,3350,1700" +st "CASE: expr" +tm "SmCaseExpr" +) +) +isWait 1 +) +defaultCompositeState (CompositeState +shape (TripleCircle +va (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "-3000,-3000,3000,3000" +radius 3000 +) +name (Text +va (VaSet +font "courier,10,1" +) +xt "-600,-500,600,500" +st "s0" +ju 0 +blo "0,300" +tm "ONodeName" +) +childDiagram &0 +) +defaultJunction (Junction +shape (Diamond +va (VaSet +vasetType 1 +fg "59904,39936,65280" +) +xt "-700,-700,1700,1700" +) +symbol (Text +va (VaSet +font "courier,10,1" +) +xt "200,0,800,1000" +st "&" +ju 0 +blo "500,800" +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "2000,1000,2000,1000" +blo "2000,1000" +tm "JunctionName" +) +) +caseExpr (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-2450,1800,3050,2700" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +defaultEntryPoint (EntryPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "29952,39936,65280" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-875,875,1375,1875" +) +(Line +sl 0 +ro 270 +xt "1375,1375,1875,1375" +pts [ +"1375,1375" +"1875,1375" +] +) +] +) +) +defaultInterruptPoint (InterruptPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,0,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-875,875,1375,1875" +) +(Line +sl 0 +ro 270 +xt "1375,1375,1875,1375" +pts [ +"1375,1375" +"1875,1375" +] +) +(CustomPolygon +pts [ +"-625,1600" +"-625,1300" +"25,1425" +"-75,1150" +"1025,1350" +"200,1350" +"375,1600" +] +sl 0 +ro 270 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "65535,0,0" +lineColor "65535,65535,0" +) +xt "-625,1150,1025,1600" +) +] +) +) +defaultLink (Link +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-375,875,1875,1875" +) +(Line +sl 0 +ro 270 +xt "-875,1375,-375,1375" +pts [ +"-875,1375" +"-375,1375" +] +) +] +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "2375,875,4375,1775" +st "Link" +blo "2375,1575" +tm "LinkName" +) +) +) +defaultExitPoint (ExitPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "29952,39936,65280" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-375,875,1875,1875" +) +(Line +sl 0 +ro 270 +xt "-875,1375,-375,1375" +pts [ +"-875,1375" +"-375,1375" +] +) +] +) +) +defaultTransition (Transition +shape (Spline +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +arrow 1 +) +ss 0 +es 0 +cond "condition" +tb (TransitionBlock +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "-500,-500,5000,1400" +) +autoResize 1 +lineShape (Line +va (VaSet +vasetType 3 +isHidden 1 +) +xt "2250,1300,2250,1300" +pts [ +"2250,1300" +"2250,1300" +] +) +condition (MLText +va (VaSet +font "courier,8,0" +) +xt "0,0,4500,900" +st "condition" +tm "Condition" +) +actions (MLText +va (VaSet +font "courier,8,0" +) +xt "2250,1700,2250,1700" +tm "Actions" +) +) +tp (TransitionPriority +ps "PercentageFromStartStrategy" +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "-790,-790,790,790" +radius 790 +) +pr (Text +va (VaSet +font "courier,8,0" +) +xt "-250,-450,250,450" +st "1" +ju 0 +blo "0,250" +tm "TransitionPriority" +) +padding "200,200" +) +) +defaultClk (SmClockPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-625,625,1625,1625" +) +(OrthoPolyLine +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "275,825,874,1425" +pts [ +"275,1425" +"574,1425" +"574,825" +"874,825" +] +) +(Arc2D +pts [ +"-116,1278" +"-371,972" +"-116,972" +] +sl 0 +ro 270 +va (VaSet +vasetType 1 +transparent 1 +) +xt "-371,972,-116,1278" +) +] +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +font "courier,8,0" +) +xt "-2625,625,-1125,1525" +st "clk" +ju 2 +blo "-1125,1325" +tm "SmControlSignalNameMgr" +) +) +cond (SmControlCondition +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "1625,575,3825,1675" +) +autoResize 1 +cond (MLText +va (VaSet +font "courier,8,0" +) +xt "1725,675,3725,1575" +st "cond" +tm "SmControlConditionMgr" +) +) +) +defaultEnable (SmEnablePoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-625,625,1625,1625" +) +(OrthoPolyLine +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "275,825,874,1425" +pts [ +"874,1425" +"574,1425" +"574,825" +"275,825" +] +) +(Arc2D +pts [ +"-130,1263" +"-415,1064" +"-76,1064" +] +layer 10 +sl 0 +ro 270 +va (VaSet +vasetType 1 +transparent 1 +) +xt "-415,1064,-76,1263" +) +(Line +sl 0 +ro 270 +xt "-415,1064,-106,1064" +pts [ +"-415,1064" +"-106,1064" +] +) +] +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +font "courier,8,0" +) +xt "-4125,625,-1125,1525" +st "enable" +ju 2 +blo "-1125,1325" +tm "SmControlSignalNameMgr" +) +) +cond (SmControlCondition +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "1625,575,3825,1675" +) +autoResize 1 +cond (MLText +va (VaSet +font "courier,8,0" +) +xt "1725,675,3725,1575" +st "cond" +tm "SmControlConditionMgr" +) +) +) +defaultRst (SmResetPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-625,625,1625,1625" +) +(OrthoPolyLine +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "275,825,874,1425" +pts [ +"874,1425" +"574,1425" +"574,825" +"275,825" +] +) +(Line +sl 0 +ro 270 +xt "-376,950,-276,1000" +pts [ +"-376,1000" +"-276,950" +] +) +(Line +sl 0 +ro 270 +xt "-376,950,-376,1300" +pts [ +"-376,1300" +"-376,950" +] +) +(Circle +layer 10 +sl 0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,0" +) +xt "424,975,724,1275" +radius 150 +) +] +) +cond (SmControlCondition +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "-625,-875,1575,225" +) +autoResize 1 +cond (MLText +va (VaSet +font "courier,8,0" +) +xt "-525,-775,1475,125" +st "cond" +tm "SmControlConditionMgr" +) +) +prio (TransitionPriority +ps "PercentageFromStartStrategy" +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "1625,335,3205,1915" +radius 790 +) +pr (Text +va (VaSet +font "courier,8,0" +) +xt "2165,675,2665,1575" +st "1" +ju 0 +blo "2415,1375" +tm "TransitionPriority" +) +padding "200,200" +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +font "courier,8,0" +) +xt "-2125,675,-625,1575" +st "rst" +ju 2 +blo "-625,1375" +tm "SmControlSignalNameMgr" +) +) +actions (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "4750,2625,11750,3525" +st "< Automatic >" +tm "Actions" +) +) +) +defaultRecStatePt (SmRecoveryStatePoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,0,0" +) +optionalChildren [ +(Circle +sl 0 +xt "-900,-900,900,900" +radius 900 +) +(Line +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "-425,-425,425,425" +pts [ +"-425,425" +"425,-425" +] +) +(Line +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "-425,-425,425,425" +pts [ +"425,425" +"-425,-425" +] +) +] +) +) +LanguageMgr "Verilog2001LangMgr" +) diff --git a/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/arp/struct.bd b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/arp/struct.bd new file mode 100644 index 00000000..9d62c765 --- /dev/null +++ b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/arp/struct.bd @@ -0,0 +1,10879 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +language 1 +dialect 5 +dmPackageRefs [ +] +embeddedInstances [ +(EmbeddedInstance +name "SM" +number "1" +view 1 +machine (Machine +name "state" +children [ +(Machine +name "state" +children [ +] +stateSignalName "state" +) +] +) +) +(EmbeddedInstance +name "eb1" +number "2" +) +(EmbeddedInstance +name "eb2" +number "3" +) +(EmbeddedInstance +name "eb3" +number "4" +) +(EmbeddedInstance +name "eb4" +number "5" +) +] +properties [ +(HdrProperty +class "HDS" +name "DocView" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/workspace/IPbusFirmware/firmware/ipbus/hdl/arp.v" +) +(HdrProperty +class "HDS" +name "DocViewState" +value "1352727141" +) +] +) +version "30.1" +appVersion "2010.3 (Build 21)" +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl" +) +(vvPair +variable "HDSDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/arp/struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/arp/struct.bd.user" +) +(vvPair +variable "SourceDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "config" +value "%(unit)_config" +) +(vvPair +variable "d" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/arp" +) +(vvPair +variable "d_logical" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/arp" +) +(vvPair +variable "date" +value "11/13/12" +) +(vvPair +variable "day" +value "Tue" +) +(vvPair +variable "day_long" +value "Tuesday" +) +(vvPair +variable "dd" +value "13" +) +(vvPair +variable "entity_name" +value "arp" +) +(vvPair +variable "ext" +value "<TBD>" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "group" +value "HEP_Instrumentation" +) +(vvPair +variable "host" +value "kipper.phy.bris.ac.uk" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "fmc_mTLU_lib" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/designcheck" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "arp" +) +(vvPair +variable "month" +value "Nov" +) +(vvPair +variable "month_long" +value "November" +) +(vvPair +variable "p" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/arp/struct.bd" +) +(vvPair +variable "p_logical" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/arp/struct.bd" +) +(vvPair +variable "package_name" +value "<Undefined Variable>" +) +(vvPair +variable "project_name" +value "fmc_mTLU" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "<TBD>" +) +(vvPair +variable "task_DesignCompilerPath" +value "<TBD>" +) +(vvPair +variable "task_LeonardoPath" +value "/opt/CAD/Mentor/LeonardoSpectrum_2008a/bin" +) +(vvPair +variable "task_ModelSimPath" +value "/opt/CAD/Mentor/Modelsim/6.4a/modeltech/bin" +) +(vvPair +variable "task_NC-SimPath" +value "<TBD>" +) +(vvPair +variable "task_PrecisionRTLPath" +value "/opt/CAD/Mentor/Precis_Synth_2009a_update2/Mgc_home/bin" +) +(vvPair +variable "task_QuestaSimPath" +value "/opt/CAD/Mentor/HDS2008.1b/questasim/bin" +) +(vvPair +variable "task_VCSPath" +value "<TBD>" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "16:59:31" +) +(vvPair +variable "unit" +value "arp" +) +(vvPair +variable "user" +value "phdgc" +) +(vvPair +variable "version" +value "2010.3 (Build 21)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2012" +) +(vvPair +variable "yy" +value "12" +) +] +) +LanguageMgr "Verilog2001LangMgr" +optionalChildren [ +*1 (Net +uid 11,0 +lang 5 +decl (Decl +n "compareConst" +t "wire" +b "[7:0]" +prec "// to be worth responding to, HARDWARE = 0x0 0x1, PROTOCOL = 0x8 0x0, +// HLEN = 0x6, PLEN=0x4, OPERATION = 0x0 0x1 +// TARGET IA = my IP" +preAdd 0 +o 1 +suid 2,0 +) +declText (MLText +uid 12,0 +va (VaSet +font "courier,8,0" +) +xt "47000,50775,83000,54375" +st "// to be worth responding to, HARDWARE = 0x0 0x1, PROTOCOL = 0x8 0x0, +// HLEN = 0x6, PLEN=0x4, OPERATION = 0x0 0x1 +// TARGET IA = my IP +wire [7:0] compareConst; +" +) +) +*2 (Net +uid 13,0 +lang 5 +decl (Decl +n "compareIP" +t "wire" +b "[7:0]" +o 2 +suid 3,0 +) +declText (MLText +uid 14,0 +va (VaSet +font "courier,8,0" +) +xt "47000,54375,59000,55275" +st "wire [7:0] compareIP; +" +) +) +*3 (Net +uid 15,0 +lang 5 +decl (Decl +n "resp_read_addr" +t "wire" +b "[4:0]" +o 3 +suid 4,0 +) +declText (MLText +uid 16,0 +va (VaSet +font "courier,8,0" +) +xt "47000,55275,61500,56175" +st "wire [4:0] resp_read_addr; +" +) +) +*4 (Net +uid 17,0 +lang 5 +decl (Decl +n "resp_data" +t "wire" +b "[7:0]" +o 4 +suid 5,0 +) +declText (MLText +uid 18,0 +va (VaSet +font "courier,8,0" +) +xt "47000,56175,59000,57075" +st "wire [7:0] resp_data; +" +) +) +*5 (PortIoIn +uid 19,0 +shape (CompositeShape +uid 20,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 21,0 +sl 0 +ro 270 +xt "24000,13625,25500,14375" +) +(Line +uid 22,0 +sl 0 +ro 270 +xt "25500,14000,26000,14000" +pts [ +"25500,14000" +"26000,14000" +] +) +] +) +stc 0 +tg (WTG +uid 23,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 24,0 +va (VaSet +font "courier,8,0" +) +xt "19500,13500,23000,14400" +st "mac_clk" +ju 2 +blo "23000,14200" +tm "WireNameMgr" +) +) +) +*6 (Net +uid 25,0 +lang 5 +decl (Decl +n "mac_clk" +t "wire" +o 5 +suid 6,0 +) +declText (MLText +uid 26,0 +va (VaSet +font "courier,8,0" +) +xt "47000,38175,58000,39075" +st "wire mac_clk; +" +) +) +*7 (PortIoIn +uid 33,0 +shape (CompositeShape +uid 34,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 35,0 +sl 0 +ro 270 +xt "24000,14625,25500,15375" +) +(Line +uid 36,0 +sl 0 +ro 270 +xt "25500,15000,26000,15000" +pts [ +"25500,15000" +"26000,15000" +] +) +] +) +stc 0 +tg (WTG +uid 37,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 38,0 +va (VaSet +font "courier,8,0" +) +xt "20500,14500,23000,15400" +st "reset" +ju 2 +blo "23000,15200" +tm "WireNameMgr" +) +) +) +*8 (Net +uid 39,0 +lang 5 +decl (Decl +n "reset" +t "wire" +o 6 +suid 7,0 +) +declText (MLText +uid 40,0 +va (VaSet +font "courier,8,0" +) +xt "47000,39075,57000,39975" +st "wire reset; +" +) +) +*9 (PortIoIn +uid 47,0 +shape (CompositeShape +uid 48,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 49,0 +sl 0 +ro 270 +xt "24000,15625,25500,16375" +) +(Line +uid 50,0 +sl 0 +ro 270 +xt "25500,16000,26000,16000" +pts [ +"25500,16000" +"26000,16000" +] +) +] +) +stc 0 +tg (WTG +uid 51,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 52,0 +va (VaSet +font "courier,8,0" +) +xt "16500,15500,23000,16400" +st "packet_ready" +ju 2 +blo "23000,16200" +tm "WireNameMgr" +) +) +) +*10 (Net +uid 53,0 +lang 5 +decl (Decl +n "packet_ready" +t "wire" +o 7 +suid 8,0 +) +declText (MLText +uid 54,0 +va (VaSet +font "courier,8,0" +) +xt "47000,39975,60500,40875" +st "wire packet_ready; +" +) +) +*11 (PortIoOut +uid 61,0 +shape (CompositeShape +uid 62,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 63,0 +sl 0 +ro 270 +xt "31500,13625,33000,14375" +) +(Line +uid 64,0 +sl 0 +ro 270 +xt "31000,14000,31500,14000" +pts [ +"31000,14000" +"31500,14000" +] +) +] +) +stc 0 +tg (WTG +uid 65,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 66,0 +va (VaSet +font "courier,8,0" +) +xt "34000,13500,42500,14400" +st "done_with_packet" +blo "34000,14200" +tm "WireNameMgr" +) +) +) +*12 (Net +uid 67,0 +lang 5 +decl (Decl +n "done_with_packet" +t "reg" +o 8 +suid 9,0 +) +declText (MLText +uid 68,0 +va (VaSet +font "courier,8,0" +) +xt "47000,40875,62500,41775" +st "reg done_with_packet; +" +) +) +*13 (PortIoIn +uid 75,0 +shape (CompositeShape +uid 76,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 77,0 +sl 0 +ro 270 +xt "-4000,29625,-2500,30375" +) +(Line +uid 78,0 +sl 0 +ro 270 +xt "-2500,30000,-2000,30000" +pts [ +"-2500,30000" +"-2000,30000" +] +) +] +) +stc 0 +tg (WTG +uid 79,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 80,0 +va (VaSet +font "courier,8,0" +) +xt "-11000,29500,-5000,30400" +st "packet_data" +ju 2 +blo "-5000,30200" +tm "WireNameMgr" +) +) +) +*14 (Net +uid 81,0 +lang 5 +decl (Decl +n "packet_data" +t "wire" +b "[7:0]" +o 9 +suid 10,0 +) +declText (MLText +uid 82,0 +va (VaSet +font "courier,8,0" +) +xt "47000,41775,60000,42675" +st "wire [7:0] packet_data; +" +) +) +*15 (PortIoOut +uid 89,0 +shape (CompositeShape +uid 90,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 91,0 +sl 0 +ro 270 +xt "41500,29625,43000,30375" +) +(Line +uid 92,0 +sl 0 +ro 270 +xt "41000,30000,41500,30000" +pts [ +"41000,30000" +"41500,30000" +] +) +] +) +stc 0 +tg (WTG +uid 93,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 94,0 +va (VaSet +font "courier,8,0" +) +xt "44000,29500,52500,30400" +st "packet_read_addr" +blo "44000,30200" +tm "WireNameMgr" +) +) +) +*16 (Net +uid 95,0 +lang 5 +decl (Decl +n "packet_read_addr" +t "reg" +b "[5:0]" +o 10 +suid 11,0 +) +declText (MLText +uid 96,0 +va (VaSet +font "courier,8,0" +) +xt "47000,42675,62500,43575" +st "reg [5:0] packet_read_addr; +" +) +) +*17 (PortIoIn +uid 103,0 +shape (CompositeShape +uid 104,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 105,0 +sl 0 +ro 270 +xt "4000,-375,5500,375" +) +(Line +uid 106,0 +sl 0 +ro 270 +xt "5500,0,6000,0" +pts [ +"5500,0" +"6000,0" +] +) +] +) +stc 0 +tg (WTG +uid 107,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 108,0 +va (VaSet +font "courier,8,0" +) +xt "500,-500,3000,400" +st "myMAC" +ju 2 +blo "3000,200" +tm "WireNameMgr" +) +) +) +*18 (Net +uid 109,0 +lang 5 +decl (Decl +n "myMAC" +t "wire" +b "[47:0]" +o 11 +suid 12,0 +) +declText (MLText +uid 110,0 +va (VaSet +font "courier,8,0" +) +xt "47000,43575,57000,44475" +st "wire [47:0] myMAC; +" +) +) +*19 (PortIoIn +uid 117,0 +shape (CompositeShape +uid 118,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 119,0 +sl 0 +ro 270 +xt "-4000,30625,-2500,31375" +) +(Line +uid 120,0 +sl 0 +ro 270 +xt "-2500,31000,-2000,31000" +pts [ +"-2500,31000" +"-2000,31000" +] +) +] +) +stc 0 +tg (WTG +uid 121,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 122,0 +va (VaSet +font "courier,8,0" +) +xt "-7000,30500,-5000,31400" +st "myIP" +ju 2 +blo "-5000,31200" +tm "WireNameMgr" +) +) +) +*20 (Net +uid 123,0 +lang 5 +decl (Decl +n "myIP" +t "wire" +b "[31:0]" +o 12 +suid 13,0 +) +declText (MLText +uid 124,0 +va (VaSet +font "courier,8,0" +) +xt "47000,44475,56500,45375" +st "wire [31:0] myIP; +" +) +) +*21 (PortIoOut +uid 131,0 +shape (CompositeShape +uid 132,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 133,0 +sl 0 +ro 270 +xt "31500,14625,33000,15375" +) +(Line +uid 134,0 +sl 0 +ro 270 +xt "31000,15000,31500,15000" +pts [ +"31000,15000" +"31500,15000" +] +) +] +) +stc 0 +tg (WTG +uid 135,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 136,0 +va (VaSet +font "courier,8,0" +) +xt "34000,14500,39500,15400" +st "packet_out" +blo "34000,15200" +tm "WireNameMgr" +) +) +) +*22 (Net +uid 137,0 +lang 5 +decl (Decl +n "packet_out" +t "reg" +b "[7:0]" +o 13 +suid 14,0 +) +declText (MLText +uid 138,0 +va (VaSet +font "courier,8,0" +) +xt "47000,45375,59500,46275" +st "reg [7:0] packet_out; +" +) +) +*23 (PortIoOut +uid 145,0 +shape (CompositeShape +uid 146,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 147,0 +sl 0 +ro 270 +xt "41500,30625,43000,31375" +) +(Line +uid 148,0 +sl 0 +ro 270 +xt "41000,31000,41500,31000" +pts [ +"41000,31000" +"41500,31000" +] +) +] +) +stc 0 +tg (WTG +uid 149,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 150,0 +va (VaSet +font "courier,8,0" +) +xt "44000,30500,52000,31400" +st "packet_out_addr" +blo "44000,31200" +tm "WireNameMgr" +) +) +) +*24 (Net +uid 151,0 +lang 5 +decl (Decl +n "packet_out_addr" +t "reg" +b "[5:0]" +o 14 +suid 15,0 +) +declText (MLText +uid 152,0 +va (VaSet +font "courier,8,0" +) +xt "47000,46275,62000,47175" +st "reg [5:0] packet_out_addr; +" +) +) +*25 (PortIoOut +uid 159,0 +shape (CompositeShape +uid 160,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 161,0 +sl 0 +ro 270 +xt "31500,15625,33000,16375" +) +(Line +uid 162,0 +sl 0 +ro 270 +xt "31000,16000,31500,16000" +pts [ +"31000,16000" +"31500,16000" +] +) +] +) +stc 0 +tg (WTG +uid 163,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 164,0 +va (VaSet +font "courier,8,0" +) +xt "34000,15500,41000,16400" +st "packet_out_we" +blo "34000,16200" +tm "WireNameMgr" +) +) +) +*26 (Net +uid 165,0 +lang 5 +decl (Decl +n "packet_out_we" +t "reg" +o 15 +suid 16,0 +) +declText (MLText +uid 166,0 +va (VaSet +font "courier,8,0" +) +xt "47000,47175,61000,48075" +st "reg packet_out_we; +" +) +) +*27 (PortIoOut +uid 173,0 +shape (CompositeShape +uid 174,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 175,0 +sl 0 +ro 270 +xt "31500,16625,33000,17375" +) +(Line +uid 176,0 +sl 0 +ro 270 +xt "31000,17000,31500,17000" +pts [ +"31000,17000" +"31500,17000" +] +) +] +) +stc 0 +tg (WTG +uid 177,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 178,0 +va (VaSet +font "courier,8,0" +) +xt "34000,16500,40000,17400" +st "packet_xmit" +blo "34000,17200" +tm "WireNameMgr" +) +) +) +*28 (Net +uid 179,0 +lang 5 +decl (Decl +n "packet_xmit" +t "reg" +o 16 +suid 17,0 +) +declText (MLText +uid 180,0 +va (VaSet +font "courier,8,0" +) +xt "47000,48075,60000,48975" +st "reg packet_xmit; +" +) +) +*29 (HdlText +uid 187,0 +optionalChildren [ +*30 (SmEmbeddedModel +version "25.1" +model (StateMachine +uid 194,0 +optionalChildren [ +*31 (ConcurrentSM +uid 263,0 +topDiagram (StateDiagram +LanguageMgr "None" +uid 264,0 +optionalChildren [ +*32 (State +uid 306,0 +shape (Circle +uid 307,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "84802,4000,90802,10000" +radius 3000 +) +name (Text +uid 308,0 +va (VaSet +font "courier,10,1" +) +xt "85702,5850,89902,6850" +st "ST_IDLE" +ju 0 +blo "87802,6650" +tm "ONodeName" +) +wait (TextAssociate +uid 309,0 +ps "CenterOffsetStrategy" +text (Text +uid 310,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "88202,6750,91802,7750" +st "wait 2" +blo "88202,7550" +tm "SmWaitText" +) +) +encoding (Text +uid 311,0 +va (VaSet +font "courier,8,1" +) +xt "86752,7250,88852,8150" +st "4'h0" +blo "86752,7950" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 314,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 315,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "91702,9900,91902,10100" +) +autoResize 1 +tline (Line +uid 316,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "87802,7000,87802,7000" +pts [ +"87802,7000" +"87802,7000" +] +) +bline (Line +uid 317,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "87802,7000,87802,7000" +pts [ +"87802,7000" +"87802,7000" +] +) +ttri (Triangle +uid 318,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "87352,6825,87702,7175" +) +btri (Triangle +uid 319,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "87352,6825,87702,7175" +) +entryActions (MLText +uid 320,0 +va (VaSet +font "courier,8,0" +) +xt "87802,7000,87802,7000" +tm "Actions" +) +inActions (MLText +uid 321,0 +va (VaSet +font "courier,8,0" +) +xt "87802,7000,87802,7000" +tm "Actions" +) +exitActions (MLText +uid 322,0 +va (VaSet +font "courier,8,0" +) +xt "87802,7000,87802,7000" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 312,0 +ps "CenterOffsetStrategy" +text (MLText +uid 313,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "85652,7800,91152,8700" +st "CASE: expr" +tm "SmCaseExpr" +) +) +ifStyle 2 +impLoop 0 +) +*33 (State +uid 323,0 +shape (Circle +uid 324,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "120244,10455,132284,22495" +radius 6020 +) +name (Text +uid 325,0 +va (VaSet +font "courier,10,1" +) +xt "120864,15325,131664,16325" +st "ST_CHECKCONSTWAIT" +ju 0 +blo "126264,16125" +tm "ONodeName" +) +wait (TextAssociate +uid 326,0 +ps "CenterOffsetStrategy" +text (Text +uid 327,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "126664,16225,130264,17225" +st "wait 2" +blo "126664,17025" +tm "SmWaitText" +) +) +encoding (Text +uid 328,0 +va (VaSet +font "courier,8,1" +) +xt "125214,16725,127314,17625" +st "4'h1" +blo "125214,17425" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 331,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 332,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "130164,19375,130364,19575" +) +autoResize 1 +tline (Line +uid 333,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "126264,16475,126264,16475" +pts [ +"126264,16475" +"126264,16475" +] +) +bline (Line +uid 334,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "126264,16475,126264,16475" +pts [ +"126264,16475" +"126264,16475" +] +) +ttri (Triangle +uid 335,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "125814,16300,126164,16650" +) +btri (Triangle +uid 336,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "125814,16300,126164,16650" +) +entryActions (MLText +uid 337,0 +va (VaSet +font "courier,8,0" +) +xt "126264,16475,126264,16475" +tm "Actions" +) +inActions (MLText +uid 338,0 +va (VaSet +font "courier,8,0" +) +xt "126264,16475,126264,16475" +tm "Actions" +) +exitActions (MLText +uid 339,0 +va (VaSet +font "courier,8,0" +) +xt "126264,16475,126264,16475" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 329,0 +ps "CenterOffsetStrategy" +text (MLText +uid 330,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "124114,17275,129614,18175" +st "CASE: expr" +tm "SmCaseExpr" +) +) +impLoop 0 +) +*34 (State +uid 340,0 +shape (Circle +uid 341,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "151073,37881,160773,47581" +radius 4850 +) +name (Text +uid 342,0 +va (VaSet +font "courier,10,1" +) +xt "151723,41581,160123,42581" +st "ST_CHECKCONST" +ju 0 +blo "155923,42381" +tm "ONodeName" +) +wait (TextAssociate +uid 343,0 +ps "CenterOffsetStrategy" +text (Text +uid 344,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "156323,42481,159923,43481" +st "wait 2" +blo "156323,43281" +tm "SmWaitText" +) +) +encoding (Text +uid 345,0 +va (VaSet +font "courier,8,1" +) +xt "154873,42981,156973,43881" +st "4'h2" +blo "154873,43681" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 348,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 349,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "159823,45631,160023,45831" +) +autoResize 1 +tline (Line +uid 350,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "155923,42731,155923,42731" +pts [ +"155923,42731" +"155923,42731" +] +) +bline (Line +uid 351,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "155923,42731,155923,42731" +pts [ +"155923,42731" +"155923,42731" +] +) +ttri (Triangle +uid 352,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "155473,42556,155823,42906" +) +btri (Triangle +uid 353,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "155473,42556,155823,42906" +) +entryActions (MLText +uid 354,0 +va (VaSet +font "courier,8,0" +) +xt "155923,42731,155923,42731" +tm "Actions" +) +inActions (MLText +uid 355,0 +va (VaSet +font "courier,8,0" +) +xt "155923,42731,155923,42731" +tm "Actions" +) +exitActions (MLText +uid 356,0 +va (VaSet +font "courier,8,0" +) +xt "155923,42731,155923,42731" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 346,0 +ps "CenterOffsetStrategy" +text (MLText +uid 347,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "153773,43531,159273,44431" +st "CASE: expr" +tm "SmCaseExpr" +) +) +impLoop 0 +) +*35 (State +uid 357,0 +shape (Circle +uid 358,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "164558,74326,175426,85194" +radius 5434 +) +name (Text +uid 359,0 +va (VaSet +font "courier,10,1" +) +xt "165192,78610,174792,79610" +st "ST_CHECKIP_WAIT" +ju 0 +blo "169992,79410" +tm "ONodeName" +) +wait (TextAssociate +uid 360,0 +ps "CenterOffsetStrategy" +text (Text +uid 361,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "170392,79510,173992,80510" +st "wait 2" +blo "170392,80310" +tm "SmWaitText" +) +) +encoding (Text +uid 362,0 +va (VaSet +font "courier,8,1" +) +xt "168942,80010,171042,80910" +st "4'h3" +blo "168942,80710" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 365,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 366,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "173892,82660,174092,82860" +) +autoResize 1 +tline (Line +uid 367,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "169992,79760,169992,79760" +pts [ +"169992,79760" +"169992,79760" +] +) +bline (Line +uid 368,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "169992,79760,169992,79760" +pts [ +"169992,79760" +"169992,79760" +] +) +ttri (Triangle +uid 369,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "169542,79585,169892,79935" +) +btri (Triangle +uid 370,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "169542,79585,169892,79935" +) +entryActions (MLText +uid 371,0 +va (VaSet +font "courier,8,0" +) +xt "169992,79760,169992,79760" +tm "Actions" +) +inActions (MLText +uid 372,0 +va (VaSet +font "courier,8,0" +) +xt "169992,79760,169992,79760" +tm "Actions" +) +exitActions (MLText +uid 373,0 +va (VaSet +font "courier,8,0" +) +xt "169992,79760,169992,79760" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 363,0 +ps "CenterOffsetStrategy" +text (MLText +uid 364,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "167842,80560,173342,81460" +st "CASE: expr" +tm "SmCaseExpr" +) +) +impLoop 0 +) +*36 (State +uid 374,0 +shape (Circle +uid 375,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "161267,115104,169235,123072" +radius 3984 +) +name (Text +uid 376,0 +va (VaSet +font "courier,10,1" +) +xt "161951,117938,168551,118938" +st "ST_CHECKIP" +ju 0 +blo "165251,118738" +tm "ONodeName" +) +wait (TextAssociate +uid 377,0 +ps "CenterOffsetStrategy" +text (Text +uid 378,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "165651,118838,169251,119838" +st "wait 2" +blo "165651,119638" +tm "SmWaitText" +) +) +encoding (Text +uid 379,0 +va (VaSet +font "courier,8,1" +) +xt "164201,119338,166301,120238" +st "4'h4" +blo "164201,120038" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 382,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 383,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "169151,121988,169351,122188" +) +autoResize 1 +tline (Line +uid 384,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "165251,119088,165251,119088" +pts [ +"165251,119088" +"165251,119088" +] +) +bline (Line +uid 385,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "165251,119088,165251,119088" +pts [ +"165251,119088" +"165251,119088" +] +) +ttri (Triangle +uid 386,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "164801,118913,165151,119263" +) +btri (Triangle +uid 387,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "164801,118913,165151,119263" +) +entryActions (MLText +uid 388,0 +va (VaSet +font "courier,8,0" +) +xt "165251,119088,165251,119088" +tm "Actions" +) +inActions (MLText +uid 389,0 +va (VaSet +font "courier,8,0" +) +xt "165251,119088,165251,119088" +tm "Actions" +) +exitActions (MLText +uid 390,0 +va (VaSet +font "courier,8,0" +) +xt "165251,119088,165251,119088" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 380,0 +ps "CenterOffsetStrategy" +text (MLText +uid 381,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "163101,119888,168601,120788" +st "CASE: expr" +tm "SmCaseExpr" +) +) +impLoop 0 +) +*37 (State +uid 391,0 +shape (Circle +uid 392,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "137351,146278,148219,157146" +radius 5434 +) +name (Text +uid 393,0 +va (VaSet +font "courier,10,1" +) +xt "137985,150562,147585,151562" +st "ST_RESP_READSET" +ju 0 +blo "142785,151362" +tm "ONodeName" +) +wait (TextAssociate +uid 394,0 +ps "CenterOffsetStrategy" +text (Text +uid 395,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "143185,151462,146785,152462" +st "wait 2" +blo "143185,152262" +tm "SmWaitText" +) +) +encoding (Text +uid 396,0 +va (VaSet +font "courier,8,1" +) +xt "141735,151962,143835,152862" +st "4'h5" +blo "141735,152662" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 399,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 400,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "146685,154612,146885,154812" +) +autoResize 1 +tline (Line +uid 401,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "142785,151712,142785,151712" +pts [ +"142785,151712" +"142785,151712" +] +) +bline (Line +uid 402,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "142785,151712,142785,151712" +pts [ +"142785,151712" +"142785,151712" +] +) +ttri (Triangle +uid 403,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "142335,151537,142685,151887" +) +btri (Triangle +uid 404,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "142335,151537,142685,151887" +) +entryActions (MLText +uid 405,0 +va (VaSet +font "courier,8,0" +) +xt "142785,151712,142785,151712" +tm "Actions" +) +inActions (MLText +uid 406,0 +va (VaSet +font "courier,8,0" +) +xt "142785,151712,159785,152612" +st "packet_read_addr<=resp_read_addr;" +tm "Actions" +) +exitActions (MLText +uid 407,0 +va (VaSet +font "courier,8,0" +) +xt "142785,151712,142785,151712" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 397,0 +ps "CenterOffsetStrategy" +text (MLText +uid 398,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "140635,152512,146135,153412" +st "CASE: expr" +tm "SmCaseExpr" +) +) +impLoop 0 +) +*38 (State +uid 408,0 +shape (Circle +uid 409,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "102008,164441,113462,175895" +radius 5727 +) +name (Text +uid 410,0 +va (VaSet +font "courier,10,1" +) +xt "102635,169018,112835,170018" +st "ST_RESP_READWAIT" +ju 0 +blo "107735,169818" +tm "ONodeName" +) +wait (TextAssociate +uid 411,0 +ps "CenterOffsetStrategy" +text (Text +uid 412,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "108135,169918,111735,170918" +st "wait 2" +blo "108135,170718" +tm "SmWaitText" +) +) +encoding (Text +uid 413,0 +va (VaSet +font "courier,8,1" +) +xt "106685,170418,108785,171318" +st "4'h6" +blo "106685,171118" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 416,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 417,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "111635,173068,111835,173268" +) +autoResize 1 +tline (Line +uid 418,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "107735,170168,107735,170168" +pts [ +"107735,170168" +"107735,170168" +] +) +bline (Line +uid 419,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "107735,170168,107735,170168" +pts [ +"107735,170168" +"107735,170168" +] +) +ttri (Triangle +uid 420,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "107285,169993,107635,170343" +) +btri (Triangle +uid 421,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "107285,169993,107635,170343" +) +entryActions (MLText +uid 422,0 +va (VaSet +font "courier,8,0" +) +xt "107735,170168,107735,170168" +tm "Actions" +) +inActions (MLText +uid 423,0 +va (VaSet +font "courier,8,0" +) +xt "107735,170168,107735,170168" +tm "Actions" +) +exitActions (MLText +uid 424,0 +va (VaSet +font "courier,8,0" +) +xt "107735,170168,107735,170168" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 414,0 +ps "CenterOffsetStrategy" +text (MLText +uid 415,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "105585,170968,111085,171868" +st "CASE: expr" +tm "SmCaseExpr" +) +) +impLoop 0 +) +*39 (State +uid 425,0 +shape (Circle +uid 426,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "61849,164148,73889,176188" +radius 6020 +) +name (Text +uid 427,0 +va (VaSet +font "courier,10,1" +) +xt "62469,169018,73269,170018" +st "ST_RESP_READWAIT2" +ju 0 +blo "67869,169818" +tm "ONodeName" +) +wait (TextAssociate +uid 428,0 +ps "CenterOffsetStrategy" +text (Text +uid 429,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "68269,169918,71869,170918" +st "wait 2" +blo "68269,170718" +tm "SmWaitText" +) +) +encoding (Text +uid 430,0 +va (VaSet +font "courier,8,1" +) +xt "66819,170418,68919,171318" +st "4'h9" +blo "66819,171118" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 433,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 434,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "71769,173068,71969,173268" +) +autoResize 1 +tline (Line +uid 435,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "67869,170168,67869,170168" +pts [ +"67869,170168" +"67869,170168" +] +) +bline (Line +uid 436,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "67869,170168,67869,170168" +pts [ +"67869,170168" +"67869,170168" +] +) +ttri (Triangle +uid 437,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "67419,169993,67769,170343" +) +btri (Triangle +uid 438,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "67419,169993,67769,170343" +) +entryActions (MLText +uid 439,0 +va (VaSet +font "courier,8,0" +) +xt "67869,170168,67869,170168" +tm "Actions" +) +inActions (MLText +uid 440,0 +va (VaSet +font "courier,8,0" +) +xt "67869,170168,67869,170168" +tm "Actions" +) +exitActions (MLText +uid 441,0 +va (VaSet +font "courier,8,0" +) +xt "67869,170168,67869,170168" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 431,0 +ps "CenterOffsetStrategy" +text (MLText +uid 432,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "65719,170968,71219,171868" +st "CASE: expr" +tm "SmCaseExpr" +) +) +impLoop 0 +) +*40 (State +uid 442,0 +shape (Circle +uid 443,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "28835,147728,36803,155696" +radius 3984 +) +name (Text +uid 444,0 +va (VaSet +font "courier,10,1" +) +xt "29519,150562,36119,151562" +st "ST_RESP_WE" +ju 0 +blo "32819,151362" +tm "ONodeName" +) +wait (TextAssociate +uid 445,0 +ps "CenterOffsetStrategy" +text (Text +uid 446,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "33219,151462,36819,152462" +st "wait 2" +blo "33219,152262" +tm "SmWaitText" +) +) +encoding (Text +uid 447,0 +va (VaSet +font "courier,8,1" +) +xt "31769,151962,33869,152862" +st "4'h7" +blo "31769,152662" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 450,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 451,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "36719,154612,36919,154812" +) +autoResize 1 +tline (Line +uid 452,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "32819,151712,32819,151712" +pts [ +"32819,151712" +"32819,151712" +] +) +bline (Line +uid 453,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "32819,151712,32819,151712" +pts [ +"32819,151712" +"32819,151712" +] +) +ttri (Triangle +uid 454,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "32369,151537,32719,151887" +) +btri (Triangle +uid 455,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "32369,151537,32719,151887" +) +entryActions (MLText +uid 456,0 +va (VaSet +font "courier,8,0" +) +xt "32819,151712,32819,151712" +tm "Actions" +) +inActions (MLText +uid 457,0 +va (VaSet +font "courier,8,0" +) +xt "32819,151712,44319,153512" +st "packet_out<=resp_data; +packet_out_we<=1;" +tm "Actions" +) +exitActions (MLText +uid 458,0 +va (VaSet +font "courier,8,0" +) +xt "32819,151712,32819,151712" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 448,0 +ps "CenterOffsetStrategy" +text (MLText +uid 449,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "30669,152512,36169,153412" +st "CASE: expr" +tm "SmCaseExpr" +) +) +impLoop 0 +) +*41 (State +uid 459,0 +shape (Circle +uid 460,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "5793,114528,14913,123648" +radius 4560 +) +name (Text +uid 461,0 +va (VaSet +font "courier,10,1" +) +xt "6453,117938,14253,118938" +st "ST_RESP_NEXT" +ju 0 +blo "10353,118738" +tm "ONodeName" +) +wait (TextAssociate +uid 462,0 +ps "CenterOffsetStrategy" +text (Text +uid 463,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "10753,118838,14353,119838" +st "wait 2" +blo "10753,119638" +tm "SmWaitText" +) +) +encoding (Text +uid 464,0 +va (VaSet +font "courier,8,1" +) +xt "9303,119338,11403,120238" +st "4'h8" +blo "9303,120038" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 467,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 468,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "14253,121988,14453,122188" +) +autoResize 1 +tline (Line +uid 469,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "10353,119088,10353,119088" +pts [ +"10353,119088" +"10353,119088" +] +) +bline (Line +uid 470,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "10353,119088,10353,119088" +pts [ +"10353,119088" +"10353,119088" +] +) +ttri (Triangle +uid 471,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "9903,118913,10253,119263" +) +btri (Triangle +uid 472,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "9903,118913,10253,119263" +) +entryActions (MLText +uid 473,0 +va (VaSet +font "courier,8,0" +) +xt "10353,119088,10353,119088" +tm "Actions" +) +inActions (MLText +uid 474,0 +va (VaSet +font "courier,8,0" +) +xt "10353,119088,19353,119988" +st "packet_out_we<=0;" +tm "Actions" +) +exitActions (MLText +uid 475,0 +va (VaSet +font "courier,8,0" +) +xt "10353,119088,10353,119088" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 465,0 +ps "CenterOffsetStrategy" +text (MLText +uid 466,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "8203,119888,13703,120788" +st "CASE: expr" +tm "SmCaseExpr" +) +) +impLoop 0 +) +*42 (State +uid 476,0 +shape (Circle +uid 477,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "45068,12203,53612,20747" +radius 4272 +) +name (Text +uid 478,0 +va (VaSet +font "courier,10,1" +) +xt "45740,15325,52940,16325" +st "ST_DONEFAIL" +ju 0 +blo "49340,16125" +tm "ONodeName" +) +wait (TextAssociate +uid 479,0 +ps "CenterOffsetStrategy" +text (Text +uid 480,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "49740,16225,53340,17225" +st "wait 2" +blo "49740,17025" +tm "SmWaitText" +) +) +encoding (Text +uid 481,0 +va (VaSet +font "courier,8,1" +) +xt "48290,16725,50390,17625" +st "4'hf" +blo "48290,17425" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 484,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 485,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "53240,19375,53440,19575" +) +autoResize 1 +tline (Line +uid 486,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "49340,16475,49340,16475" +pts [ +"49340,16475" +"49340,16475" +] +) +bline (Line +uid 487,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "49340,16475,49340,16475" +pts [ +"49340,16475" +"49340,16475" +] +) +ttri (Triangle +uid 488,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "48890,16300,49240,16650" +) +btri (Triangle +uid 489,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "48890,16300,49240,16650" +) +entryActions (MLText +uid 490,0 +va (VaSet +font "courier,8,0" +) +xt "49340,16475,49340,16475" +tm "Actions" +) +inActions (MLText +uid 491,0 +va (VaSet +font "courier,8,0" +) +xt "49340,16475,59840,17375" +st "done_with_packet<=1;" +tm "Actions" +) +exitActions (MLText +uid 492,0 +va (VaSet +font "courier,8,0" +) +xt "49340,16475,49340,16475" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 482,0 +ps "CenterOffsetStrategy" +text (MLText +uid 483,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "47190,17275,52690,18175" +st "CASE: expr" +tm "SmCaseExpr" +) +) +impLoop 0 +) +*43 (State +uid 493,0 +shape (Circle +uid 494,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "2195,76343,9029,83177" +radius 3417 +) +name (Text +uid 495,0 +va (VaSet +font "courier,10,1" +) +xt "2912,78610,8312,79610" +st "ST_DONEOK" +ju 0 +blo "5612,79410" +tm "ONodeName" +) +wait (TextAssociate +uid 496,0 +ps "CenterOffsetStrategy" +text (Text +uid 497,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "6012,79510,9612,80510" +st "wait 2" +blo "6012,80310" +tm "SmWaitText" +) +) +encoding (Text +uid 498,0 +va (VaSet +font "courier,8,1" +) +xt "4562,80010,6662,80910" +st "4'he" +blo "4562,80710" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 501,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 502,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "9512,82660,9712,82860" +) +autoResize 1 +tline (Line +uid 503,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "5612,79760,5612,79760" +pts [ +"5612,79760" +"5612,79760" +] +) +bline (Line +uid 504,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "5612,79760,5612,79760" +pts [ +"5612,79760" +"5612,79760" +] +) +ttri (Triangle +uid 505,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "5162,79585,5512,79935" +) +btri (Triangle +uid 506,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "5162,79585,5512,79935" +) +entryActions (MLText +uid 507,0 +va (VaSet +font "courier,8,0" +) +xt "5612,79760,5612,79760" +tm "Actions" +) +inActions (MLText +uid 508,0 +va (VaSet +font "courier,8,0" +) +xt "5612,79760,16112,81560" +st "done_with_packet<=1; +packet_xmit<=1;" +tm "Actions" +) +exitActions (MLText +uid 509,0 +va (VaSet +font "courier,8,0" +) +xt "5612,79760,5612,79760" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 499,0 +ps "CenterOffsetStrategy" +text (MLText +uid 500,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "3462,80560,8962,81460" +st "CASE: expr" +tm "SmCaseExpr" +) +) +impLoop 0 +) +*44 (State +uid 510,0 +shape (Circle +uid 511,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "15697,38747,23665,46715" +radius 3984 +) +name (Text +uid 512,0 +va (VaSet +font "courier,10,1" +) +xt "16381,41581,22981,42581" +st "ST_PREIDLE" +ju 0 +blo "19681,42381" +tm "ONodeName" +) +wait (TextAssociate +uid 513,0 +ps "CenterOffsetStrategy" +text (Text +uid 514,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "20081,42481,23681,43481" +st "wait 2" +blo "20081,43281" +tm "SmWaitText" +) +) +encoding (Text +uid 515,0 +va (VaSet +font "courier,8,1" +) +xt "18631,42981,20731,43881" +st "4'hd" +blo "18631,43681" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 518,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 519,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "23581,45631,23781,45831" +) +autoResize 1 +tline (Line +uid 520,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "19681,42731,19681,42731" +pts [ +"19681,42731" +"19681,42731" +] +) +bline (Line +uid 521,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "19681,42731,19681,42731" +pts [ +"19681,42731" +"19681,42731" +] +) +ttri (Triangle +uid 522,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "19231,42556,19581,42906" +) +btri (Triangle +uid 523,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "19231,42556,19581,42906" +) +entryActions (MLText +uid 524,0 +va (VaSet +font "courier,8,0" +) +xt "19681,42731,19681,42731" +tm "Actions" +) +inActions (MLText +uid 525,0 +va (VaSet +font "courier,8,0" +) +xt "19681,42731,30181,44531" +st "done_with_packet<=0; +packet_xmit<=0;" +tm "Actions" +) +exitActions (MLText +uid 526,0 +va (VaSet +font "courier,8,0" +) +xt "19681,42731,19681,42731" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 516,0 +ps "CenterOffsetStrategy" +text (MLText +uid 517,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "17531,43531,23031,44431" +st "CASE: expr" +tm "SmCaseExpr" +) +) +impLoop 0 +) +*45 (SmResetPoint +uid 527,0 +shape (CompositeShape +uid 528,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +uid 529,0 +sl 0 +ro 270 +xt "-5375,-11375,-3125,-10375" +) +(OrthoPolyLine +uid 530,0 +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "-4475,-11175,-3876,-10575" +pts [ +"-3876,-10575" +"-4176,-10575" +"-4176,-11175" +"-4475,-11175" +] +) +(Line +uid 531,0 +sl 0 +ro 270 +xt "-5126,-11050,-5026,-11000" +pts [ +"-5126,-11000" +"-5026,-11050" +] +) +(Line +uid 532,0 +sl 0 +ro 270 +xt "-5126,-11050,-5126,-10700" +pts [ +"-5126,-10700" +"-5126,-11050" +] +) +(Circle +uid 533,0 +layer 10 +sl 0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,0" +) +xt "-4326,-11025,-4026,-10725" +radius 150 +) +] +) +cond (SmControlCondition +uid 539,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 540,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "-5875,-12875,-2675,-11775" +) +autoResize 1 +cond (MLText +uid 541,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-5775,-12775,-2775,-11875" +st "!reset" +tm "SmControlConditionMgr" +) +) +prio (TransitionPriority +uid 536,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 537,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "-3125,-11665,-1545,-10085" +radius 790 +) +pr (Text +uid 538,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-2585,-11325,-2085,-10425" +st "1" +ju 0 +blo "-2335,-10625" +tm "TransitionPriority" +) +padding "200,200" +) +name (TextAssociate +uid 534,0 +ps "CenterOffsetStrategy" +text (Text +uid 535,0 +va (VaSet +font "courier,8,0" +) +xt "-7875,-11325,-5375,-10425" +st "reset" +ju 2 +blo "-5375,-10625" +tm "SmControlSignalNameMgr" +) +) +actions (TextAssociate +uid 542,0 +ps "CenterOffsetStrategy" +text (MLText +uid 543,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "2500,-6750,9500,-5850" +st "< Automatic >" +tm "Actions" +) +) +) +*46 (SmClockPoint +uid 544,0 +shape (CompositeShape +uid 545,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +uid 546,0 +sl 0 +ro 270 +xt "-4250,-6375,-2000,-5375" +) +(OrthoPolyLine +uid 547,0 +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "-3350,-6175,-2751,-5575" +pts [ +"-3350,-5575" +"-3051,-5575" +"-3051,-6175" +"-2751,-6175" +] +) +(Arc2D +pts [ +"-3741,-5722" +"-3996,-6028" +"-3741,-6028" +] +uid 548,0 +sl 0 +ro 270 +va (VaSet +vasetType 1 +transparent 1 +) +xt "-3996,-6028,-3741,-5722" +) +] +) +name (TextAssociate +uid 549,0 +ps "CenterOffsetStrategy" +text (Text +uid 550,0 +va (VaSet +font "courier,8,0" +) +xt "-8250,-6375,-4750,-5475" +st "mac_clk" +ju 2 +blo "-4750,-5675" +tm "SmControlSignalNameMgr" +) +) +cond (SmControlCondition +uid 551,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 552,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "-2000,-6425,6200,-5325" +) +autoResize 1 +cond (MLText +uid 553,0 +va (VaSet +font "courier,8,0" +) +xt "-1900,-6325,6100,-5425" +st "posedge mac_clk" +tm "SmControlConditionMgr" +) +) +) +*47 (Link +uid 554,0 +shape (CompositeShape +uid 555,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +optionalChildren [ +(Pentagon +uid 556,0 +sl 0 +ro 270 +xt "3375,-11375,5625,-10375" +) +(Line +uid 557,0 +sl 0 +ro 270 +xt "2875,-10875,3375,-10875" +pts [ +"2875,-10875" +"3375,-10875" +] +) +] +) +name (TextAssociate +uid 558,0 +ps "CenterOffsetStrategy" +text (Text +uid 559,0 +va (VaSet +font "courier,8,1" +) +xt "6125,-11375,9625,-10475" +st "ST_IDLE" +blo "6125,-10675" +tm "LinkName" +) +) +) +*48 (Transition +uid 560,0 +shape (Spline +uid 561,0 +va (VaSet +vasetType 3 +) +xt "-3125,-10875,2875,-10875" +pts [ +"-3125,-10875" +"2875,-10875" +] +) +start &45 +end &47 +ss 0 +es 0 +cond "!reset" +tb (TransitionBlock +uid 562,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 563,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "-2125,-11825,1875,-9925" +) +autoResize 1 +lineShape (Line +uid 564,0 +va (VaSet +vasetType 3 +) +xt "-1625,-10525,1375,-10525" +pts [ +"-1625,-10525" +"1375,-10525" +] +) +condition (MLText +uid 565,0 +va (VaSet +font "courier,8,0" +) +xt "-1625,-11825,1375,-10925" +st "!reset" +tm "Condition" +) +actions (MLText +uid 566,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-3625,-10125,3375,-9225" +st "< Automatic >" +tm "Actions" +) +) +tp (TransitionPriority +uid 567,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 568,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "-3314,-11665,-1734,-10085" +radius 790 +) +pr (Text +uid 569,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-2774,-11325,-2274,-10425" +st "1" +ju 0 +blo "-2524,-10625" +tm "TransitionPriority" +) +padding "200,200" +) +) +*49 (Transition +uid 570,0 +shape (Spline +uid 571,0 +va (VaSet +vasetType 3 +) +xt "90780,7361,120635,14342" +pts [ +"90780,7361" +"107607,9403" +"120635,14342" +] +arrow 1 +) +start &32 +end &33 +ss 0 +es 0 +cond "packet_ready" +tb (TransitionBlock +uid 572,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 573,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "97807,8903,123907,15703" +) +autoResize 1 +lineShape (Line +uid 574,0 +va (VaSet +vasetType 3 +) +xt "98307,10503,123407,10503" +pts [ +"98307,10503" +"123407,10503" +] +) +condition (MLText +uid 575,0 +va (VaSet +font "courier,8,0" +) +xt "107607,9403,114107,10303" +st "packet_ready" +tm "Condition" +) +actions (MLText +uid 576,0 +va (VaSet +font "courier,8,0" +) +xt "98307,10703,123407,15203" +st "packet_read_addr<=6'd14; // just after the header +packet_out_addr<=0; +packet_out_we<=0; +packet_xmit<=0; +done_with_packet<=0;" +tm "Actions" +) +) +tp (TransitionPriority +uid 577,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 578,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "93066,6866,94646,8446" +radius 790 +) +pr (Text +uid 579,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "93606,7206,94106,8106" +st "1" +ju 0 +blo "93856,7906" +tm "TransitionPriority" +) +padding "200,200" +) +) +*50 (Transition +uid 580,0 +shape (Spline +uid 581,0 +va (VaSet +vasetType 3 +) +xt "131219,19893,152706,39102" +pts [ +"131219,19893" +"142687,27803" +"152706,39102" +] +arrow 1 +) +start &33 +end &34 +ss 0 +es 0 +tb (TransitionBlock +uid 582,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 583,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "142187,27748,147687,28758" +) +autoResize 1 +lineShape (Line +uid 584,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "144937,29103,144937,29103" +pts [ +"144937,29103" +"144937,29103" +] +) +condition (MLText +uid 585,0 +va (VaSet +font "courier,8,0" +) +xt "142687,27803,147187,28703" +tm "Condition" +) +actions (MLText +uid 586,0 +va (VaSet +font "courier,8,0" +) +xt "144937,29103,144937,29103" +tm "Actions" +) +) +tp (TransitionPriority +uid 587,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 588,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "132879,20667,134459,22247" +radius 790 +) +pr (Text +uid 589,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "133419,21007,133919,21907" +st "1" +ju 0 +blo "133669,21707" +tm "TransitionPriority" +) +padding "200,200" +) +) +*51 (Transition +uid 590,0 +shape (Spline +uid 591,0 +va (VaSet +vasetType 3 +) +xt "53262,18168,151087,42366" +pts [ +"151087,42366" +"100432,38532" +"53262,18168" +] +arrow 1 +) +start &34 +end &42 +ss 0 +es 0 +cond "packet_data != compareConst" +tb (TransitionBlock +uid 592,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 593,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "99932,38032,114932,39932" +) +autoResize 1 +lineShape (Line +uid 594,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "102682,39832,102682,39832" +pts [ +"102682,39832" +"102682,39832" +] +) +condition (MLText +uid 595,0 +va (VaSet +font "courier,8,0" +) +xt "100432,38532,114432,39432" +st "packet_data != compareConst" +tm "Condition" +) +actions (MLText +uid 596,0 +va (VaSet +font "courier,8,0" +) +xt "107432,39832,107432,39832" +tm "Actions" +) +) +tp (TransitionPriority +uid 597,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 598,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "140066,41254,141646,42834" +radius 790 +) +pr (Text +uid 599,0 +va (VaSet +font "courier,8,0" +) +xt "140606,41594,141106,42494" +st "1" +ju 0 +blo "140856,42294" +tm "TransitionPriority" +) +padding "200,200" +) +) +*52 (Transition +uid 600,0 +shape (Spline +uid 601,0 +va (VaSet +vasetType 3 +) +xt "158179,47024,168689,74485" +pts [ +"158179,47024" +"165205,60392" +"168689,74485" +] +arrow 1 +) +start &34 +end &35 +ss 0 +es 0 +cond "packet_read_addr==6'd21" +tb (TransitionBlock +uid 602,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 603,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "164455,59892,178055,63092" +) +autoResize 1 +lineShape (Line +uid 604,0 +va (VaSet +vasetType 3 +) +xt "164955,61492,177555,61492" +pts [ +"164955,61492" +"177555,61492" +] +) +condition (MLText +uid 605,0 +va (VaSet +font "courier,8,0" +) +xt "165205,60392,177305,61292" +st "packet_read_addr==6'd21" +tm "Condition" +) +actions (MLText +uid 606,0 +va (VaSet +font "courier,8,0" +) +xt "164955,61692,177555,62592" +st "packet_read_addr<=6'd38;" +tm "Actions" +) +) +tp (TransitionPriority +uid 607,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 608,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "158847,48815,160427,50395" +radius 790 +) +pr (Text +uid 609,0 +va (VaSet +font "courier,8,0" +) +xt "159387,49155,159887,50055" +st "2" +ju 0 +blo "159637,49855" +tm "TransitionPriority" +) +padding "200,200" +) +) +*53 (Transition +uid 610,0 +shape (Spline +uid 611,0 +va (VaSet +vasetType 3 +) +xt "129043,21815,151381,41030" +pts [ +"151381,41030" +"136058,35291" +"129043,21815" +] +arrow 1 +) +start &34 +end &33 +ss 0 +es 0 +tb (TransitionBlock +uid 612,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 613,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "128308,35681,148308,37991" +) +autoResize 1 +lineShape (Line +uid 614,0 +va (VaSet +vasetType 3 +) +xt "128808,36391,147808,36391" +pts [ +"128808,36391" +"147808,36391" +] +) +condition (MLText +uid 615,0 +va (VaSet +font "courier,8,0" +) +xt "136058,35291,140558,36191" +tm "Condition" +) +actions (MLText +uid 616,0 +va (VaSet +font "courier,8,0" +) +xt "128808,36591,147808,37491" +st "packet_read_addr<=packet_read_addr+1;" +tm "Actions" +) +) +tp (TransitionPriority +uid 617,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 618,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "147536,39362,149116,40942" +radius 790 +) +pr (Text +uid 619,0 +va (VaSet +font "courier,8,0" +) +xt "148076,39702,148576,40602" +st "3" +ju 0 +blo "148326,40402" +tm "TransitionPriority" +) +padding "200,200" +) +) +*54 (Transition +uid 620,0 +shape (Spline +uid 621,0 +va (VaSet +vasetType 3 +) +xt "166200,85194,170286,115219" +pts [ +"169996,85194" +"170008,99712" +"166200,115219" +] +arrow 1 +) +start &35 +end &36 +ss 0 +es 0 +tb (TransitionBlock +uid 622,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 623,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "169508,99657,175008,100667" +) +autoResize 1 +lineShape (Line +uid 624,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "172258,101012,172258,101012" +pts [ +"172258,101012" +"172258,101012" +] +) +condition (MLText +uid 625,0 +va (VaSet +font "courier,8,0" +) +xt "170008,99712,174508,100612" +tm "Condition" +) +actions (MLText +uid 626,0 +va (VaSet +font "courier,8,0" +) +xt "172258,101012,172258,101012" +tm "Actions" +) +) +tp (TransitionPriority +uid 627,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 628,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "169318,87453,170898,89033" +radius 790 +) +pr (Text +uid 629,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "169858,87793,170358,88693" +st "1" +ju 0 +blo "170108,88493" +tm "TransitionPriority" +) +padding "200,200" +) +) +*55 (Transition +uid 630,0 +shape (Spline +uid 631,0 +va (VaSet +vasetType 3 +) +xt "52659,19163,162387,116320" +pts [ +"162387,116320" +"109544,65241" +"52659,19163" +] +arrow 1 +) +start &36 +end &42 +ss 0 +es 0 +cond "packet_data != compareIP" +tb (TransitionBlock +uid 632,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 633,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "109044,64741,122544,66641" +) +autoResize 1 +lineShape (Line +uid 634,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "111794,66541,111794,66541" +pts [ +"111794,66541" +"111794,66541" +] +) +condition (MLText +uid 635,0 +va (VaSet +font "courier,8,0" +) +xt "109544,65241,122044,66141" +st "packet_data != compareIP" +tm "Condition" +) +actions (MLText +uid 636,0 +va (VaSet +font "courier,8,0" +) +xt "115794,66541,115794,66541" +tm "Actions" +) +) +tp (TransitionPriority +uid 637,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 638,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "151167,105209,152747,106789" +radius 790 +) +pr (Text +uid 639,0 +va (VaSet +font "courier,8,0" +) +xt "151707,105549,152207,106449" +st "1" +ju 0 +blo "151957,106249" +tm "TransitionPriority" +) +padding "200,200" +) +) +*56 (Transition +uid 640,0 +shape (Spline +uid 641,0 +va (VaSet +vasetType 3 +) +xt "146383,122617,163404,147641" +pts [ +"163404,122617" +"155998,136763" +"146383,147641" +] +arrow 1 +) +start &36 +end &37 +ss 0 +es 0 +cond "packet_read_addr==6'd41" +tb (TransitionBlock +uid 642,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 643,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "155498,136263,168598,138163" +) +autoResize 1 +lineShape (Line +uid 644,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "158248,138063,158248,138063" +pts [ +"158248,138063" +"158248,138063" +] +) +condition (MLText +uid 645,0 +va (VaSet +font "courier,8,0" +) +xt "155998,136763,168098,137663" +st "packet_read_addr==6'd41" +tm "Condition" +) +actions (MLText +uid 646,0 +va (VaSet +font "courier,8,0" +) +xt "162048,138063,162048,138063" +tm "Actions" +) +) +tp (TransitionPriority +uid 647,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 648,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "161275,124568,162855,126148" +radius 790 +) +pr (Text +uid 649,0 +va (VaSet +font "courier,8,0" +) +xt "161815,124908,162315,125808" +st "2" +ju 0 +blo "162065,125608" +tm "TransitionPriority" +) +padding "200,200" +) +) +*57 (Transition +uid 650,0 +shape (Spline +uid 651,0 +va (VaSet +vasetType 3 +) +xt "160037,84564,167453,115225" +pts [ +"164280,115225" +"160080,98515" +"167453,84564" +] +arrow 1 +) +start &36 +end &35 +ss 0 +es 0 +tb (TransitionBlock +uid 652,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 653,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "152330,98905,172330,101215" +) +autoResize 1 +lineShape (Line +uid 654,0 +va (VaSet +vasetType 3 +) +xt "152830,99615,171830,99615" +pts [ +"152830,99615" +"171830,99615" +] +) +condition (MLText +uid 655,0 +va (VaSet +font "courier,8,0" +) +xt "160080,98515,164580,99415" +tm "Condition" +) +actions (MLText +uid 656,0 +va (VaSet +font "courier,8,0" +) +xt "152830,99815,171830,100715" +st "packet_read_addr<=packet_read_addr+1;" +tm "Actions" +) +) +tp (TransitionPriority +uid 657,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 658,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "162433,111283,164013,112863" +radius 790 +) +pr (Text +uid 659,0 +va (VaSet +font "courier,8,0" +) +xt "162973,111623,163473,112523" +st "3" +ju 0 +blo "163223,112323" +tm "TransitionPriority" +) +padding "200,200" +) +) +*58 (Transition +uid 660,0 +shape (Spline +uid 661,0 +va (VaSet +vasetType 3 +) +xt "113087,154804,138317,168130" +pts [ +"138317,154804" +"126380,163067" +"113087,168130" +] +arrow 1 +) +start &37 +end &38 +ss 0 +es 0 +tb (TransitionBlock +uid 662,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 663,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "125880,163012,131380,164022" +) +autoResize 1 +lineShape (Line +uid 664,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "128630,164367,128630,164367" +pts [ +"128630,164367" +"128630,164367" +] +) +condition (MLText +uid 665,0 +va (VaSet +font "courier,8,0" +) +xt "126380,163067,130880,163967" +tm "Condition" +) +actions (MLText +uid 666,0 +va (VaSet +font "courier,8,0" +) +xt "128630,164367,128630,164367" +tm "Actions" +) +) +tp (TransitionPriority +uid 667,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 668,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "135213,155722,136793,157302" +radius 790 +) +pr (Text +uid 669,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "135753,156062,136253,156962" +st "1" +ju 0 +blo "136003,156762" +tm "TransitionPriority" +) +padding "200,200" +) +) +*59 (Transition +uid 670,0 +shape (Spline +uid 671,0 +va (VaSet +vasetType 3 +) +xt "73845,170866,102051,172603" +pts [ +"102051,170866" +"87933,172603" +"73845,170893" +] +arrow 1 +) +start &38 +end &39 +ss 0 +es 0 +tb (TransitionBlock +uid 672,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 673,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "87433,172548,92933,173558" +) +autoResize 1 +lineShape (Line +uid 674,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "90183,173903,90183,173903" +pts [ +"90183,173903" +"90183,173903" +] +) +condition (MLText +uid 675,0 +va (VaSet +font "courier,8,0" +) +xt "87933,172603,92433,173503" +tm "Condition" +) +actions (MLText +uid 676,0 +va (VaSet +font "courier,8,0" +) +xt "90183,173903,90183,173903" +tm "Actions" +) +) +tp (TransitionPriority +uid 677,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 678,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "98449,170513,100029,172093" +radius 790 +) +pr (Text +uid 679,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "98989,170853,99489,171753" +st "1" +ju 0 +blo "99239,171553" +tm "TransitionPriority" +) +padding "200,200" +) +) +*60 (Transition +uid 680,0 +shape (Spline +uid 681,0 +va (VaSet +vasetType 3 +) +xt "36098,153974,62240,168035" +pts [ +"62240,168035" +"49456,163190" +"36098,153974" +] +arrow 1 +) +start &39 +end &40 +ss 0 +es 0 +tb (TransitionBlock +uid 682,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 683,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "48956,163135,54456,164145" +) +autoResize 1 +lineShape (Line +uid 684,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "51706,164490,51706,164490" +pts [ +"51706,164490" +"51706,164490" +] +) +condition (MLText +uid 685,0 +va (VaSet +font "courier,8,0" +) +xt "49456,163190,53956,164090" +tm "Condition" +) +actions (MLText +uid 686,0 +va (VaSet +font "courier,8,0" +) +xt "51706,164490,51706,164490" +tm "Actions" +) +) +tp (TransitionPriority +uid 687,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 688,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "58610,166297,60190,167877" +radius 790 +) +pr (Text +uid 689,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "59150,166637,59650,167537" +st "1" +ju 0 +blo "59400,167337" +tm "TransitionPriority" +) +padding "200,200" +) +) +*61 (Transition +uid 690,0 +shape (Spline +uid 691,0 +va (VaSet +vasetType 3 +) +xt "12474,123124,30176,148732" +pts [ +"30176,148732" +"19755,136980" +"12474,123124" +] +arrow 1 +) +start &40 +end &41 +ss 0 +es 0 +tb (TransitionBlock +uid 692,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 693,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "19255,136925,24755,137935" +) +autoResize 1 +lineShape (Line +uid 694,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "22005,138280,22005,138280" +pts [ +"22005,138280" +"22005,138280" +] +) +condition (MLText +uid 695,0 +va (VaSet +font "courier,8,0" +) +xt "19755,136980,24255,137880" +tm "Condition" +) +actions (MLText +uid 696,0 +va (VaSet +font "courier,8,0" +) +xt "22005,138280,22005,138280" +tm "Actions" +) +) +tp (TransitionPriority +uid 697,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 698,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "27229,145661,28809,147241" +radius 790 +) +pr (Text +uid 699,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "27769,146001,28269,146901" +st "1" +ju 0 +blo "28019,146701" +tm "TransitionPriority" +) +padding "200,200" +) +) +*62 (Transition +uid 700,0 +shape (Spline +uid 701,0 +va (VaSet +vasetType 3 +) +xt "5354,83177,9259,114662" +pts [ +"9259,114662" +"5627,99973" +"5614,83177" +] +arrow 1 +) +start &41 +end &43 +ss 0 +es 0 +cond "packet_out_addr==6'd42" +tb (TransitionBlock +uid 702,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 703,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "5127,99473,17727,101373" +) +autoResize 1 +lineShape (Line +uid 704,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "7877,101273,7877,101273" +pts [ +"7877,101273" +"7877,101273" +] +) +condition (MLText +uid 705,0 +va (VaSet +font "courier,8,0" +) +xt "5627,99973,17227,100873" +st "packet_out_addr==6'd42" +tm "Condition" +) +actions (MLText +uid 706,0 +va (VaSet +font "courier,8,0" +) +xt "11427,101273,11427,101273" +tm "Actions" +) +) +tp (TransitionPriority +uid 707,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 708,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "7587,110798,9167,112378" +radius 790 +) +pr (Text +uid 709,0 +va (VaSet +font "courier,8,0" +) +xt "8127,111138,8627,112038" +st "1" +ju 0 +blo "8377,111838" +tm "TransitionPriority" +) +padding "200,200" +) +) +*63 (Transition +uid 710,0 +shape (Spline +uid 711,0 +va (VaSet +vasetType 3 +) +xt "14838,119907,137598,150094" +pts [ +"14838,119907" +"77630,131377" +"137598,150094" +] +arrow 1 +) +start &41 +end &37 +ss 0 +es 0 +tb (TransitionBlock +uid 712,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 713,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "70380,131767,89380,134077" +) +autoResize 1 +lineShape (Line +uid 714,0 +va (VaSet +vasetType 3 +) +xt "70880,132477,88880,132477" +pts [ +"70880,132477" +"88880,132477" +] +) +condition (MLText +uid 715,0 +va (VaSet +font "courier,8,0" +) +xt "77630,131377,82130,132277" +tm "Condition" +) +actions (MLText +uid 716,0 +va (VaSet +font "courier,8,0" +) +xt "70880,132677,88880,133577" +st "packet_out_addr<=packet_out_addr+1;" +tm "Actions" +) +) +tp (TransitionPriority +uid 717,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 718,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "26544,121193,28124,122773" +radius 790 +) +pr (Text +uid 719,0 +va (VaSet +font "courier,8,0" +) +xt "27084,121533,27584,122433" +st "2" +ju 0 +blo "27334,122233" +tm "TransitionPriority" +) +padding "200,200" +) +) +*64 (Transition +uid 720,0 +shape (Spline +uid 721,0 +va (VaSet +vasetType 3 +) +xt "34830,-1877,52079,14592" +pts [ +"45506,14592" +"34978,9421" +"39993,-1206" +"51600,635" +"49943,12246" +] +arrow 1 +) +start &42 +end &42 +ss 0 +es 0 +cond "done_with_packet==0" +tb (TransitionBlock +uid 722,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 723,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "39493,-1706,50493,194" +) +autoResize 1 +lineShape (Line +uid 724,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "42243,94,42243,94" +pts [ +"42243,94" +"42243,94" +] +) +condition (MLText +uid 725,0 +va (VaSet +font "courier,8,0" +) +xt "39993,-1206,49993,-306" +st "done_with_packet==0" +tm "Condition" +) +actions (MLText +uid 726,0 +va (VaSet +font "courier,8,0" +) +xt "44993,94,44993,94" +tm "Actions" +) +) +tp (TransitionPriority +uid 727,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 728,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "40126,12232,41706,13812" +radius 790 +) +pr (Text +uid 729,0 +va (VaSet +font "courier,8,0" +) +xt "40666,12572,41166,13472" +st "1" +ju 0 +blo "40916,13272" +tm "TransitionPriority" +) +padding "200,200" +) +) +*65 (Transition +uid 730,0 +shape (Spline +uid 731,0 +va (VaSet +vasetType 3 +) +xt "23417,20256,47353,41349" +pts [ +"47353,20256" +"39371,35445" +"23417,41349" +] +arrow 1 +) +start &42 +end &44 +ss 0 +es 0 +tb (TransitionBlock +uid 732,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 733,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "38871,35390,44371,36400" +) +autoResize 1 +lineShape (Line +uid 734,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "41621,36745,41621,36745" +pts [ +"41621,36745" +"41621,36745" +] +) +condition (MLText +uid 735,0 +va (VaSet +font "courier,8,0" +) +xt "39371,35445,43871,36345" +tm "Condition" +) +actions (MLText +uid 736,0 +va (VaSet +font "courier,8,0" +) +xt "41621,36745,41621,36745" +tm "Actions" +) +) +tp (TransitionPriority +uid 737,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 738,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "45226,22637,46806,24217" +radius 790 +) +pr (Text +uid 739,0 +va (VaSet +font "courier,8,0" +) +xt "45766,22977,46266,23877" +st "2" +ju 0 +blo "46016,23677" +tm "TransitionPriority" +) +padding "200,200" +) +) +*66 (Transition +uid 740,0 +shape (Spline +uid 741,0 +va (VaSet +vasetType 3 +) +xt "-14263,68896,3104,87604" +pts [ +"2630,81427" +"-8354,87565" +"-14248,77397" +"-6134,68896" +"3104,77440" +] +arrow 1 +) +start &43 +end &43 +ss 0 +es 0 +cond "done_with_packet==0" +tb (TransitionBlock +uid 742,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 743,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "-14748,76897,-3748,78797" +) +autoResize 1 +lineShape (Line +uid 744,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "-11998,78697,-11998,78697" +pts [ +"-11998,78697" +"-11998,78697" +] +) +condition (MLText +uid 745,0 +va (VaSet +font "courier,8,0" +) +xt "-14248,77397,-4248,78297" +st "done_with_packet==0" +tm "Condition" +) +actions (MLText +uid 746,0 +va (VaSet +font "courier,8,0" +) +xt "-9248,78697,-9248,78697" +tm "Actions" +) +) +tp (TransitionPriority +uid 747,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 748,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "-2224,83591,-644,85171" +radius 790 +) +pr (Text +uid 749,0 +va (VaSet +font "courier,8,0" +) +xt "-1684,83931,-1184,84831" +st "1" +ju 0 +blo "-1434,84631" +tm "TransitionPriority" +) +padding "200,200" +) +) +*67 (Transition +uid 750,0 +shape (Spline +uid 751,0 +va (VaSet +vasetType 3 +) +xt "6426,46260,17834,76442" +pts [ +"6426,76442" +"10305,60639" +"17834,46260" +] +arrow 1 +) +start &43 +end &44 +ss 0 +es 0 +tb (TransitionBlock +uid 752,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 753,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "9805,60584,15305,61594" +) +autoResize 1 +lineShape (Line +uid 754,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "12555,61939,12555,61939" +pts [ +"12555,61939" +"12555,61939" +] +) +condition (MLText +uid 755,0 +va (VaSet +font "courier,8,0" +) +xt "10305,60639,14805,61539" +tm "Condition" +) +actions (MLText +uid 756,0 +va (VaSet +font "courier,8,0" +) +xt "12555,61939,12555,61939" +tm "Actions" +) +) +tp (TransitionPriority +uid 757,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 758,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "6309,72467,7889,74047" +radius 790 +) +pr (Text +uid 759,0 +va (VaSet +font "courier,8,0" +) +xt "6849,72807,7349,73707" +st "2" +ju 0 +blo "7099,73507" +tm "TransitionPriority" +) +padding "200,200" +) +) +*68 (Transition +uid 760,0 +shape (Spline +uid 761,0 +va (VaSet +vasetType 3 +) +xt "1989,27315,18348,43930" +pts [ +"15699,42836" +"3686,43155" +"3190,31414" +"14325,27654" +"18348,38977" +] +arrow 1 +) +start &44 +end &44 +ss 0 +es 0 +cond "done_with_packet==1" +tb (TransitionBlock +uid 762,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 763,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "2690,30914,13690,32814" +) +autoResize 1 +lineShape (Line +uid 764,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "5440,32714,5440,32714" +pts [ +"5440,32714" +"5440,32714" +] +) +condition (MLText +uid 765,0 +va (VaSet +font "courier,8,0" +) +xt "3190,31414,13190,32314" +st "done_with_packet==1" +tm "Condition" +) +actions (MLText +uid 766,0 +va (VaSet +font "courier,8,0" +) +xt "8190,32714,8190,32714" +tm "Actions" +) +) +tp (TransitionPriority +uid 767,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 768,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "10055,42782,11635,44362" +radius 790 +) +pr (Text +uid 769,0 +va (VaSet +font "courier,8,0" +) +xt "10595,43122,11095,44022" +st "1" +ju 0 +blo "10845,43822" +tm "TransitionPriority" +) +padding "200,200" +) +) +*69 (Transition +uid 770,0 +shape (Spline +uid 771,0 +va (VaSet +vasetType 3 +) +xt "20365,-19516,85066,38807" +pts [ +"20365,38807" +"30413,-18765" +"85066,5772" +] +arrow 1 +) +start &44 +end &32 +ss 0 +es 0 +tb (TransitionBlock +uid 772,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 773,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "29913,-18820,35413,-17810" +) +autoResize 1 +lineShape (Line +uid 774,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "32663,-17465,32663,-17465" +pts [ +"32663,-17465" +"32663,-17465" +] +) +condition (MLText +uid 775,0 +va (VaSet +font "courier,8,0" +) +xt "30413,-18765,34913,-17865" +tm "Condition" +) +actions (MLText +uid 776,0 +va (VaSet +font "courier,8,0" +) +xt "32663,-17465,32663,-17465" +tm "Actions" +) +) +tp (TransitionPriority +uid 777,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 778,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "20327,25961,21907,27541" +radius 790 +) +pr (Text +uid 779,0 +va (VaSet +font "courier,8,0" +) +xt "20867,26301,21367,27201" +st "2" +ju 0 +blo "21117,27001" +tm "TransitionPriority" +) +padding "200,200" +) +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 0 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *70 (PackageList +uid 295,0 +stg "VerticalLayoutStrategy" +textVec [ +*71 (Text +uid 296,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,3000,6500,3900" +st "Package List" +blo "0,3700" +) +*72 (MLText +uid 297,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,3900,14500,7500" +tm "SmPackageListTextMgr" +) +] +) +compDirBlock (MlTextGroup +uid 298,0 +stg "VerticalLayoutStrategy" +textVec [ +*73 (Text +uid 299,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-14748,181188,-4748,182088" +st "Compiler Directives" +blo "-14748,181888" +) +*74 (Text +uid 300,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-14748,182088,-3248,182988" +st "Pre-module directives:" +blo "-14748,182788" +) +*75 (MLText +uid 301,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-14748,182988,-4648,184788" +st "`resetall +`timescale 1ns/10ps" +tm "SmCompilerDirectivesTextMgr" +) +*76 (Text +uid 302,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-14748,184788,-2748,185688" +st "Post-module directives:" +blo "-14748,185488" +) +*77 (MLText +uid 303,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-14748,181188,-14748,181188" +tm "SmCompilerDirectivesTextMgr" +) +*78 (Text +uid 304,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-14748,185688,-3248,186588" +st "End-module directives:" +blo "-14748,186388" +) +*79 (MLText +uid 305,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-14748,186588,-14748,186588" +tm "SmCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "0,0,1015,690" +viewArea "-14748,-19516,178055,180888" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +isTopLevel 1 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,4000,1900" +st "Panel0" +blo "1000,1700" +tm "PanelText" +) +) +) +localPreDecl *80 (SmLocalDecl +uid 265,0 +stg "VerticalLayoutStrategy" +first (Text +uid 266,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,0,13000,900" +st "Architecture Declarations" +blo "0,700" +) +second (MLText +uid 267,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,900,0,900" +tm "LocalDeclTextMgr" +) +declType 1 +) +localDecl *81 (SmLocalDecl +uid 268,0 +stg "VerticalLayoutStrategy" +first (Text +uid 269,0 +va (VaSet +font "courier,8,1" +) +xt "19002,178188,29002,179088" +st "Module Declarations" +blo "19002,178888" +) +second (MLText +uid 270,0 +va (VaSet +font "courier,8,0" +) +xt "19002,179088,19002,179088" +tm "LocalDeclTextMgr" +) +declType 2 +) +localPostDecl *82 (SmLocalDecl +uid 271,0 +stg "VerticalLayoutStrategy" +first (Text +uid 272,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,0,13000,900" +st "Architecture Declarations" +blo "0,700" +) +second (MLText +uid 273,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,900,0,900" +tm "LocalDeclTextMgr" +) +declType 3 +) +processDecl *83 (SmProcessDecl +uid 274,0 +stg "VerticalLayoutStrategy" +textVec [ +*84 (Text +uid 275,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "66500,-1000,77000,-100" +st "Process Declarations" +blo "66500,-300" +) +*85 (Text +uid 276,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "66500,-100,75000,800" +st "Clocked Process:" +blo "66500,600" +) +*86 (MLText +uid 277,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "66500,-1000,66500,-1000" +tm "ProcessDeclTextMgr" +) +*87 (Text +uid 278,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "66500,800,74500,1700" +st "Output Process:" +blo "66500,1500" +) +*88 (MLText +uid 279,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "66500,1700,66500,1700" +tm "ProcessDeclTextMgr" +) +] +associable 1 +) +defaultActions *89 (MlTextGroup +uid 280,0 +stg "VerticalLayoutStrategy" +textVec [ +*90 (Text +uid 281,0 +va (VaSet +font "courier,8,1" +) +xt "-12748,178188,-5248,179088" +st "Global Actions" +blo "-12748,178888" +) +*91 (Text +uid 282,0 +va (VaSet +font "courier,8,1" +) +xt "-12748,179088,-6248,179988" +st "Pre Actions:" +blo "-12748,179788" +) +*92 (MLText +uid 283,0 +va (VaSet +font "courier,8,0" +) +xt "-12748,178188,-12748,178188" +tm "Actions" +) +*93 (Text +uid 284,0 +va (VaSet +font "courier,8,1" +) +xt "-12748,179988,-5748,180888" +st "Post Actions:" +blo "-12748,180688" +) +*94 (MLText +uid 285,0 +va (VaSet +font "courier,8,0" +) +xt "-12748,180888,-12748,180888" +tm "Actions" +) +] +associable 1 +) +archConcurrentStatementBlock *95 (BiTextGroup +uid 286,0 +stg "VerticalLayoutStrategy" +first (Text +uid 287,0 +va (VaSet +font "courier,8,1" +) +xt "502,178188,11502,179088" +st "Concurrent Statements" +blo "502,178888" +) +second (MLText +uid 288,0 +va (VaSet +font "courier,8,0" +) +xt "502,179088,502,179088" +tm "ArchConcStmtTextMgr" +) +associable 1 +) +signalsGenStatus *96 (SmSignalGenStatus +uid 292,0 +stg "VerticalLayoutStrategy" +first (Text +uid 293,0 +va (VaSet +font "courier,8,1" +) +xt "36002,178188,43002,179088" +st "Signal Status" +blo "36002,178888" +) +second (MLText +uid 294,0 +va (VaSet +font "courier,8,0" +) +xt "36002,179088,36002,179088" +tm "SmSignalsGenStatusTextMgr" +) +) +stateRegBlock *97 (BiTextGroup +uid 289,0 +stg "VerticalLayoutStrategy" +first (Text +uid 290,0 +va (VaSet +font "courier,8,1" +) +xt "48502,178188,61502,179088" +st "State Register Statements" +blo "48502,178888" +) +second (MLText +uid 291,0 +va (VaSet +font "courier,8,0" +) +xt "48502,179088,48502,179088" +tm "Actions" +) +associable 1 +) +) +genChar (SmGenChar +uid 780,0 +csName "state" +nextStateClocking 0 +numProcs 1 +) +encoding (Encoding +scheme 0 +encodingStyles [ +(pair +scheme 0 +style 6 +) +(pair +scheme 1 +style 1 +) +(pair +scheme 2 +style 0 +) +(pair +scheme 3 +style 0 +) +(pair +scheme 4 +style 0 +) +(pair +scheme 5 +style 0 +) +] +otherValues [ +(pair +scheme 0 +otherValue "" +) +(pair +scheme 1 +otherValue "" +) +(pair +scheme 2 +otherValue "" +) +(pair +scheme 3 +otherValue "" +) +(pair +scheme 4 +otherValue "" +) +(pair +scheme 5 +otherValue "" +) +] +attribute 1 +synSafe 0 +outputEncodedLocals 0 +useVerilogParameterRange 0 +radix 2 +) +stateOrder [ +&32 +&33 +&34 +&35 +&36 +&37 +&38 +&39 +&40 +&41 +&42 +&43 +&44 +] +name "state" +) +] +lastUid 0,0 +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +emptyRow *98 (LEmptyRow +) +uid 196,0 +optionalChildren [ +*99 (RefLabelRowHdr +) +*100 (TitleRowHdr +) +*101 (FilterRowHdr +) +*102 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*103 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*104 (GroupColHdr +tm "GroupColHdrMgr" +) +*105 (NameColHdr +tm "SmNameColHdrMgr" +) +*106 (ModeColHdr +tm "SmModeColHdrMgr" +) +*107 (TypeColHdr +tm "SmTypeColHdrMgr" +) +*108 (SignedColHdr +tm "SmSignedColHdrMgr" +) +*109 (BoundsColHdr +tm "SmBoundsColHdrMgr" +) +*110 (DelayColHdr +tm "SmDelayColHdrMgr" +) +*111 (InitColHdr +tm "SmInitColHdrMgr" +) +*112 (ColumnHdr +tm "SmCategoryColHdrMgr" +) +*113 (ColumnHdr +tm "SmAssignColHdrMgr" +) +*114 (ColumnHdr +tm "SmExprColHdrMgr" +) +*115 (ColumnHdr +tm "SmSchemeColHdrMgr" +) +*116 (ColumnHdr +tm "SmDefValColHdrMgr" +) +*117 (ColumnHdr +tm "SmRstValColHdrMgr" +) +*118 (EolColHdr +tm "SmEolColHdrMgr" +) +*119 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "mac_clk" +t "wire" +o 6 +) +) +uid 781,0 +cat 1 +expr "posedge mac_clk" +) +*120 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "reset" +t "wire" +o 7 +) +) +uid 783,0 +cat 8 +expr "!reset" +) +*121 (LeafLogPort +port (LogicalPort +lang 5 +m 4 +decl (Decl +n "state" +t "reg" +b "[3:0]" +o 1 +) +) +uid 820,0 +scheme 1 +) +*122 (LeafLogPort +port (LogicalPort +lang 5 +m 4 +decl (Decl +n "compareConst" +t "wire" +b "[7:0]" +prec "// to be worth responding to, HARDWARE = 0x0 0x1, PROTOCOL = 0x8 0x0, +// HLEN = 0x6, PLEN=0x4, OPERATION = 0x0 0x1 +// TARGET IA = my IP" +preAdd 0 +o 2 +) +) +uid 822,0 +scheme 1 +) +*123 (LeafLogPort +port (LogicalPort +lang 5 +m 4 +decl (Decl +n "compareIP" +t "wire" +b "[7:0]" +o 3 +) +) +uid 824,0 +scheme 1 +) +*124 (LeafLogPort +port (LogicalPort +lang 5 +m 4 +decl (Decl +n "resp_read_addr" +t "wire" +b "[4:0]" +o 4 +) +) +uid 826,0 +scheme 1 +) +*125 (LeafLogPort +port (LogicalPort +lang 5 +m 4 +decl (Decl +n "resp_data" +t "wire" +b "[7:0]" +o 5 +) +) +uid 828,0 +scheme 1 +) +*126 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "packet_ready" +t "wire" +o 8 +) +) +uid 830,0 +ass "" +) +*127 (LeafLogPort +port (LogicalPort +lang 5 +m 1 +decl (Decl +n "done_with_packet" +t "reg" +o 9 +) +) +uid 832,0 +scheme 0 +) +*128 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "packet_data" +t "wire" +b "[7:0]" +o 10 +) +) +uid 834,0 +ass "" +) +*129 (LeafLogPort +port (LogicalPort +lang 5 +m 1 +decl (Decl +n "packet_read_addr" +t "reg" +b "[5:0]" +o 11 +) +) +uid 836,0 +scheme 0 +) +*130 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "myMAC" +t "wire" +b "[47:0]" +o 12 +) +) +uid 838,0 +ass "" +) +*131 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "myIP" +t "wire" +b "[31:0]" +o 13 +) +) +uid 840,0 +ass "" +) +*132 (LeafLogPort +port (LogicalPort +lang 5 +m 1 +decl (Decl +n "packet_out" +t "reg" +b "[7:0]" +o 14 +) +) +uid 842,0 +scheme 0 +) +*133 (LeafLogPort +port (LogicalPort +lang 5 +m 1 +decl (Decl +n "packet_out_addr" +t "reg" +b "[5:0]" +o 15 +) +) +uid 844,0 +scheme 0 +) +*134 (LeafLogPort +port (LogicalPort +lang 5 +m 1 +decl (Decl +n "packet_out_we" +t "reg" +o 16 +) +) +uid 846,0 +scheme 0 +) +*135 (LeafLogPort +port (LogicalPort +lang 5 +m 1 +decl (Decl +n "packet_xmit" +t "reg" +o 17 +) +) +uid 848,0 +scheme 0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 217,0 +optionalChildren [ +*136 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *137 (MRCItem +litem &98 +pos 3 +dimension 20 +) +uid 219,0 +optionalChildren [ +*138 (MRCItem +litem &99 +pos 0 +dimension 20 +uid 220,0 +) +*139 (MRCItem +litem &100 +pos 1 +dimension 23 +uid 221,0 +) +*140 (MRCItem +litem &101 +pos 2 +hidden 1 +dimension 20 +uid 222,0 +) +*141 (MRCItem +litem &119 +pos 0 +dimension 20 +uid 782,0 +) +*142 (MRCItem +litem &120 +pos 1 +dimension 20 +uid 784,0 +) +*143 (MRCItem +litem &121 +pos 12 +dimension 20 +uid 819,0 +) +*144 (MRCItem +litem &122 +pos 13 +dimension 20 +uid 821,0 +) +*145 (MRCItem +litem &123 +pos 14 +dimension 20 +uid 823,0 +) +*146 (MRCItem +litem &124 +pos 15 +dimension 20 +uid 825,0 +) +*147 (MRCItem +litem &125 +pos 16 +dimension 20 +uid 827,0 +) +*148 (MRCItem +litem &126 +pos 2 +dimension 20 +uid 829,0 +) +*149 (MRCItem +litem &127 +pos 3 +dimension 20 +uid 831,0 +) +*150 (MRCItem +litem &128 +pos 4 +dimension 20 +uid 833,0 +) +*151 (MRCItem +litem &129 +pos 5 +dimension 20 +uid 835,0 +) +*152 (MRCItem +litem &130 +pos 6 +dimension 20 +uid 837,0 +) +*153 (MRCItem +litem &131 +pos 7 +dimension 20 +uid 839,0 +) +*154 (MRCItem +litem &132 +pos 8 +dimension 20 +uid 841,0 +) +*155 (MRCItem +litem &133 +pos 9 +dimension 20 +uid 843,0 +) +*156 (MRCItem +litem &134 +pos 10 +dimension 20 +uid 845,0 +) +*157 (MRCItem +litem &135 +pos 11 +dimension 20 +uid 847,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 223,0 +optionalChildren [ +*158 (MRCItem +litem &102 +pos 0 +dimension 20 +uid 224,0 +) +*159 (MRCItem +litem &104 +pos 1 +dimension 50 +uid 225,0 +) +*160 (MRCItem +litem &105 +pos 2 +dimension 70 +uid 226,0 +) +*161 (MRCItem +litem &106 +pos 3 +dimension 50 +uid 227,0 +) +*162 (MRCItem +litem &107 +pos 4 +dimension 80 +uid 228,0 +) +*163 (MRCItem +litem &108 +pos 5 +dimension 60 +uid 229,0 +) +*164 (MRCItem +litem &109 +pos 6 +dimension 80 +uid 230,0 +) +*165 (MRCItem +litem &110 +pos 7 +dimension 40 +uid 231,0 +) +*166 (MRCItem +litem &111 +pos 8 +dimension 40 +uid 232,0 +) +*167 (MRCItem +litem &112 +pos 9 +dimension 100 +uid 233,0 +) +*168 (MRCItem +litem &113 +pos 10 +dimension 60 +uid 234,0 +) +*169 (MRCItem +litem &114 +pos 11 +dimension 130 +uid 235,0 +) +*170 (MRCItem +litem &115 +pos 12 +dimension 56 +uid 236,0 +) +*171 (MRCItem +litem &116 +pos 13 +dimension 50 +uid 237,0 +) +*172 (MRCItem +litem &117 +pos 14 +dimension 50 +uid 238,0 +) +*173 (MRCItem +litem &118 +pos 15 +dimension 80 +uid 239,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 218,0 +vaOverrides [ +] +) +] +) +uid 195,0 +) +cdmCsm &31 +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *174 (LEmptyRow +) +uid 241,0 +optionalChildren [ +*175 (RefLabelRowHdr +) +*176 (TitleRowHdr +) +*177 (FilterRowHdr +) +*178 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*179 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*180 (GroupColHdr +tm "GroupColHdrMgr" +) +*181 (NameColHdr +tm "GenericNameColHdrMgr" +) +*182 (InitColHdr +tm "GenericValueColHdrMgr" +) +*183 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 251,0 +optionalChildren [ +*184 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *185 (MRCItem +litem &174 +pos 3 +dimension 20 +) +uid 253,0 +optionalChildren [ +*186 (MRCItem +litem &175 +pos 0 +dimension 20 +uid 254,0 +) +*187 (MRCItem +litem &176 +pos 1 +dimension 23 +uid 255,0 +) +*188 (MRCItem +litem &177 +pos 2 +hidden 1 +dimension 20 +uid 256,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 257,0 +optionalChildren [ +*189 (MRCItem +litem &178 +pos 0 +dimension 20 +uid 258,0 +) +*190 (MRCItem +litem &180 +pos 1 +dimension 50 +uid 259,0 +) +*191 (MRCItem +litem &181 +pos 2 +dimension 100 +uid 260,0 +) +*192 (MRCItem +litem &182 +pos 3 +dimension 50 +uid 261,0 +) +*193 (MRCItem +litem &183 +pos 4 +dimension 80 +uid 262,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 252,0 +vaOverrides [ +] +) +] +) +uid 240,0 +type 1 +) +signalSuffix "_int" +clockSuffix "_cld" +defaultState (State +shape (Circle +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "-3000,-3000,3000,3000" +radius 3000 +) +name (Text +va (VaSet +font "courier,10,1" +) +xt "0,0,1200,1000" +st "s0" +ju 0 +blo "600,800" +tm "ONodeName" +) +wait (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "1000,900,4600,1900" +st "wait 2" +blo "1000,1700" +tm "SmWaitText" +) +) +encoding (Text +va (VaSet +font "courier,8,1" +) +blo "0,0" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "3900,2900,4100,3100" +) +autoResize 1 +tline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +pts [ +"0,0" +"0,0" +] +) +bline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +pts [ +"0,0" +"0,0" +] +) +ttri (Triangle +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "-450,-175,-100,175" +) +btri (Triangle +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "-450,-175,-100,175" +) +entryActions (MLText +va (VaSet +font "courier,8,0" +) +tm "Actions" +) +inActions (MLText +va (VaSet +font "courier,8,0" +) +tm "Actions" +) +exitActions (MLText +va (VaSet +font "courier,8,0" +) +tm "Actions" +) +) +caseExpr (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-2150,800,3350,1700" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +defaultWaitState (State +shape (CircleInOctagon +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "26368,26368,26368" +lineWidth 2 +) +xt "-529,-529,6529,6529" +) +name (Text +va (VaSet +font "courier,10,1" +) +xt "0,0,1200,1000" +st "s0" +ju 0 +blo "600,800" +tm "ONodeName" +) +wait (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "1000,900,4600,1900" +st "wait 2" +blo "1000,1700" +tm "SmWaitText" +) +) +encoding (Text +va (VaSet +font "courier,8,1" +) +blo "0,0" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "8900,5900,9100,6100" +) +autoResize 1 +tline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "5000,3000,5000,3000" +pts [ +"5000,3000" +"5000,3000" +] +) +bline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "5000,3000,5000,3000" +pts [ +"5000,3000" +"5000,3000" +] +) +ttri (Triangle +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "4550,2825,4900,3175" +) +btri (Triangle +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "4550,2825,4900,3175" +) +entryActions (MLText +va (VaSet +font "courier,8,0" +) +xt "5000,3000,5000,3000" +tm "Actions" +) +inActions (MLText +va (VaSet +font "courier,8,0" +) +xt "5000,3000,5000,3000" +tm "Actions" +) +exitActions (MLText +va (VaSet +font "courier,8,0" +) +xt "5000,3000,5000,3000" +tm "Actions" +) +) +caseExpr (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-2150,800,3350,1700" +st "CASE: expr" +tm "SmCaseExpr" +) +) +isWait 1 +) +defaultCompositeState (CompositeState +shape (TripleCircle +va (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "-3000,-3000,3000,3000" +radius 3000 +) +name (Text +va (VaSet +font "courier,10,1" +) +xt "-600,-500,600,500" +st "s0" +ju 0 +blo "0,300" +tm "ONodeName" +) +childDiagram &0 +) +defaultJunction (Junction +shape (Diamond +va (VaSet +vasetType 1 +fg "59904,39936,65280" +) +xt "-700,-700,1700,1700" +) +symbol (Text +va (VaSet +font "courier,10,1" +) +xt "200,0,800,1000" +st "&" +ju 0 +blo "500,800" +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "2000,1000,2000,1000" +blo "2000,1000" +tm "JunctionName" +) +) +caseExpr (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-2450,1800,3050,2700" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +defaultEntryPoint (EntryPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "29952,39936,65280" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-875,875,1375,1875" +) +(Line +sl 0 +ro 270 +xt "1375,1375,1875,1375" +pts [ +"1375,1375" +"1875,1375" +] +) +] +) +) +defaultInterruptPoint (InterruptPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,0,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-875,875,1375,1875" +) +(Line +sl 0 +ro 270 +xt "1375,1375,1875,1375" +pts [ +"1375,1375" +"1875,1375" +] +) +(CustomPolygon +pts [ +"-625,1600" +"-625,1300" +"25,1425" +"-75,1150" +"1025,1350" +"200,1350" +"375,1600" +] +sl 0 +ro 270 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "65535,0,0" +lineColor "65535,65535,0" +) +xt "-625,1150,1025,1600" +) +] +) +) +defaultLink (Link +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-375,875,1875,1875" +) +(Line +sl 0 +ro 270 +xt "-875,1375,-375,1375" +pts [ +"-875,1375" +"-375,1375" +] +) +] +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "2375,875,4375,1775" +st "Link" +blo "2375,1575" +tm "LinkName" +) +) +) +defaultExitPoint (ExitPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "29952,39936,65280" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-375,875,1875,1875" +) +(Line +sl 0 +ro 270 +xt "-875,1375,-375,1375" +pts [ +"-875,1375" +"-375,1375" +] +) +] +) +) +defaultTransition (Transition +shape (Spline +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +arrow 1 +) +ss 0 +es 0 +cond "condition" +tb (TransitionBlock +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "-500,-500,5000,1400" +) +autoResize 1 +lineShape (Line +va (VaSet +vasetType 3 +isHidden 1 +) +xt "2250,1300,2250,1300" +pts [ +"2250,1300" +"2250,1300" +] +) +condition (MLText +va (VaSet +font "courier,8,0" +) +xt "0,0,4500,900" +st "condition" +tm "Condition" +) +actions (MLText +va (VaSet +font "courier,8,0" +) +xt "2250,1700,2250,1700" +tm "Actions" +) +) +tp (TransitionPriority +ps "PercentageFromStartStrategy" +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "-790,-790,790,790" +radius 790 +) +pr (Text +va (VaSet +font "courier,8,0" +) +xt "-250,-450,250,450" +st "1" +ju 0 +blo "0,250" +tm "TransitionPriority" +) +padding "200,200" +) +) +defaultClk (SmClockPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-625,625,1625,1625" +) +(OrthoPolyLine +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "275,825,874,1425" +pts [ +"275,1425" +"574,1425" +"574,825" +"874,825" +] +) +(Arc2D +pts [ +"-116,1278" +"-371,972" +"-116,972" +] +sl 0 +ro 270 +va (VaSet +vasetType 1 +transparent 1 +) +xt "-371,972,-116,1278" +) +] +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +font "courier,8,0" +) +xt "-2625,625,-1125,1525" +st "clk" +ju 2 +blo "-1125,1325" +tm "SmControlSignalNameMgr" +) +) +cond (SmControlCondition +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "1625,575,3825,1675" +) +autoResize 1 +cond (MLText +va (VaSet +font "courier,8,0" +) +xt "1725,675,3725,1575" +st "cond" +tm "SmControlConditionMgr" +) +) +) +defaultEnable (SmEnablePoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-625,625,1625,1625" +) +(OrthoPolyLine +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "275,825,874,1425" +pts [ +"874,1425" +"574,1425" +"574,825" +"275,825" +] +) +(Arc2D +pts [ +"-130,1263" +"-415,1064" +"-76,1064" +] +layer 10 +sl 0 +ro 270 +va (VaSet +vasetType 1 +transparent 1 +) +xt "-415,1064,-76,1263" +) +(Line +sl 0 +ro 270 +xt "-415,1064,-106,1064" +pts [ +"-415,1064" +"-106,1064" +] +) +] +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +font "courier,8,0" +) +xt "-4125,625,-1125,1525" +st "enable" +ju 2 +blo "-1125,1325" +tm "SmControlSignalNameMgr" +) +) +cond (SmControlCondition +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "1625,575,3825,1675" +) +autoResize 1 +cond (MLText +va (VaSet +font "courier,8,0" +) +xt "1725,675,3725,1575" +st "cond" +tm "SmControlConditionMgr" +) +) +) +defaultRst (SmResetPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-625,625,1625,1625" +) +(OrthoPolyLine +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "275,825,874,1425" +pts [ +"874,1425" +"574,1425" +"574,825" +"275,825" +] +) +(Line +sl 0 +ro 270 +xt "-376,950,-276,1000" +pts [ +"-376,1000" +"-276,950" +] +) +(Line +sl 0 +ro 270 +xt "-376,950,-376,1300" +pts [ +"-376,1300" +"-376,950" +] +) +(Circle +layer 10 +sl 0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,0" +) +xt "424,975,724,1275" +radius 150 +) +] +) +cond (SmControlCondition +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "-625,-875,1575,225" +) +autoResize 1 +cond (MLText +va (VaSet +font "courier,8,0" +) +xt "-525,-775,1475,125" +st "cond" +tm "SmControlConditionMgr" +) +) +prio (TransitionPriority +ps "PercentageFromStartStrategy" +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "1625,335,3205,1915" +radius 790 +) +pr (Text +va (VaSet +font "courier,8,0" +) +xt "2165,675,2665,1575" +st "1" +ju 0 +blo "2415,1375" +tm "TransitionPriority" +) +padding "200,200" +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +font "courier,8,0" +) +xt "-2125,675,-625,1575" +st "rst" +ju 2 +blo "-625,1375" +tm "SmControlSignalNameMgr" +) +) +actions (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "4750,2625,11750,3525" +st "< Automatic >" +tm "Actions" +) +) +) +defaultRecStatePt (SmRecoveryStatePoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,0,0" +) +optionalChildren [ +(Circle +sl 0 +xt "-900,-900,900,900" +radius 900 +) +(Line +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "-425,-425,425,425" +pts [ +"-425,425" +"425,-425" +] +) +(Line +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "-425,-425,425,425" +pts [ +"425,425" +"-425,-425" +] +) +] +) +) +LanguageMgr "Verilog2001LangMgr" +) +) +] +shape (Rectangle +uid 188,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "27000,13000,30000,22000" +) +ttg (MlTextGroup +uid 189,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*194 (Text +uid 190,0 +va (VaSet +font "courier,8,1" +) +xt "28000,16600,29000,17500" +st "SM" +blo "28000,17300" +tm "HdlTextNameMgr" +) +*195 (Text +uid 191,0 +va (VaSet +font "courier,8,1" +) +xt "28000,17500,28500,18400" +st "1" +blo "28000,18200" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 192,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "27250,20250,28750,21750" +iconName "StateMachineViewIcon.png" +iconMaskName "StateMachineViewIcon.msk" +ftype 3 +) +viewiconposition 0 +) +*196 (HdlText +uid 849,0 +optionalChildren [ +*197 (EmbeddedText +uid 855,0 +commentText (CommentText +uid 856,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 857,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "35000,6000,53000,11000" +) +text (MLText +uid 858,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "35200,6200,51900,10700" +st " +assign compareConst= (packet_read_addr==6'd15)?(8'h1): + (packet_read_addr==6'd16)?(8'h8): + (packet_read_addr==6'd18)?(8'h6): + (packet_read_addr==6'd19)?(8'h4): + (packet_read_addr==6'd21)?(8'h1): + 8'h0; + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +] +shape (Rectangle +uid 850,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "27000,6000,30000,9000" +) +ttg (MlTextGroup +uid 851,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*198 (Text +uid 852,0 +va (VaSet +font "courier,8,1" +) +xt "27750,6600,29250,7500" +st "eb1" +blo "27750,7300" +tm "HdlTextNameMgr" +) +*199 (Text +uid 853,0 +va (VaSet +font "courier,8,1" +) +xt "27750,7500,28250,8400" +st "2" +blo "27750,8200" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 854,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "27250,7250,28750,8750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*200 (HdlText +uid 875,0 +optionalChildren [ +*201 (EmbeddedText +uid 881,0 +commentText (CommentText +uid 882,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 883,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "15000,19000,33000,24000" +) +text (MLText +uid 884,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "15200,19200,32800,23700" +st " +assign compareIP= (packet_read_addr==6'd38)?(myIP[31:24]): + (packet_read_addr==6'd39)?(myIP[23:16]): + (packet_read_addr==6'd40)?(myIP[15:8]): + myIP[7:0]; + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +] +shape (Rectangle +uid 876,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "7000,19000,10000,22000" +) +ttg (MlTextGroup +uid 877,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*202 (Text +uid 878,0 +va (VaSet +font "courier,8,1" +) +xt "7750,19600,9250,20500" +st "eb2" +blo "7750,20300" +tm "HdlTextNameMgr" +) +*203 (Text +uid 879,0 +va (VaSet +font "courier,8,1" +) +xt "7750,20500,8250,21400" +st "3" +blo "7750,21200" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 880,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "7250,20250,8750,21750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*204 (HdlText +uid 909,0 +optionalChildren [ +*205 (EmbeddedText +uid 915,0 +commentText (CommentText +uid 916,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 917,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "35000,-1000,53000,4000" +) +text (MLText +uid 918,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "35200,-800,51900,3700" +st " +assign resp_read_addr = (packet_out_addr==6'd00)?(6'd06): + (packet_out_addr==6'd01)?(6'd07): + (packet_out_addr==6'd02)?(6'd08): + (packet_out_addr==6'd03)?(6'd09): + (packet_out_addr==6'd04)?(6'd10): + (packet_out_addr==6'd05)?(6'd11): + (packet_out_addr==6'd32)?(6'd22): + (packet_out_addr==6'd33)?(6'd23): + (packet_out_addr==6'd34)?(6'd24): + (packet_out_addr==6'd35)?(6'd25): + (packet_out_addr==6'd36)?(6'd26): + (packet_out_addr==6'd37)?(6'd27): + (packet_out_addr==6'd38)?(6'd28): + (packet_out_addr==6'd39)?(6'd29): + (packet_out_addr==6'd40)?(6'd30): + (packet_out_addr==6'd41)?(6'd31): + packet_out_addr; + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +] +shape (Rectangle +uid 910,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "27000,-1000,30000,2000" +) +ttg (MlTextGroup +uid 911,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*206 (Text +uid 912,0 +va (VaSet +font "courier,8,1" +) +xt "27750,-400,29250,500" +st "eb3" +blo "27750,300" +tm "HdlTextNameMgr" +) +*207 (Text +uid 913,0 +va (VaSet +font "courier,8,1" +) +xt "27750,500,28250,1400" +st "4" +blo "27750,1200" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 914,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "27250,250,28750,1750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*208 (HdlText +uid 935,0 +optionalChildren [ +*209 (EmbeddedText +uid 941,0 +commentText (CommentText +uid 942,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 943,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "15000,-1000,33000,4000" +) +text (MLText +uid 944,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "15200,-800,28800,3700" +st " +assign resp_data= (packet_out_addr>=6'd00 && packet_out_addr<=6'd05)?packet_data: + (packet_out_addr==6'd06)?myMAC[47:40]: + (packet_out_addr==6'd07)?myMAC[39:32]: + (packet_out_addr==6'd08)?myMAC[31:24]: + (packet_out_addr==6'd09)?myMAC[23:16]: + (packet_out_addr==6'd10)?myMAC[15:8]: + (packet_out_addr==6'd11)?myMAC[7:0]: + (packet_out_addr==6'd12)?8'h08: + (packet_out_addr==6'd13)?8'h06: + (packet_out_addr==6'd14)?8'h00: + (packet_out_addr==6'd15)?8'h01: + (packet_out_addr==6'd16)?8'h08: + (packet_out_addr==6'd17)?8'h00: + (packet_out_addr==6'd18)?8'h06: + (packet_out_addr==6'd19)?8'h04: + (packet_out_addr==6'd20)?8'h00: + (packet_out_addr==6'd21)?8'h02: + (packet_out_addr==6'd22)?myMAC[47:40]: + (packet_out_addr==6'd23)?myMAC[39:32]: + (packet_out_addr==6'd24)?myMAC[31:24]: + (packet_out_addr==6'd25)?myMAC[23:16]: + (packet_out_addr==6'd26)?myMAC[15:8]: + (packet_out_addr==6'd27)?myMAC[7:0]: + (packet_out_addr==6'd28)?myIP[31:24]: + (packet_out_addr==6'd29)?myIP[23:16]: + (packet_out_addr==6'd30)?myIP[15:8]: + (packet_out_addr==6'd31)?myIP[7:0]: + packet_data; + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +] +shape (Rectangle +uid 936,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "7000,-1000,10000,4000" +) +ttg (MlTextGroup +uid 937,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*210 (Text +uid 938,0 +va (VaSet +font "courier,8,1" +) +xt "7750,600,9250,1500" +st "eb4" +blo "7750,1300" +tm "HdlTextNameMgr" +) +*211 (Text +uid 939,0 +va (VaSet +font "courier,8,1" +) +xt "7750,1500,8250,2400" +st "5" +blo "7750,2200" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 940,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "7250,2250,8750,3750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*212 (Property +uid 1109,0 +pclass "HDS" +pname "DocView" +pvalue "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/workspace/IPbusFirmware/firmware/ipbus/hdl/arp.v" +ptn "String" +) +*213 (Property +uid 1110,0 +pclass "HDS" +pname "DocViewState" +pvalue "1352727141" +ptn "String" +) +*214 (Wire +uid 27,0 +shape (OrthoPolyLine +uid 28,0 +va (VaSet +vasetType 3 +) +xt "26000,14000,27000,14000" +pts [ +"26000,14000" +"27000,14000" +] +) +start &5 +end &29 +ss 0 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 31,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 32,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "25000,13100,28500,14000" +st "mac_clk" +blo "25000,13800" +tm "WireNameMgr" +) +) +on &6 +) +*215 (Wire +uid 41,0 +shape (OrthoPolyLine +uid 42,0 +va (VaSet +vasetType 3 +) +xt "26000,15000,27000,15000" +pts [ +"26000,15000" +"27000,15000" +] +) +start &7 +end &29 +ss 0 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 45,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 46,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "25000,14100,27500,15000" +st "reset" +blo "25000,14800" +tm "WireNameMgr" +) +) +on &8 +) +*216 (Wire +uid 55,0 +shape (OrthoPolyLine +uid 56,0 +va (VaSet +vasetType 3 +) +xt "26000,16000,27000,16000" +pts [ +"26000,16000" +"27000,16000" +] +) +start &9 +end &29 +ss 0 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 59,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 60,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "23000,15100,29500,16000" +st "packet_ready" +blo "23000,15800" +tm "WireNameMgr" +) +) +on &10 +) +*217 (Wire +uid 83,0 +optionalChildren [ +*218 (BdJunction +uid 1097,0 +ps "OnConnectorStrategy" +shape (Circle +uid 1098,0 +va (VaSet +vasetType 1 +) +xt "-400,29600,400,30400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 84,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "-2000,19000,27000,30000" +pts [ +"-2000,30000" +"19000,30000" +"19000,19000" +"27000,19000" +] +) +start &13 +end &29 +ss 0 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 87,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 88,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "16000,18100,22000,19000" +st "packet_data" +blo "16000,18800" +tm "WireNameMgr" +) +) +on &14 +) +*219 (Wire +uid 111,0 +shape (OrthoPolyLine +uid 112,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "6000,0,7000,0" +pts [ +"6000,0" +"7000,0" +] +) +start &17 +end &208 +ss 0 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 115,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 116,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "3000,-900,5500,0" +st "myMAC" +blo "3000,-200" +tm "WireNameMgr" +) +) +on &18 +) +*220 (Wire +uid 125,0 +optionalChildren [ +*221 (BdJunction +uid 1099,0 +ps "OnConnectorStrategy" +shape (Circle +uid 1100,0 +va (VaSet +vasetType 1 +) +xt "600,20600,1400,21400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 126,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "-2000,3000,7000,31000" +pts [ +"-2000,31000" +"1000,31000" +"1000,3000" +"7000,3000" +] +) +start &19 +end &208 +ss 0 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 129,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 130,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-1000,2100,1000,3000" +st "myIP" +blo "-1000,2800" +tm "WireNameMgr" +) +) +on &20 +) +*222 (Wire +uid 859,0 +shape (OrthoPolyLine +uid 860,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "25000,8000,39000,26000" +pts [ +"39000,26000" +"25000,26000" +"25000,8000" +"27000,8000" +] +) +start *223 (BdJunction +uid 1105,0 +ps "OnConnectorStrategy" +shape (Circle +uid 1106,0 +va (VaSet +vasetType 1 +) +xt "38600,25600,39400,26400" +radius 400 +) +) +end &196 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 865,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 866,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "14000,7100,22500,8000" +st "packet_read_addr" +blo "14000,7800" +tm "WireNameMgr" +) +) +on &16 +) +*224 (Wire +uid 867,0 +shape (OrthoPolyLine +uid 868,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "21000,8000,36000,23000" +pts [ +"30000,8000" +"36000,8000" +"36000,23000" +"21000,23000" +"21000,21000" +"27000,21000" +] +) +start &196 +end &29 +sat 2 +eat 1 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 873,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 874,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "31000,7100,37500,8000" +st "compareConst" +blo "31000,7800" +tm "WireNameMgr" +) +) +on &1 +) +*225 (Wire +uid 885,0 +shape (OrthoPolyLine +uid 886,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "1000,21000,7000,21000" +pts [ +"1000,21000" +"7000,21000" +] +) +start &221 +end &200 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 891,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 892,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "1000,20100,3000,21000" +st "myIP" +blo "1000,20800" +tm "WireNameMgr" +) +) +on &20 +) +*226 (Wire +uid 893,0 +shape (OrthoPolyLine +uid 894,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "5000,20000,39000,27000" +pts [ +"39000,27000" +"5000,27000" +"5000,20000" +"7000,20000" +] +) +start *227 (BdJunction +uid 1107,0 +ps "OnConnectorStrategy" +shape (Circle +uid 1108,0 +va (VaSet +vasetType 1 +) +xt "38600,26600,39400,27400" +radius 400 +) +) +end &200 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 899,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 900,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-6000,19100,2500,20000" +st "packet_read_addr" +blo "-6000,19800" +tm "WireNameMgr" +) +) +on &16 +) +*228 (Wire +uid 901,0 +shape (OrthoPolyLine +uid 902,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "10000,17000,27000,20000" +pts [ +"10000,20000" +"17000,20000" +"17000,17000" +"27000,17000" +] +) +start &200 +end &29 +sat 2 +eat 1 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 907,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 908,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "11000,19100,15500,20000" +st "compareIP" +blo "11000,19800" +tm "WireNameMgr" +) +) +on &2 +) +*229 (Wire +uid 919,0 +shape (OrthoPolyLine +uid 920,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "26000,1000,38000,27000" +pts [ +"38000,27000" +"26000,27000" +"26000,1000" +"27000,1000" +] +) +start *230 (BdJunction +uid 1101,0 +ps "OnConnectorStrategy" +shape (Circle +uid 1102,0 +va (VaSet +vasetType 1 +) +xt "37600,26600,38400,27400" +radius 400 +) +) +end &204 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 925,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 926,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "14000,100,22000,1000" +st "packet_out_addr" +blo "14000,800" +tm "WireNameMgr" +) +) +on &24 +) +*231 (Wire +uid 927,0 +shape (OrthoPolyLine +uid 928,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "20000,1000,37000,24000" +pts [ +"30000,1000" +"37000,1000" +"37000,24000" +"20000,24000" +"20000,20000" +"27000,20000" +] +) +start &204 +end &29 +sat 2 +eat 1 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 933,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 934,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "31000,100,38500,1000" +st "resp_read_addr" +blo "31000,800" +tm "WireNameMgr" +) +) +on &3 +) +*232 (Wire +uid 961,0 +shape (OrthoPolyLine +uid 962,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "0,2000,7000,30000" +pts [ +"0,30000" +"0,2000" +"7000,2000" +] +) +start &218 +end &208 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 967,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 968,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-4000,1100,2000,2000" +st "packet_data" +blo "-4000,1800" +tm "WireNameMgr" +) +) +on &14 +) +*233 (Wire +uid 969,0 +shape (OrthoPolyLine +uid 970,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "6000,1000,38000,28000" +pts [ +"38000,28000" +"6000,28000" +"6000,1000" +"7000,1000" +] +) +start *234 (BdJunction +uid 1103,0 +ps "OnConnectorStrategy" +shape (Circle +uid 1104,0 +va (VaSet +vasetType 1 +) +xt "37600,27600,38400,28400" +radius 400 +) +) +end &208 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 975,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 976,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-6000,100,2000,1000" +st "packet_out_addr" +blo "-6000,800" +tm "WireNameMgr" +) +) +on &24 +) +*235 (Wire +uid 977,0 +shape (OrthoPolyLine +uid 978,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "10000,3000,27000,18000" +pts [ +"10000,3000" +"16000,3000" +"16000,18000" +"27000,18000" +] +) +start &208 +end &29 +sat 2 +eat 1 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 983,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 984,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "11000,2100,15500,3000" +st "resp_data" +blo "11000,2800" +tm "WireNameMgr" +) +) +on &4 +) +*236 (Wire +uid 1049,0 +shape (OrthoPolyLine +uid 1050,0 +va (VaSet +vasetType 3 +) +xt "30000,14000,31000,14000" +pts [ +"30000,14000" +"31000,14000" +] +) +start &29 +end &11 +es 0 +sat 4 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 1055,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1056,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "26000,13100,34500,14000" +st "done_with_packet" +blo "26000,13800" +tm "WireNameMgr" +) +) +on &12 +) +*237 (Wire +uid 1057,0 +optionalChildren [ +&230 +&234 +] +shape (OrthoPolyLine +uid 1058,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "30000,21000,41000,31000" +pts [ +"30000,21000" +"38000,21000" +"38000,31000" +"41000,31000" +] +) +start &29 +end &23 +es 0 +sat 4 +eat 32 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 1063,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1064,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "31000,20100,39000,21000" +st "packet_out_addr" +blo "31000,20800" +tm "WireNameMgr" +) +) +on &24 +) +*238 (Wire +uid 1065,0 +optionalChildren [ +&223 +&227 +] +shape (OrthoPolyLine +uid 1066,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "30000,20000,41000,30000" +pts [ +"30000,20000" +"39000,20000" +"39000,30000" +"41000,30000" +] +) +start &29 +end &15 +es 0 +sat 4 +eat 32 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 1071,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1072,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "31000,19100,39500,20000" +st "packet_read_addr" +blo "31000,19800" +tm "WireNameMgr" +) +) +on &16 +) +*239 (Wire +uid 1073,0 +shape (OrthoPolyLine +uid 1074,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "30000,15000,31000,15000" +pts [ +"30000,15000" +"31000,15000" +] +) +start &29 +end &21 +es 0 +sat 2 +eat 32 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 1079,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1080,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "26000,14100,31500,15000" +st "packet_out" +blo "26000,14800" +tm "WireNameMgr" +) +) +on &22 +) +*240 (Wire +uid 1081,0 +shape (OrthoPolyLine +uid 1082,0 +va (VaSet +vasetType 3 +) +xt "30000,16000,31000,16000" +pts [ +"30000,16000" +"31000,16000" +] +) +start &29 +end &25 +es 0 +sat 2 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 1087,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1088,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "27000,15100,34000,16000" +st "packet_out_we" +blo "27000,15800" +tm "WireNameMgr" +) +) +on &26 +) +*241 (Wire +uid 1089,0 +shape (OrthoPolyLine +uid 1090,0 +va (VaSet +vasetType 3 +) +xt "30000,17000,31000,17000" +pts [ +"30000,17000" +"31000,17000" +] +) +start &29 +end &27 +es 0 +sat 2 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 1095,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1096,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "28000,16100,34000,17000" +st "packet_xmit" +blo "28000,16800" +tm "WireNameMgr" +) +) +on &28 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *242 (PackageList +uid 1111,0 +stg "VerticalLayoutStrategy" +textVec [ +*243 (Text +uid 1112,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "21000,36375,27500,37275" +st "Package List" +blo "21000,37075" +) +*244 (MLText +uid 1113,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "21000,37275,35500,40875" +st "LIBRARY ieee; +USE ieee.std_logic_1164.all; +USE ieee.numeric_std.all; + +" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 1114,0 +stg "VerticalLayoutStrategy" +textVec [ +*245 (Text +uid 1115,0 +va (VaSet +font "courier,8,1" +) +xt "1000,36375,11000,37275" +st "Compiler Directives" +blo "1000,37075" +) +*246 (Text +uid 1116,0 +va (VaSet +font "courier,8,1" +) +xt "1000,37275,12500,38175" +st "Pre-module directives:" +blo "1000,37975" +) +*247 (MLText +uid 1117,0 +va (VaSet +font "courier,8,0" +) +xt "1000,38175,42500,56175" +st "`timescale 1ns / 1ps +////////////////////////////////////////////////////////////////////////////////// +// Company: +// Engineer: +// +// Create Date: 09:58:54 01/14/2010 +// Design Name: +// Module Name: arp +// Project Name: +// Target Devices: +// Tool versions: +// Description: +// +// Dependencies: +// +// Revision: +// Revision 0.01 - File Created +// Additional Comments: +// +////////////////////////////////////////////////////////////////////////////////// +" +tm "BdCompilerDirectivesTextMgr" +) +*248 (Text +uid 1118,0 +va (VaSet +font "courier,8,1" +) +xt "1000,56175,13000,57075" +st "Post-module directives:" +blo "1000,56875" +) +*249 (MLText +uid 1119,0 +va (VaSet +font "courier,8,0" +) +xt "1000,36375,1000,36375" +tm "BdCompilerDirectivesTextMgr" +) +*250 (Text +uid 1120,0 +va (VaSet +font "courier,8,1" +) +xt "1000,57075,12500,57975" +st "End-module directives:" +blo "1000,57775" +) +*251 (MLText +uid 1121,0 +va (VaSet +font "courier,8,0" +) +xt "1000,57975,1000,57975" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "0,0,1015,690" +viewArea "-11000,-1000,83000,57975" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +lastUid 1121,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,4000,1900" +st "Panel0" +blo "1000,1700" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*252 (Text +va (VaSet +font "courier,8,1" +) +xt "1750,3650,6250,4550" +st "<library>" +blo "1750,4350" +tm "BdLibraryNameMgr" +) +*253 (Text +va (VaSet +font "courier,8,1" +) +xt "1750,4550,5250,5450" +st "<block>" +blo "1750,5250" +tm "BlkNameMgr" +) +*254 (Text +va (VaSet +font "courier,8,1" +) +xt "1750,5450,2750,6350" +st "I0" +blo "1750,6150" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "1750,13650,1750,13650" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*255 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,3650,4500,4550" +st "Library" +blo "1000,4350" +) +*256 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,4550,7000,5450" +st "MWComponent" +blo "1000,5250" +) +*257 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,5450,2000,6350" +st "I0" +blo "1000,6150" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6000,1650,-6000,1650" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*258 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,3650,4500,4550" +st "Library" +blo "1000,4350" +tm "BdLibraryNameMgr" +) +*259 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,4550,7000,5450" +st "SaComponent" +blo "1000,5250" +tm "CptNameMgr" +) +*260 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,5450,2000,6350" +st "I0" +blo "1000,6150" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6000,1650,-6000,1650" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +portVis (PortSigDisplay +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*261 (Text +va (VaSet +font "courier,8,1" +) +xt "500,3650,4000,4550" +st "Library" +blo "500,4350" +) +*262 (Text +va (VaSet +font "courier,8,1" +) +xt "500,4550,7500,5450" +st "VhdlComponent" +blo "500,5250" +) +*263 (Text +va (VaSet +font "courier,8,1" +) +xt "500,5450,1500,6350" +st "I0" +blo "500,6150" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6500,1650,-6500,1650" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-750,0,8750,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*264 (Text +va (VaSet +font "courier,8,1" +) +xt "-250,3650,3250,4550" +st "Library" +blo "-250,4350" +) +*265 (Text +va (VaSet +font "courier,8,1" +) +xt "-250,4550,8250,5450" +st "VerilogComponent" +blo "-250,5250" +) +*266 (Text +va (VaSet +font "courier,8,1" +) +xt "-250,5450,750,6350" +st "I0" +blo "-250,6150" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-7250,1650,-7250,1650" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*267 (Text +va (VaSet +font "courier,8,1" +) +xt "3250,4100,4750,5000" +st "eb1" +blo "3250,4800" +tm "HdlTextNameMgr" +) +*268 (Text +va (VaSet +font "courier,8,1" +) +xt "3250,5000,3750,5900" +st "1" +blo "3250,5700" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +font "courier,8,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +font "courier,8,1" +) +xt "-250,-450,250,450" +st "G" +blo "-250,250" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +stc 0 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +st 0 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,0,2000,900" +st "sig0" +blo "0,700" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +st 0 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,0,2500,900" +st "dbus0" +blo "0,700" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,0" +) +xt "0,0,3500,900" +st "bundle0" +blo "0,700" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +font "courier,8,0" +) +xt "0,900,1000,1800" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +font "courier,8,0" +) +) +second (MLText +va (VaSet +font "courier,8,0" +) +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,-1000,4500,-100" +st "g0: [7:0]" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1350" +) +num (Text +va (VaSet +font "courier,8,0" +) +xt "300,250,800,1150" +st "1" +blo "300,950" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*269 (Text +va (VaSet +font "courier,8,1" +) +xt "12500,20000,22000,20900" +st "Frame Declarations" +blo "12500,20700" +) +*270 (MLText +va (VaSet +font "courier,8,0" +) +xt "12500,20900,12500,20900" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,-1000,9000,-100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1350" +) +num (Text +va (VaSet +font "courier,8,0" +) +xt "300,250,800,1150" +st "1" +blo "300,950" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*271 (Text +va (VaSet +font "courier,8,1" +) +xt "12500,20000,22000,20900" +st "Frame Declarations" +blo "12500,20700" +) +*272 (MLText +va (VaSet +font "courier,8,0" +) +xt "12500,20900,12500,20900" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,2000,1650" +st "Port" +blo "0,1450" +) +) +thePort (LogicalPort +lang 5 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,2000,1650" +st "Port" +blo "0,1450" +) +) +thePort (LogicalPort +lang 5 +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "courier,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "45000,36375,51500,37275" +st "Declarations" +blo "45000,37075" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "45000,37275,48000,38175" +st "Ports:" +blo "45000,37975" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "45000,48975,49500,49875" +st "Pre User:" +blo "45000,49675" +) +preUserText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "45000,36375,45000,36375" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "courier,8,1" +) +xt "45000,49875,53500,50775" +st "Diagram Signals:" +blo "45000,50575" +) +postUserLabel (Text +uid 7,0 +va (VaSet +font "courier,8,1" +) +xt "45000,57075,50500,57975" +st "Post User:" +blo "45000,57775" +) +postUserText (MLText +uid 8,0 +va (VaSet +font "courier,8,0" +) +xt "45000,36375,45000,36375" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 17,0 +usingSuid 1 +emptyRow *273 (LEmptyRow +) +optionalChildren [ +*274 (RefLabelRowHdr +) +*275 (TitleRowHdr +) +*276 (FilterRowHdr +) +*277 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*278 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*279 (GroupColHdr +tm "GroupColHdrMgr" +) +*280 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*281 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*282 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*283 (SignedColHdr +tm "BlockDiagramSignedColHdrMgr" +) +*284 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*285 (DelayColHdr +tm "BlockDiagramDelayColHdrMgr" +) +*286 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*287 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*288 (LeafLogPort +port (LogicalPort +lang 5 +m 4 +decl (Decl +n "compareConst" +t "wire" +b "[7:0]" +prec "// to be worth responding to, HARDWARE = 0x0 0x1, PROTOCOL = 0x8 0x0, +// HLEN = 0x6, PLEN=0x4, OPERATION = 0x0 0x1 +// TARGET IA = my IP" +preAdd 0 +o 1 +suid 2,0 +) +) +uid 787,0 +) +*289 (LeafLogPort +port (LogicalPort +lang 5 +m 4 +decl (Decl +n "compareIP" +t "wire" +b "[7:0]" +o 2 +suid 3,0 +) +) +uid 789,0 +) +*290 (LeafLogPort +port (LogicalPort +lang 5 +m 4 +decl (Decl +n "resp_read_addr" +t "wire" +b "[4:0]" +o 3 +suid 4,0 +) +) +uid 791,0 +) +*291 (LeafLogPort +port (LogicalPort +lang 5 +m 4 +decl (Decl +n "resp_data" +t "wire" +b "[7:0]" +o 4 +suid 5,0 +) +) +uid 793,0 +) +*292 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "mac_clk" +t "wire" +o 5 +suid 6,0 +) +) +uid 795,0 +) +*293 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "reset" +t "wire" +o 6 +suid 7,0 +) +) +uid 797,0 +) +*294 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "packet_ready" +t "wire" +o 7 +suid 8,0 +) +) +uid 799,0 +) +*295 (LeafLogPort +port (LogicalPort +lang 5 +m 1 +decl (Decl +n "done_with_packet" +t "reg" +o 8 +suid 9,0 +) +) +uid 801,0 +) +*296 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "packet_data" +t "wire" +b "[7:0]" +o 9 +suid 10,0 +) +) +uid 803,0 +) +*297 (LeafLogPort +port (LogicalPort +lang 5 +m 1 +decl (Decl +n "packet_read_addr" +t "reg" +b "[5:0]" +o 10 +suid 11,0 +) +) +uid 805,0 +) +*298 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "myMAC" +t "wire" +b "[47:0]" +o 11 +suid 12,0 +) +) +uid 807,0 +) +*299 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "myIP" +t "wire" +b "[31:0]" +o 12 +suid 13,0 +) +) +uid 809,0 +) +*300 (LeafLogPort +port (LogicalPort +lang 5 +m 1 +decl (Decl +n "packet_out" +t "reg" +b "[7:0]" +o 13 +suid 14,0 +) +) +uid 811,0 +) +*301 (LeafLogPort +port (LogicalPort +lang 5 +m 1 +decl (Decl +n "packet_out_addr" +t "reg" +b "[5:0]" +o 14 +suid 15,0 +) +) +uid 813,0 +) +*302 (LeafLogPort +port (LogicalPort +lang 5 +m 1 +decl (Decl +n "packet_out_we" +t "reg" +o 15 +suid 16,0 +) +) +uid 815,0 +) +*303 (LeafLogPort +port (LogicalPort +lang 5 +m 1 +decl (Decl +n "packet_xmit" +t "reg" +o 16 +suid 17,0 +) +) +uid 817,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +optionalChildren [ +*304 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *305 (MRCItem +litem &273 +pos 3 +dimension 20 +) +optionalChildren [ +*306 (MRCItem +litem &274 +pos 0 +dimension 20 +) +*307 (MRCItem +litem &275 +pos 1 +dimension 23 +) +*308 (MRCItem +litem &276 +pos 2 +hidden 1 +dimension 20 +) +*309 (MRCItem +litem &288 +pos 0 +dimension 20 +uid 788,0 +) +*310 (MRCItem +litem &289 +pos 1 +dimension 20 +uid 790,0 +) +*311 (MRCItem +litem &290 +pos 2 +dimension 20 +uid 792,0 +) +*312 (MRCItem +litem &291 +pos 3 +dimension 20 +uid 794,0 +) +*313 (MRCItem +litem &292 +pos 4 +dimension 20 +uid 796,0 +) +*314 (MRCItem +litem &293 +pos 5 +dimension 20 +uid 798,0 +) +*315 (MRCItem +litem &294 +pos 6 +dimension 20 +uid 800,0 +) +*316 (MRCItem +litem &295 +pos 7 +dimension 20 +uid 802,0 +) +*317 (MRCItem +litem &296 +pos 8 +dimension 20 +uid 804,0 +) +*318 (MRCItem +litem &297 +pos 9 +dimension 20 +uid 806,0 +) +*319 (MRCItem +litem &298 +pos 10 +dimension 20 +uid 808,0 +) +*320 (MRCItem +litem &299 +pos 11 +dimension 20 +uid 810,0 +) +*321 (MRCItem +litem &300 +pos 12 +dimension 20 +uid 812,0 +) +*322 (MRCItem +litem &301 +pos 13 +dimension 20 +uid 814,0 +) +*323 (MRCItem +litem &302 +pos 14 +dimension 20 +uid 816,0 +) +*324 (MRCItem +litem &303 +pos 15 +dimension 20 +uid 818,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +optionalChildren [ +*325 (MRCItem +litem &277 +pos 0 +dimension 20 +) +*326 (MRCItem +litem &279 +pos 1 +dimension 50 +) +*327 (MRCItem +litem &280 +pos 2 +dimension 100 +) +*328 (MRCItem +litem &281 +pos 3 +dimension 50 +) +*329 (MRCItem +litem &282 +pos 4 +dimension 100 +) +*330 (MRCItem +litem &283 +pos 5 +dimension 60 +) +*331 (MRCItem +litem &284 +pos 6 +dimension 100 +) +*332 (MRCItem +litem &285 +pos 7 +dimension 50 +) +*333 (MRCItem +litem &286 +pos 8 +dimension 50 +) +*334 (MRCItem +litem &287 +pos 9 +dimension 80 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +vaOverrides [ +] +) +] +) +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *335 (LEmptyRow +) +optionalChildren [ +*336 (RefLabelRowHdr +) +*337 (TitleRowHdr +) +*338 (FilterRowHdr +) +*339 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*340 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*341 (GroupColHdr +tm "GroupColHdrMgr" +) +*342 (NameColHdr +tm "GenericNameColHdrMgr" +) +*343 (InitColHdr +tm "GenericValueColHdrMgr" +) +*344 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +optionalChildren [ +*345 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *346 (MRCItem +litem &335 +pos 3 +dimension 20 +) +optionalChildren [ +*347 (MRCItem +litem &336 +pos 0 +dimension 20 +) +*348 (MRCItem +litem &337 +pos 1 +dimension 23 +) +*349 (MRCItem +litem &338 +pos 2 +hidden 1 +dimension 20 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +optionalChildren [ +*350 (MRCItem +litem &339 +pos 0 +dimension 20 +) +*351 (MRCItem +litem &341 +pos 1 +dimension 50 +) +*352 (MRCItem +litem &342 +pos 2 +dimension 100 +) +*353 (MRCItem +litem &343 +pos 3 +dimension 50 +) +*354 (MRCItem +litem &344 +pos 4 +dimension 80 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +vaOverrides [ +] +) +] +) +type 1 +) +) diff --git a/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/arrival@time@l@u@t/symbol.sb b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/arrival@time@l@u@t/symbol.sb new file mode 100644 index 00000000..22b8eaad --- /dev/null +++ b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/arrival@time@l@u@t/symbol.sb @@ -0,0 +1,1871 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "25.1" +appVersion "2010.3 (Build 21)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 8,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 99,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "clk_4x_logic_i" +t "std_logic" +eolc "--! Rising edge active" +preAdd 0 +posAdd 0 +o 1 +suid 1,0 +) +) +uid 82,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "strobe_4x_logic_i" +t "std_logic" +eolc "--! Pulses high once every 4 cycles of clk_4x_logic" +preAdd 0 +posAdd 0 +o 2 +suid 2,0 +) +) +uid 84,0 +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "deserialized_data_i" +t "std_logic_vector" +b "(8 DOWNTO 0)" +eolc "-- Output from the two 4-bit deserializers, concatenated with most recent bit of previous clock cycle. Clocked by clk_4x_logic_i . bit-8 is the most recent data" +preAdd 0 +posAdd 0 +o 3 +suid 3,0 +) +) +uid 86,0 +) +*17 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "first_rising_edge_time_o" +t "std_logic_vector" +b "(g_NUM_FINE_BITS+g_NUM_COARSE_BITS-1 downto 0)" +eolc "-- Position of rising edge w.r.t. 40MHz strobe. Clocked by clk_4x_logic_i" +preAdd 0 +posAdd 0 +o 4 +suid 4,0 +) +) +uid 88,0 +) +*18 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "rising_edge_o" +t "std_logic" +eolc "-- goes high if there is a rising edge in the data. Clocked by clk_4x_logic_i" +preAdd 0 +posAdd 0 +o 6 +suid 5,0 +) +) +uid 90,0 +) +*19 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "falling_edge_o" +t "std_logic" +eolc "-- goes high if there is a falling edge in the data.Clocked by clk_4x_logic_i" +preAdd 0 +posAdd 0 +o 7 +suid 6,0 +) +) +uid 92,0 +) +*20 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "multiple_edges_o" +t "std_logic" +eolc "there is more than one rising or falling edge transition." +o 8 +suid 7,0 +) +) +uid 177,0 +) +*21 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "last_falling_edge_time_o" +t "std_logic_vector" +b "(g_NUM_FINE_BITS+g_NUM_COARSE_BITS-1 downto 0)" +eolc "-- Position of rising edge w.r.t. 40MHz strobe. Clocked by clk_4x_logic_i" +preAdd 0 +posAdd 0 +o 5 +suid 8,0 +) +) +uid 207,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 112,0 +optionalChildren [ +*22 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *23 (MRCItem +litem &1 +pos 8 +dimension 20 +) +uid 114,0 +optionalChildren [ +*24 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 115,0 +) +*25 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 116,0 +) +*26 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 117,0 +) +*27 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 83,0 +) +*28 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 85,0 +) +*29 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 87,0 +) +*30 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 89,0 +) +*31 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 91,0 +) +*32 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 93,0 +) +*33 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 178,0 +) +*34 (MRCItem +litem &21 +pos 7 +dimension 20 +uid 208,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 118,0 +optionalChildren [ +*35 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 119,0 +) +*36 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 120,0 +) +*37 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 121,0 +) +*38 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 122,0 +) +*39 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 123,0 +) +*40 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 124,0 +) +*41 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 125,0 +) +*42 (MRCItem +litem &13 +pos 7 +dimension 338 +uid 126,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 113,0 +vaOverrides [ +] +) +] +) +uid 98,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *43 (LEmptyRow +) +uid 128,0 +optionalChildren [ +*44 (RefLabelRowHdr +) +*45 (TitleRowHdr +) +*46 (FilterRowHdr +) +*47 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*48 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*49 (GroupColHdr +tm "GroupColHdrMgr" +) +*50 (NameColHdr +tm "GenericNameColHdrMgr" +) +*51 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*52 (InitColHdr +tm "GenericValueColHdrMgr" +) +*53 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*54 (EolColHdr +tm "GenericEolColHdrMgr" +) +*55 (LogGeneric +generic (GiElement +name "g_NUM_FINE_BITS" +type "positive" +value "3" +) +uid 78,0 +) +*56 (LogGeneric +generic (GiElement +name "g_NUM_COARSE_BITS" +type "positive" +value "2" +) +uid 80,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 140,0 +optionalChildren [ +*57 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *58 (MRCItem +litem &43 +pos 2 +dimension 20 +) +uid 142,0 +optionalChildren [ +*59 (MRCItem +litem &44 +pos 0 +dimension 20 +uid 143,0 +) +*60 (MRCItem +litem &45 +pos 1 +dimension 23 +uid 144,0 +) +*61 (MRCItem +litem &46 +pos 2 +hidden 1 +dimension 20 +uid 145,0 +) +*62 (MRCItem +litem &55 +pos 0 +dimension 20 +uid 79,0 +) +*63 (MRCItem +litem &56 +pos 1 +dimension 20 +uid 81,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 146,0 +optionalChildren [ +*64 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 147,0 +) +*65 (MRCItem +litem &49 +pos 1 +dimension 50 +uid 148,0 +) +*66 (MRCItem +litem &50 +pos 2 +dimension 100 +uid 149,0 +) +*67 (MRCItem +litem &51 +pos 3 +dimension 100 +uid 150,0 +) +*68 (MRCItem +litem &52 +pos 4 +dimension 50 +uid 151,0 +) +*69 (MRCItem +litem &53 +pos 5 +dimension 50 +uid 152,0 +) +*70 (MRCItem +litem &54 +pos 6 +dimension 80 +uid 153,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 141,0 +vaOverrides [ +] +) +] +) +uid 127,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl" +) +(vvPair +variable "HDSDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/arrival@time@l@u@t/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/arrival@time@l@u@t/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "config" +value "%(unit)_config" +) +(vvPair +variable "d" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/arrival@time@l@u@t" +) +(vvPair +variable "d_logical" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/arrivalTimeLUT" +) +(vvPair +variable "date" +value "12/11/12" +) +(vvPair +variable "day" +value "Tue" +) +(vvPair +variable "day_long" +value "Tuesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "entity_name" +value "arrivalTimeLUT" +) +(vvPair +variable "ext" +value "<TBD>" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "group" +value "HEP_Instrumentation" +) +(vvPair +variable "host" +value "fortis.phy.bris.ac.uk" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "work" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/designcheck" +) +(vvPair +variable "library_downstream_ISEPARInvoke" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/ise" +) +(vvPair +variable "library_downstream_ImpactInvoke" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/ise" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/work" +) +(vvPair +variable "library_downstream_XSTDataPrep" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/ise" +) +(vvPair +variable "mm" +value "12" +) +(vvPair +variable "module_name" +value "arrivalTimeLUT" +) +(vvPair +variable "month" +value "Dec" +) +(vvPair +variable "month_long" +value "December" +) +(vvPair +variable "p" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/arrival@time@l@u@t/symbol.sb" +) +(vvPair +variable "p_logical" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/arrivalTimeLUT/symbol.sb" +) +(vvPair +variable "package_name" +value "<Undefined Variable>" +) +(vvPair +variable "project_name" +value "fmc_mTLU" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "<TBD>" +) +(vvPair +variable "task_DesignCompilerPath" +value "<TBD>" +) +(vvPair +variable "task_LeonardoPath" +value "/opt/CAD/Mentor/LeonardoSpectrum_2008a/bin" +) +(vvPair +variable "task_ModelSimPath" +value "/opt/CAD/Mentor/Modelsim/6.4a/modeltech/bin" +) +(vvPair +variable "task_NC-SimPath" +value "<TBD>" +) +(vvPair +variable "task_PrecisionRTLPath" +value "/opt/CAD/Mentor/Precis_Synth_2009a_update2/Mgc_home/bin" +) +(vvPair +variable "task_QuestaSimPath" +value "/opt/CAD/Mentor/HDS2008.1b/questasim/bin" +) +(vvPair +variable "task_VCSPath" +value "<TBD>" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "10:37:01" +) +(vvPair +variable "unit" +value "arrivalTimeLUT" +) +(vvPair +variable "user" +value "phdgc" +) +(vvPair +variable "version" +value "2010.3 (Build 21)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2012" +) +(vvPair +variable "yy" +value "12" +) +] +) +LanguageMgr "VhdlLangMgr" +uid 97,0 +optionalChildren [ +*71 (SymbolBody +uid 8,0 +optionalChildren [ +*72 (CptPort +uid 48,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 49,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "21250,26625,22000,27375" +) +tg (CPTG +uid 50,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 51,0 +va (VaSet +font "courier,8,0" +) +xt "23000,26550,30500,27450" +st "clk_4x_logic_i" +blo "23000,27250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 52,0 +va (VaSet +font "courier,8,0" +) +xt "2000,7400,37000,8300" +st "clk_4x_logic_i : IN std_logic ; --! Rising edge active" +) +thePort (LogicalPort +decl (Decl +n "clk_4x_logic_i" +t "std_logic" +eolc "--! Rising edge active" +preAdd 0 +posAdd 0 +o 1 +suid 1,0 +) +) +) +*73 (CptPort +uid 53,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 54,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "21250,28625,22000,29375" +) +tg (CPTG +uid 55,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 56,0 +va (VaSet +font "courier,8,0" +) +xt "23000,28550,32000,29450" +st "strobe_4x_logic_i" +blo "23000,29250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 57,0 +va (VaSet +font "courier,8,0" +) +xt "2000,8300,51500,9200" +st "strobe_4x_logic_i : IN std_logic ; --! Pulses high once every 4 cycles of clk_4x_logic" +) +thePort (LogicalPort +decl (Decl +n "strobe_4x_logic_i" +t "std_logic" +eolc "--! Pulses high once every 4 cycles of clk_4x_logic" +preAdd 0 +posAdd 0 +o 2 +suid 2,0 +) +) +) +*74 (CptPort +uid 58,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 59,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "21250,30625,22000,31375" +) +tg (CPTG +uid 60,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 61,0 +va (VaSet +font "courier,8,0" +) +xt "23000,30550,33000,31450" +st "deserialized_data_i" +blo "23000,31250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 62,0 +va (VaSet +font "courier,8,0" +) +xt "2000,9200,115500,10100" +st "deserialized_data_i : IN std_logic_vector (8 DOWNTO 0) ; -- Output from the two 4-bit deserializers, concatenated with most recent bit of previous clock cycle. Clocked by clk_4x_logic_i . bit-8 is the most recent data" +) +thePort (LogicalPort +decl (Decl +n "deserialized_data_i" +t "std_logic_vector" +b "(8 DOWNTO 0)" +eolc "-- Output from the two 4-bit deserializers, concatenated with most recent bit of previous clock cycle. Clocked by clk_4x_logic_i . bit-8 is the most recent data" +preAdd 0 +posAdd 0 +o 3 +suid 3,0 +) +) +) +*75 (CptPort +uid 63,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 64,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,26625,48750,27375" +) +tg (CPTG +uid 65,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 66,0 +va (VaSet +font "courier,8,0" +) +xt "34500,26550,47000,27450" +st "first_rising_edge_time_o" +ju 2 +blo "47000,27250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 67,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10100,89000,11000" +st "first_rising_edge_time_o : OUT std_logic_vector (g_NUM_FINE_BITS+g_NUM_COARSE_BITS-1 downto 0) ; -- Position of rising edge w.r.t. 40MHz strobe. Clocked by clk_4x_logic_i" +) +thePort (LogicalPort +m 1 +decl (Decl +n "first_rising_edge_time_o" +t "std_logic_vector" +b "(g_NUM_FINE_BITS+g_NUM_COARSE_BITS-1 downto 0)" +eolc "-- Position of rising edge w.r.t. 40MHz strobe. Clocked by clk_4x_logic_i" +preAdd 0 +posAdd 0 +o 4 +suid 4,0 +) +) +) +*76 (CptPort +uid 68,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 69,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,28625,48750,29375" +) +tg (CPTG +uid 70,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 71,0 +va (VaSet +font "courier,8,0" +) +xt "40000,28550,47000,29450" +st "rising_edge_o" +ju 2 +blo "47000,29250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 72,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11900,64500,12800" +st "rising_edge_o : OUT std_logic ; -- goes high if there is a rising edge in the data. Clocked by clk_4x_logic_i" +) +thePort (LogicalPort +m 1 +decl (Decl +n "rising_edge_o" +t "std_logic" +eolc "-- goes high if there is a rising edge in the data. Clocked by clk_4x_logic_i" +preAdd 0 +posAdd 0 +o 6 +suid 5,0 +) +) +) +*77 (CptPort +uid 73,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 74,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,30625,48750,31375" +) +tg (CPTG +uid 75,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 76,0 +va (VaSet +font "courier,8,0" +) +xt "39500,30550,47000,31450" +st "falling_edge_o" +ju 2 +blo "47000,31250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 77,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12800,64500,13700" +st "falling_edge_o : OUT std_logic ; -- goes high if there is a falling edge in the data.Clocked by clk_4x_logic_i" +) +thePort (LogicalPort +m 1 +decl (Decl +n "falling_edge_o" +t "std_logic" +eolc "-- goes high if there is a falling edge in the data.Clocked by clk_4x_logic_i" +preAdd 0 +posAdd 0 +o 7 +suid 6,0 +) +) +) +*78 (CptPort +uid 179,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 180,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,31625,48750,32375" +) +tg (CPTG +uid 181,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 182,0 +va (VaSet +font "courier,8,0" +) +xt "38500,31550,47000,32450" +st "multiple_edges_o" +ju 2 +blo "47000,32250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 183,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13700,55000,14600" +st "multiple_edges_o : OUT std_logic -- there is more than one rising or falling edge transition." +) +thePort (LogicalPort +m 1 +decl (Decl +n "multiple_edges_o" +t "std_logic" +eolc "there is more than one rising or falling edge transition." +o 8 +suid 7,0 +) +) +) +*79 (CptPort +uid 209,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 210,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,32625,48750,33375" +) +tg (CPTG +uid 211,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 212,0 +va (VaSet +font "courier,8,0" +) +xt "34500,32550,47000,33450" +st "last_falling_edge_time_o" +ju 2 +blo "47000,33250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 213,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11000,89000,11900" +st "last_falling_edge_time_o : OUT std_logic_vector (g_NUM_FINE_BITS+g_NUM_COARSE_BITS-1 downto 0) ; -- Position of rising edge w.r.t. 40MHz strobe. Clocked by clk_4x_logic_i" +) +thePort (LogicalPort +m 1 +decl (Decl +n "last_falling_edge_time_o" +t "std_logic_vector" +b "(g_NUM_FINE_BITS+g_NUM_COARSE_BITS-1 downto 0)" +eolc "-- Position of rising edge w.r.t. 40MHz strobe. Clocked by clk_4x_logic_i" +preAdd 0 +posAdd 0 +o 5 +suid 8,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "22000,25000,48000,35000" +) +oxt "89000,5000,111000,15000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "30750,29100,32750,30000" +st "work" +blo "30750,29800" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "30750,30000,38250,30900" +st "arrivalTimeLUT" +blo "30750,30700" +) +) +gi *80 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "21500,20400,37000,24000" +st "Generic Declarations + +g_NUM_FINE_BITS positive 3 +g_NUM_COARSE_BITS positive 2 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "g_NUM_FINE_BITS" +type "positive" +value "3" +) +(GiElement +name "g_NUM_COARSE_BITS" +type "positive" +value "2" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sTC 0 +) +) +*81 (Grouping +uid 16,0 +optionalChildren [ +*82 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,50000,49000,51000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "32200,50050,44200,50950" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*83 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "49000,46000,53000,47000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "49200,46050,53200,46950" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*84 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,49000,49000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "32200,48050,45700,48950" +st " +<enter diagram title here> +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*85 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "28000,48000,32000,49000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "28200,48050,31200,48950" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*86 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "49000,47000,69000,51000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "49200,47200,60200,48100" +st " +<enter comments here> +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*87 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,46000,69000,47000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "53200,46050,57200,46950" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*88 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "28000,46000,49000,48000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "34000,46500,43000,47500" +st " +<company name> +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*89 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "28000,49000,32000,50000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "28200,49050,30700,49950" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*90 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "28000,50000,32000,51000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "28200,50050,31700,50950" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*91 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,49000,49000,50000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "32200,49050,45700,49950" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "28000,46000,69000,51000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *92 (PackageList +uid 94,0 +stg "VerticalLayoutStrategy" +textVec [ +*93 (Text +uid 95,0 +va (VaSet +font "courier,8,1" +) +xt "0,1000,6500,1900" +st "Package List" +blo "0,1700" +) +*94 (MLText +uid 96,0 +va (VaSet +font "courier,8,0" +) +xt "0,1900,14500,4600" +st "LIBRARY ieee; +USE ieee.std_logic_1164.all; +USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "615,52,1630,742" +viewArea "-1200,-200,61368,40801" +cachedDiagramExtent "0,1000,115500,51000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,4000,1900" +st "Panel0" +blo "1000,1700" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,39000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "24750,15100,29250,16000" +st "<library>" +blo "24750,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "24750,16000,27750,16900" +st "<cell>" +blo "24750,16700" +) +) +gi *95 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *96 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,5600,6500,6500" +st "Declarations" +blo "0,6300" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,6500,3000,7400" +st "Ports:" +blo "0,7200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,14600,2500,15500" +st "User:" +blo "0,15300" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,5600,7500,6500" +st "Internal User:" +blo "0,6300" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,15500,2000,15500" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,5600,0,5600" +tm "SyDeclarativeTextMgr" +) +) +lastUid 236,0 +activeModelName "Symbol:CDM" +) diff --git a/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/clocks_s6_extphy/rtl.bd b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/clocks_s6_extphy/rtl.bd new file mode 100644 index 00000000..9104dd30 --- /dev/null +++ b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/clocks_s6_extphy/rtl.bd @@ -0,0 +1,5669 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dmPackageRefs [ +] +instances [ +(Instance +name "ibufgds0" +duLibraryName "UNISIM" +duName "IBUFGDS" +elements [ +] +mwi 0 +uid 167,0 +) +(Instance +name "bufg_125" +duLibraryName "UNISIM" +duName "BUFG" +elements [ +] +mwi 0 +uid 215,0 +) +(Instance +name "bufg_ipb" +duLibraryName "UNISIM" +duName "BUFG" +elements [ +] +mwi 0 +uid 245,0 +) +(Instance +name "dcm0" +duLibraryName "fmc_mTLU_lib" +duName "DCM_CLKGEN" +elements [ +] +mwi 0 +uid 295,0 +) +(Instance +name "clkdiv" +duLibraryName "fmc_mTLU_lib" +duName "clock_divider_s6" +elements [ +] +mwi 0 +uid 366,0 +) +(Instance +name "dcm1" +duLibraryName "fmc_mTLU_lib" +duName "DCM_SP" +elements [ +] +mwi 0 +uid 414,0 +) +] +embeddedInstances [ +(EmbeddedInstance +name "eb1" +number "1" +) +(EmbeddedInstance +name "eb2" +number "2" +) +(EmbeddedInstance +name "eb3" +number "3" +) +(EmbeddedInstance +name "eb4" +number "4" +) +(EmbeddedInstance +name "eb5" +number "5" +) +(EmbeddedInstance +name "eb6" +number "6" +) +] +properties [ +(HdrProperty +class "HDS" +name "DocView" +value "clocks_s6_extphy.vhd" +) +(HdrProperty +class "HDS" +name "DocViewState" +value "1352807118" +) +] +) +version "30.1" +appVersion "2010.3 (Build 21)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl" +) +(vvPair +variable "HDSDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/clocks_s6_extphy/rtl.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/clocks_s6_extphy/rtl.bd.user" +) +(vvPair +variable "SourceDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "rtl" +) +(vvPair +variable "config" +value "%(unit)_config" +) +(vvPair +variable "d" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/clocks_s6_extphy" +) +(vvPair +variable "d_logical" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/clocks_s6_extphy" +) +(vvPair +variable "date" +value "11/13/12" +) +(vvPair +variable "day" +value "Tue" +) +(vvPair +variable "day_long" +value "Tuesday" +) +(vvPair +variable "dd" +value "13" +) +(vvPair +variable "entity_name" +value "clocks_s6_extphy" +) +(vvPair +variable "ext" +value "<TBD>" +) +(vvPair +variable "f" +value "rtl.bd" +) +(vvPair +variable "f_logical" +value "rtl.bd" +) +(vvPair +variable "f_noext" +value "rtl" +) +(vvPair +variable "group" +value "HEP_Instrumentation" +) +(vvPair +variable "host" +value "kipper.phy.bris.ac.uk" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "fmc_mTLU_lib" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/designcheck" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "clocks_s6_extphy" +) +(vvPair +variable "month" +value "Nov" +) +(vvPair +variable "month_long" +value "November" +) +(vvPair +variable "p" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/clocks_s6_extphy/rtl.bd" +) +(vvPair +variable "p_logical" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/clocks_s6_extphy/rtl.bd" +) +(vvPair +variable "package_name" +value "<Undefined Variable>" +) +(vvPair +variable "project_name" +value "fmc_mTLU" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "<TBD>" +) +(vvPair +variable "task_DesignCompilerPath" +value "<TBD>" +) +(vvPair +variable "task_LeonardoPath" +value "/opt/CAD/Mentor/LeonardoSpectrum_2008a/bin" +) +(vvPair +variable "task_ModelSimPath" +value "/opt/CAD/Mentor/Modelsim/6.4a/modeltech/bin" +) +(vvPair +variable "task_NC-SimPath" +value "<TBD>" +) +(vvPair +variable "task_PrecisionRTLPath" +value "/opt/CAD/Mentor/Precis_Synth_2009a_update2/Mgc_home/bin" +) +(vvPair +variable "task_QuestaSimPath" +value "/opt/CAD/Mentor/HDS2008.1b/questasim/bin" +) +(vvPair +variable "task_VCSPath" +value "<TBD>" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "rtl" +) +(vvPair +variable "this_file_logical" +value "rtl" +) +(vvPair +variable "time" +value "16:59:31" +) +(vvPair +variable "unit" +value "clocks_s6_extphy" +) +(vvPair +variable "user" +value "phdgc" +) +(vvPair +variable "version" +value "2010.3 (Build 21)" +) +(vvPair +variable "view" +value "rtl" +) +(vvPair +variable "year" +value "2012" +) +(vvPair +variable "yy" +value "12" +) +] +) +LanguageMgr "VhdlLangMgr" +optionalChildren [ +*1 (Net +uid 9,0 +decl (Decl +n "clk_ipb_i" +t "std_logic" +o 1 +suid 1,0 +) +declText (MLText +uid 10,0 +va (VaSet +font "courier,8,0" +) +xt "80000,98500,95000,99400" +st "SIGNAL clk_ipb_i : std_logic +" +) +) +*2 (Net +uid 11,0 +decl (Decl +n "clk_ipb_b" +t "std_logic" +o 2 +suid 2,0 +) +declText (MLText +uid 12,0 +va (VaSet +font "courier,8,0" +) +xt "80000,99400,95000,100300" +st "SIGNAL clk_ipb_b : std_logic +" +) +) +*3 (Net +uid 13,0 +decl (Decl +n "clk_125_i" +t "std_logic" +o 3 +suid 3,0 +) +declText (MLText +uid 14,0 +va (VaSet +font "courier,8,0" +) +xt "80000,100300,95000,101200" +st "SIGNAL clk_125_i : std_logic +" +) +) +*4 (Net +uid 15,0 +decl (Decl +n "clk_125_b" +t "std_logic" +o 4 +suid 4,0 +) +declText (MLText +uid 16,0 +va (VaSet +font "courier,8,0" +) +xt "80000,101200,95000,102100" +st "SIGNAL clk_125_b : std_logic +" +) +) +*5 (Net +uid 17,0 +decl (Decl +n "sysclk" +t "std_logic" +o 5 +suid 5,0 +) +declText (MLText +uid 18,0 +va (VaSet +font "courier,8,0" +) +xt "80000,102100,95000,103000" +st "SIGNAL sysclk : std_logic +" +) +) +*6 (Net +uid 19,0 +decl (Decl +n "d25" +t "std_logic" +o 6 +suid 6,0 +) +declText (MLText +uid 20,0 +va (VaSet +font "courier,8,0" +) +xt "80000,103000,95000,103900" +st "SIGNAL d25 : std_logic +" +) +) +*7 (Net +uid 21,0 +decl (Decl +n "d25_d" +t "std_logic" +o 7 +suid 7,0 +) +declText (MLText +uid 22,0 +va (VaSet +font "courier,8,0" +) +xt "80000,103900,95000,104800" +st "SIGNAL d25_d : std_logic +" +) +) +*8 (Net +uid 23,0 +decl (Decl +n "dcm_locked" +t "std_logic" +o 8 +suid 8,0 +) +declText (MLText +uid 24,0 +va (VaSet +font "courier,8,0" +) +xt "80000,104800,95000,105700" +st "SIGNAL dcm_locked : std_logic +" +) +) +*9 (Net +uid 25,0 +decl (Decl +n "rst" +t "std_logic" +o 9 +suid 9,0 +i "'1'" +) +declText (MLText +uid 26,0 +va (VaSet +font "courier,8,0" +) +xt "80000,105700,98700,106600" +st "SIGNAL rst : std_logic := '1' +" +) +) +*10 (PortIoIn +uid 29,0 +shape (CompositeShape +uid 30,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 31,0 +sl 0 +ro 270 +xt "56000,55625,57500,56375" +) +(Line +uid 32,0 +sl 0 +ro 270 +xt "57500,56000,58000,56000" +pts [ +"57500,56000" +"58000,56000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 33,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 34,0 +va (VaSet +font "courier,8,0" +) +xt "51000,55500,55000,56400" +st "sysclk_p" +ju 2 +blo "55000,56200" +tm "WireNameMgr" +) +) +) +*11 (Net +uid 35,0 +decl (Decl +n "sysclk_p" +t "std_logic" +o 10 +suid 11,0 +) +declText (MLText +uid 36,0 +va (VaSet +font "courier,8,0" +) +xt "80000,86800,91500,87700" +st "sysclk_p : std_logic +" +) +) +*12 (PortIoIn +uid 43,0 +shape (CompositeShape +uid 44,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 45,0 +sl 0 +ro 270 +xt "56000,56625,57500,57375" +) +(Line +uid 46,0 +sl 0 +ro 270 +xt "57500,57000,58000,57000" +pts [ +"57500,57000" +"58000,57000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 47,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 48,0 +va (VaSet +font "courier,8,0" +) +xt "51000,56500,55000,57400" +st "sysclk_n" +ju 2 +blo "55000,57200" +tm "WireNameMgr" +) +) +) +*13 (Net +uid 49,0 +decl (Decl +n "sysclk_n" +t "std_logic" +o 11 +suid 12,0 +) +declText (MLText +uid 50,0 +va (VaSet +font "courier,8,0" +) +xt "80000,87700,91500,88600" +st "sysclk_n : std_logic +" +) +) +*14 (PortIoOut +uid 57,0 +shape (CompositeShape +uid 58,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 59,0 +sl 0 +ro 270 +xt "121500,77625,123000,78375" +) +(Line +uid 60,0 +sl 0 +ro 270 +xt "121000,78000,121500,78000" +pts [ +"121000,78000" +"121500,78000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 61,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 62,0 +va (VaSet +font "courier,8,0" +) +xt "124000,77500,128000,78400" +st "clko_125" +blo "124000,78200" +tm "WireNameMgr" +) +) +) +*15 (Net +uid 63,0 +decl (Decl +n "clko_125" +t "std_logic" +o 12 +suid 13,0 +) +declText (MLText +uid 64,0 +va (VaSet +font "courier,8,0" +) +xt "80000,88600,91500,89500" +st "clko_125 : std_logic +" +) +) +*16 (PortIoOut +uid 71,0 +shape (CompositeShape +uid 72,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 73,0 +sl 0 +ro 270 +xt "121500,59625,123000,60375" +) +(Line +uid 74,0 +sl 0 +ro 270 +xt "121000,60000,121500,60000" +pts [ +"121000,60000" +"121500,60000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 75,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 76,0 +va (VaSet +font "courier,8,0" +) +xt "124000,59500,128000,60400" +st "clko_ipb" +blo "124000,60200" +tm "WireNameMgr" +) +) +) +*17 (Net +uid 77,0 +decl (Decl +n "clko_ipb" +t "std_logic" +o 13 +suid 14,0 +) +declText (MLText +uid 78,0 +va (VaSet +font "courier,8,0" +) +xt "80000,89500,91500,90400" +st "clko_ipb : std_logic +" +) +) +*18 (PortIoOut +uid 85,0 +shape (CompositeShape +uid 86,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 87,0 +sl 0 +ro 270 +xt "87500,68625,89000,69375" +) +(Line +uid 88,0 +sl 0 +ro 270 +xt "87000,69000,87500,69000" +pts [ +"87000,69000" +"87500,69000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 89,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 90,0 +va (VaSet +font "courier,8,0" +) +xt "90000,68500,94500,69400" +st "clko_fast" +blo "90000,69200" +tm "WireNameMgr" +) +) +) +*19 (Net +uid 91,0 +decl (Decl +n "clko_fast" +t "std_logic" +o 14 +suid 15,0 +) +declText (MLText +uid 92,0 +va (VaSet +font "courier,8,0" +) +xt "80000,90400,91500,91300" +st "clko_fast : std_logic +" +) +) +*20 (PortIoOut +uid 99,0 +shape (CompositeShape +uid 100,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 101,0 +sl 0 +ro 270 +xt "105500,77625,107000,78375" +) +(Line +uid 102,0 +sl 0 +ro 270 +xt "105000,78000,105500,78000" +pts [ +"105000,78000" +"105500,78000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 103,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 104,0 +va (VaSet +font "courier,8,0" +) +xt "108000,77500,111000,78400" +st "locked" +blo "108000,78200" +tm "WireNameMgr" +) +) +) +*21 (Net +uid 105,0 +decl (Decl +n "locked" +t "std_logic" +o 15 +suid 16,0 +) +declText (MLText +uid 106,0 +va (VaSet +font "courier,8,0" +) +xt "80000,91300,91500,92200" +st "locked : std_logic +" +) +) +*22 (PortIoOut +uid 113,0 +shape (CompositeShape +uid 114,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 115,0 +sl 0 +ro 270 +xt "121500,68625,123000,69375" +) +(Line +uid 116,0 +sl 0 +ro 270 +xt "121000,69000,121500,69000" +pts [ +"121000,69000" +"121500,69000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 117,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 118,0 +va (VaSet +font "courier,8,0" +) +xt "124000,68500,128000,69400" +st "rsto_125" +blo "124000,69200" +tm "WireNameMgr" +) +) +) +*23 (Net +uid 119,0 +decl (Decl +n "rsto_125" +t "std_logic" +o 16 +suid 17,0 +) +declText (MLText +uid 120,0 +va (VaSet +font "courier,8,0" +) +xt "80000,92200,91500,93100" +st "rsto_125 : std_logic +" +) +) +*24 (PortIoOut +uid 127,0 +shape (CompositeShape +uid 128,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 129,0 +sl 0 +ro 270 +xt "121500,50625,123000,51375" +) +(Line +uid 130,0 +sl 0 +ro 270 +xt "121000,51000,121500,51000" +pts [ +"121000,51000" +"121500,51000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 131,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 132,0 +va (VaSet +font "courier,8,0" +) +xt "124000,50500,128000,51400" +st "rsto_ipb" +blo "124000,51200" +tm "WireNameMgr" +) +) +) +*25 (Net +uid 133,0 +decl (Decl +n "rsto_ipb" +t "std_logic" +o 17 +suid 18,0 +) +declText (MLText +uid 134,0 +va (VaSet +font "courier,8,0" +) +xt "80000,93100,91500,94000" +st "rsto_ipb : std_logic +" +) +) +*26 (PortIoOut +uid 141,0 +shape (CompositeShape +uid 142,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 143,0 +sl 0 +ro 270 +xt "86500,50625,88000,51375" +) +(Line +uid 144,0 +sl 0 +ro 270 +xt "86000,51000,86500,51000" +pts [ +"86000,51000" +"86500,51000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 145,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 146,0 +va (VaSet +font "courier,8,0" +) +xt "89000,50500,91500,51400" +st "onehz" +blo "89000,51200" +tm "WireNameMgr" +) +) +) +*27 (Net +uid 147,0 +decl (Decl +n "onehz" +t "std_logic" +o 18 +suid 19,0 +) +declText (MLText +uid 148,0 +va (VaSet +font "courier,8,0" +) +xt "80000,94000,91500,94900" +st "onehz : std_logic +" +) +) +*28 (SaComponent +uid 167,0 +optionalChildren [ +*29 (CptPort +uid 177,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 178,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "59250,55625,60000,56375" +) +tg (CPTG +uid 179,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 180,0 +va (VaSet +font "courier,8,0" +) +xt "61000,55550,61500,56450" +st "i" +blo "61000,56250" +) +) +thePort (LogicalPort +decl (Decl +n "i" +t "bit" +preAdd 0 +posAdd 0 +o 1 +) +) +) +*30 (CptPort +uid 181,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 182,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "59250,56625,60000,57375" +) +tg (CPTG +uid 183,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 184,0 +va (VaSet +font "courier,8,0" +) +xt "61000,56550,62000,57450" +st "ib" +blo "61000,57250" +) +) +thePort (LogicalPort +decl (Decl +n "ib" +t "bit" +preAdd 0 +posAdd 0 +o 2 +) +) +) +*31 (CptPort +uid 185,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 186,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "64000,55625,64750,56375" +) +tg (CPTG +uid 187,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 188,0 +va (VaSet +font "courier,8,0" +) +xt "62500,55550,63000,56450" +st "o" +ju 2 +blo "63000,56250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "o" +t "bit" +preAdd 0 +posAdd 0 +o 3 +) +) +) +] +shape (Rectangle +uid 168,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "60000,55000,64000,58000" +) +ttg (MlTextGroup +uid 169,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*32 (Text +uid 170,0 +va (VaSet +font "courier,8,1" +) +xt "60000,58000,63000,58900" +st "UNISIM" +blo "60000,58700" +tm "BdLibraryNameMgr" +) +*33 (Text +uid 171,0 +va (VaSet +font "courier,8,1" +) +xt "60000,58900,63500,59800" +st "IBUFGDS" +blo "60000,59600" +tm "CptNameMgr" +) +*34 (Text +uid 172,0 +va (VaSet +font "courier,8,1" +) +xt "60000,59800,64000,60700" +st "ibufgds0" +blo "60000,60500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 173,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 174,0 +text (MLText +uid 175,0 +va (VaSet +font "courier,8,0" +) +xt "61000,55000,61000,55000" +) +header "" +) +elements [ +] +includeGenericsInHDL 0 +) +viewicon (ZoomableIcon +uid 176,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "60250,56250,61750,57750" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*35 (SaComponent +uid 215,0 +optionalChildren [ +*36 (CptPort +uid 225,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 226,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "100250,69625,101000,70375" +) +tg (CPTG +uid 227,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 228,0 +va (VaSet +font "courier,8,0" +) +xt "102000,69550,102500,70450" +st "i" +blo "102000,70250" +) +) +thePort (LogicalPort +decl (Decl +n "i" +t "bit" +preAdd 0 +posAdd 0 +o 1 +) +) +) +*37 (CptPort +uid 229,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 230,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "104000,69625,104750,70375" +) +tg (CPTG +uid 231,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 232,0 +va (VaSet +font "courier,8,0" +) +xt "102500,69550,103000,70450" +st "o" +ju 2 +blo "103000,70250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "o" +t "bit" +preAdd 0 +posAdd 0 +o 2 +) +) +) +] +shape (Rectangle +uid 216,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "101000,68000,104000,71000" +) +ttg (MlTextGroup +uid 217,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*38 (Text +uid 218,0 +va (VaSet +font "courier,8,1" +) +xt "100500,71000,103500,71900" +st "UNISIM" +blo "100500,71700" +tm "BdLibraryNameMgr" +) +*39 (Text +uid 219,0 +va (VaSet +font "courier,8,1" +) +xt "100500,71900,102500,72800" +st "BUFG" +blo "100500,72600" +tm "CptNameMgr" +) +*40 (Text +uid 220,0 +va (VaSet +font "courier,8,1" +) +xt "100500,72800,104500,73700" +st "bufg_125" +blo "100500,73500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 221,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 222,0 +text (MLText +uid 223,0 +va (VaSet +font "courier,8,0" +) +xt "102000,68000,102000,68000" +) +header "" +) +elements [ +] +includeGenericsInHDL 0 +) +viewicon (ZoomableIcon +uid 224,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "101250,69250,102750,70750" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*41 (SaComponent +uid 245,0 +optionalChildren [ +*42 (CptPort +uid 255,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 256,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "100250,59625,101000,60375" +) +tg (CPTG +uid 257,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 258,0 +va (VaSet +font "courier,8,0" +) +xt "102000,59550,102500,60450" +st "i" +blo "102000,60250" +) +) +thePort (LogicalPort +decl (Decl +n "i" +t "bit" +preAdd 0 +posAdd 0 +o 1 +) +) +) +*43 (CptPort +uid 259,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 260,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "104000,59625,104750,60375" +) +tg (CPTG +uid 261,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 262,0 +va (VaSet +font "courier,8,0" +) +xt "102500,59550,103000,60450" +st "o" +ju 2 +blo "103000,60250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "o" +t "bit" +preAdd 0 +posAdd 0 +o 2 +) +) +) +] +shape (Rectangle +uid 246,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "101000,59000,104000,62000" +) +ttg (MlTextGroup +uid 247,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*44 (Text +uid 248,0 +va (VaSet +font "courier,8,1" +) +xt "100500,62000,103500,62900" +st "UNISIM" +blo "100500,62700" +tm "BdLibraryNameMgr" +) +*45 (Text +uid 249,0 +va (VaSet +font "courier,8,1" +) +xt "100500,62900,102500,63800" +st "BUFG" +blo "100500,63600" +tm "CptNameMgr" +) +*46 (Text +uid 250,0 +va (VaSet +font "courier,8,1" +) +xt "100500,63800,104500,64700" +st "bufg_ipb" +blo "100500,64500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 251,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 252,0 +text (MLText +uid 253,0 +va (VaSet +font "courier,8,0" +) +xt "102000,59000,102000,59000" +) +header "" +) +elements [ +] +includeGenericsInHDL 0 +) +viewicon (ZoomableIcon +uid 254,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "101250,60250,102750,61750" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*47 (SaComponent +uid 295,0 +optionalChildren [ +*48 (CptPort +uid 305,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 306,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "85000,60625,85750,61375" +) +tg (CPTG +uid 307,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 308,0 +va (VaSet +font "courier,8,0" +) +xt "81500,60550,84000,61450" +st "clkfx" +ju 2 +blo "84000,61250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "clkfx" +t "bit" +preAdd 0 +posAdd 0 +o 1 +) +) +) +*49 (CptPort +uid 309,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 310,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "85000,57625,85750,58375" +) +tg (CPTG +uid 311,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 312,0 +va (VaSet +font "courier,8,0" +) +xt "80500,57550,84000,58450" +st "clkfxdv" +ju 2 +blo "84000,58250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "clkfxdv" +t "bit" +preAdd 0 +posAdd 0 +o 2 +) +) +) +*50 (CptPort +uid 313,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 314,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "76250,57625,77000,58375" +) +tg (CPTG +uid 315,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 316,0 +va (VaSet +font "courier,8,0" +) +xt "78000,57550,80500,58450" +st "clkin" +blo "78000,58250" +) +) +thePort (LogicalPort +decl (Decl +n "clkin" +t "bit" +preAdd 0 +posAdd 0 +o 3 +) +) +) +*51 (CptPort +uid 317,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 318,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "85000,59625,85750,60375" +) +tg (CPTG +uid 319,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 320,0 +va (VaSet +font "courier,8,0" +) +xt "81000,59550,84000,60450" +st "locked" +ju 2 +blo "84000,60250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "locked" +t "bit" +preAdd 0 +posAdd 0 +o 4 +) +) +) +*52 (CptPort +uid 321,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 322,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "85000,58625,85750,59375" +) +tg (CPTG +uid 323,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 324,0 +va (VaSet +font "courier,8,0" +) +xt "82500,58550,84000,59450" +st "rst" +ju 2 +blo "84000,59250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "rst" +t "bit" +preAdd 0 +posAdd 0 +o 5 +) +) +) +*53 (PortMapFrame +uid 325,0 +ps "PortMapFrameStrategy" +shape (RectFrame +uid 326,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "75000,55000,87000,64000" +) +portMapText (BiTextGroup +uid 327,0 +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +uid 328,0 +va (VaSet +font "courier,8,0" +) +xt "87000,64000,98000,67600" +st "clkin => sysclk, +clkfx => clk_125_i, +clkfxdv => clk_ipb_i, +locked => dcm_locked," +) +second (MLText +uid 329,0 +va (VaSet +font "courier,8,0" +) +xt "87000,67600,92700,68500" +st "rst => '0'" +tm "PortMapTextMgr" +) +) +) +] +shape (Rectangle +uid 296,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "77000,57000,85000,62000" +) +ttg (MlTextGroup +uid 297,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*54 (Text +uid 298,0 +va (VaSet +font "courier,8,1" +) +xt "77750,62000,84250,62900" +st "fmc_mTLU_lib" +blo "77750,62700" +tm "BdLibraryNameMgr" +) +*55 (Text +uid 299,0 +va (VaSet +font "courier,8,1" +) +xt "77750,62900,83250,63800" +st "DCM_CLKGEN" +blo "77750,63600" +tm "CptNameMgr" +) +*56 (Text +uid 300,0 +va (VaSet +font "courier,8,1" +) +xt "77750,63800,79750,64700" +st "dcm0" +blo "77750,64500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 301,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 302,0 +text (MLText +uid 303,0 +va (VaSet +font "courier,8,0" +) +xt "76750,57000,76750,57000" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +uid 304,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "77250,60250,78750,61750" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*57 (SaComponent +uid 366,0 +optionalChildren [ +*58 (CptPort +uid 376,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 377,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "78250,50625,79000,51375" +) +tg (CPTG +uid 378,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 379,0 +va (VaSet +font "courier,8,0" +) +xt "80000,50550,81500,51450" +st "clk" +blo "80000,51250" +) +) +thePort (LogicalPort +decl (Decl +n "clk" +t "std_logic" +preAdd 0 +posAdd 0 +o 1 +) +) +) +*59 (CptPort +uid 380,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 381,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "84000,51625,84750,52375" +) +tg (CPTG +uid 382,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 383,0 +va (VaSet +font "courier,8,0" +) +xt "81500,51550,83000,52450" +st "d25" +ju 2 +blo "83000,52250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "d25" +t "std_logic" +preAdd 0 +posAdd 0 +o 2 +) +) +) +*60 (CptPort +uid 384,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 385,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "84000,50625,84750,51375" +) +tg (CPTG +uid 386,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 387,0 +va (VaSet +font "courier,8,0" +) +xt "81500,50550,83000,51450" +st "d28" +ju 2 +blo "83000,51250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "d28" +t "std_logic" +preAdd 0 +posAdd 0 +o 3 +) +) +) +] +shape (Rectangle +uid 367,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "79000,50000,84000,53000" +) +ttg (MlTextGroup +uid 368,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*61 (Text +uid 369,0 +va (VaSet +font "courier,8,1" +) +xt "77250,53000,83750,53900" +st "fmc_mTLU_lib" +blo "77250,53700" +tm "BdLibraryNameMgr" +) +*62 (Text +uid 370,0 +va (VaSet +font "courier,8,1" +) +xt "77250,53900,85750,54800" +st "clock_divider_s6" +blo "77250,54600" +tm "CptNameMgr" +) +*63 (Text +uid 371,0 +va (VaSet +font "courier,8,1" +) +xt "77250,54800,80250,55700" +st "clkdiv" +blo "77250,55500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 372,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 373,0 +text (MLText +uid 374,0 +va (VaSet +font "courier,8,0" +) +xt "77750,50000,77750,50000" +) +header "" +) +elements [ +] +includeGenericsInHDL 0 +) +viewicon (ZoomableIcon +uid 375,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "79250,51250,80750,52750" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*64 (SaComponent +uid 414,0 +optionalChildren [ +*65 (CptPort +uid 424,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 425,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "85000,68625,85750,69375" +) +tg (CPTG +uid 426,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 427,0 +va (VaSet +font "courier,8,0" +) +xt "82000,68550,84000,69450" +st "CLK0" +ju 2 +blo "84000,69250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "CLK0" +t "bit" +preAdd 0 +posAdd 0 +o 1 +) +) +) +*66 (CptPort +uid 428,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 429,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77250,68625,78000,69375" +) +tg (CPTG +uid 430,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 431,0 +va (VaSet +font "courier,8,0" +) +xt "79000,68550,81500,69450" +st "CLKIN" +blo "79000,69250" +) +) +thePort (LogicalPort +decl (Decl +n "CLKIN" +t "bit" +preAdd 0 +posAdd 0 +o 2 +) +) +) +] +shape (Rectangle +uid 415,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "78000,68000,85000,71000" +) +ttg (MlTextGroup +uid 416,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*67 (Text +uid 417,0 +va (VaSet +font "courier,8,1" +) +xt "78250,71000,84750,71900" +st "fmc_mTLU_lib" +blo "78250,71700" +tm "BdLibraryNameMgr" +) +*68 (Text +uid 418,0 +va (VaSet +font "courier,8,1" +) +xt "78250,71900,81250,72800" +st "DCM_SP" +blo "78250,72600" +tm "CptNameMgr" +) +*69 (Text +uid 419,0 +va (VaSet +font "courier,8,1" +) +xt "78250,72800,80250,73700" +st "dcm1" +blo "78250,73500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 420,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 421,0 +text (MLText +uid 422,0 +va (VaSet +font "courier,8,0" +) +xt "77750,68000,77750,68000" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +uid 423,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "78250,69250,79750,70750" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*70 (HdlText +uid 444,0 +optionalChildren [ +*71 (EmbeddedText +uid 450,0 +commentText (CommentText +uid 451,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 452,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "125000,77000,143000,82000" +) +text (MLText +uid 453,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "125200,77200,136700,78100" +st " +clko_125 <= clk_125_b; + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +] +shape (Rectangle +uid 445,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "117000,77000,120000,80000" +) +ttg (MlTextGroup +uid 446,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*72 (Text +uid 447,0 +va (VaSet +font "courier,8,1" +) +xt "117750,77600,119250,78500" +st "eb1" +blo "117750,78300" +tm "HdlTextNameMgr" +) +*73 (Text +uid 448,0 +va (VaSet +font "courier,8,1" +) +xt "117750,78500,118250,79400" +st "1" +blo "117750,79200" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 449,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "117250,78250,118750,79750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*74 (HdlText +uid 470,0 +optionalChildren [ +*75 (EmbeddedText +uid 476,0 +commentText (CommentText +uid 477,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 478,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "125000,59000,143000,64000" +) +text (MLText +uid 479,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "125200,59200,136700,60100" +st " +clko_ipb <= clk_ipb_b; + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +] +shape (Rectangle +uid 471,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "117000,59000,120000,62000" +) +ttg (MlTextGroup +uid 472,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*76 (Text +uid 473,0 +va (VaSet +font "courier,8,1" +) +xt "117750,59600,119250,60500" +st "eb2" +blo "117750,60300" +tm "HdlTextNameMgr" +) +*77 (Text +uid 474,0 +va (VaSet +font "courier,8,1" +) +xt "117750,60500,118250,61400" +st "2" +blo "117750,61200" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 475,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "117250,60250,118750,61750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*78 (HdlText +uid 496,0 +optionalChildren [ +*79 (EmbeddedText +uid 502,0 +commentText (CommentText +uid 503,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 504,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "109000,50000,127000,55000" +) +text (MLText +uid 505,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "109200,50200,126600,54700" +st " +process(sysclk) + begin + if rising_edge(sysclk) then + d25_d <= d25; + if d25='1' and d25_d='0' then + rst <= not dcm_locked; + end if; + end if; + end process; + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +] +shape (Rectangle +uid 497,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "101000,50000,104000,54000" +) +ttg (MlTextGroup +uid 498,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*80 (Text +uid 499,0 +va (VaSet +font "courier,8,1" +) +xt "101750,51100,103250,52000" +st "eb3" +blo "101750,51800" +tm "HdlTextNameMgr" +) +*81 (Text +uid 500,0 +va (VaSet +font "courier,8,1" +) +xt "101750,52000,102250,52900" +st "3" +blo "101750,52700" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 501,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "101250,52250,102750,53750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*82 (HdlText +uid 546,0 +optionalChildren [ +*83 (EmbeddedText +uid 552,0 +commentText (CommentText +uid 553,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 554,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "109000,77000,127000,82000" +) +text (MLText +uid 555,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "109200,77200,120200,78100" +st " +locked <= dcm_locked; + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +] +shape (Rectangle +uid 547,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "101000,77000,104000,80000" +) +ttg (MlTextGroup +uid 548,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*84 (Text +uid 549,0 +va (VaSet +font "courier,8,1" +) +xt "101750,77600,103250,78500" +st "eb4" +blo "101750,78300" +tm "HdlTextNameMgr" +) +*85 (Text +uid 550,0 +va (VaSet +font "courier,8,1" +) +xt "101750,78500,102250,79400" +st "4" +blo "101750,79200" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 551,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "101250,78250,102750,79750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*86 (HdlText +uid 572,0 +optionalChildren [ +*87 (EmbeddedText +uid 578,0 +commentText (CommentText +uid 579,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 580,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "125000,50000,143000,55000" +) +text (MLText +uid 581,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "125200,50200,141700,54700" +st " +process(clk_ipb_b) + begin + if rising_edge(clk_ipb_b) then + rsto_ipb <= rst; + end if; + end process; + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +] +shape (Rectangle +uid 573,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "117000,50000,120000,53000" +) +ttg (MlTextGroup +uid 574,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*88 (Text +uid 575,0 +va (VaSet +font "courier,8,1" +) +xt "117750,50600,119250,51500" +st "eb5" +blo "117750,51300" +tm "HdlTextNameMgr" +) +*89 (Text +uid 576,0 +va (VaSet +font "courier,8,1" +) +xt "117750,51500,118250,52400" +st "5" +blo "117750,52200" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 577,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "117250,51250,118750,52750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*90 (HdlText +uid 606,0 +optionalChildren [ +*91 (EmbeddedText +uid 612,0 +commentText (CommentText +uid 613,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 614,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "125000,68000,143000,73000" +) +text (MLText +uid 615,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "125200,68200,141700,72700" +st " +process(clk_125_b) + begin + if rising_edge(clk_125_b) then + rsto_125 <= rst; + end if; + end process; + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +] +shape (Rectangle +uid 607,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "117000,68000,120000,71000" +) +ttg (MlTextGroup +uid 608,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*92 (Text +uid 609,0 +va (VaSet +font "courier,8,1" +) +xt "117750,68600,119250,69500" +st "eb6" +blo "117750,69300" +tm "HdlTextNameMgr" +) +*93 (Text +uid 610,0 +va (VaSet +font "courier,8,1" +) +xt "117750,69500,118250,70400" +st "6" +blo "117750,70200" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 611,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "117250,69250,118750,70750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*94 (Property +uid 654,0 +pclass "HDS" +pname "DocView" +pvalue "clocks_s6_extphy.vhd" +ptn "String" +) +*95 (Property +uid 655,0 +pclass "HDS" +pname "DocViewState" +pvalue "1352807118" +ptn "String" +) +*96 (Wire +uid 37,0 +shape (OrthoPolyLine +uid 38,0 +va (VaSet +vasetType 3 +) +xt "58000,56000,59250,56000" +pts [ +"58000,56000" +"59250,56000" +] +) +start &10 +end &29 +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 41,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 42,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "57000,55100,61000,56000" +st "sysclk_p" +blo "57000,55800" +tm "WireNameMgr" +) +) +on &11 +) +*97 (Wire +uid 51,0 +shape (OrthoPolyLine +uid 52,0 +va (VaSet +vasetType 3 +) +xt "58000,57000,59250,57000" +pts [ +"58000,57000" +"59250,57000" +] +) +start &12 +end &30 +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 55,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 56,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "57000,56100,61000,57000" +st "sysclk_n" +blo "57000,56800" +tm "WireNameMgr" +) +) +on &13 +) +*98 (Wire +uid 201,0 +optionalChildren [ +*99 (BdJunction +uid 646,0 +ps "OnConnectorStrategy" +shape (Circle +uid 647,0 +va (VaSet +vasetType 1 +) +xt "69600,55600,70400,56400" +radius 400 +) +) +*100 (BdJunction +uid 648,0 +ps "OnConnectorStrategy" +shape (Circle +uid 649,0 +va (VaSet +vasetType 1 +) +xt "70600,55600,71400,56400" +radius 400 +) +) +*101 (BdJunction +uid 650,0 +ps "OnConnectorStrategy" +shape (Circle +uid 651,0 +va (VaSet +vasetType 1 +) +xt "71600,55600,72400,56400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 202,0 +va (VaSet +vasetType 3 +) +xt "64750,51000,101000,56000" +pts [ +"64750,56000" +"95000,56000" +"95000,51000" +"101000,51000" +] +) +start &31 +end &78 +ss 0 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 205,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 206,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "97000,50100,100000,51000" +st "sysclk" +blo "97000,50800" +tm "WireNameMgr" +) +) +on &5 +) +*102 (Wire +uid 239,0 +optionalChildren [ +*103 (BdJunction +uid 652,0 +ps "OnConnectorStrategy" +shape (Circle +uid 653,0 +va (VaSet +vasetType 1 +) +xt "108600,69600,109400,70400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 240,0 +va (VaSet +vasetType 3 +) +xt "104750,70000,117000,79000" +pts [ +"104750,70000" +"109000,70000" +"109000,79000" +"117000,79000" +] +) +start &37 +end &70 +ss 0 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 243,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 244,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "112000,78100,116500,79000" +st "clk_125_b" +blo "112000,78800" +tm "WireNameMgr" +) +) +on &4 +) +*104 (Wire +uid 269,0 +optionalChildren [ +*105 (BdJunction +uid 644,0 +ps "OnConnectorStrategy" +shape (Circle +uid 645,0 +va (VaSet +vasetType 1 +) +xt "110600,59600,111400,60400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 270,0 +va (VaSet +vasetType 3 +) +xt "104750,51000,117000,60000" +pts [ +"104750,60000" +"111000,60000" +"111000,51000" +"117000,51000" +] +) +start &43 +end &86 +ss 0 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 273,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 274,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "112000,50100,116500,51000" +st "clk_ipb_b" +blo "112000,50800" +tm "WireNameMgr" +) +) +on &2 +) +*106 (Wire +uid 330,0 +shape (OrthoPolyLine +uid 331,0 +va (VaSet +vasetType 3 +) +xt "70000,56000,76250,58000" +pts [ +"70000,56000" +"70000,58000" +"76250,58000" +] +) +start &99 +end &50 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 334,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 335,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "72000,57100,75000,58000" +st "sysclk" +blo "72000,57800" +tm "WireNameMgr" +) +) +on &5 +) +*107 (Wire +uid 336,0 +shape (OrthoPolyLine +uid 337,0 +va (VaSet +vasetType 3 +) +xt "85750,61000,100250,70000" +pts [ +"85750,61000" +"92000,61000" +"92000,70000" +"100250,70000" +] +) +start &48 +end &36 +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 340,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 341,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "87000,60100,91500,61000" +st "clk_125_i" +blo "87000,60800" +tm "WireNameMgr" +) +) +on &3 +) +*108 (Wire +uid 342,0 +shape (OrthoPolyLine +uid 343,0 +va (VaSet +vasetType 3 +) +xt "85750,58000,100250,60000" +pts [ +"85750,58000" +"92000,58000" +"92000,60000" +"100250,60000" +] +) +start &49 +end &42 +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 346,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 347,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "87000,57100,91500,58000" +st "clk_ipb_i" +blo "87000,57800" +tm "WireNameMgr" +) +) +on &1 +) +*109 (Wire +uid 348,0 +optionalChildren [ +*110 (BdJunction +uid 640,0 +ps "OnConnectorStrategy" +shape (Circle +uid 641,0 +va (VaSet +vasetType 1 +) +xt "93600,58600,94400,59400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 349,0 +va (VaSet +vasetType 3 +) +xt "85750,59000,101000,79000" +pts [ +"85750,60000" +"91000,60000" +"91000,59000" +"95000,59000" +"95000,79000" +"101000,79000" +] +) +start &51 +end &82 +ss 0 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 352,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 353,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "95000,78100,100500,79000" +st "dcm_locked" +blo "95000,78800" +tm "WireNameMgr" +) +) +on &8 +) +*111 (Wire +uid 388,0 +shape (OrthoPolyLine +uid 389,0 +va (VaSet +vasetType 3 +) +xt "72000,51000,78250,56000" +pts [ +"72000,56000" +"72000,51000" +"78250,51000" +] +) +start &101 +end &58 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 392,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 393,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "74000,50100,77000,51000" +st "sysclk" +blo "74000,50800" +tm "WireNameMgr" +) +) +on &5 +) +*112 (Wire +uid 394,0 +shape (OrthoPolyLine +uid 395,0 +va (VaSet +vasetType 3 +) +xt "84750,52000,101000,53000" +pts [ +"84750,52000" +"91000,52000" +"91000,53000" +"101000,53000" +] +) +start &59 +end &78 +ss 0 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 398,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 399,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "99000,52100,100500,53000" +st "d25" +blo "99000,52800" +tm "WireNameMgr" +) +) +on &6 +) +*113 (Wire +uid 400,0 +shape (OrthoPolyLine +uid 401,0 +va (VaSet +vasetType 3 +) +xt "84750,51000,86000,51000" +pts [ +"84750,51000" +"86000,51000" +] +) +start &60 +end &26 +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 404,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 405,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "84000,50100,86500,51000" +st "onehz" +blo "84000,50800" +tm "WireNameMgr" +) +) +on &27 +) +*114 (Wire +uid 432,0 +shape (OrthoPolyLine +uid 433,0 +va (VaSet +vasetType 3 +) +xt "85750,69000,87000,69000" +pts [ +"85750,69000" +"87000,69000" +] +) +start &65 +end &18 +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 436,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 437,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "84000,68100,88500,69000" +st "clko_fast" +blo "84000,68800" +tm "WireNameMgr" +) +) +on &19 +) +*115 (Wire +uid 438,0 +shape (OrthoPolyLine +uid 439,0 +va (VaSet +vasetType 3 +) +xt "71000,56000,77250,69000" +pts [ +"71000,56000" +"71000,69000" +"77250,69000" +] +) +start &100 +end &66 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 442,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 443,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "73000,68100,76000,69000" +st "sysclk" +blo "73000,68800" +tm "WireNameMgr" +) +) +on &5 +) +*116 (Wire +uid 462,0 +shape (OrthoPolyLine +uid 463,0 +va (VaSet +vasetType 3 +) +xt "120000,78000,121000,78000" +pts [ +"120000,78000" +"121000,78000" +] +) +start &70 +end &14 +es 0 +sat 2 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 468,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 469,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "119000,77100,123000,78000" +st "clko_125" +blo "119000,77800" +tm "WireNameMgr" +) +) +on &15 +) +*117 (Wire +uid 480,0 +shape (OrthoPolyLine +uid 481,0 +va (VaSet +vasetType 3 +) +xt "111000,60000,117000,60000" +pts [ +"111000,60000" +"117000,60000" +] +) +start &105 +end &74 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 486,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 487,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "112000,59100,116500,60000" +st "clk_ipb_b" +blo "112000,59800" +tm "WireNameMgr" +) +) +on &2 +) +*118 (Wire +uid 488,0 +shape (OrthoPolyLine +uid 489,0 +va (VaSet +vasetType 3 +) +xt "120000,60000,121000,60000" +pts [ +"120000,60000" +"121000,60000" +] +) +start &74 +end &16 +es 0 +sat 2 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 494,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 495,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "119000,59100,123000,60000" +st "clko_ipb" +blo "119000,59800" +tm "WireNameMgr" +) +) +on &17 +) +*119 (Wire +uid 514,0 +shape (OrthoPolyLine +uid 515,0 +va (VaSet +vasetType 3 +) +xt "94000,52000,101000,59000" +pts [ +"94000,59000" +"94000,52000" +"101000,52000" +] +) +start &110 +end &78 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 520,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 521,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "95000,51100,100500,52000" +st "dcm_locked" +blo "95000,51800" +tm "WireNameMgr" +) +) +on &8 +) +*120 (Wire +uid 530,0 +shape (OrthoPolyLine +uid 531,0 +va (VaSet +vasetType 3 +) +xt "104000,51000,106000,51000" +pts [ +"104000,51000" +"106000,51000" +] +) +start &78 +sat 4 +eat 16 +stc 0 +st 0 +si 0 +tg (WTG +uid 536,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 537,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "107000,50100,109500,51000" +st "d25_d" +blo "107000,50800" +tm "WireNameMgr" +) +) +on &7 +) +*121 (Wire +uid 538,0 +optionalChildren [ +*122 (BdJunction +uid 642,0 +ps "OnConnectorStrategy" +shape (Circle +uid 643,0 +va (VaSet +vasetType 1 +) +xt "109600,52600,110400,53400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 539,0 +va (VaSet +vasetType 3 +) +xt "104000,53000,117000,70000" +pts [ +"104000,53000" +"110000,53000" +"110000,70000" +"117000,70000" +] +) +start &78 +end &90 +sat 2 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 544,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 545,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "105000,52100,106500,53000" +st "rst" +blo "105000,52800" +tm "WireNameMgr" +) +) +on &9 +) +*123 (Wire +uid 564,0 +shape (OrthoPolyLine +uid 565,0 +va (VaSet +vasetType 3 +) +xt "104000,78000,105000,78000" +pts [ +"104000,78000" +"105000,78000" +] +) +start &82 +end &20 +es 0 +sat 2 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 570,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 571,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "103000,77100,106000,78000" +st "locked" +blo "103000,77800" +tm "WireNameMgr" +) +) +on &21 +) +*124 (Wire +uid 590,0 +shape (OrthoPolyLine +uid 591,0 +va (VaSet +vasetType 3 +) +xt "110000,52000,117000,53000" +pts [ +"110000,53000" +"110000,52000" +"117000,52000" +] +) +start &122 +end &86 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 596,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 597,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "115000,51100,116500,52000" +st "rst" +blo "115000,51800" +tm "WireNameMgr" +) +) +on &9 +) +*125 (Wire +uid 598,0 +shape (OrthoPolyLine +uid 599,0 +va (VaSet +vasetType 3 +) +xt "120000,51000,121000,51000" +pts [ +"120000,51000" +"121000,51000" +] +) +start &86 +end &24 +es 0 +sat 2 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 604,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 605,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "119000,50100,123000,51000" +st "rsto_ipb" +blo "119000,50800" +tm "WireNameMgr" +) +) +on &25 +) +*126 (Wire +uid 616,0 +shape (OrthoPolyLine +uid 617,0 +va (VaSet +vasetType 3 +) +xt "109000,69000,117000,70000" +pts [ +"109000,70000" +"109000,69000" +"117000,69000" +] +) +start &103 +end &90 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 622,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 623,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "112000,68100,116500,69000" +st "clk_125_b" +blo "112000,68800" +tm "WireNameMgr" +) +) +on &4 +) +*127 (Wire +uid 632,0 +shape (OrthoPolyLine +uid 633,0 +va (VaSet +vasetType 3 +) +xt "120000,69000,121000,69000" +pts [ +"120000,69000" +"121000,69000" +] +) +start &90 +end &22 +es 0 +sat 2 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 638,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 639,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "119000,68100,123000,69000" +st "rsto_125" +blo "119000,68800" +tm "WireNameMgr" +) +) +on &23 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *128 (PackageList +uid 692,0 +stg "VerticalLayoutStrategy" +textVec [ +*129 (Text +uid 693,0 +va (VaSet +font "courier,8,1" +) +xt "61000,85000,67500,85900" +st "Package List" +blo "61000,85700" +) +*130 (MLText +uid 694,0 +va (VaSet +font "courier,8,0" +) +xt "61000,85900,75500,89500" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 695,0 +stg "VerticalLayoutStrategy" +textVec [ +*131 (Text +uid 696,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "81000,85000,91000,85900" +st "Compiler Directives" +blo "81000,85700" +) +*132 (Text +uid 697,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "81000,85900,92500,86800" +st "Pre-module directives:" +blo "81000,86600" +) +*133 (MLText +uid 698,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "81000,86800,91100,88600" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*134 (Text +uid 699,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "81000,88600,93000,89500" +st "Post-module directives:" +blo "81000,89300" +) +*135 (MLText +uid 700,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "81000,85000,81000,85000" +tm "BdCompilerDirectivesTextMgr" +) +*136 (Text +uid 701,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "81000,89500,92500,90400" +st "End-module directives:" +blo "81000,90200" +) +*137 (MLText +uid 702,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "81000,90400,81000,90400" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "0,0,1015,690" +viewArea "51000,50000,128000,107500" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +lastUid 702,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,4000,1900" +st "Panel0" +blo "1000,1700" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*138 (Text +va (VaSet +font "courier,8,1" +) +xt "1750,3650,6250,4550" +st "<library>" +blo "1750,4350" +tm "BdLibraryNameMgr" +) +*139 (Text +va (VaSet +font "courier,8,1" +) +xt "1750,4550,5250,5450" +st "<block>" +blo "1750,5250" +tm "BlkNameMgr" +) +*140 (Text +va (VaSet +font "courier,8,1" +) +xt "1750,5450,2750,6350" +st "I0" +blo "1750,6150" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "1750,13650,1750,13650" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*141 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,3650,4500,4550" +st "Library" +blo "1000,4350" +) +*142 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,4550,7000,5450" +st "MWComponent" +blo "1000,5250" +) +*143 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,5450,2000,6350" +st "I0" +blo "1000,6150" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6000,1650,-6000,1650" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*144 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,3650,4500,4550" +st "Library" +blo "1000,4350" +tm "BdLibraryNameMgr" +) +*145 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,4550,7000,5450" +st "SaComponent" +blo "1000,5250" +tm "CptNameMgr" +) +*146 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,5450,2000,6350" +st "I0" +blo "1000,6150" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6000,1650,-6000,1650" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +portVis (PortSigDisplay +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*147 (Text +va (VaSet +font "courier,8,1" +) +xt "500,3650,4000,4550" +st "Library" +blo "500,4350" +) +*148 (Text +va (VaSet +font "courier,8,1" +) +xt "500,4550,7500,5450" +st "VhdlComponent" +blo "500,5250" +) +*149 (Text +va (VaSet +font "courier,8,1" +) +xt "500,5450,1500,6350" +st "I0" +blo "500,6150" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6500,1650,-6500,1650" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-750,0,8750,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*150 (Text +va (VaSet +font "courier,8,1" +) +xt "-250,3650,3250,4550" +st "Library" +blo "-250,4350" +) +*151 (Text +va (VaSet +font "courier,8,1" +) +xt "-250,4550,8250,5450" +st "VerilogComponent" +blo "-250,5250" +) +*152 (Text +va (VaSet +font "courier,8,1" +) +xt "-250,5450,750,6350" +st "I0" +blo "-250,6150" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-7250,1650,-7250,1650" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*153 (Text +va (VaSet +font "courier,8,1" +) +xt "3250,4100,4750,5000" +st "eb1" +blo "3250,4800" +tm "HdlTextNameMgr" +) +*154 (Text +va (VaSet +font "courier,8,1" +) +xt "3250,5000,3750,5900" +st "1" +blo "3250,5700" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +font "courier,8,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +font "courier,8,1" +) +xt "-250,-450,250,450" +st "G" +blo "-250,250" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,0,2000,900" +st "sig0" +blo "0,700" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,0,2500,900" +st "dbus0" +blo "0,700" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,0" +) +xt "0,0,3500,900" +st "bundle0" +blo "0,700" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +font "courier,8,0" +) +xt "0,900,1000,1800" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +font "courier,8,0" +) +) +second (MLText +va (VaSet +font "courier,8,0" +) +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,-1000,14500,-100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1350" +) +num (Text +va (VaSet +font "courier,8,0" +) +xt "300,250,800,1150" +st "1" +blo "300,950" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*155 (Text +va (VaSet +font "courier,8,1" +) +xt "12500,20000,22000,20900" +st "Frame Declarations" +blo "12500,20700" +) +*156 (MLText +va (VaSet +font "courier,8,0" +) +xt "12500,20900,12500,20900" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,-1000,9000,-100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1350" +) +num (Text +va (VaSet +font "courier,8,0" +) +xt "300,250,800,1150" +st "1" +blo "300,950" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*157 (Text +va (VaSet +font "courier,8,1" +) +xt "12500,20000,22000,20900" +st "Frame Declarations" +blo "12500,20700" +) +*158 (MLText +va (VaSet +font "courier,8,0" +) +xt "12500,20900,12500,20900" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,2000,1650" +st "Port" +blo "0,1450" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,2000,1650" +st "Port" +blo "0,1450" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "courier,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "78000,85000,84500,85900" +st "Declarations" +blo "78000,85700" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "78000,85900,81000,86800" +st "Ports:" +blo "78000,86600" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "78000,94900,82500,95800" +st "Pre User:" +blo "78000,95600" +) +preUserText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "80000,95800,94500,97600" +st " +SIGNAL clk_400 : std_logic; +" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "courier,8,1" +) +xt "78000,97600,86500,98500" +st "Diagram Signals:" +blo "78000,98300" +) +postUserLabel (Text +uid 7,0 +va (VaSet +font "courier,8,1" +) +xt "78000,106600,83500,107500" +st "Post User:" +blo "78000,107300" +) +postUserText (MLText +uid 8,0 +va (VaSet +font "courier,8,0" +) +xt "78000,85000,78000,85000" +tm "BdDeclarativeTextMgr" +) +) +createCompDecls 0 +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 19,0 +usingSuid 1 +emptyRow *159 (LEmptyRow +) +optionalChildren [ +*160 (RefLabelRowHdr +) +*161 (TitleRowHdr +) +*162 (FilterRowHdr +) +*163 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*164 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*165 (GroupColHdr +tm "GroupColHdrMgr" +) +*166 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*167 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*168 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*169 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*170 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*171 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*172 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "clk_ipb_i" +t "std_logic" +o 1 +suid 1,0 +) +) +uid 656,0 +) +*173 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "clk_ipb_b" +t "std_logic" +o 2 +suid 2,0 +) +) +uid 658,0 +) +*174 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "clk_125_i" +t "std_logic" +o 3 +suid 3,0 +) +) +uid 660,0 +) +*175 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "clk_125_b" +t "std_logic" +o 4 +suid 4,0 +) +) +uid 662,0 +) +*176 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "sysclk" +t "std_logic" +o 5 +suid 5,0 +) +) +uid 664,0 +) +*177 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "d25" +t "std_logic" +o 6 +suid 6,0 +) +) +uid 666,0 +) +*178 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "d25_d" +t "std_logic" +o 7 +suid 7,0 +) +) +uid 668,0 +) +*179 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "dcm_locked" +t "std_logic" +o 8 +suid 8,0 +) +) +uid 670,0 +) +*180 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "rst" +t "std_logic" +o 9 +suid 9,0 +i "'1'" +) +) +uid 672,0 +) +*181 (LeafLogPort +port (LogicalPort +decl (Decl +n "sysclk_p" +t "std_logic" +o 10 +suid 11,0 +) +) +uid 674,0 +) +*182 (LeafLogPort +port (LogicalPort +decl (Decl +n "sysclk_n" +t "std_logic" +o 11 +suid 12,0 +) +) +uid 676,0 +) +*183 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "clko_125" +t "std_logic" +o 12 +suid 13,0 +) +) +uid 678,0 +) +*184 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "clko_ipb" +t "std_logic" +o 13 +suid 14,0 +) +) +uid 680,0 +) +*185 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "clko_fast" +t "std_logic" +o 14 +suid 15,0 +) +) +uid 682,0 +) +*186 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "locked" +t "std_logic" +o 15 +suid 16,0 +) +) +uid 684,0 +) +*187 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "rsto_125" +t "std_logic" +o 16 +suid 17,0 +) +) +uid 686,0 +) +*188 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "rsto_ipb" +t "std_logic" +o 17 +suid 18,0 +) +) +uid 688,0 +) +*189 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "onehz" +t "std_logic" +o 18 +suid 19,0 +) +) +uid 690,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +optionalChildren [ +*190 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *191 (MRCItem +litem &159 +pos 3 +dimension 20 +) +optionalChildren [ +*192 (MRCItem +litem &160 +pos 0 +dimension 20 +) +*193 (MRCItem +litem &161 +pos 1 +dimension 23 +) +*194 (MRCItem +litem &162 +pos 2 +hidden 1 +dimension 20 +) +*195 (MRCItem +litem &172 +pos 0 +dimension 20 +uid 657,0 +) +*196 (MRCItem +litem &173 +pos 1 +dimension 20 +uid 659,0 +) +*197 (MRCItem +litem &174 +pos 2 +dimension 20 +uid 661,0 +) +*198 (MRCItem +litem &175 +pos 3 +dimension 20 +uid 663,0 +) +*199 (MRCItem +litem &176 +pos 4 +dimension 20 +uid 665,0 +) +*200 (MRCItem +litem &177 +pos 5 +dimension 20 +uid 667,0 +) +*201 (MRCItem +litem &178 +pos 6 +dimension 20 +uid 669,0 +) +*202 (MRCItem +litem &179 +pos 7 +dimension 20 +uid 671,0 +) +*203 (MRCItem +litem &180 +pos 8 +dimension 20 +uid 673,0 +) +*204 (MRCItem +litem &181 +pos 9 +dimension 20 +uid 675,0 +) +*205 (MRCItem +litem &182 +pos 10 +dimension 20 +uid 677,0 +) +*206 (MRCItem +litem &183 +pos 11 +dimension 20 +uid 679,0 +) +*207 (MRCItem +litem &184 +pos 12 +dimension 20 +uid 681,0 +) +*208 (MRCItem +litem &185 +pos 13 +dimension 20 +uid 683,0 +) +*209 (MRCItem +litem &186 +pos 14 +dimension 20 +uid 685,0 +) +*210 (MRCItem +litem &187 +pos 15 +dimension 20 +uid 687,0 +) +*211 (MRCItem +litem &188 +pos 16 +dimension 20 +uid 689,0 +) +*212 (MRCItem +litem &189 +pos 17 +dimension 20 +uid 691,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +optionalChildren [ +*213 (MRCItem +litem &163 +pos 0 +dimension 20 +) +*214 (MRCItem +litem &165 +pos 1 +dimension 50 +) +*215 (MRCItem +litem &166 +pos 2 +dimension 100 +) +*216 (MRCItem +litem &167 +pos 3 +dimension 50 +) +*217 (MRCItem +litem &168 +pos 4 +dimension 100 +) +*218 (MRCItem +litem &169 +pos 5 +dimension 100 +) +*219 (MRCItem +litem &170 +pos 6 +dimension 50 +) +*220 (MRCItem +litem &171 +pos 7 +dimension 80 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +vaOverrides [ +] +) +] +) +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *221 (LEmptyRow +) +optionalChildren [ +*222 (RefLabelRowHdr +) +*223 (TitleRowHdr +) +*224 (FilterRowHdr +) +*225 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*226 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*227 (GroupColHdr +tm "GroupColHdrMgr" +) +*228 (NameColHdr +tm "GenericNameColHdrMgr" +) +*229 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*230 (InitColHdr +tm "GenericValueColHdrMgr" +) +*231 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*232 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +optionalChildren [ +*233 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *234 (MRCItem +litem &221 +pos 3 +dimension 20 +) +optionalChildren [ +*235 (MRCItem +litem &222 +pos 0 +dimension 20 +) +*236 (MRCItem +litem &223 +pos 1 +dimension 23 +) +*237 (MRCItem +litem &224 +pos 2 +hidden 1 +dimension 20 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +optionalChildren [ +*238 (MRCItem +litem &225 +pos 0 +dimension 20 +) +*239 (MRCItem +litem &227 +pos 1 +dimension 50 +) +*240 (MRCItem +litem &228 +pos 2 +dimension 100 +) +*241 (MRCItem +litem &229 +pos 3 +dimension 100 +) +*242 (MRCItem +litem &230 +pos 4 +dimension 50 +) +*243 (MRCItem +litem &231 +pos 5 +dimension 50 +) +*244 (MRCItem +litem &232 +pos 6 +dimension 80 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +vaOverrides [ +] +) +] +) +type 1 +) +) diff --git a/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/dual@s@e@r@d@e@s_1to4/symbol.sb b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/dual@s@e@r@d@e@s_1to4/symbol.sb new file mode 100644 index 00000000..a51a6a2a --- /dev/null +++ b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/dual@s@e@r@d@e@s_1to4/symbol.sb @@ -0,0 +1,1734 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "unisim" +unitName "vcomponents" +) +] +libraryRefs [ +"ieee" +"unisim" +] +) +version "25.1" +appVersion "2010.3 (Build 21)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 7,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 53,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "serdes_reset_i" +t "std_logic" +eolc "--! Starts recalibration sequence" +o 1 +suid 1,0 +) +) +uid 108,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "data_i" +t "std_logic" +o 2 +suid 2,0 +) +) +uid 110,0 +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "fastClk_i" +t "std_logic" +eolc "--! 4x fabric clock. e.g. 640MHz" +o 3 +suid 3,0 +) +) +uid 112,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "fabricClk_i" +t "std_logic" +eolc "--! clock for output to FPGA. e.g. 160MHz" +o 4 +suid 4,0 +) +) +uid 114,0 +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "strobe_i" +t "std_logic" +eolc "--! Strobes once every 4 cycles of fastClk" +o 5 +suid 5,0 +) +) +uid 116,0 +) +*19 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "data_o" +t "std_logic_vector" +b "(7 DOWNTO 0)" +eolc "--! Deserialized data. Lower 4-bits from prompt serdes, top 4-bits from delayed serdes" +o 6 +suid 6,0 +) +) +uid 118,0 +) +*20 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "serdes_ready_o" +t "std_logic" +eolc "--! goes low during calibration sequence." +o 7 +suid 7,0 +) +) +uid 152,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 66,0 +optionalChildren [ +*21 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *22 (MRCItem +litem &1 +pos 7 +dimension 20 +) +uid 68,0 +optionalChildren [ +*23 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 69,0 +) +*24 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 70,0 +) +*25 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 71,0 +) +*26 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 109,0 +) +*27 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 111,0 +) +*28 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 113,0 +) +*29 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 115,0 +) +*30 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 117,0 +) +*31 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 119,0 +) +*32 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 153,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 72,0 +optionalChildren [ +*33 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 73,0 +) +*34 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 74,0 +) +*35 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 75,0 +) +*36 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 76,0 +) +*37 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 77,0 +) +*38 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 78,0 +) +*39 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 79,0 +) +*40 (MRCItem +litem &13 +pos 7 +dimension 329 +uid 80,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 67,0 +vaOverrides [ +] +) +] +) +uid 52,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *41 (LEmptyRow +) +uid 82,0 +optionalChildren [ +*42 (RefLabelRowHdr +) +*43 (TitleRowHdr +) +*44 (FilterRowHdr +) +*45 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*46 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*47 (GroupColHdr +tm "GroupColHdrMgr" +) +*48 (NameColHdr +tm "GenericNameColHdrMgr" +) +*49 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*50 (InitColHdr +tm "GenericValueColHdrMgr" +) +*51 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*52 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 94,0 +optionalChildren [ +*53 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *54 (MRCItem +litem &41 +pos 0 +dimension 20 +) +uid 96,0 +optionalChildren [ +*55 (MRCItem +litem &42 +pos 0 +dimension 20 +uid 97,0 +) +*56 (MRCItem +litem &43 +pos 1 +dimension 23 +uid 98,0 +) +*57 (MRCItem +litem &44 +pos 2 +hidden 1 +dimension 20 +uid 99,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 100,0 +optionalChildren [ +*58 (MRCItem +litem &45 +pos 0 +dimension 20 +uid 101,0 +) +*59 (MRCItem +litem &47 +pos 1 +dimension 50 +uid 102,0 +) +*60 (MRCItem +litem &48 +pos 2 +dimension 100 +uid 103,0 +) +*61 (MRCItem +litem &49 +pos 3 +dimension 100 +uid 104,0 +) +*62 (MRCItem +litem &50 +pos 4 +dimension 50 +uid 105,0 +) +*63 (MRCItem +litem &51 +pos 5 +dimension 50 +uid 106,0 +) +*64 (MRCItem +litem &52 +pos 6 +dimension 80 +uid 107,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 95,0 +vaOverrides [ +] +) +] +) +uid 81,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl" +) +(vvPair +variable "HDSDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/dual@s@e@r@d@e@s_1to4/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/dual@s@e@r@d@e@s_1to4/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "config" +value "%(unit)_config" +) +(vvPair +variable "d" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/dual@s@e@r@d@e@s_1to4" +) +(vvPair +variable "d_logical" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/dualSERDES_1to4" +) +(vvPair +variable "date" +value "12/04/12" +) +(vvPair +variable "day" +value "Tue" +) +(vvPair +variable "day_long" +value "Tuesday" +) +(vvPair +variable "dd" +value "04" +) +(vvPair +variable "entity_name" +value "dualSERDES_1to4" +) +(vvPair +variable "ext" +value "<TBD>" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "group" +value "users" +) +(vvPair +variable "host" +value "fortis.phy.bris.ac.uk" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "work" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/designcheck" +) +(vvPair +variable "library_downstream_ISEPARInvoke" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/ise" +) +(vvPair +variable "library_downstream_ImpactInvoke" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/ise" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/work" +) +(vvPair +variable "library_downstream_XSTDataPrep" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/ise" +) +(vvPair +variable "mm" +value "12" +) +(vvPair +variable "module_name" +value "dualSERDES_1to4" +) +(vvPair +variable "month" +value "Dec" +) +(vvPair +variable "month_long" +value "December" +) +(vvPair +variable "p" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/dual@s@e@r@d@e@s_1to4/symbol.sb" +) +(vvPair +variable "p_logical" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/dualSERDES_1to4/symbol.sb" +) +(vvPair +variable "package_name" +value "<Undefined Variable>" +) +(vvPair +variable "project_name" +value "fmc_mTLU" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "<TBD>" +) +(vvPair +variable "task_DesignCompilerPath" +value "<TBD>" +) +(vvPair +variable "task_LeonardoPath" +value "/opt/CAD/Mentor/LeonardoSpectrum_2008a/bin" +) +(vvPair +variable "task_ModelSimPath" +value "/opt/CAD/Mentor/Modelsim/6.4a/modeltech/bin" +) +(vvPair +variable "task_NC-SimPath" +value "<TBD>" +) +(vvPair +variable "task_PrecisionRTLPath" +value "/opt/CAD/Mentor/Precis_Synth_2009a_update2/Mgc_home/bin" +) +(vvPair +variable "task_QuestaSimPath" +value "/opt/CAD/Mentor/HDS2008.1b/questasim/bin" +) +(vvPair +variable "task_VCSPath" +value "<TBD>" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "12:08:24" +) +(vvPair +variable "unit" +value "dualSERDES_1to4" +) +(vvPair +variable "user" +value "phdgc" +) +(vvPair +variable "version" +value "2010.3 (Build 21)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2012" +) +(vvPair +variable "yy" +value "12" +) +] +) +LanguageMgr "VhdlLangMgr" +uid 51,0 +optionalChildren [ +*65 (SymbolBody +uid 8,0 +optionalChildren [ +*66 (CptPort +uid 122,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 123,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "18250,23625,19000,24375" +) +tg (CPTG +uid 124,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 125,0 +va (VaSet +font "courier,8,0" +) +xt "20000,23550,27500,24450" +st "serdes_reset_i" +blo "20000,24250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 126,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10800,37500,11700" +st "serdes_reset_i : IN std_logic ; --! Starts recalibration sequence" +) +thePort (LogicalPort +decl (Decl +n "serdes_reset_i" +t "std_logic" +eolc "--! Starts recalibration sequence" +o 1 +suid 1,0 +) +) +) +*67 (CptPort +uid 127,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 128,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "18250,25625,19000,26375" +) +tg (CPTG +uid 129,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 130,0 +va (VaSet +font "courier,8,0" +) +xt "20000,25550,23000,26450" +st "data_i" +blo "20000,26250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 131,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11700,20500,12600" +st "data_i : IN std_logic ;" +) +thePort (LogicalPort +decl (Decl +n "data_i" +t "std_logic" +o 2 +suid 2,0 +) +) +) +*68 (CptPort +uid 132,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 133,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "18250,27625,19000,28375" +) +tg (CPTG +uid 134,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 135,0 +va (VaSet +font "courier,8,0" +) +xt "20000,27550,24500,28450" +st "fastClk_i" +blo "20000,28250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 136,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12600,37000,13500" +st "fastClk_i : IN std_logic ; --! 4x fabric clock. e.g. 640MHz" +) +thePort (LogicalPort +decl (Decl +n "fastClk_i" +t "std_logic" +eolc "--! 4x fabric clock. e.g. 640MHz" +o 3 +suid 3,0 +) +) +) +*69 (CptPort +uid 137,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 138,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "18250,29625,19000,30375" +) +tg (CPTG +uid 139,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 140,0 +va (VaSet +font "courier,8,0" +) +xt "20000,29550,26000,30450" +st "fabricClk_i" +blo "20000,30250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 141,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13500,41500,14400" +st "fabricClk_i : IN std_logic ; --! clock for output to FPGA. e.g. 160MHz" +) +thePort (LogicalPort +decl (Decl +n "fabricClk_i" +t "std_logic" +eolc "--! clock for output to FPGA. e.g. 160MHz" +o 4 +suid 4,0 +) +) +) +*70 (CptPort +uid 142,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 143,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "18250,31625,19000,32375" +) +tg (CPTG +uid 144,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 145,0 +va (VaSet +font "courier,8,0" +) +xt "20000,31550,24000,32450" +st "strobe_i" +blo "20000,32250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 146,0 +va (VaSet +font "courier,8,0" +) +xt "2000,14400,42000,15300" +st "strobe_i : IN std_logic ; --! Strobes once every 4 cycles of fastClk" +) +thePort (LogicalPort +decl (Decl +n "strobe_i" +t "std_logic" +eolc "--! Strobes once every 4 cycles of fastClk" +o 5 +suid 5,0 +) +) +) +*71 (CptPort +uid 147,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 148,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37000,25625,37750,26375" +) +tg (CPTG +uid 149,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 150,0 +va (VaSet +font "courier,8,0" +) +xt "33000,25550,36000,26450" +st "data_o" +ju 2 +blo "36000,26250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 151,0 +va (VaSet +font "courier,8,0" +) +xt "2000,15300,73500,16200" +st "data_o : OUT std_logic_vector (7 DOWNTO 0) ; --! Deserialized data. Lower 4-bits from prompt serdes, top 4-bits from delayed serdes" +) +thePort (LogicalPort +m 1 +decl (Decl +n "data_o" +t "std_logic_vector" +b "(7 DOWNTO 0)" +eolc "--! Deserialized data. Lower 4-bits from prompt serdes, top 4-bits from delayed serdes" +o 6 +suid 6,0 +) +) +) +*72 (CptPort +uid 154,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 155,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37000,30625,37750,31375" +) +tg (CPTG +uid 156,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 157,0 +va (VaSet +font "courier,8,0" +) +xt "28500,30550,36000,31450" +st "serdes_ready_o" +ju 2 +blo "36000,31250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 158,0 +va (VaSet +font "courier,8,0" +) +xt "2000,16200,40500,17100" +st "serdes_ready_o : OUT std_logic --! goes low during calibration sequence." +) +thePort (LogicalPort +m 1 +decl (Decl +n "serdes_ready_o" +t "std_logic" +eolc "--! goes low during calibration sequence." +o 7 +suid 7,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "19000,23000,37000,33000" +) +oxt "17000,2000,27000,12000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "26750,27100,28750,28000" +st "work" +blo "26750,27800" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "26750,28000,34750,28900" +st "dualSERDES_1to4" +blo "26750,28700" +) +) +gi *73 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "18500,21100,29000,22000" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sTC 0 +) +) +*74 (Grouping +uid 16,0 +optionalChildren [ +*75 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,50000,49000,51000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "32200,50050,44200,50950" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "49000,46000,53000,47000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "49200,46050,53200,46950" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,49000,49000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "32200,48050,45700,48950" +st " +<enter diagram title here> +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "28000,48000,32000,49000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "28200,48050,31200,48950" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "49000,47000,69000,51000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "49200,47200,60200,48100" +st " +<enter comments here> +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,46000,69000,47000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "53200,46050,57200,46950" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*81 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "28000,46000,49000,48000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "34000,46500,43000,47500" +st " +<company name> +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*82 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "28000,49000,32000,50000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "28200,49050,30700,49950" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*83 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "28000,50000,32000,51000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "28200,50050,31700,50950" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*84 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,49000,49000,50000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "32200,49050,46200,49950" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "28000,46000,69000,51000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *85 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*86 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,1700,6500,2600" +st "Package List" +blo "0,2400" +) +*87 (MLText +uid 50,0 +va (VaSet +font "courier,8,0" +) +xt "0,2600,14500,8000" +st "LIBRARY ieee; +USE ieee.std_logic_1164.all; +USE ieee.numeric_std.all; + +library unisim ; +use unisim.vcomponents.all;" +tm "PackageList" +) +] +) +windowSize "379,119,1789,1149" +viewArea "-93380,-13730,13450,63580" +cachedDiagramExtent "0,1700,73500,51000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,4000,1900" +st "Panel0" +blo "1000,1700" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,39000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "24750,15100,29250,16000" +st "<library>" +blo "24750,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "24750,16000,27750,16900" +st "<cell>" +blo "24750,16700" +) +) +gi *88 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *89 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,9000,6500,9900" +st "Declarations" +blo "0,9700" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,9900,3000,10800" +st "Ports:" +blo "0,10600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,17100,2500,18000" +st "User:" +blo "0,17800" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,9000,7500,9900" +st "Internal User:" +blo "0,9700" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,18000,2000,18000" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,9000,0,9000" +tm "SyDeclarativeTextMgr" +) +) +lastUid 181,0 +activeModelName "Symbol" +) diff --git a/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/eth_s6_gmii/rtl.bd b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/eth_s6_gmii/rtl.bd new file mode 100644 index 00000000..94c277ae --- /dev/null +++ b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/eth_s6_gmii/rtl.bd @@ -0,0 +1,9881 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dmPackageRefs [ +] +instances [ +(Instance +name "bufio0" +duLibraryName "fmc_mTLU_lib" +duName "bufio2" +elements [ +] +mwi 0 +uid 319,0 +) +(Instance +name "bufg0" +duLibraryName "UNISIM" +duName "BUFG" +elements [ +] +mwi 0 +uid 349,0 +) +(Instance +name "iodelay_dv" +duLibraryName "fmc_mTLU_lib" +duName "iodelay2" +elements [ +] +mwi 0 +uid 423,0 +) +(Instance +name "iodelay_er" +duLibraryName "fmc_mTLU_lib" +duName "iodelay2" +elements [ +] +mwi 0 +uid 497,0 +) +(Instance +name "oddr0" +duLibraryName "fmc_mTLU_lib" +duName "oddr2" +elements [ +] +mwi 0 +uid 603,0 +) +(Instance +name "mac" +duLibraryName "fmc_mTLU_lib" +duName "soft_emac_gmii_4_5" +elements [ +] +mwi 0 +uid 821,0 +) +] +embeddedInstances [ +(EmbeddedInstance +name "eb1" +number "1" +) +(EmbeddedInstance +name "eb2" +number "2" +) +(EmbeddedInstance +name "eb3" +number "3" +) +] +frameInstances [ +(FrameInstance +name "iodelgen" +lb "7" +rb "0" +insts [ +(Instance +name "iodelay" +duLibraryName "fmc_mTLU_lib" +duName "iodelay2" +elements [ +] +mwi 0 +uid 1088,0 +) +] +) +] +properties [ +(HdrProperty +class "HDS" +name "DocView" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/workspace/IPbusFirmware/firmware/ethernet/hdl/eth_s6_gmii.vhd" +) +(HdrProperty +class "HDS" +name "DocViewState" +value "1352727134" +) +] +) +version "30.1" +appVersion "2010.3 (Build 21)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl" +) +(vvPair +variable "HDSDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/eth_s6_gmii/rtl.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/eth_s6_gmii/rtl.bd.user" +) +(vvPair +variable "SourceDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "rtl" +) +(vvPair +variable "config" +value "%(unit)_config" +) +(vvPair +variable "d" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/eth_s6_gmii" +) +(vvPair +variable "d_logical" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/eth_s6_gmii" +) +(vvPair +variable "date" +value "11/13/12" +) +(vvPair +variable "day" +value "Tue" +) +(vvPair +variable "day_long" +value "Tuesday" +) +(vvPair +variable "dd" +value "13" +) +(vvPair +variable "entity_name" +value "eth_s6_gmii" +) +(vvPair +variable "ext" +value "<TBD>" +) +(vvPair +variable "f" +value "rtl.bd" +) +(vvPair +variable "f_logical" +value "rtl.bd" +) +(vvPair +variable "f_noext" +value "rtl" +) +(vvPair +variable "group" +value "HEP_Instrumentation" +) +(vvPair +variable "host" +value "kipper.phy.bris.ac.uk" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "fmc_mTLU_lib" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/designcheck" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "eth_s6_gmii" +) +(vvPair +variable "month" +value "Nov" +) +(vvPair +variable "month_long" +value "November" +) +(vvPair +variable "p" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/eth_s6_gmii/rtl.bd" +) +(vvPair +variable "p_logical" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/eth_s6_gmii/rtl.bd" +) +(vvPair +variable "package_name" +value "<Undefined Variable>" +) +(vvPair +variable "project_name" +value "fmc_mTLU" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "<TBD>" +) +(vvPair +variable "task_DesignCompilerPath" +value "<TBD>" +) +(vvPair +variable "task_LeonardoPath" +value "/opt/CAD/Mentor/LeonardoSpectrum_2008a/bin" +) +(vvPair +variable "task_ModelSimPath" +value "/opt/CAD/Mentor/Modelsim/6.4a/modeltech/bin" +) +(vvPair +variable "task_NC-SimPath" +value "<TBD>" +) +(vvPair +variable "task_PrecisionRTLPath" +value "/opt/CAD/Mentor/Precis_Synth_2009a_update2/Mgc_home/bin" +) +(vvPair +variable "task_QuestaSimPath" +value "/opt/CAD/Mentor/HDS2008.1b/questasim/bin" +) +(vvPair +variable "task_VCSPath" +value "<TBD>" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "rtl" +) +(vvPair +variable "this_file_logical" +value "rtl" +) +(vvPair +variable "time" +value "16:59:31" +) +(vvPair +variable "unit" +value "eth_s6_gmii" +) +(vvPair +variable "user" +value "phdgc" +) +(vvPair +variable "version" +value "2010.3 (Build 21)" +) +(vvPair +variable "view" +value "rtl" +) +(vvPair +variable "year" +value "2012" +) +(vvPair +variable "yy" +value "12" +) +] +) +LanguageMgr "VhdlLangMgr" +optionalChildren [ +*1 (Net +uid 9,0 +decl (Decl +n "rx_clk" +t "std_logic" +o 1 +suid 1,0 +) +declText (MLText +uid 10,0 +va (VaSet +font "courier,8,0" +) +xt "20000,96975,37000,97875" +st "SIGNAL rx_clk : std_logic +" +) +) +*2 (Net +uid 11,0 +decl (Decl +n "rx_clk_io" +t "std_logic" +o 2 +suid 2,0 +) +declText (MLText +uid 12,0 +va (VaSet +font "courier,8,0" +) +xt "20000,97875,37000,98775" +st "SIGNAL rx_clk_io : std_logic +" +) +) +*3 (Net +uid 13,0 +decl (Decl +n "txd_e" +t "std_logic_vector" +b "(7 downto 0)" +o 3 +suid 3,0 +) +declText (MLText +uid 14,0 +va (VaSet +font "courier,8,0" +) +xt "20000,98775,46500,99675" +st "SIGNAL txd_e : std_logic_vector(7 downto 0) +" +) +) +*4 (Net +uid 15,0 +decl (Decl +n "rxd_r" +t "std_logic_vector" +b "(7 downto 0)" +o 4 +suid 4,0 +) +declText (MLText +uid 16,0 +va (VaSet +font "courier,8,0" +) +xt "20000,99675,46500,100575" +st "SIGNAL rxd_r : std_logic_vector(7 downto 0) +" +) +) +*5 (Net +uid 17,0 +decl (Decl +n "tx_en_e" +t "std_logic" +o 5 +suid 5,0 +) +declText (MLText +uid 18,0 +va (VaSet +font "courier,8,0" +) +xt "20000,100575,37000,101475" +st "SIGNAL tx_en_e : std_logic +" +) +) +*6 (Net +uid 19,0 +decl (Decl +n "tx_er_e" +t "std_logic" +o 6 +suid 6,0 +) +declText (MLText +uid 20,0 +va (VaSet +font "courier,8,0" +) +xt "20000,101475,37000,102375" +st "SIGNAL tx_er_e : std_logic +" +) +) +*7 (Net +uid 21,0 +decl (Decl +n "rx_dv_r" +t "std_logic" +o 7 +suid 7,0 +) +declText (MLText +uid 22,0 +va (VaSet +font "courier,8,0" +) +xt "20000,102375,37000,103275" +st "SIGNAL rx_dv_r : std_logic +" +) +) +*8 (Net +uid 23,0 +decl (Decl +n "rx_er_r" +t "std_logic" +o 8 +suid 8,0 +) +declText (MLText +uid 24,0 +va (VaSet +font "courier,8,0" +) +xt "20000,103275,37000,104175" +st "SIGNAL rx_er_r : std_logic +" +) +) +*9 (Net +uid 25,0 +decl (Decl +n "gmii_rxd_del" +t "std_logic_vector" +b "(7 downto 0)" +o 9 +suid 9,0 +) +declText (MLText +uid 26,0 +va (VaSet +font "courier,8,0" +) +xt "20000,104175,46500,105075" +st "SIGNAL gmii_rxd_del : std_logic_vector(7 downto 0) +" +) +) +*10 (Net +uid 27,0 +decl (Decl +n "gmii_rx_dv_del" +t "std_logic" +o 10 +suid 10,0 +) +declText (MLText +uid 28,0 +va (VaSet +font "courier,8,0" +) +xt "20000,105075,37000,105975" +st "SIGNAL gmii_rx_dv_del : std_logic +" +) +) +*11 (Net +uid 29,0 +decl (Decl +n "gmii_rx_er_del" +t "std_logic" +o 11 +suid 11,0 +) +declText (MLText +uid 30,0 +va (VaSet +font "courier,8,0" +) +xt "20000,105975,37000,106875" +st "SIGNAL gmii_rx_er_del : std_logic +" +) +) +*12 (PortIoIn +uid 31,0 +shape (CompositeShape +uid 32,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 33,0 +sl 0 +ro 270 +xt "-4000,52625,-2500,53375" +) +(Line +uid 34,0 +sl 0 +ro 270 +xt "-2500,53000,-2000,53000" +pts [ +"-2500,53000" +"-2000,53000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 35,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 36,0 +va (VaSet +font "courier,8,0" +) +xt "-8000,52500,-5000,53400" +st "clk125" +ju 2 +blo "-5000,53200" +tm "WireNameMgr" +) +) +) +*13 (Net +uid 37,0 +decl (Decl +n "clk125" +t "std_logic" +o 12 +suid 12,0 +) +declText (MLText +uid 38,0 +va (VaSet +font "courier,8,0" +) +xt "20000,77175,33500,78075" +st "clk125 : std_logic +" +) +) +*14 (PortIoIn +uid 45,0 +shape (CompositeShape +uid 46,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 47,0 +sl 0 +ro 270 +xt "46000,14625,47500,15375" +) +(Line +uid 48,0 +sl 0 +ro 270 +xt "47500,15000,48000,15000" +pts [ +"47500,15000" +"48000,15000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 49,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 50,0 +va (VaSet +font "courier,8,0" +) +xt "43500,14500,45000,15400" +st "rst" +ju 2 +blo "45000,15200" +tm "WireNameMgr" +) +) +) +*15 (Net +uid 51,0 +decl (Decl +n "rst" +t "std_logic" +o 13 +suid 13,0 +) +declText (MLText +uid 52,0 +va (VaSet +font "courier,8,0" +) +xt "20000,78075,33500,78975" +st "rst : std_logic +" +) +) +*16 (PortIoOut +uid 59,0 +shape (CompositeShape +uid 60,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 61,0 +sl 0 +ro 270 +xt "66500,39625,68000,40375" +) +(Line +uid 62,0 +sl 0 +ro 270 +xt "66000,40000,66500,40000" +pts [ +"66000,40000" +"66500,40000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 63,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 64,0 +va (VaSet +font "courier,8,0" +) +xt "69000,39500,75500,40400" +st "gmii_gtx_clk" +blo "69000,40200" +tm "WireNameMgr" +) +) +) +*17 (Net +uid 65,0 +decl (Decl +n "gmii_gtx_clk" +t "std_logic" +o 14 +suid 14,0 +) +declText (MLText +uid 66,0 +va (VaSet +font "courier,8,0" +) +xt "20000,78975,33500,79875" +st "gmii_gtx_clk : std_logic +" +) +) +*18 (PortIoOut +uid 73,0 +shape (CompositeShape +uid 74,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 75,0 +sl 0 +ro 270 +xt "92500,28625,94000,29375" +) +(Line +uid 76,0 +sl 0 +ro 270 +xt "92000,29000,92500,29000" +pts [ +"92000,29000" +"92500,29000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 77,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 78,0 +va (VaSet +font "courier,8,0" +) +xt "95000,28500,99000,29400" +st "gmii_txd" +blo "95000,29200" +tm "WireNameMgr" +) +) +) +*19 (Net +uid 79,0 +decl (Decl +n "gmii_txd" +t "std_logic_vector" +b "(7 downto 0)" +o 15 +suid 15,0 +) +declText (MLText +uid 80,0 +va (VaSet +font "courier,8,0" +) +xt "20000,79875,43000,80775" +st "gmii_txd : std_logic_vector(7 downto 0) +" +) +) +*20 (PortIoOut +uid 87,0 +shape (CompositeShape +uid 88,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 89,0 +sl 0 +ro 270 +xt "92500,29625,94000,30375" +) +(Line +uid 90,0 +sl 0 +ro 270 +xt "92000,30000,92500,30000" +pts [ +"92000,30000" +"92500,30000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 91,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 92,0 +va (VaSet +font "courier,8,0" +) +xt "95000,29500,100500,30400" +st "gmii_tx_en" +blo "95000,30200" +tm "WireNameMgr" +) +) +) +*21 (Net +uid 93,0 +decl (Decl +n "gmii_tx_en" +t "std_logic" +o 16 +suid 16,0 +) +declText (MLText +uid 94,0 +va (VaSet +font "courier,8,0" +) +xt "20000,80775,33500,81675" +st "gmii_tx_en : std_logic +" +) +) +*22 (PortIoOut +uid 101,0 +shape (CompositeShape +uid 102,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 103,0 +sl 0 +ro 270 +xt "92500,30625,94000,31375" +) +(Line +uid 104,0 +sl 0 +ro 270 +xt "92000,31000,92500,31000" +pts [ +"92000,31000" +"92500,31000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 105,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 106,0 +va (VaSet +font "courier,8,0" +) +xt "95000,30500,100500,31400" +st "gmii_tx_er" +blo "95000,31200" +tm "WireNameMgr" +) +) +) +*23 (Net +uid 107,0 +decl (Decl +n "gmii_tx_er" +t "std_logic" +o 17 +suid 17,0 +) +declText (MLText +uid 108,0 +va (VaSet +font "courier,8,0" +) +xt "20000,81675,33500,82575" +st "gmii_tx_er : std_logic +" +) +) +*24 (PortIoIn +uid 115,0 +shape (CompositeShape +uid 116,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 117,0 +sl 0 +ro 270 +xt "-4000,51625,-2500,52375" +) +(Line +uid 118,0 +sl 0 +ro 270 +xt "-2500,52000,-2000,52000" +pts [ +"-2500,52000" +"-2000,52000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 119,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 120,0 +va (VaSet +font "courier,8,0" +) +xt "-11000,51500,-5000,52400" +st "gmii_rx_clk" +ju 2 +blo "-5000,52200" +tm "WireNameMgr" +) +) +) +*25 (Net +uid 121,0 +decl (Decl +n "gmii_rx_clk" +t "std_logic" +o 18 +suid 18,0 +) +declText (MLText +uid 122,0 +va (VaSet +font "courier,8,0" +) +xt "20000,82575,33500,83475" +st "gmii_rx_clk : std_logic +" +) +) +*26 (PortIoIn +uid 129,0 +shape (CompositeShape +uid 130,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 131,0 +sl 0 +ro 270 +xt "-4000,69625,-2500,70375" +) +(Line +uid 132,0 +sl 0 +ro 270 +xt "-2500,70000,-2000,70000" +pts [ +"-2500,70000" +"-2000,70000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 133,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 134,0 +va (VaSet +font "courier,8,0" +) +xt "-9000,69500,-5000,70400" +st "gmii_rxd" +ju 2 +blo "-5000,70200" +tm "WireNameMgr" +) +) +) +*27 (Net +uid 135,0 +decl (Decl +n "gmii_rxd" +t "std_logic_vector" +b "(7 downto 0)" +o 19 +suid 19,0 +) +declText (MLText +uid 136,0 +va (VaSet +font "courier,8,0" +) +xt "20000,83475,43000,84375" +st "gmii_rxd : std_logic_vector(7 downto 0) +" +) +) +*28 (PortIoIn +uid 143,0 +shape (CompositeShape +uid 144,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 145,0 +sl 0 +ro 270 +xt "23000,-375,24500,375" +) +(Line +uid 146,0 +sl 0 +ro 270 +xt "24500,0,25000,0" +pts [ +"24500,0" +"25000,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 147,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 148,0 +va (VaSet +font "courier,8,0" +) +xt "16500,-500,22000,400" +st "gmii_rx_dv" +ju 2 +blo "22000,200" +tm "WireNameMgr" +) +) +) +*29 (Net +uid 149,0 +decl (Decl +n "gmii_rx_dv" +t "std_logic" +o 20 +suid 20,0 +) +declText (MLText +uid 150,0 +va (VaSet +font "courier,8,0" +) +xt "20000,84375,33500,85275" +st "gmii_rx_dv : std_logic +" +) +) +*30 (PortIoIn +uid 157,0 +shape (CompositeShape +uid 158,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 159,0 +sl 0 +ro 270 +xt "1000,57625,2500,58375" +) +(Line +uid 160,0 +sl 0 +ro 270 +xt "2500,58000,3000,58000" +pts [ +"2500,58000" +"3000,58000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 161,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 162,0 +va (VaSet +font "courier,8,0" +) +xt "-5500,57500,0,58400" +st "gmii_rx_er" +ju 2 +blo "0,58200" +tm "WireNameMgr" +) +) +) +*31 (Net +uid 163,0 +decl (Decl +n "gmii_rx_er" +t "std_logic" +o 21 +suid 21,0 +) +declText (MLText +uid 164,0 +va (VaSet +font "courier,8,0" +) +xt "20000,85275,33500,86175" +st "gmii_rx_er : std_logic +" +) +) +*32 (PortIoIn +uid 171,0 +shape (CompositeShape +uid 172,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 173,0 +sl 0 +ro 270 +xt "46000,15625,47500,16375" +) +(Line +uid 174,0 +sl 0 +ro 270 +xt "47500,16000,48000,16000" +pts [ +"47500,16000" +"48000,16000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 175,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 176,0 +va (VaSet +font "courier,8,0" +) +xt "43500,15500,45000,16400" +st "txd" +ju 2 +blo "45000,16200" +tm "WireNameMgr" +) +) +) +*33 (Net +uid 177,0 +decl (Decl +n "txd" +t "std_logic_vector" +b "(7 downto 0)" +o 22 +suid 22,0 +) +declText (MLText +uid 178,0 +va (VaSet +font "courier,8,0" +) +xt "20000,86175,43000,87075" +st "txd : std_logic_vector(7 downto 0) +" +) +) +*34 (PortIoIn +uid 185,0 +shape (CompositeShape +uid 186,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 187,0 +sl 0 +ro 270 +xt "46000,16625,47500,17375" +) +(Line +uid 188,0 +sl 0 +ro 270 +xt "47500,17000,48000,17000" +pts [ +"47500,17000" +"48000,17000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 189,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 190,0 +va (VaSet +font "courier,8,0" +) +xt "42000,16500,45000,17400" +st "txdvld" +ju 2 +blo "45000,17200" +tm "WireNameMgr" +) +) +) +*35 (Net +uid 191,0 +decl (Decl +n "txdvld" +t "std_logic" +o 23 +suid 23,0 +) +declText (MLText +uid 192,0 +va (VaSet +font "courier,8,0" +) +xt "20000,87075,33500,87975" +st "txdvld : std_logic +" +) +) +*36 (PortIoOut +uid 199,0 +shape (CompositeShape +uid 200,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 201,0 +sl 0 +ro 270 +xt "76500,14625,78000,15375" +) +(Line +uid 202,0 +sl 0 +ro 270 +xt "76000,15000,76500,15000" +pts [ +"76000,15000" +"76500,15000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 203,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 204,0 +va (VaSet +font "courier,8,0" +) +xt "79000,14500,81500,15400" +st "txack" +blo "79000,15200" +tm "WireNameMgr" +) +) +) +*37 (Net +uid 205,0 +decl (Decl +n "txack" +t "std_logic" +o 24 +suid 24,0 +) +declText (MLText +uid 206,0 +va (VaSet +font "courier,8,0" +) +xt "20000,87975,33500,88875" +st "txack : std_logic +" +) +) +*38 (PortIoOut +uid 213,0 +shape (CompositeShape +uid 214,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 215,0 +sl 0 +ro 270 +xt "65500,57625,67000,58375" +) +(Line +uid 216,0 +sl 0 +ro 270 +xt "65000,58000,65500,58000" +pts [ +"65000,58000" +"65500,58000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 217,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 218,0 +va (VaSet +font "courier,8,0" +) +xt "68000,57500,71000,58400" +st "rxclko" +blo "68000,58200" +tm "WireNameMgr" +) +) +) +*39 (Net +uid 219,0 +decl (Decl +n "rxclko" +t "std_logic" +o 25 +suid 25,0 +) +declText (MLText +uid 220,0 +va (VaSet +font "courier,8,0" +) +xt "20000,88875,33500,89775" +st "rxclko : std_logic +" +) +) +*40 (PortIoOut +uid 227,0 +shape (CompositeShape +uid 228,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 229,0 +sl 0 +ro 270 +xt "76500,15625,78000,16375" +) +(Line +uid 230,0 +sl 0 +ro 270 +xt "76000,16000,76500,16000" +pts [ +"76000,16000" +"76500,16000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 231,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 232,0 +va (VaSet +font "courier,8,0" +) +xt "79000,15500,80500,16400" +st "rxd" +blo "79000,16200" +tm "WireNameMgr" +) +) +) +*41 (Net +uid 233,0 +decl (Decl +n "rxd" +t "std_logic_vector" +b "(7 downto 0)" +o 26 +suid 26,0 +) +declText (MLText +uid 234,0 +va (VaSet +font "courier,8,0" +) +xt "20000,89775,43000,90675" +st "rxd : std_logic_vector(7 downto 0) +" +) +) +*42 (PortIoOut +uid 241,0 +shape (CompositeShape +uid 242,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 243,0 +sl 0 +ro 270 +xt "76500,16625,78000,17375" +) +(Line +uid 244,0 +sl 0 +ro 270 +xt "76000,17000,76500,17000" +pts [ +"76000,17000" +"76500,17000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 245,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 246,0 +va (VaSet +font "courier,8,0" +) +xt "79000,16500,82000,17400" +st "rxdvld" +blo "79000,17200" +tm "WireNameMgr" +) +) +) +*43 (Net +uid 247,0 +decl (Decl +n "rxdvld" +t "std_logic" +o 27 +suid 27,0 +) +declText (MLText +uid 248,0 +va (VaSet +font "courier,8,0" +) +xt "20000,90675,33500,91575" +st "rxdvld : std_logic +" +) +) +*44 (PortIoOut +uid 255,0 +shape (CompositeShape +uid 256,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 257,0 +sl 0 +ro 270 +xt "76500,17625,78000,18375" +) +(Line +uid 258,0 +sl 0 +ro 270 +xt "76000,18000,76500,18000" +pts [ +"76000,18000" +"76500,18000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 259,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 260,0 +va (VaSet +font "courier,8,0" +) +xt "79000,17500,85000,18400" +st "rxgoodframe" +blo "79000,18200" +tm "WireNameMgr" +) +) +) +*45 (Net +uid 261,0 +decl (Decl +n "rxgoodframe" +t "std_logic" +o 28 +suid 28,0 +) +declText (MLText +uid 262,0 +va (VaSet +font "courier,8,0" +) +xt "20000,91575,33500,92475" +st "rxgoodframe : std_logic +" +) +) +*46 (PortIoOut +uid 269,0 +shape (CompositeShape +uid 270,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 271,0 +sl 0 +ro 270 +xt "76500,18625,78000,19375" +) +(Line +uid 272,0 +sl 0 +ro 270 +xt "76000,19000,76500,19000" +pts [ +"76000,19000" +"76500,19000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 273,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 274,0 +va (VaSet +font "courier,8,0" +) +xt "79000,18500,84500,19400" +st "rxbadframe" +blo "79000,19200" +tm "WireNameMgr" +) +) +) +*47 (Net +uid 275,0 +decl (Decl +n "rxbadframe" +t "std_logic" +o 29 +suid 29,0 +) +declText (MLText +uid 276,0 +va (VaSet +font "courier,8,0" +) +xt "20000,92475,33500,93375" +st "rxbadframe : std_logic +" +) +) +*48 (PortIoIn +uid 283,0 +shape (CompositeShape +uid 284,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 285,0 +sl 0 +ro 270 +xt "-4000,1625,-2500,2375" +) +(Line +uid 286,0 +sl 0 +ro 270 +xt "-2500,2000,-2000,2000" +pts [ +"-2500,2000" +"-2000,2000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 287,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 288,0 +va (VaSet +font "courier,8,0" +) +xt "-10500,1500,-5000,2400" +st "hostbus_in" +ju 2 +blo "-5000,2200" +tm "WireNameMgr" +) +) +) +*49 (Net +uid 289,0 +decl (Decl +n "hostbus_in" +t "emac_hostbus_in" +o 30 +suid 30,0 +) +declText (MLText +uid 290,0 +va (VaSet +font "courier,8,0" +) +xt "20000,93375,36500,94275" +st "hostbus_in : emac_hostbus_in +" +) +) +*50 (PortIoOut +uid 297,0 +shape (CompositeShape +uid 298,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 299,0 +sl 0 +ro 270 +xt "97500,2625,99000,3375" +) +(Line +uid 300,0 +sl 0 +ro 270 +xt "97000,3000,97500,3000" +pts [ +"97000,3000" +"97500,3000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 301,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 302,0 +va (VaSet +font "courier,8,0" +) +xt "100000,2500,106000,3400" +st "hostbus_out" +blo "100000,3200" +tm "WireNameMgr" +) +) +) +*51 (Net +uid 303,0 +decl (Decl +n "hostbus_out" +t "emac_hostbus_out" +o 31 +suid 31,0 +) +declText (MLText +uid 304,0 +va (VaSet +font "courier,8,0" +) +xt "20000,94275,37000,95175" +st "hostbus_out : emac_hostbus_out +" +) +) +*52 (SaComponent +uid 319,0 +optionalChildren [ +*53 (CptPort +uid 329,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 330,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "6250,30625,7000,31375" +) +tg (CPTG +uid 331,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 332,0 +va (VaSet +font "courier,8,0" +) +xt "8000,30550,8500,31450" +st "i" +blo "8000,31250" +) +) +thePort (LogicalPort +decl (Decl +n "i" +t "bit" +preAdd 0 +posAdd 0 +o 1 +) +) +) +*54 (CptPort +uid 333,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 334,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "12000,30625,12750,31375" +) +tg (CPTG +uid 335,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 336,0 +va (VaSet +font "courier,8,0" +) +xt "8500,30550,11000,31450" +st "ioclk" +ju 2 +blo "11000,31250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "ioclk" +t "bit" +preAdd 0 +posAdd 0 +o 2 +) +) +) +] +shape (Rectangle +uid 320,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "7000,29000,12000,32000" +) +ttg (MlTextGroup +uid 321,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*55 (Text +uid 322,0 +va (VaSet +font "courier,8,1" +) +xt "6250,32000,12750,32900" +st "fmc_mTLU_lib" +blo "6250,32700" +tm "BdLibraryNameMgr" +) +*56 (Text +uid 323,0 +va (VaSet +font "courier,8,1" +) +xt "6250,32900,9250,33800" +st "bufio2" +blo "6250,33600" +tm "CptNameMgr" +) +*57 (Text +uid 324,0 +va (VaSet +font "courier,8,1" +) +xt "6250,33800,9250,34700" +st "bufio0" +blo "6250,34500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 325,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 326,0 +text (MLText +uid 327,0 +va (VaSet +font "courier,8,0" +) +xt "6750,29000,6750,29000" +) +header "" +) +elements [ +] +includeGenericsInHDL 0 +) +viewicon (ZoomableIcon +uid 328,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "7250,30250,8750,31750" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*58 (SaComponent +uid 349,0 +optionalChildren [ +*59 (CptPort +uid 359,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 360,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "29250,15625,30000,16375" +) +tg (CPTG +uid 361,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 362,0 +va (VaSet +font "courier,8,0" +) +xt "31000,15550,31500,16450" +st "i" +blo "31000,16250" +) +) +thePort (LogicalPort +decl (Decl +n "i" +t "bit" +preAdd 0 +posAdd 0 +o 1 +) +) +) +*60 (CptPort +uid 363,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 364,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33000,15625,33750,16375" +) +tg (CPTG +uid 365,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 366,0 +va (VaSet +font "courier,8,0" +) +xt "31500,15550,32000,16450" +st "o" +ju 2 +blo "32000,16250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "o" +t "bit" +preAdd 0 +posAdd 0 +o 2 +) +) +) +] +shape (Rectangle +uid 350,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "30000,14000,33000,17000" +) +ttg (MlTextGroup +uid 351,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*61 (Text +uid 352,0 +va (VaSet +font "courier,8,1" +) +xt "30000,17000,33000,17900" +st "UNISIM" +blo "30000,17700" +tm "BdLibraryNameMgr" +) +*62 (Text +uid 353,0 +va (VaSet +font "courier,8,1" +) +xt "30000,17900,32000,18800" +st "BUFG" +blo "30000,18600" +tm "CptNameMgr" +) +*63 (Text +uid 354,0 +va (VaSet +font "courier,8,1" +) +xt "30000,18800,32500,19700" +st "bufg0" +blo "30000,19500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 355,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 356,0 +text (MLText +uid 357,0 +va (VaSet +font "courier,8,0" +) +xt "31500,14000,31500,14000" +) +header "" +) +elements [ +] +includeGenericsInHDL 0 +) +viewicon (ZoomableIcon +uid 358,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "30250,15250,31750,16750" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*64 (SaComponent +uid 423,0 +optionalChildren [ +*65 (CptPort +uid 433,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 434,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36000,-375,36750,375" +) +tg (CPTG +uid 435,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 436,0 +va (VaSet +font "courier,8,0" +) +xt "33500,-450,35000,450" +st "cal" +ju 2 +blo "35000,250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "cal" +t "bit" +preAdd 0 +posAdd 0 +o 1 +) +) +) +*66 (CptPort +uid 437,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 438,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26250,625,27000,1375" +) +tg (CPTG +uid 439,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 440,0 +va (VaSet +font "courier,8,0" +) +xt "28000,550,29000,1450" +st "ce" +blo "28000,1250" +) +) +thePort (LogicalPort +decl (Decl +n "ce" +t "bit" +preAdd 0 +posAdd 0 +o 2 +) +) +) +*67 (CptPort +uid 441,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 442,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26250,1625,27000,2375" +) +tg (CPTG +uid 443,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 444,0 +va (VaSet +font "courier,8,0" +) +xt "28000,1550,29500,2450" +st "clk" +blo "28000,2250" +) +) +thePort (LogicalPort +decl (Decl +n "clk" +t "bit" +preAdd 0 +posAdd 0 +o 3 +) +) +) +*68 (CptPort +uid 445,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 446,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36000,6625,36750,7375" +) +tg (CPTG +uid 447,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 448,0 +va (VaSet +font "courier,8,0" +) +xt "31500,6550,35000,7450" +st "dataout" +ju 2 +blo "35000,7250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataout" +t "bit" +preAdd 0 +posAdd 0 +o 4 +) +) +) +*69 (CptPort +uid 449,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 450,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26250,-375,27000,375" +) +tg (CPTG +uid 451,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 452,0 +va (VaSet +font "courier,8,0" +) +xt "28000,-450,31500,450" +st "idatain" +blo "28000,250" +) +) +thePort (LogicalPort +decl (Decl +n "idatain" +t "bit" +preAdd 0 +posAdd 0 +o 5 +) +) +) +*70 (CptPort +uid 453,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 454,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26250,2625,27000,3375" +) +tg (CPTG +uid 455,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 456,0 +va (VaSet +font "courier,8,0" +) +xt "28000,2550,29500,3450" +st "inc" +blo "28000,3250" +) +) +thePort (LogicalPort +decl (Decl +n "inc" +t "bit" +preAdd 0 +posAdd 0 +o 6 +) +) +) +*71 (CptPort +uid 457,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 458,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26250,3625,27000,4375" +) +tg (CPTG +uid 459,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 460,0 +va (VaSet +font "courier,8,0" +) +xt "28000,3550,31000,4450" +st "ioclk0" +blo "28000,4250" +) +) +thePort (LogicalPort +decl (Decl +n "ioclk0" +t "bit" +preAdd 0 +posAdd 0 +o 7 +) +) +) +*72 (CptPort +uid 461,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 462,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26250,4625,27000,5375" +) +tg (CPTG +uid 463,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 464,0 +va (VaSet +font "courier,8,0" +) +xt "28000,4550,31000,5450" +st "ioclk1" +blo "28000,5250" +) +) +thePort (LogicalPort +decl (Decl +n "ioclk1" +t "bit" +preAdd 0 +posAdd 0 +o 8 +) +) +) +*73 (CptPort +uid 465,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 466,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26250,5625,27000,6375" +) +tg (CPTG +uid 467,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 468,0 +va (VaSet +font "courier,8,0" +) +xt "28000,5550,31500,6450" +st "odatain" +blo "28000,6250" +) +) +thePort (LogicalPort +decl (Decl +n "odatain" +t "bit" +preAdd 0 +posAdd 0 +o 9 +) +) +) +*74 (CptPort +uid 469,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 470,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26250,6625,27000,7375" +) +tg (CPTG +uid 471,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 472,0 +va (VaSet +font "courier,8,0" +) +xt "28000,6550,29500,7450" +st "rst" +blo "28000,7250" +) +) +thePort (LogicalPort +decl (Decl +n "rst" +t "bit" +preAdd 0 +posAdd 0 +o 10 +) +) +) +*75 (CptPort +uid 473,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 474,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36000,625,36750,1375" +) +tg (CPTG +uid 475,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 476,0 +va (VaSet +font "courier,8,0" +) +xt "34500,550,35000,1450" +st "t" +ju 2 +blo "35000,1250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "t" +t "bit" +preAdd 0 +posAdd 0 +o 11 +) +) +) +*76 (PortMapFrame +uid 477,0 +ps "PortMapFrameStrategy" +shape (RectFrame +uid 478,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "25000,-3000,38000,10000" +) +portMapText (BiTextGroup +uid 479,0 +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +uid 480,0 +va (VaSet +font "courier,8,0" +) +xt "38000,10000,51500,11800" +st "idatain => gmii_rx_dv, +dataout => gmii_rx_dv_del," +) +second (MLText +uid 481,0 +va (VaSet +font "courier,8,0" +) +xt "38000,11800,46200,19900" +st "cal => '0', +ce => '0', +clk => '0', +inc => '0', +ioclk0 => '0', +ioclk1 => '0', +odatain => '0', +rst => '0', +t => '1'" +tm "PortMapTextMgr" +) +) +) +*77 (CommentText +uid 494,0 +ps "EdgeToEdgeStrategy" +shape (Rectangle +uid 495,0 +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "27000,-1000,42000,3000" +) +text (MLText +uid 496,0 +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "27200,-800,41200,1000" +st " + Delay element on rx clock for phase alignment +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 15000 +) +included 3 +) +] +shape (Rectangle +uid 424,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "27000,-1000,36000,8000" +) +ttg (MlTextGroup +uid 425,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*78 (Text +uid 426,0 +va (VaSet +font "courier,8,1" +) +xt "28250,8000,34750,8900" +st "fmc_mTLU_lib" +blo "28250,8700" +tm "BdLibraryNameMgr" +) +*79 (Text +uid 427,0 +va (VaSet +font "courier,8,1" +) +xt "28250,8900,32250,9800" +st "iodelay2" +blo "28250,9600" +tm "CptNameMgr" +) +*80 (Text +uid 428,0 +va (VaSet +font "courier,8,1" +) +xt "28250,9800,33750,10700" +st "iodelay_dv" +blo "28250,10500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 429,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 430,0 +text (MLText +uid 431,0 +va (VaSet +font "courier,8,0" +) +xt "26750,-1000,26750,-1000" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +uid 432,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "27250,6250,28750,7750" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*81 (SaComponent +uid 497,0 +optionalChildren [ +*82 (CptPort +uid 507,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 508,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14000,58625,14750,59375" +) +tg (CPTG +uid 509,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 510,0 +va (VaSet +font "courier,8,0" +) +xt "11500,58550,13000,59450" +st "cal" +ju 2 +blo "13000,59250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "cal" +t "bit" +preAdd 0 +posAdd 0 +o 1 +) +) +) +*83 (CptPort +uid 511,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 512,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "4250,58625,5000,59375" +) +tg (CPTG +uid 513,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 514,0 +va (VaSet +font "courier,8,0" +) +xt "6000,58550,7000,59450" +st "ce" +blo "6000,59250" +) +) +thePort (LogicalPort +decl (Decl +n "ce" +t "bit" +preAdd 0 +posAdd 0 +o 2 +) +) +) +*84 (CptPort +uid 515,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 516,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "4250,59625,5000,60375" +) +tg (CPTG +uid 517,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 518,0 +va (VaSet +font "courier,8,0" +) +xt "6000,59550,7500,60450" +st "clk" +blo "6000,60250" +) +) +thePort (LogicalPort +decl (Decl +n "clk" +t "bit" +preAdd 0 +posAdd 0 +o 3 +) +) +) +*85 (CptPort +uid 519,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 520,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14000,57625,14750,58375" +) +tg (CPTG +uid 521,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 522,0 +va (VaSet +font "courier,8,0" +) +xt "9500,57550,13000,58450" +st "dataout" +ju 2 +blo "13000,58250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataout" +t "bit" +preAdd 0 +posAdd 0 +o 4 +) +) +) +*86 (CptPort +uid 523,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 524,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "4250,57625,5000,58375" +) +tg (CPTG +uid 525,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 526,0 +va (VaSet +font "courier,8,0" +) +xt "6000,57550,9500,58450" +st "idatain" +blo "6000,58250" +) +) +thePort (LogicalPort +decl (Decl +n "idatain" +t "bit" +preAdd 0 +posAdd 0 +o 5 +) +) +) +*87 (CptPort +uid 527,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 528,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "4250,60625,5000,61375" +) +tg (CPTG +uid 529,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 530,0 +va (VaSet +font "courier,8,0" +) +xt "6000,60550,7500,61450" +st "inc" +blo "6000,61250" +) +) +thePort (LogicalPort +decl (Decl +n "inc" +t "bit" +preAdd 0 +posAdd 0 +o 6 +) +) +) +*88 (CptPort +uid 531,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 532,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "4250,61625,5000,62375" +) +tg (CPTG +uid 533,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 534,0 +va (VaSet +font "courier,8,0" +) +xt "6000,61550,9000,62450" +st "ioclk0" +blo "6000,62250" +) +) +thePort (LogicalPort +decl (Decl +n "ioclk0" +t "bit" +preAdd 0 +posAdd 0 +o 7 +) +) +) +*89 (CptPort +uid 535,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 536,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "4250,62625,5000,63375" +) +tg (CPTG +uid 537,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 538,0 +va (VaSet +font "courier,8,0" +) +xt "6000,62550,9000,63450" +st "ioclk1" +blo "6000,63250" +) +) +thePort (LogicalPort +decl (Decl +n "ioclk1" +t "bit" +preAdd 0 +posAdd 0 +o 8 +) +) +) +*90 (CptPort +uid 539,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 540,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "4250,63625,5000,64375" +) +tg (CPTG +uid 541,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 542,0 +va (VaSet +font "courier,8,0" +) +xt "6000,63550,9500,64450" +st "odatain" +blo "6000,64250" +) +) +thePort (LogicalPort +decl (Decl +n "odatain" +t "bit" +preAdd 0 +posAdd 0 +o 9 +) +) +) +*91 (CptPort +uid 543,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 544,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "4250,64625,5000,65375" +) +tg (CPTG +uid 545,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 546,0 +va (VaSet +font "courier,8,0" +) +xt "6000,64550,7500,65450" +st "rst" +blo "6000,65250" +) +) +thePort (LogicalPort +decl (Decl +n "rst" +t "bit" +preAdd 0 +posAdd 0 +o 10 +) +) +) +*92 (CptPort +uid 547,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 548,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14000,59625,14750,60375" +) +tg (CPTG +uid 549,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 550,0 +va (VaSet +font "courier,8,0" +) +xt "12500,59550,13000,60450" +st "t" +ju 2 +blo "13000,60250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "t" +t "bit" +preAdd 0 +posAdd 0 +o 11 +) +) +) +*93 (PortMapFrame +uid 551,0 +ps "PortMapFrameStrategy" +shape (RectFrame +uid 552,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "3000,55000,16000,68000" +) +portMapText (BiTextGroup +uid 553,0 +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +uid 554,0 +va (VaSet +font "courier,8,0" +) +xt "16000,68000,29500,69800" +st "idatain => gmii_rx_er, +dataout => gmii_rx_er_del," +) +second (MLText +uid 555,0 +va (VaSet +font "courier,8,0" +) +xt "16000,69800,24200,77900" +st "cal => '0', +ce => '0', +clk => '0', +inc => '0', +ioclk0 => '0', +ioclk1 => '0', +odatain => '0', +rst => '0', +t => '1'" +tm "PortMapTextMgr" +) +) +) +*94 (CommentText +uid 568,0 +ps "EdgeToEdgeStrategy" +shape (Rectangle +uid 569,0 +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "5000,57000,20000,61000" +) +text (MLText +uid 570,0 +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "5200,57200,18200,59000" +st " + Delay element for phase alignment +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 15000 +) +included 3 +) +] +shape (Rectangle +uid 498,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "5000,57000,14000,66000" +) +ttg (MlTextGroup +uid 499,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*95 (Text +uid 500,0 +va (VaSet +font "courier,8,1" +) +xt "6250,66000,12750,66900" +st "fmc_mTLU_lib" +blo "6250,66700" +tm "BdLibraryNameMgr" +) +*96 (Text +uid 501,0 +va (VaSet +font "courier,8,1" +) +xt "6250,66900,10250,67800" +st "iodelay2" +blo "6250,67600" +tm "CptNameMgr" +) +*97 (Text +uid 502,0 +va (VaSet +font "courier,8,1" +) +xt "6250,67800,11750,68700" +st "iodelay_er" +blo "6250,68500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 503,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 504,0 +text (MLText +uid 505,0 +va (VaSet +font "courier,8,0" +) +xt "4750,57000,4750,57000" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +uid 506,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "5250,64250,6750,65750" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*98 (SaComponent +uid 603,0 +optionalChildren [ +*99 (CptPort +uid 613,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 614,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "59250,44625,60000,45375" +) +tg (CPTG +uid 615,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 616,0 +va (VaSet +font "courier,8,0" +) +xt "61000,44550,62000,45450" +st "c0" +blo "61000,45250" +) +) +thePort (LogicalPort +decl (Decl +n "c0" +t "bit" +preAdd 0 +posAdd 0 +o 1 +) +) +) +*100 (CptPort +uid 617,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 618,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "64000,40625,64750,41375" +) +tg (CPTG +uid 619,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 620,0 +va (VaSet +font "courier,8,0" +) +xt "62000,40550,63000,41450" +st "c1" +ju 2 +blo "63000,41250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "c1" +t "bit" +preAdd 0 +posAdd 0 +o 2 +) +) +) +*101 (CptPort +uid 621,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 622,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "59250,39625,60000,40375" +) +tg (CPTG +uid 623,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 624,0 +va (VaSet +font "courier,8,0" +) +xt "61000,39550,62000,40450" +st "ce" +blo "61000,40250" +) +) +thePort (LogicalPort +decl (Decl +n "ce" +t "bit" +preAdd 0 +posAdd 0 +o 3 +) +) +) +*102 (CptPort +uid 625,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 626,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "59250,40625,60000,41375" +) +tg (CPTG +uid 627,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 628,0 +va (VaSet +font "courier,8,0" +) +xt "61000,40550,62000,41450" +st "d0" +blo "61000,41250" +) +) +thePort (LogicalPort +decl (Decl +n "d0" +t "bit" +preAdd 0 +posAdd 0 +o 4 +) +) +) +*103 (CptPort +uid 629,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 630,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "59250,41625,60000,42375" +) +tg (CPTG +uid 631,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 632,0 +va (VaSet +font "courier,8,0" +) +xt "61000,41550,62000,42450" +st "d1" +blo "61000,42250" +) +) +thePort (LogicalPort +decl (Decl +n "d1" +t "bit" +preAdd 0 +posAdd 0 +o 5 +) +) +) +*104 (CptPort +uid 633,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 634,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "64000,39625,64750,40375" +) +tg (CPTG +uid 635,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 636,0 +va (VaSet +font "courier,8,0" +) +xt "62500,39550,63000,40450" +st "q" +ju 2 +blo "63000,40250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "q" +t "bit" +preAdd 0 +posAdd 0 +o 6 +) +) +) +*105 (CptPort +uid 637,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 638,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "59250,42625,60000,43375" +) +tg (CPTG +uid 639,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 640,0 +va (VaSet +font "courier,8,0" +) +xt "61000,42550,61500,43450" +st "r" +blo "61000,43250" +) +) +thePort (LogicalPort +decl (Decl +n "r" +t "bit" +preAdd 0 +posAdd 0 +o 7 +) +) +) +*106 (CptPort +uid 641,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 642,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "59250,43625,60000,44375" +) +tg (CPTG +uid 643,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 644,0 +va (VaSet +font "courier,8,0" +) +xt "61000,43550,61500,44450" +st "s" +blo "61000,44250" +) +) +thePort (LogicalPort +decl (Decl +n "s" +t "bit" +preAdd 0 +posAdd 0 +o 8 +) +) +) +*107 (PortMapFrame +uid 645,0 +ps "PortMapFrameStrategy" +shape (RectFrame +uid 646,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "58000,37000,66000,48000" +) +portMapText (BiTextGroup +uid 647,0 +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +uid 648,0 +va (VaSet +font "courier,8,0" +) +xt "66000,48000,75500,49800" +st "c0 => clk125, +q => gmii_gtx_clk," +) +second (MLText +uid 649,0 +va (VaSet +font "courier,8,0" +) +xt "66000,49800,75000,55200" +st "c1 => not clk125, +ce => '1', +d0 => '0', +d1 => '1', +r => '0', +s => '0'" +tm "PortMapTextMgr" +) +) +) +*108 (CommentText +uid 662,0 +ps "EdgeToEdgeStrategy" +shape (Rectangle +uid 663,0 +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "60000,39000,75000,43000" +) +text (MLText +uid 664,0 +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "60200,39200,72700,41000" +st " + DDR register for clock forwarding +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 15000 +) +included 3 +) +] +shape (Rectangle +uid 604,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "60000,39000,64000,46000" +) +ttg (MlTextGroup +uid 605,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*109 (Text +uid 606,0 +va (VaSet +font "courier,8,1" +) +xt "58750,46000,65250,46900" +st "fmc_mTLU_lib" +blo "58750,46700" +tm "BdLibraryNameMgr" +) +*110 (Text +uid 607,0 +va (VaSet +font "courier,8,1" +) +xt "58750,46900,61250,47800" +st "oddr2" +blo "58750,47600" +tm "CptNameMgr" +) +*111 (Text +uid 608,0 +va (VaSet +font "courier,8,1" +) +xt "58750,47800,61250,48700" +st "oddr0" +blo "58750,48500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 609,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 610,0 +text (MLText +uid 611,0 +va (VaSet +font "courier,8,0" +) +xt "59750,39000,59750,39000" +) +header "" +) +elements [ +] +includeGenericsInHDL 0 +) +viewicon (ZoomableIcon +uid 612,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "60250,44250,61750,45750" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*112 (SaComponent +uid 821,0 +optionalChildren [ +*113 (CptPort +uid 831,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 832,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "49250,14625,50000,15375" +) +tg (CPTG +uid 833,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 834,0 +va (VaSet +font "courier,8,0" +) +xt "51000,14550,53500,15450" +st "reset" +blo "51000,15250" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_logic" +preAdd 0 +posAdd 0 +o 1 +) +) +) +*114 (CptPort +uid 835,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 836,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74000,20625,74750,21375" +) +tg (CPTG +uid 837,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 838,0 +va (VaSet +font "courier,8,0" +) +xt "67500,20550,73000,21450" +st "emacphytxd" +ju 2 +blo "73000,21250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "emacphytxd" +t "std_logic_vector" +b "(7 downto 0)" +preAdd 0 +posAdd 0 +o 2 +) +) +) +*115 (CptPort +uid 839,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 840,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74000,21625,74750,22375" +) +tg (CPTG +uid 841,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 842,0 +va (VaSet +font "courier,8,0" +) +xt "67000,21550,73000,22450" +st "emacphytxen" +ju 2 +blo "73000,22250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "emacphytxen" +t "std_logic" +preAdd 0 +posAdd 0 +o 3 +) +) +) +*116 (CptPort +uid 843,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 844,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74000,22625,74750,23375" +) +tg (CPTG +uid 845,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 846,0 +va (VaSet +font "courier,8,0" +) +xt "67000,22550,73000,23450" +st "emacphytxer" +ju 2 +blo "73000,23250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "emacphytxer" +t "std_logic" +preAdd 0 +posAdd 0 +o 4 +) +) +) +*117 (CptPort +uid 847,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 848,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "49250,19625,50000,20375" +) +tg (CPTG +uid 849,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 850,0 +va (VaSet +font "courier,8,0" +) +xt "51000,19550,56500,20450" +st "phyemacrxd" +blo "51000,20250" +) +) +thePort (LogicalPort +decl (Decl +n "phyemacrxd" +t "std_logic_vector" +b "(7 downto 0)" +preAdd 0 +posAdd 0 +o 5 +) +) +) +*118 (CptPort +uid 851,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 852,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "49250,20625,50000,21375" +) +tg (CPTG +uid 853,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 854,0 +va (VaSet +font "courier,8,0" +) +xt "51000,20550,57000,21450" +st "phyemacrxdv" +blo "51000,21250" +) +) +thePort (LogicalPort +decl (Decl +n "phyemacrxdv" +t "std_logic" +preAdd 0 +posAdd 0 +o 6 +) +) +) +*119 (CptPort +uid 855,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 856,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "49250,21625,50000,22375" +) +tg (CPTG +uid 857,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 858,0 +va (VaSet +font "courier,8,0" +) +xt "51000,21550,57000,22450" +st "phyemacrxer" +blo "51000,22250" +) +) +thePort (LogicalPort +decl (Decl +n "phyemacrxer" +t "std_logic" +preAdd 0 +posAdd 0 +o 7 +) +) +) +*120 (CptPort +uid 859,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 860,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74000,23625,74750,24375" +) +tg (CPTG +uid 861,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 862,0 +va (VaSet +font "courier,8,0" +) +xt "65500,23550,73000,24450" +st "emacphymclkout" +ju 2 +blo "73000,24250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "emacphymclkout" +t "std_logic" +preAdd 0 +posAdd 0 +o 8 +) +) +) +*121 (CptPort +uid 863,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 864,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74000,24625,74750,25375" +) +tg (CPTG +uid 865,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 866,0 +va (VaSet +font "courier,8,0" +) +xt "66500,24550,73000,25450" +st "emacphymdtri" +ju 2 +blo "73000,25250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "emacphymdtri" +t "std_logic" +preAdd 0 +posAdd 0 +o 9 +) +) +) +*122 (CptPort +uid 867,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 868,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74000,25625,74750,26375" +) +tg (CPTG +uid 869,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 870,0 +va (VaSet +font "courier,8,0" +) +xt "66500,25550,73000,26450" +st "emacphymdout" +ju 2 +blo "73000,26250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "emacphymdout" +t "std_logic" +preAdd 0 +posAdd 0 +o 10 +) +) +) +*123 (CptPort +uid 871,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 872,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "49250,22625,50000,23375" +) +tg (CPTG +uid 873,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 874,0 +va (VaSet +font "courier,8,0" +) +xt "51000,22550,57000,23450" +st "phyemacmdin" +blo "51000,23250" +) +) +thePort (LogicalPort +decl (Decl +n "phyemacmdin" +t "std_logic" +preAdd 0 +posAdd 0 +o 11 +) +) +) +*124 (CptPort +uid 875,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 876,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "49250,15625,50000,16375" +) +tg (CPTG +uid 877,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 878,0 +va (VaSet +font "courier,8,0" +) +xt "51000,15550,58000,16450" +st "clientemactxd" +blo "51000,16250" +) +) +thePort (LogicalPort +decl (Decl +n "clientemactxd" +t "std_logic_vector" +b "(7 downto 0)" +preAdd 0 +posAdd 0 +o 12 +) +) +) +*125 (CptPort +uid 879,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 880,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "49250,16625,50000,17375" +) +tg (CPTG +uid 881,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 882,0 +va (VaSet +font "courier,8,0" +) +xt "51000,16550,59500,17450" +st "clientemactxdvld" +blo "51000,17250" +) +) +thePort (LogicalPort +decl (Decl +n "clientemactxdvld" +t "std_logic" +preAdd 0 +posAdd 0 +o 13 +) +) +) +*126 (CptPort +uid 883,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 884,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74000,14625,74750,15375" +) +tg (CPTG +uid 885,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 886,0 +va (VaSet +font "courier,8,0" +) +xt "65000,14550,73000,15450" +st "emacclienttxack" +ju 2 +blo "73000,15250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "emacclienttxack" +t "std_logic" +preAdd 0 +posAdd 0 +o 14 +) +) +) +*127 (CptPort +uid 887,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 888,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "49250,23625,50000,24375" +) +tg (CPTG +uid 889,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 890,0 +va (VaSet +font "courier,8,0" +) +xt "51000,23550,61500,24450" +st "clientemactxunderrun" +blo "51000,24250" +) +) +thePort (LogicalPort +decl (Decl +n "clientemactxunderrun" +t "std_logic" +preAdd 0 +posAdd 0 +o 15 +) +) +) +*128 (CptPort +uid 891,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 892,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "49250,24625,50000,25375" +) +tg (CPTG +uid 893,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 894,0 +va (VaSet +font "courier,8,0" +) +xt "51000,24550,61500,25450" +st "clientemactxifgdelay" +blo "51000,25250" +) +) +thePort (LogicalPort +decl (Decl +n "clientemactxifgdelay" +t "std_logic_vector" +b "(7 downto 0)" +preAdd 0 +posAdd 0 +o 16 +) +) +) +*129 (CptPort +uid 895,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 896,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "49250,25625,50000,26375" +) +tg (CPTG +uid 897,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 898,0 +va (VaSet +font "courier,8,0" +) +xt "51000,25550,60500,26450" +st "clientemacpausereq" +blo "51000,26250" +) +) +thePort (LogicalPort +decl (Decl +n "clientemacpausereq" +t "std_logic" +preAdd 0 +posAdd 0 +o 17 +) +) +) +*130 (CptPort +uid 899,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 900,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "49250,26625,50000,27375" +) +tg (CPTG +uid 901,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 902,0 +va (VaSet +font "courier,8,0" +) +xt "51000,26550,60500,27450" +st "clientemacpauseval" +blo "51000,27250" +) +) +thePort (LogicalPort +decl (Decl +n "clientemacpauseval" +t "std_logic_vector" +b "(15 downto 0)" +preAdd 0 +posAdd 0 +o 18 +) +) +) +*131 (CptPort +uid 903,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 904,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74000,15625,74750,16375" +) +tg (CPTG +uid 905,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 906,0 +va (VaSet +font "courier,8,0" +) +xt "66000,15550,73000,16450" +st "emacclientrxd" +ju 2 +blo "73000,16250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "emacclientrxd" +t "std_logic_vector" +b "(7 downto 0)" +preAdd 0 +posAdd 0 +o 19 +) +) +) +*132 (CptPort +uid 907,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 908,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74000,16625,74750,17375" +) +tg (CPTG +uid 909,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 910,0 +va (VaSet +font "courier,8,0" +) +xt "64500,16550,73000,17450" +st "emacclientrxdvld" +ju 2 +blo "73000,17250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "emacclientrxdvld" +t "std_logic" +preAdd 0 +posAdd 0 +o 20 +) +) +) +*133 (CptPort +uid 911,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 912,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74000,17625,74750,18375" +) +tg (CPTG +uid 913,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 914,0 +va (VaSet +font "courier,8,0" +) +xt "62000,17550,73000,18450" +st "emacclientrxgoodframe" +ju 2 +blo "73000,18250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "emacclientrxgoodframe" +t "std_logic" +preAdd 0 +posAdd 0 +o 21 +) +) +) +*134 (CptPort +uid 915,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 916,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74000,18625,74750,19375" +) +tg (CPTG +uid 917,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 918,0 +va (VaSet +font "courier,8,0" +) +xt "62500,18550,73000,19450" +st "emacclientrxbadframe" +ju 2 +blo "73000,19250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "emacclientrxbadframe" +t "std_logic" +preAdd 0 +posAdd 0 +o 22 +) +) +) +*135 (CptPort +uid 919,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 920,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74000,26625,74750,27375" +) +tg (CPTG +uid 921,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 922,0 +va (VaSet +font "courier,8,0" +) +xt "64000,26550,73000,27450" +st "emacclienttxstats" +ju 2 +blo "73000,27250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "emacclienttxstats" +t "std_logic_vector" +b "(31 downto 0)" +preAdd 0 +posAdd 0 +o 23 +) +) +) +*136 (CptPort +uid 923,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 924,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74000,27625,74750,28375" +) +tg (CPTG +uid 925,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 926,0 +va (VaSet +font "courier,8,0" +) +xt "62500,27550,73000,28450" +st "emacclienttxstatsvld" +ju 2 +blo "73000,28250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "emacclienttxstatsvld" +t "std_logic" +preAdd 0 +posAdd 0 +o 24 +) +) +) +*137 (CptPort +uid 927,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 928,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74000,28625,74750,29375" +) +tg (CPTG +uid 929,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 930,0 +va (VaSet +font "courier,8,0" +) +xt "64000,28550,73000,29450" +st "emacclientrxstats" +ju 2 +blo "73000,29250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "emacclientrxstats" +t "std_logic_vector" +b "(27 downto 0)" +preAdd 0 +posAdd 0 +o 25 +) +) +) +*138 (CptPort +uid 931,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 932,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74000,29625,74750,30375" +) +tg (CPTG +uid 933,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 934,0 +va (VaSet +font "courier,8,0" +) +xt "62500,29550,73000,30450" +st "emacclientrxstatsvld" +ju 2 +blo "73000,30250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "emacclientrxstatsvld" +t "std_logic" +preAdd 0 +posAdd 0 +o 26 +) +) +) +*139 (CptPort +uid 935,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 936,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "49250,33625,50000,34375" +) +tg (CPTG +uid 937,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 938,0 +va (VaSet +font "courier,8,0" +) +xt "51000,33550,57500,34450" +st "txgmiimiiclk" +blo "51000,34250" +) +) +thePort (LogicalPort +decl (Decl +n "txgmiimiiclk" +t "std_logic" +prec "-- tieemacconfigvec: in std_logic_vector(67 downto 0);" +preAdd 0 +posAdd 0 +o 27 +) +) +) +*140 (CptPort +uid 939,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 940,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "49250,18625,50000,19375" +) +tg (CPTG +uid 941,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 942,0 +va (VaSet +font "courier,8,0" +) +xt "51000,18550,57500,19450" +st "rxgmiimiiclk" +blo "51000,19250" +) +) +thePort (LogicalPort +decl (Decl +n "rxgmiimiiclk" +t "std_logic" +preAdd 0 +posAdd 0 +o 28 +) +) +) +*141 (CptPort +uid 943,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 944,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74000,30625,74750,31375" +) +tg (CPTG +uid 945,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 946,0 +va (VaSet +font "courier,8,0" +) +xt "67500,30550,73000,31450" +st "speedis100" +ju 2 +blo "73000,31250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "speedis100" +t "std_logic" +preAdd 0 +posAdd 0 +o 29 +) +) +) +*142 (CptPort +uid 947,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 948,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74000,31625,74750,32375" +) +tg (CPTG +uid 949,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 950,0 +va (VaSet +font "courier,8,0" +) +xt "66500,31550,73000,32450" +st "speedis10100" +ju 2 +blo "73000,32250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "speedis10100" +t "std_logic" +preAdd 0 +posAdd 0 +o 30 +) +) +) +*143 (CptPort +uid 951,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 952,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "49250,27625,50000,28375" +) +tg (CPTG +uid 953,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 954,0 +va (VaSet +font "courier,8,0" +) +xt "51000,27550,54500,28450" +st "hostclk" +blo "51000,28250" +) +) +thePort (LogicalPort +decl (Decl +n "hostclk" +t "std_logic" +preAdd 0 +posAdd 0 +o 31 +) +) +) +*144 (CptPort +uid 955,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 956,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "49250,28625,50000,29375" +) +tg (CPTG +uid 957,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 958,0 +va (VaSet +font "courier,8,0" +) +xt "51000,28550,56500,29450" +st "hostopcode" +blo "51000,29250" +) +) +thePort (LogicalPort +decl (Decl +n "hostopcode" +t "std_logic_vector" +b "(1 downto 0)" +preAdd 0 +posAdd 0 +o 32 +) +) +) +*145 (CptPort +uid 959,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 960,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "49250,29625,50000,30375" +) +tg (CPTG +uid 961,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 962,0 +va (VaSet +font "courier,8,0" +) +xt "51000,29550,54500,30450" +st "hostreq" +blo "51000,30250" +) +) +thePort (LogicalPort +decl (Decl +n "hostreq" +t "std_logic" +preAdd 0 +posAdd 0 +o 33 +) +) +) +*146 (CptPort +uid 963,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 964,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "49250,30625,50000,31375" +) +tg (CPTG +uid 965,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 966,0 +va (VaSet +font "courier,8,0" +) +xt "51000,30550,57000,31450" +st "hostmiimsel" +blo "51000,31250" +) +) +thePort (LogicalPort +decl (Decl +n "hostmiimsel" +t "std_logic" +preAdd 0 +posAdd 0 +o 34 +) +) +) +*147 (CptPort +uid 967,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 968,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "49250,31625,50000,32375" +) +tg (CPTG +uid 969,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 970,0 +va (VaSet +font "courier,8,0" +) +xt "51000,31550,55000,32450" +st "hostaddr" +blo "51000,32250" +) +) +thePort (LogicalPort +decl (Decl +n "hostaddr" +t "std_logic_vector" +b "(9 downto 0)" +preAdd 0 +posAdd 0 +o 35 +) +) +) +*148 (CptPort +uid 971,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 972,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "49250,32625,50000,33375" +) +tg (CPTG +uid 973,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 974,0 +va (VaSet +font "courier,8,0" +) +xt "51000,32550,56500,33450" +st "hostwrdata" +blo "51000,33250" +) +) +thePort (LogicalPort +decl (Decl +n "hostwrdata" +t "std_logic_vector" +b "(31 downto 0)" +preAdd 0 +posAdd 0 +o 36 +) +) +) +*149 (CptPort +uid 975,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 976,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74000,32625,74750,33375" +) +tg (CPTG +uid 977,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 978,0 +va (VaSet +font "courier,8,0" +) +xt "67000,32550,73000,33450" +st "hostmiimrdy" +ju 2 +blo "73000,33250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "hostmiimrdy" +t "std_logic" +preAdd 0 +posAdd 0 +o 37 +) +) +) +*150 (CptPort +uid 979,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 980,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74000,33625,74750,34375" +) +tg (CPTG +uid 981,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 982,0 +va (VaSet +font "courier,8,0" +) +xt "67500,33550,73000,34450" +st "hostrddata" +ju 2 +blo "73000,34250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "hostrddata" +t "std_logic_vector" +b "(31 downto 0)" +preAdd 0 +posAdd 0 +o 38 +) +) +) +*151 (CptPort +uid 983,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 984,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "49250,33625,50000,34375" +) +tg (CPTG +uid 985,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 986,0 +va (VaSet +font "courier,8,0" +) +xt "51000,33550,57500,34450" +st "corehassgmii" +blo "51000,34250" +) +) +thePort (LogicalPort +decl (Decl +n "corehassgmii" +t "std_logic" +preAdd 0 +posAdd 0 +o 39 +) +) +) +*152 (PortMapFrame +uid 987,0 +ps "PortMapFrameStrategy" +shape (RectFrame +uid 988,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "48000,12000,76000,37000" +) +portMapText (BiTextGroup +uid 989,0 +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +uid 990,0 +va (VaSet +font "courier,8,0" +) +xt "76000,37000,95000,46000" +st "clientemactxd => txd, +clientemactxdvld => txdvld, +reset => rst, +rxgmiimiiclk => rx_clk, +txgmiimiiclk => clk125, +emacclientrxbadframe => rxbadframe, +emacclientrxd => rxd, +emacclientrxdvld => rxdvld, +emacclientrxgoodframe => rxgoodframe, +emacclienttxack => txack," +) +second (MLText +uid 991,0 +va (VaSet +font "courier,8,0" +) +xt "76000,46000,96700,64000" +st "phyemacmdin => '0', +clientemactxunderrun => '0', +clientemactxifgdelay => (others => '0'), +clientemacpausereq => '0', +clientemacpauseval => (others => '0'), +hostclk => hostbus_in.hostclk, +hostopcode => hostbus_in.hostopcode, +hostreq => hostbus_in.hostreq, +hostmiimsel => hostbus_in.hostmiimsel, +hostaddr => hostbus_in.hostaddr, +hostwrdata => hostbus_in.hostwrdata, +hostmiimrdy => hostbus_out.hostmiimrdy, +hostrddata => hostbus_out.hostrddata, +corehassgmii => '0', +emacphytxen => tx_en_e, +emacphytxer => tx_er_e, +emacphytxd => txd_e, +phyemacrxd => rxd_r, +phyemacrxdv => rx_dv_r, +phyemacrxer => rx_er_r" +tm "PortMapTextMgr" +) +) +) +] +shape (Rectangle +uid 822,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "50000,14000,74000,35000" +) +ttg (MlTextGroup +uid 823,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*153 (Text +uid 824,0 +va (VaSet +font "courier,8,1" +) +xt "57250,35000,63750,35900" +st "fmc_mTLU_lib" +blo "57250,35700" +tm "BdLibraryNameMgr" +) +*154 (Text +uid 825,0 +va (VaSet +font "courier,8,1" +) +xt "57250,35900,66750,36800" +st "soft_emac_gmii_4_5" +blo "57250,36600" +tm "CptNameMgr" +) +*155 (Text +uid 826,0 +va (VaSet +font "courier,8,1" +) +xt "57250,36800,58750,37700" +st "mac" +blo "57250,37500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 827,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 828,0 +text (MLText +uid 829,0 +va (VaSet +font "courier,8,0" +) +xt "48250,14000,48250,14000" +) +header "" +) +elements [ +] +includeGenericsInHDL 0 +) +viewicon (ZoomableIcon +uid 830,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "50250,33250,51750,34750" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*156 (SaComponent +uid 1088,0 +optionalChildren [ +*157 (CptPort +uid 1098,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1099,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "114000,4625,114750,5375" +) +tg (CPTG +uid 1100,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1101,0 +va (VaSet +font "courier,8,0" +) +xt "111500,4550,113000,5450" +st "cal" +ju 2 +blo "113000,5250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "cal" +t "bit" +preAdd 0 +posAdd 0 +o 1 +) +) +) +*158 (CptPort +uid 1102,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1103,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "105250,4625,106000,5375" +) +tg (CPTG +uid 1104,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1105,0 +va (VaSet +font "courier,8,0" +) +xt "107000,4550,108000,5450" +st "ce" +blo "107000,5250" +) +) +thePort (LogicalPort +decl (Decl +n "ce" +t "bit" +preAdd 0 +posAdd 0 +o 2 +) +) +) +*159 (CptPort +uid 1106,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1107,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "105250,6625,106000,7375" +) +tg (CPTG +uid 1108,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1109,0 +va (VaSet +font "courier,8,0" +) +xt "107000,6550,108500,7450" +st "clk" +blo "107000,7250" +) +) +thePort (LogicalPort +decl (Decl +n "clk" +t "bit" +preAdd 0 +posAdd 0 +o 3 +) +) +) +*160 (CptPort +uid 1110,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1111,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "114000,3625,114750,4375" +) +tg (CPTG +uid 1112,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1113,0 +va (VaSet +font "courier,8,0" +) +xt "109500,3550,113000,4450" +st "dataout" +ju 2 +blo "113000,4250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataout" +t "bit" +preAdd 0 +posAdd 0 +o 4 +) +) +) +*161 (CptPort +uid 1114,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1115,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "105250,3625,106000,4375" +) +tg (CPTG +uid 1116,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1117,0 +va (VaSet +font "courier,8,0" +) +xt "107000,3550,110500,4450" +st "idatain" +blo "107000,4250" +) +) +thePort (LogicalPort +decl (Decl +n "idatain" +t "bit" +preAdd 0 +posAdd 0 +o 5 +) +) +) +*162 (CptPort +uid 1118,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1119,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "105250,10625,106000,11375" +) +tg (CPTG +uid 1120,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1121,0 +va (VaSet +font "courier,8,0" +) +xt "107000,10550,108500,11450" +st "inc" +blo "107000,11250" +) +) +thePort (LogicalPort +decl (Decl +n "inc" +t "bit" +preAdd 0 +posAdd 0 +o 6 +) +) +) +*163 (CptPort +uid 1122,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1123,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "105625,13000,106375,13750" +) +tg (CPTG +uid 1124,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1125,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "105550,9000,106450,12000" +st "ioclk0" +blo "106250,12000" +) +) +thePort (LogicalPort +decl (Decl +n "ioclk0" +t "bit" +preAdd 0 +posAdd 0 +o 7 +) +) +) +*164 (CptPort +uid 1126,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1127,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "105625,13000,106375,13750" +) +tg (CPTG +uid 1128,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1129,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "105550,9000,106450,12000" +st "ioclk1" +blo "106250,12000" +) +) +thePort (LogicalPort +decl (Decl +n "ioclk1" +t "bit" +preAdd 0 +posAdd 0 +o 8 +) +) +) +*165 (CptPort +uid 1130,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1131,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "105625,13000,106375,13750" +) +tg (CPTG +uid 1132,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1133,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "105550,8500,106450,12000" +st "odatain" +blo "106250,12000" +) +) +thePort (LogicalPort +decl (Decl +n "odatain" +t "bit" +preAdd 0 +posAdd 0 +o 9 +) +) +) +*166 (CptPort +uid 1134,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1135,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "105625,13000,106375,13750" +) +tg (CPTG +uid 1136,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1137,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "105550,10500,106450,12000" +st "rst" +blo "106250,12000" +) +) +thePort (LogicalPort +decl (Decl +n "rst" +t "bit" +preAdd 0 +posAdd 0 +o 10 +) +) +) +*167 (CptPort +uid 1138,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1139,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "114000,8625,114750,9375" +) +tg (CPTG +uid 1140,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1141,0 +va (VaSet +font "courier,8,0" +) +xt "112500,8550,113000,9450" +st "t" +ju 2 +blo "113000,9250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "t" +t "bit" +preAdd 0 +posAdd 0 +o 11 +) +) +) +*168 (PortMapFrame +uid 1142,0 +ps "PortMapFrameStrategy" +shape (RectFrame +uid 1143,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "104000,1000,116000,15000" +) +portMapText (BiTextGroup +uid 1144,0 +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +uid 1145,0 +va (VaSet +font "courier,8,0" +) +xt "116000,15000,130000,16800" +st "idatain => gmii_rxd(i), +dataout => gmii_rxd_del(i)," +) +second (MLText +uid 1146,0 +va (VaSet +font "courier,8,0" +) +xt "116000,16800,124200,24900" +st "cal => '0', +ce => '0', +clk => '0', +inc => '0', +ioclk0 => '0', +ioclk1 => '0', +odatain => '0', +rst => '0', +t => '1'" +tm "PortMapTextMgr" +) +) +) +*169 (CommentText +uid 1159,0 +ps "EdgeToEdgeStrategy" +shape (Rectangle +uid 1160,0 +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "50000,-3000,65000,1000" +) +text (MLText +uid 1161,0 +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "50200,-2800,63200,-1000" +st " + Delay element for phase alignment +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 15000 +) +included 3 +) +] +shape (Rectangle +uid 1089,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "106000,3000,114000,13000" +) +ttg (MlTextGroup +uid 1090,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*170 (Text +uid 1091,0 +va (VaSet +font "courier,8,1" +) +xt "106750,13000,113250,13900" +st "fmc_mTLU_lib" +blo "106750,13700" +tm "BdLibraryNameMgr" +) +*171 (Text +uid 1092,0 +va (VaSet +font "courier,8,1" +) +xt "106750,13900,110750,14800" +st "iodelay2" +blo "106750,14600" +tm "CptNameMgr" +) +*172 (Text +uid 1093,0 +va (VaSet +font "courier,8,1" +) +xt "106750,14800,110250,15700" +st "iodelay" +blo "106750,15500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1094,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1095,0 +text (MLText +uid 1096,0 +va (VaSet +font "courier,8,0" +) +xt "105750,3000,105750,3000" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +uid 1097,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "106250,11250,107750,12750" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*173 (Frame +uid 1162,0 +shape (RectFrame +uid 1163,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +xt "101000,-1000,119000,17000" +) +title (TextAssociate +uid 1164,0 +ps "TopLeftStrategy" +text (MLText +uid 1165,0 +va (VaSet +font "courier,8,0" +) +xt "101250,-2450,120750,-1550" +st "iodelgen: for i in 7 downto 0 GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +uid 1166,0 +ps "TopLeftStrategy" +shape (Rectangle +uid 1167,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "101500,-650,102500,650" +) +num (Text +uid 1168,0 +va (VaSet +font "courier,8,0" +) +xt "101750,-450,102250,450" +st "1" +blo "101750,250" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +uid 1169,0 +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*174 (Text +uid 1170,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "67000,22000,76500,22900" +st "Frame Declarations" +blo "67000,22700" +) +*175 (MLText +uid 1171,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "67000,22900,67000,22900" +tm "BdFrameDeclTextMgr" +) +] +) +lb "7" +rb "0" +) +*176 (HdlText +uid 1172,0 +optionalChildren [ +*177 (EmbeddedText +uid 1178,0 +commentText (CommentText +uid 1179,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1180,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "69000,57000,87000,62000" +) +text (MLText +uid 1181,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "69200,57200,78200,58100" +st " +rxclko <= rx_clk; + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +] +shape (Rectangle +uid 1173,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "61000,57000,64000,60000" +) +ttg (MlTextGroup +uid 1174,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*178 (Text +uid 1175,0 +va (VaSet +font "courier,8,1" +) +xt "61750,57600,63250,58500" +st "eb1" +blo "61750,58300" +tm "HdlTextNameMgr" +) +*179 (Text +uid 1176,0 +va (VaSet +font "courier,8,1" +) +xt "61750,58500,62250,59400" +st "1" +blo "61750,59200" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 1177,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "61250,58250,62750,59750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*180 (HdlText +uid 1198,0 +optionalChildren [ +*181 (EmbeddedText +uid 1204,0 +commentText (CommentText +uid 1205,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1206,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "38000,41000,56000,46000" +) +text (MLText +uid 1207,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "38200,41200,54700,45700" +st " +process(rx_clk_io) -- FFs for incoming GMII data (need to be IOB FFs) + begin + if rising_edge(rx_clk_io) then + rxd_r <= gmii_rxd_del; + rx_dv_r <= gmii_rx_dv_del; + rx_er_r <= gmii_rx_er_del; + end if; + end process; + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +] +shape (Rectangle +uid 1199,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "30000,41000,33000,46000" +) +ttg (MlTextGroup +uid 1200,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*182 (Text +uid 1201,0 +va (VaSet +font "courier,8,1" +) +xt "30750,42600,32250,43500" +st "eb2" +blo "30750,43300" +tm "HdlTextNameMgr" +) +*183 (Text +uid 1202,0 +va (VaSet +font "courier,8,1" +) +xt "30750,43500,31250,44400" +st "2" +blo "30750,44200" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 1203,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "30250,44250,31750,45750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*184 (HdlText +uid 1264,0 +optionalChildren [ +*185 (EmbeddedText +uid 1270,0 +commentText (CommentText +uid 1271,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1272,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "96000,28000,114000,33000" +) +text (MLText +uid 1273,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "96200,28200,113200,32700" +st " +process(clk125) -- FFs for outgoing GMII data (need to be IOB FFs) + begin + if rising_edge(clk125) then + gmii_txd <= txd_e; + gmii_tx_en <= tx_en_e; + gmii_tx_er <= tx_er_e; + end if; + end process; + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +] +shape (Rectangle +uid 1265,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "88000,28000,91000,33000" +) +ttg (MlTextGroup +uid 1266,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*186 (Text +uid 1267,0 +va (VaSet +font "courier,8,1" +) +xt "88750,29600,90250,30500" +st "eb3" +blo "88750,30300" +tm "HdlTextNameMgr" +) +*187 (Text +uid 1268,0 +va (VaSet +font "courier,8,1" +) +xt "88750,30500,89250,31400" +st "3" +blo "88750,31200" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 1269,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "88250,31250,89750,32750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*188 (BundleNet +uid 1330,0 +bundleNetName "bundle_mac_eb3_0" +bundleContents [ +*189 (Wire +uid 1340,0 +shape (OrthoPolyLine +uid 1341,0 +sl 0 +va (VaSet +vasetType 3 +) +xt "236750,65000,239000,67000" +pts [ +"236750,65000" +"239000,67000" +] +) +sat 32 +eat 16 +cm 0 +stc 0 +st 0 +si 0 +tg (WTG +uid 1344,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1345,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "233000,64100,236500,65000" +st "tx_en_e" +blo "233000,64800" +tm "WireNameMgr" +) +) +on &5 +) +*190 (Wire +uid 1346,0 +shape (OrthoPolyLine +uid 1347,0 +sl 0 +va (VaSet +vasetType 3 +) +xt "236750,66000,239000,69000" +pts [ +"236750,66000" +"239000,69000" +] +) +sat 32 +eat 16 +cm 0 +stc 0 +st 0 +si 0 +tg (WTG +uid 1350,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1351,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "233000,65100,236500,66000" +st "tx_er_e" +blo "233000,65800" +tm "WireNameMgr" +) +) +on &6 +) +*191 (Wire +uid 1352,0 +shape (OrthoPolyLine +uid 1353,0 +sl 0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "236750,64000,239000,65000" +pts [ +"236750,64000" +"239000,65000" +] +) +sat 32 +eat 16 +sty 1 +cm 0 +stc 0 +st 0 +si 0 +tg (WTG +uid 1356,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1357,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "230000,63100,232500,64000" +st "txd_e" +blo "230000,63800" +tm "WireNameMgr" +) +) +on &3 +) +] +) +*192 (BundleNet +uid 1358,0 +bundleNetName "bundle_eb2_mac_0" +bundleContents [ +*193 (Wire +uid 1368,0 +shape (OrthoPolyLine +uid 1369,0 +sl 0 +va (VaSet +vasetType 3 +) +xt "134000,91000,144000,91000" +pts [ +"134000,91000" +"144000,91000" +] +) +sat 2 +eat 16 +cm 0 +stc 0 +st 0 +si 0 +tg (WTG +uid 1374,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1375,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "130000,90100,133500,91000" +st "rx_dv_r" +blo "130000,90800" +tm "WireNameMgr" +) +) +on &7 +) +*194 (Wire +uid 1376,0 +shape (OrthoPolyLine +uid 1377,0 +sl 0 +va (VaSet +vasetType 3 +) +xt "134000,92000,144000,92000" +pts [ +"134000,92000" +"144000,92000" +] +) +sat 2 +eat 16 +cm 0 +stc 0 +st 0 +si 0 +tg (WTG +uid 1382,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1383,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "130000,91100,133500,92000" +st "rx_er_r" +blo "130000,91800" +tm "WireNameMgr" +) +) +on &8 +) +*195 (Wire +uid 1384,0 +shape (OrthoPolyLine +uid 1385,0 +sl 0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "134000,93000,144000,93000" +pts [ +"134000,93000" +"144000,93000" +] +) +sat 2 +eat 16 +sty 1 +cm 0 +stc 0 +st 0 +si 0 +tg (WTG +uid 1390,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1391,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "127000,92100,129500,93000" +st "rxd_r" +blo "127000,92800" +tm "WireNameMgr" +) +) +on &4 +) +] +) +*196 (Property +uid 1400,0 +pclass "HDS" +pname "DocView" +pvalue "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/workspace/IPbusFirmware/firmware/ethernet/hdl/eth_s6_gmii.vhd" +ptn "String" +) +*197 (Property +uid 1401,0 +pclass "HDS" +pname "DocViewState" +pvalue "1352727134" +ptn "String" +) +*198 (Wire +uid 39,0 +optionalChildren [ +*199 (BdJunction +uid 1392,0 +ps "OnConnectorStrategy" +shape (Circle +uid 1393,0 +va (VaSet +vasetType 1 +) +xt "43600,52600,44400,53400" +radius 400 +) +) +*200 (BdJunction +uid 1394,0 +ps "OnConnectorStrategy" +shape (Circle +uid 1395,0 +va (VaSet +vasetType 1 +) +xt "52600,52600,53400,53400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 40,0 +va (VaSet +vasetType 3 +) +xt "-2000,32000,88000,53000" +pts [ +"-2000,53000" +"82000,53000" +"82000,32000" +"88000,32000" +] +) +start &12 +end &184 +ss 0 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 43,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 44,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "84000,31100,87000,32000" +st "clk125" +blo "84000,31800" +tm "WireNameMgr" +) +) +on &13 +) +*201 (Wire +uid 53,0 +shape (OrthoPolyLine +uid 54,0 +va (VaSet +vasetType 3 +) +xt "48000,15000,49250,15000" +pts [ +"48000,15000" +"49250,15000" +] +) +start &14 +end &113 +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 57,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 58,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "48000,14100,49500,15000" +st "rst" +blo "48000,14800" +tm "WireNameMgr" +) +) +on &15 +) +*202 (Wire +uid 123,0 +optionalChildren [ +*203 (BdJunction +uid 1396,0 +ps "OnConnectorStrategy" +shape (Circle +uid 1397,0 +va (VaSet +vasetType 1 +) +xt "-400,51600,400,52400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 124,0 +va (VaSet +vasetType 3 +) +xt "-2000,16000,29250,52000" +pts [ +"-2000,52000" +"23000,52000" +"23000,16000" +"29250,16000" +] +) +start &24 +end &59 +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 127,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 128,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "23000,15100,29000,16000" +st "gmii_rx_clk" +blo "23000,15800" +tm "WireNameMgr" +) +) +on &25 +) +*204 (Wire +uid 137,0 +shape (OrthoPolyLine +uid 138,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "-2000,70000,0,70000" +pts [ +"-2000,70000" +"0,70000" +] +) +start &26 +sat 32 +eat 16 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 141,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 142,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "1000,69100,5000,70000" +st "gmii_rxd" +blo "1000,69800" +tm "WireNameMgr" +) +) +on &27 +) +*205 (Wire +uid 151,0 +shape (OrthoPolyLine +uid 152,0 +va (VaSet +vasetType 3 +) +xt "25000,0,26250,0" +pts [ +"25000,0" +"26250,0" +] +) +start &28 +end &69 +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 155,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 156,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "23000,-900,28500,0" +st "gmii_rx_dv" +blo "23000,-200" +tm "WireNameMgr" +) +) +on &29 +) +*206 (Wire +uid 165,0 +shape (OrthoPolyLine +uid 166,0 +va (VaSet +vasetType 3 +) +xt "3000,58000,4250,58000" +pts [ +"3000,58000" +"4250,58000" +] +) +start &30 +end &86 +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 169,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 170,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "1000,57100,6500,58000" +st "gmii_rx_er" +blo "1000,57800" +tm "WireNameMgr" +) +) +on &31 +) +*207 (Wire +uid 179,0 +shape (OrthoPolyLine +uid 180,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "48000,16000,49250,16000" +pts [ +"48000,16000" +"49250,16000" +] +) +start &32 +end &124 +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 183,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 184,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "46000,15100,47500,16000" +st "txd" +blo "46000,15800" +tm "WireNameMgr" +) +) +on &33 +) +*208 (Wire +uid 193,0 +shape (OrthoPolyLine +uid 194,0 +va (VaSet +vasetType 3 +) +xt "48000,17000,49250,17000" +pts [ +"48000,17000" +"49250,17000" +] +) +start &34 +end &125 +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 197,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 198,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "47000,16100,50000,17000" +st "txdvld" +blo "47000,16800" +tm "WireNameMgr" +) +) +on &35 +) +*209 (Wire +uid 291,0 +shape (OrthoPolyLine +uid 292,0 +va (VaSet +vasetType 3 +) +xt "-2000,2000,0,2000" +pts [ +"-2000,2000" +"0,2000" +] +) +start &48 +sat 32 +eat 16 +stc 0 +st 0 +si 0 +tg (WTG +uid 295,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 296,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "1000,1100,6500,2000" +st "hostbus_in" +blo "1000,1800" +tm "WireNameMgr" +) +) +on &49 +) +*210 (Wire +uid 305,0 +shape (OrthoPolyLine +uid 306,0 +va (VaSet +vasetType 3 +) +xt "95000,3000,97000,3000" +pts [ +"95000,3000" +"97000,3000" +] +) +end &50 +sat 16 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 309,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 310,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "89000,2100,95000,3000" +st "hostbus_out" +blo "89000,2800" +tm "WireNameMgr" +) +) +on &51 +) +*211 (Wire +uid 337,0 +shape (OrthoPolyLine +uid 338,0 +va (VaSet +vasetType 3 +) +xt "0,31000,6250,52000" +pts [ +"0,52000" +"0,31000" +"6250,31000" +] +) +start &203 +end &53 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 341,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 342,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,30100,6000,31000" +st "gmii_rx_clk" +blo "0,30800" +tm "WireNameMgr" +) +) +on &25 +) +*212 (Wire +uid 343,0 +shape (OrthoPolyLine +uid 344,0 +va (VaSet +vasetType 3 +) +xt "12750,31000,30000,44000" +pts [ +"12750,31000" +"19000,31000" +"19000,44000" +"30000,44000" +] +) +start &54 +end &180 +ss 0 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 347,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 348,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "25000,43100,29500,44000" +st "rx_clk_io" +blo "25000,43800" +tm "WireNameMgr" +) +) +on &2 +) +*213 (Wire +uid 373,0 +optionalChildren [ +*214 (BdJunction +uid 1398,0 +ps "OnConnectorStrategy" +shape (Circle +uid 1399,0 +va (VaSet +vasetType 1 +) +xt "39600,18600,40400,19400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 374,0 +va (VaSet +vasetType 3 +) +xt "33750,16000,61000,59000" +pts [ +"33750,16000" +"40000,16000" +"40000,59000" +"61000,59000" +] +) +start &60 +end &176 +ss 0 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 377,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 378,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "57000,58100,60000,59000" +st "rx_clk" +blo "57000,58800" +tm "WireNameMgr" +) +) +on &1 +) +*215 (Wire +uid 488,0 +shape (OrthoPolyLine +uid 489,0 +va (VaSet +vasetType 3 +) +xt "24000,7000,42000,47000" +pts [ +"36750,7000" +"42000,7000" +"42000,47000" +"24000,47000" +"24000,45000" +"30000,45000" +] +) +start &68 +end &180 +ss 0 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 492,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 493,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "22000,44100,29500,45000" +st "gmii_rx_dv_del" +blo "22000,44800" +tm "WireNameMgr" +) +) +on &10 +) +*216 (Wire +uid 562,0 +shape (OrthoPolyLine +uid 563,0 +va (VaSet +vasetType 3 +) +xt "14750,42000,30000,58000" +pts [ +"14750,58000" +"21000,58000" +"21000,42000" +"30000,42000" +] +) +start &85 +end &180 +ss 0 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 566,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 567,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "22000,41100,29500,42000" +st "gmii_rx_er_del" +blo "22000,41800" +tm "WireNameMgr" +) +) +on &11 +) +*217 (Wire +uid 650,0 +shape (OrthoPolyLine +uid 651,0 +va (VaSet +vasetType 3 +) +xt "64750,40000,66000,40000" +pts [ +"64750,40000" +"66000,40000" +] +) +start &104 +end &16 +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 654,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 655,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "62000,39100,68500,40000" +st "gmii_gtx_clk" +blo "62000,39800" +tm "WireNameMgr" +) +) +on &17 +) +*218 (Wire +uid 656,0 +shape (OrthoPolyLine +uid 657,0 +va (VaSet +vasetType 3 +) +xt "53000,45000,59250,53000" +pts [ +"53000,53000" +"53000,45000" +"59250,45000" +] +) +start &200 +end &99 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 660,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 661,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "55000,44100,58000,45000" +st "clk125" +blo "55000,44800" +tm "WireNameMgr" +) +) +on &13 +) +*219 (Wire +uid 1046,0 +shape (OrthoPolyLine +uid 1047,0 +va (VaSet +vasetType 3 +) +xt "74750,15000,76000,15000" +pts [ +"74750,15000" +"76000,15000" +] +) +start &126 +end &36 +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 1050,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1051,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "74000,14100,76500,15000" +st "txack" +blo "74000,14800" +tm "WireNameMgr" +) +) +on &37 +) +*220 (Wire +uid 1052,0 +shape (OrthoPolyLine +uid 1053,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "74750,16000,76000,16000" +pts [ +"74750,16000" +"76000,16000" +] +) +start &131 +end &40 +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 1056,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1057,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "72000,15100,73500,16000" +st "rxd" +blo "72000,15800" +tm "WireNameMgr" +) +) +on &41 +) +*221 (Wire +uid 1058,0 +shape (OrthoPolyLine +uid 1059,0 +va (VaSet +vasetType 3 +) +xt "74750,17000,76000,17000" +pts [ +"74750,17000" +"76000,17000" +] +) +start &132 +end &42 +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 1062,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1063,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "74000,16100,77000,17000" +st "rxdvld" +blo "74000,16800" +tm "WireNameMgr" +) +) +on &43 +) +*222 (Wire +uid 1064,0 +shape (OrthoPolyLine +uid 1065,0 +va (VaSet +vasetType 3 +) +xt "74750,18000,76000,18000" +pts [ +"74750,18000" +"76000,18000" +] +) +start &133 +end &44 +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 1068,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1069,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "72000,17100,78000,18000" +st "rxgoodframe" +blo "72000,17800" +tm "WireNameMgr" +) +) +on &45 +) +*223 (Wire +uid 1070,0 +shape (OrthoPolyLine +uid 1071,0 +va (VaSet +vasetType 3 +) +xt "74750,19000,76000,19000" +pts [ +"74750,19000" +"76000,19000" +] +) +start &134 +end &46 +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 1074,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1075,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "73000,18100,78500,19000" +st "rxbadframe" +blo "73000,18800" +tm "WireNameMgr" +) +) +on &47 +) +*224 (Wire +uid 1076,0 +shape (OrthoPolyLine +uid 1077,0 +va (VaSet +vasetType 3 +) +xt "44000,34000,49250,53000" +pts [ +"44000,53000" +"44000,34000" +"49250,34000" +] +) +start &199 +end &139 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 1080,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1081,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "45000,33100,48000,34000" +st "clk125" +blo "45000,33800" +tm "WireNameMgr" +) +) +on &13 +) +*225 (Wire +uid 1082,0 +shape (OrthoPolyLine +uid 1083,0 +va (VaSet +vasetType 3 +) +xt "40000,19000,49250,19000" +pts [ +"40000,19000" +"49250,19000" +] +) +start &214 +end &140 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 1086,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1087,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "43000,18100,46000,19000" +st "rx_clk" +blo "43000,18800" +tm "WireNameMgr" +) +) +on &1 +) +*226 (Wire +uid 1147,0 +shape (OrthoPolyLine +uid 1148,0 +va (VaSet +vasetType 3 +) +xt "103000,4000,105250,4000" +pts [ +"103000,4000" +"105250,4000" +] +) +end &161 +sat 16 +eat 32 +sl "(i)" +stc 0 +st 0 +si 0 +tg (WTG +uid 1151,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1152,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "93000,3100,99000,4000" +st "gmii_rxd(i)" +blo "93000,3800" +tm "WireNameMgr" +) +) +on &27 +) +*227 (Wire +uid 1153,0 +shape (OrthoPolyLine +uid 1154,0 +va (VaSet +vasetType 3 +) +xt "114750,4000,117000,4000" +pts [ +"114750,4000" +"117000,4000" +] +) +start &160 +sat 32 +eat 16 +sl "(i)" +stc 0 +st 0 +si 0 +tg (WTG +uid 1157,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1158,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "118000,3100,126000,4000" +st "gmii_rxd_del(i)" +blo "118000,3800" +tm "WireNameMgr" +) +) +on &9 +) +*228 (Wire +uid 1190,0 +shape (OrthoPolyLine +uid 1191,0 +va (VaSet +vasetType 3 +) +xt "64000,58000,65000,58000" +pts [ +"64000,58000" +"65000,58000" +] +) +start &176 +end &38 +es 0 +sat 2 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 1196,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1197,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "63000,57100,66000,58000" +st "rxclko" +blo "63000,57800" +tm "WireNameMgr" +) +) +on &39 +) +*229 (Wire +uid 1224,0 +shape (OrthoPolyLine +uid 1225,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "28000,43000,30000,43000" +pts [ +"28000,43000" +"30000,43000" +] +) +end &180 +sat 16 +eat 1 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 1230,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1231,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "18000,42100,24500,43000" +st "gmii_rxd_del" +blo "18000,42800" +tm "WireNameMgr" +) +) +on &9 +) +*230 (Wire +uid 1306,0 +shape (OrthoPolyLine +uid 1307,0 +va (VaSet +vasetType 3 +) +xt "91000,30000,92000,30000" +pts [ +"91000,30000" +"92000,30000" +] +) +start &184 +end &20 +es 0 +sat 2 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 1312,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1313,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "89000,29100,94500,30000" +st "gmii_tx_en" +blo "89000,29800" +tm "WireNameMgr" +) +) +on &21 +) +*231 (Wire +uid 1314,0 +shape (OrthoPolyLine +uid 1315,0 +va (VaSet +vasetType 3 +) +xt "91000,31000,92000,31000" +pts [ +"91000,31000" +"92000,31000" +] +) +start &184 +end &22 +es 0 +sat 2 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 1320,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1321,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "89000,30100,94500,31000" +st "gmii_tx_er" +blo "89000,30800" +tm "WireNameMgr" +) +) +on &23 +) +*232 (Wire +uid 1322,0 +shape (OrthoPolyLine +uid 1323,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "91000,29000,92000,29000" +pts [ +"91000,29000" +"92000,29000" +] +) +start &184 +end &18 +es 0 +sat 2 +eat 32 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 1328,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1329,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "87000,28100,91000,29000" +st "gmii_txd" +blo "87000,28800" +tm "WireNameMgr" +) +) +on &19 +) +*233 (Bundle +uid 1331,0 +shape (OrthoPolyLine +uid 1332,0 +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +xt "75996,20000,88000,29000" +pts [ +"75996,20001" +"80000,20000" +"80000,29000" +"88000,29000" +] +) +start &152 +end &184 +sat 2 +eat 1 +textGroup (BiTextGroup +uid 1337,0 +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 1338,0 +va (VaSet +font "courier,8,0" +) +xt "79000,28100,87500,29000" +st "bundle_mac_eb3_0" +blo "79000,28800" +tm "BundleNameMgr" +) +second (MLText +uid 1339,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "79000,29000,91000,29900" +st "(tx_en_e,tx_er_e,txd_e)" +tm "BundleContentsMgr" +) +) +bundleNet &188 +) +&189 +&190 +&191 +*234 (Bundle +uid 1359,0 +shape (OrthoPolyLine +uid 1360,0 +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +xt "33000,18000,48004,42000" +pts [ +"33000,42000" +"39000,42000" +"39000,18000" +"48004,18002" +] +) +start &180 +end &152 +sat 2 +eat 1 +textGroup (BiTextGroup +uid 1365,0 +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 1366,0 +va (VaSet +font "courier,8,0" +) +xt "34000,41100,42500,42000" +st "bundle_eb2_mac_0" +blo "34000,41800" +tm "BundleNameMgr" +) +second (MLText +uid 1367,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "34000,42000,46000,42900" +st "(rx_dv_r,rx_er_r,rxd_r)" +tm "BundleContentsMgr" +) +) +bundleNet &192 +) +&193 +&194 +&195 +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *235 (PackageList +uid 1464,0 +stg "VerticalLayoutStrategy" +textVec [ +*236 (Text +uid 1465,0 +va (VaSet +font "courier,8,1" +) +xt "1000,75375,7500,76275" +st "Package List" +blo "1000,76075" +) +*237 (MLText +uid 1466,0 +va (VaSet +font "courier,8,0" +) +xt "1000,76275,15500,79875" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 1467,0 +stg "VerticalLayoutStrategy" +textVec [ +*238 (Text +uid 1468,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "21000,75375,31000,76275" +st "Compiler Directives" +blo "21000,76075" +) +*239 (Text +uid 1469,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "21000,76275,32500,77175" +st "Pre-module directives:" +blo "21000,76975" +) +*240 (MLText +uid 1470,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "21000,77175,31100,78975" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*241 (Text +uid 1471,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "21000,78975,33000,79875" +st "Post-module directives:" +blo "21000,79675" +) +*242 (MLText +uid 1472,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "21000,75375,21000,75375" +tm "BdCompilerDirectivesTextMgr" +) +*243 (Text +uid 1473,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "21000,79875,32500,80775" +st "End-module directives:" +blo "21000,80575" +) +*244 (MLText +uid 1474,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "21000,80775,21000,80775" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "0,0,1015,690" +viewArea "-11000,-3000,130000,107775" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +lastUid 1474,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,4000,1900" +st "Panel0" +blo "1000,1700" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*245 (Text +va (VaSet +font "courier,8,1" +) +xt "1750,3650,6250,4550" +st "<library>" +blo "1750,4350" +tm "BdLibraryNameMgr" +) +*246 (Text +va (VaSet +font "courier,8,1" +) +xt "1750,4550,5250,5450" +st "<block>" +blo "1750,5250" +tm "BlkNameMgr" +) +*247 (Text +va (VaSet +font "courier,8,1" +) +xt "1750,5450,2750,6350" +st "I0" +blo "1750,6150" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "1750,13650,1750,13650" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*248 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,3650,4500,4550" +st "Library" +blo "1000,4350" +) +*249 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,4550,7000,5450" +st "MWComponent" +blo "1000,5250" +) +*250 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,5450,2000,6350" +st "I0" +blo "1000,6150" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6000,1650,-6000,1650" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*251 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,3650,4500,4550" +st "Library" +blo "1000,4350" +tm "BdLibraryNameMgr" +) +*252 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,4550,7000,5450" +st "SaComponent" +blo "1000,5250" +tm "CptNameMgr" +) +*253 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,5450,2000,6350" +st "I0" +blo "1000,6150" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6000,1650,-6000,1650" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +portVis (PortSigDisplay +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*254 (Text +va (VaSet +font "courier,8,1" +) +xt "500,3650,4000,4550" +st "Library" +blo "500,4350" +) +*255 (Text +va (VaSet +font "courier,8,1" +) +xt "500,4550,7500,5450" +st "VhdlComponent" +blo "500,5250" +) +*256 (Text +va (VaSet +font "courier,8,1" +) +xt "500,5450,1500,6350" +st "I0" +blo "500,6150" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6500,1650,-6500,1650" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-750,0,8750,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*257 (Text +va (VaSet +font "courier,8,1" +) +xt "-250,3650,3250,4550" +st "Library" +blo "-250,4350" +) +*258 (Text +va (VaSet +font "courier,8,1" +) +xt "-250,4550,8250,5450" +st "VerilogComponent" +blo "-250,5250" +) +*259 (Text +va (VaSet +font "courier,8,1" +) +xt "-250,5450,750,6350" +st "I0" +blo "-250,6150" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-7250,1650,-7250,1650" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*260 (Text +va (VaSet +font "courier,8,1" +) +xt "3250,4100,4750,5000" +st "eb1" +blo "3250,4800" +tm "HdlTextNameMgr" +) +*261 (Text +va (VaSet +font "courier,8,1" +) +xt "3250,5000,3750,5900" +st "1" +blo "3250,5700" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +font "courier,8,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +font "courier,8,1" +) +xt "-250,-450,250,450" +st "G" +blo "-250,250" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,0,2000,900" +st "sig0" +blo "0,700" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,0,2500,900" +st "dbus0" +blo "0,700" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,0" +) +xt "0,0,3500,900" +st "bundle0" +blo "0,700" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +font "courier,8,0" +) +xt "0,900,1000,1800" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +font "courier,8,0" +) +) +second (MLText +va (VaSet +font "courier,8,0" +) +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,-1000,14500,-100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1350" +) +num (Text +va (VaSet +font "courier,8,0" +) +xt "300,250,800,1150" +st "1" +blo "300,950" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*262 (Text +va (VaSet +font "courier,8,1" +) +xt "12500,20000,22000,20900" +st "Frame Declarations" +blo "12500,20700" +) +*263 (MLText +va (VaSet +font "courier,8,0" +) +xt "12500,20900,12500,20900" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,-1000,9000,-100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1350" +) +num (Text +va (VaSet +font "courier,8,0" +) +xt "300,250,800,1150" +st "1" +blo "300,950" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*264 (Text +va (VaSet +font "courier,8,1" +) +xt "12500,20000,22000,20900" +st "Frame Declarations" +blo "12500,20700" +) +*265 (MLText +va (VaSet +font "courier,8,0" +) +xt "12500,20900,12500,20900" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,2000,1650" +st "Port" +blo "0,1450" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,2000,1650" +st "Port" +blo "0,1450" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "courier,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "18000,75375,24500,76275" +st "Declarations" +blo "18000,76075" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "18000,76275,21000,77175" +st "Ports:" +blo "18000,76975" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "18000,95175,22500,96075" +st "Pre User:" +blo "18000,95875" +) +preUserText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "18000,75375,18000,75375" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "courier,8,1" +) +xt "18000,96075,26500,96975" +st "Diagram Signals:" +blo "18000,96775" +) +postUserLabel (Text +uid 7,0 +va (VaSet +font "courier,8,1" +) +xt "18000,106875,23500,107775" +st "Post User:" +blo "18000,107575" +) +postUserText (MLText +uid 8,0 +va (VaSet +font "courier,8,0" +) +xt "18000,75375,18000,75375" +tm "BdDeclarativeTextMgr" +) +) +createCompDecls 0 +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 31,0 +usingSuid 1 +emptyRow *266 (LEmptyRow +) +optionalChildren [ +*267 (RefLabelRowHdr +) +*268 (TitleRowHdr +) +*269 (FilterRowHdr +) +*270 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*271 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*272 (GroupColHdr +tm "GroupColHdrMgr" +) +*273 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*274 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*275 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*276 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*277 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*278 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*279 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "rx_clk" +t "std_logic" +o 1 +suid 1,0 +) +) +uid 1402,0 +) +*280 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "rx_clk_io" +t "std_logic" +o 2 +suid 2,0 +) +) +uid 1404,0 +) +*281 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "txd_e" +t "std_logic_vector" +b "(7 downto 0)" +o 3 +suid 3,0 +) +) +uid 1406,0 +) +*282 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "rxd_r" +t "std_logic_vector" +b "(7 downto 0)" +o 4 +suid 4,0 +) +) +uid 1408,0 +) +*283 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "tx_en_e" +t "std_logic" +o 5 +suid 5,0 +) +) +uid 1410,0 +) +*284 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "tx_er_e" +t "std_logic" +o 6 +suid 6,0 +) +) +uid 1412,0 +) +*285 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "rx_dv_r" +t "std_logic" +o 7 +suid 7,0 +) +) +uid 1414,0 +) +*286 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "rx_er_r" +t "std_logic" +o 8 +suid 8,0 +) +) +uid 1416,0 +) +*287 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "gmii_rxd_del" +t "std_logic_vector" +b "(7 downto 0)" +o 9 +suid 9,0 +) +) +uid 1418,0 +) +*288 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "gmii_rx_dv_del" +t "std_logic" +o 10 +suid 10,0 +) +) +uid 1420,0 +) +*289 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "gmii_rx_er_del" +t "std_logic" +o 11 +suid 11,0 +) +) +uid 1422,0 +) +*290 (LeafLogPort +port (LogicalPort +decl (Decl +n "clk125" +t "std_logic" +o 12 +suid 12,0 +) +) +uid 1424,0 +) +*291 (LeafLogPort +port (LogicalPort +decl (Decl +n "rst" +t "std_logic" +o 13 +suid 13,0 +) +) +uid 1426,0 +) +*292 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "gmii_gtx_clk" +t "std_logic" +o 14 +suid 14,0 +) +) +uid 1428,0 +) +*293 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "gmii_txd" +t "std_logic_vector" +b "(7 downto 0)" +o 15 +suid 15,0 +) +) +uid 1430,0 +) +*294 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "gmii_tx_en" +t "std_logic" +o 16 +suid 16,0 +) +) +uid 1432,0 +) +*295 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "gmii_tx_er" +t "std_logic" +o 17 +suid 17,0 +) +) +uid 1434,0 +) +*296 (LeafLogPort +port (LogicalPort +decl (Decl +n "gmii_rx_clk" +t "std_logic" +o 18 +suid 18,0 +) +) +uid 1436,0 +) +*297 (LeafLogPort +port (LogicalPort +decl (Decl +n "gmii_rxd" +t "std_logic_vector" +b "(7 downto 0)" +o 19 +suid 19,0 +) +) +uid 1438,0 +) +*298 (LeafLogPort +port (LogicalPort +decl (Decl +n "gmii_rx_dv" +t "std_logic" +o 20 +suid 20,0 +) +) +uid 1440,0 +) +*299 (LeafLogPort +port (LogicalPort +decl (Decl +n "gmii_rx_er" +t "std_logic" +o 21 +suid 21,0 +) +) +uid 1442,0 +) +*300 (LeafLogPort +port (LogicalPort +decl (Decl +n "txd" +t "std_logic_vector" +b "(7 downto 0)" +o 22 +suid 22,0 +) +) +uid 1444,0 +) +*301 (LeafLogPort +port (LogicalPort +decl (Decl +n "txdvld" +t "std_logic" +o 23 +suid 23,0 +) +) +uid 1446,0 +) +*302 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "txack" +t "std_logic" +o 24 +suid 24,0 +) +) +uid 1448,0 +) +*303 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "rxclko" +t "std_logic" +o 25 +suid 25,0 +) +) +uid 1450,0 +) +*304 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "rxd" +t "std_logic_vector" +b "(7 downto 0)" +o 26 +suid 26,0 +) +) +uid 1452,0 +) +*305 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "rxdvld" +t "std_logic" +o 27 +suid 27,0 +) +) +uid 1454,0 +) +*306 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "rxgoodframe" +t "std_logic" +o 28 +suid 28,0 +) +) +uid 1456,0 +) +*307 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "rxbadframe" +t "std_logic" +o 29 +suid 29,0 +) +) +uid 1458,0 +) +*308 (LeafLogPort +port (LogicalPort +decl (Decl +n "hostbus_in" +t "emac_hostbus_in" +o 30 +suid 30,0 +) +) +uid 1460,0 +) +*309 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "hostbus_out" +t "emac_hostbus_out" +o 31 +suid 31,0 +) +) +uid 1462,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +optionalChildren [ +*310 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *311 (MRCItem +litem &266 +pos 3 +dimension 20 +) +optionalChildren [ +*312 (MRCItem +litem &267 +pos 0 +dimension 20 +) +*313 (MRCItem +litem &268 +pos 1 +dimension 23 +) +*314 (MRCItem +litem &269 +pos 2 +hidden 1 +dimension 20 +) +*315 (MRCItem +litem &279 +pos 0 +dimension 20 +uid 1403,0 +) +*316 (MRCItem +litem &280 +pos 1 +dimension 20 +uid 1405,0 +) +*317 (MRCItem +litem &281 +pos 2 +dimension 20 +uid 1407,0 +) +*318 (MRCItem +litem &282 +pos 3 +dimension 20 +uid 1409,0 +) +*319 (MRCItem +litem &283 +pos 4 +dimension 20 +uid 1411,0 +) +*320 (MRCItem +litem &284 +pos 5 +dimension 20 +uid 1413,0 +) +*321 (MRCItem +litem &285 +pos 6 +dimension 20 +uid 1415,0 +) +*322 (MRCItem +litem &286 +pos 7 +dimension 20 +uid 1417,0 +) +*323 (MRCItem +litem &287 +pos 8 +dimension 20 +uid 1419,0 +) +*324 (MRCItem +litem &288 +pos 9 +dimension 20 +uid 1421,0 +) +*325 (MRCItem +litem &289 +pos 10 +dimension 20 +uid 1423,0 +) +*326 (MRCItem +litem &290 +pos 11 +dimension 20 +uid 1425,0 +) +*327 (MRCItem +litem &291 +pos 12 +dimension 20 +uid 1427,0 +) +*328 (MRCItem +litem &292 +pos 13 +dimension 20 +uid 1429,0 +) +*329 (MRCItem +litem &293 +pos 14 +dimension 20 +uid 1431,0 +) +*330 (MRCItem +litem &294 +pos 15 +dimension 20 +uid 1433,0 +) +*331 (MRCItem +litem &295 +pos 16 +dimension 20 +uid 1435,0 +) +*332 (MRCItem +litem &296 +pos 17 +dimension 20 +uid 1437,0 +) +*333 (MRCItem +litem &297 +pos 18 +dimension 20 +uid 1439,0 +) +*334 (MRCItem +litem &298 +pos 19 +dimension 20 +uid 1441,0 +) +*335 (MRCItem +litem &299 +pos 20 +dimension 20 +uid 1443,0 +) +*336 (MRCItem +litem &300 +pos 21 +dimension 20 +uid 1445,0 +) +*337 (MRCItem +litem &301 +pos 22 +dimension 20 +uid 1447,0 +) +*338 (MRCItem +litem &302 +pos 23 +dimension 20 +uid 1449,0 +) +*339 (MRCItem +litem &303 +pos 24 +dimension 20 +uid 1451,0 +) +*340 (MRCItem +litem &304 +pos 25 +dimension 20 +uid 1453,0 +) +*341 (MRCItem +litem &305 +pos 26 +dimension 20 +uid 1455,0 +) +*342 (MRCItem +litem &306 +pos 27 +dimension 20 +uid 1457,0 +) +*343 (MRCItem +litem &307 +pos 28 +dimension 20 +uid 1459,0 +) +*344 (MRCItem +litem &308 +pos 29 +dimension 20 +uid 1461,0 +) +*345 (MRCItem +litem &309 +pos 30 +dimension 20 +uid 1463,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +optionalChildren [ +*346 (MRCItem +litem &270 +pos 0 +dimension 20 +) +*347 (MRCItem +litem &272 +pos 1 +dimension 50 +) +*348 (MRCItem +litem &273 +pos 2 +dimension 100 +) +*349 (MRCItem +litem &274 +pos 3 +dimension 50 +) +*350 (MRCItem +litem &275 +pos 4 +dimension 100 +) +*351 (MRCItem +litem &276 +pos 5 +dimension 100 +) +*352 (MRCItem +litem &277 +pos 6 +dimension 50 +) +*353 (MRCItem +litem &278 +pos 7 +dimension 80 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +vaOverrides [ +] +) +] +) +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *354 (LEmptyRow +) +optionalChildren [ +*355 (RefLabelRowHdr +) +*356 (TitleRowHdr +) +*357 (FilterRowHdr +) +*358 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*359 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*360 (GroupColHdr +tm "GroupColHdrMgr" +) +*361 (NameColHdr +tm "GenericNameColHdrMgr" +) +*362 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*363 (InitColHdr +tm "GenericValueColHdrMgr" +) +*364 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*365 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +optionalChildren [ +*366 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *367 (MRCItem +litem &354 +pos 3 +dimension 20 +) +optionalChildren [ +*368 (MRCItem +litem &355 +pos 0 +dimension 20 +) +*369 (MRCItem +litem &356 +pos 1 +dimension 23 +) +*370 (MRCItem +litem &357 +pos 2 +hidden 1 +dimension 20 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +optionalChildren [ +*371 (MRCItem +litem &358 +pos 0 +dimension 20 +) +*372 (MRCItem +litem &360 +pos 1 +dimension 50 +) +*373 (MRCItem +litem &361 +pos 2 +dimension 100 +) +*374 (MRCItem +litem &362 +pos 3 +dimension 100 +) +*375 (MRCItem +litem &363 +pos 4 +dimension 50 +) +*376 (MRCItem +litem &364 +pos 5 +dimension 50 +) +*377 (MRCItem +litem &365 +pos 6 +dimension 80 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +vaOverrides [ +] +) +] +) +type 1 +) +frameCount 1 +) diff --git a/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/event@buffer/rtl.bd b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/event@buffer/rtl.bd new file mode 100644 index 00000000..b23df7f9 --- /dev/null +++ b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/event@buffer/rtl.bd @@ -0,0 +1,2842 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dmPackageRefs [ +] +properties [ +(HdrProperty +class "HDS" +name "DocView" +value "eventBuffer_rtl.vhd" +) +(HdrProperty +class "HDS" +name "DocViewState" +value "1352824745" +) +] +) +version "30.1" +appVersion "2010.3 (Build 21)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl" +) +(vvPair +variable "HDSDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/event@buffer/rtl.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/event@buffer/rtl.bd.user" +) +(vvPair +variable "SourceDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "rtl" +) +(vvPair +variable "config" +value "%(unit)_config" +) +(vvPair +variable "d" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/event@buffer" +) +(vvPair +variable "d_logical" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/eventBuffer" +) +(vvPair +variable "date" +value "11/13/12" +) +(vvPair +variable "day" +value "Tue" +) +(vvPair +variable "day_long" +value "Tuesday" +) +(vvPair +variable "dd" +value "13" +) +(vvPair +variable "entity_name" +value "eventBuffer" +) +(vvPair +variable "ext" +value "<TBD>" +) +(vvPair +variable "f" +value "rtl.bd" +) +(vvPair +variable "f_logical" +value "rtl.bd" +) +(vvPair +variable "f_noext" +value "rtl" +) +(vvPair +variable "group" +value "HEP_Instrumentation" +) +(vvPair +variable "host" +value "kipper.phy.bris.ac.uk" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "fmc_mTLU_lib" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/designcheck" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "eventBuffer" +) +(vvPair +variable "month" +value "Nov" +) +(vvPair +variable "month_long" +value "November" +) +(vvPair +variable "p" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/event@buffer/rtl.bd" +) +(vvPair +variable "p_logical" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/eventBuffer/rtl.bd" +) +(vvPair +variable "package_name" +value "<Undefined Variable>" +) +(vvPair +variable "project_name" +value "fmc_mTLU" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "<TBD>" +) +(vvPair +variable "task_DesignCompilerPath" +value "<TBD>" +) +(vvPair +variable "task_LeonardoPath" +value "/opt/CAD/Mentor/LeonardoSpectrum_2008a/bin" +) +(vvPair +variable "task_ModelSimPath" +value "/opt/CAD/Mentor/Modelsim/6.4a/modeltech/bin" +) +(vvPair +variable "task_NC-SimPath" +value "<TBD>" +) +(vvPair +variable "task_PrecisionRTLPath" +value "/opt/CAD/Mentor/Precis_Synth_2009a_update2/Mgc_home/bin" +) +(vvPair +variable "task_QuestaSimPath" +value "/opt/CAD/Mentor/HDS2008.1b/questasim/bin" +) +(vvPair +variable "task_VCSPath" +value "<TBD>" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "rtl" +) +(vvPair +variable "this_file_logical" +value "rtl" +) +(vvPair +variable "time" +value "16:59:30" +) +(vvPair +variable "unit" +value "eventBuffer" +) +(vvPair +variable "user" +value "phdgc" +) +(vvPair +variable "version" +value "2010.3 (Build 21)" +) +(vvPair +variable "view" +value "rtl" +) +(vvPair +variable "year" +value "2012" +) +(vvPair +variable "yy" +value "12" +) +] +) +LanguageMgr "VhdlLangMgr" +optionalChildren [ +*1 (PortIoIn +uid 9,0 +shape (CompositeShape +uid 10,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 11,0 +sl 0 +ro 270 +xt "-4000,4625,-2500,5375" +) +(Line +uid 12,0 +sl 0 +ro 270 +xt "-2500,5000,-2000,5000" +pts [ +"-2500,5000" +"-2000,5000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 13,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 14,0 +va (VaSet +font "courier,8,0" +) +xt "-12500,4500,-5000,5400" +st "clk_4x_logic_i" +ju 2 +blo "-5000,5200" +tm "WireNameMgr" +) +) +) +*2 (Net +uid 15,0 +lang 11 +decl (Decl +n "clk_4x_logic_i" +t "std_logic" +o 1 +suid 1,0 +) +declText (MLText +uid 16,0 +va (VaSet +font "courier,8,0" +) +xt "20000,12175,34000,13075" +st "clk_4x_logic_i : std_logic +" +) +) +*3 (PortIoIn +uid 23,0 +shape (CompositeShape +uid 24,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 25,0 +sl 0 +ro 270 +xt "-4000,3625,-2500,4375" +) +(Line +uid 26,0 +sl 0 +ro 270 +xt "-2500,4000,-2000,4000" +pts [ +"-2500,4000" +"-2000,4000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 27,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 28,0 +va (VaSet +font "courier,8,0" +) +xt "-12000,3500,-5000,4400" +st "data_strobe_i" +ju 2 +blo "-5000,4200" +tm "WireNameMgr" +) +) +) +*4 (Net +uid 29,0 +decl (Decl +n "data_strobe_i" +t "std_logic" +eolc "Indicates data to transfer" +o 2 +suid 2,0 +) +declText (MLText +uid 30,0 +va (VaSet +font "courier,8,0" +) +xt "20000,13075,49000,13975" +st "data_strobe_i : std_logic -- Indicates data to transfer +" +) +) +*5 (PortIoIn +uid 37,0 +shape (CompositeShape +uid 38,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 39,0 +sl 0 +ro 270 +xt "-4000,2625,-2500,3375" +) +(Line +uid 40,0 +sl 0 +ro 270 +xt "-2500,3000,-2000,3000" +pts [ +"-2500,3000" +"-2000,3000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 41,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 42,0 +va (VaSet +font "courier,8,0" +) +xt "-11500,2500,-5000,3400" +st "event_data_i" +ju 2 +blo "-5000,3200" +tm "WireNameMgr" +) +) +) +*6 (Net +uid 43,0 +decl (Decl +n "event_data_i" +t "std_logic_vector" +b "(EVENT_DATA_WIDTH-1 DOWNTO 0)" +o 3 +suid 3,0 +) +declText (MLText +uid 44,0 +va (VaSet +font "courier,8,0" +) +xt "20000,13975,52000,14875" +st "event_data_i : std_logic_vector(EVENT_DATA_WIDTH-1 downto 0) +" +) +) +*7 (PortIoIn +uid 51,0 +shape (CompositeShape +uid 52,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 53,0 +sl 0 +ro 270 +xt "-4000,1625,-2500,2375" +) +(Line +uid 54,0 +sl 0 +ro 270 +xt "-2500,2000,-2000,2000" +pts [ +"-2500,2000" +"-2000,2000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 55,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 56,0 +va (VaSet +font "courier,8,0" +) +xt "-11000,1500,-5000,2400" +st "ipbus_clk_i" +ju 2 +blo "-5000,2200" +tm "WireNameMgr" +) +) +) +*8 (Net +uid 57,0 +lang 11 +decl (Decl +n "ipbus_clk_i" +t "std_logic" +o 4 +suid 4,0 +) +declText (MLText +uid 58,0 +va (VaSet +font "courier,8,0" +) +xt "20000,14875,34000,15775" +st "ipbus_clk_i : std_logic +" +) +) +*9 (PortIoIn +uid 65,0 +shape (CompositeShape +uid 66,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 67,0 +sl 0 +ro 270 +xt "-4000,625,-2500,1375" +) +(Line +uid 68,0 +sl 0 +ro 270 +xt "-2500,1000,-2000,1000" +pts [ +"-2500,1000" +"-2000,1000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 69,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 70,0 +va (VaSet +font "courier,8,0" +) +xt "-8500,500,-5000,1400" +st "ipbus_i" +ju 2 +blo "-5000,1200" +tm "WireNameMgr" +) +) +) +*10 (Net +uid 71,0 +lang 11 +decl (Decl +n "ipbus_i" +t "ipb_wbus" +o 5 +suid 5,0 +) +declText (MLText +uid 72,0 +va (VaSet +font "courier,8,0" +) +xt "20000,15775,33500,16675" +st "ipbus_i : ipb_wbus +" +) +) +*11 (PortIoIn +uid 79,0 +shape (CompositeShape +uid 80,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 81,0 +sl 0 +ro 270 +xt "-4000,-375,-2500,375" +) +(Line +uid 82,0 +sl 0 +ro 270 +xt "-2500,0,-2000,0" +pts [ +"-2500,0" +"-2000,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 83,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 84,0 +va (VaSet +font "courier,8,0" +) +xt "-12000,-500,-5000,400" +st "ipbus_reset_i" +ju 2 +blo "-5000,200" +tm "WireNameMgr" +) +) +) +*12 (Net +uid 85,0 +lang 11 +decl (Decl +n "ipbus_reset_i" +t "std_logic" +o 6 +suid 6,0 +) +declText (MLText +uid 86,0 +va (VaSet +font "courier,8,0" +) +xt "20000,16675,34000,17575" +st "ipbus_reset_i : std_logic +" +) +) +*13 (PortIoIn +uid 93,0 +shape (CompositeShape +uid 94,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 95,0 +sl 0 +ro 270 +xt "-4000,-1375,-2500,-625" +) +(Line +uid 96,0 +sl 0 +ro 270 +xt "-2500,-1000,-2000,-1000" +pts [ +"-2500,-1000" +"-2000,-1000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 97,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 98,0 +va (VaSet +font "courier,8,0" +) +xt "-12500,-1500,-5000,-600" +st "logic_strobe_i" +ju 2 +blo "-5000,-800" +tm "WireNameMgr" +) +) +) +*14 (Net +uid 99,0 +lang 11 +decl (Decl +n "logic_strobe_i" +t "std_logic" +o 7 +suid 7,0 +) +declText (MLText +uid 100,0 +va (VaSet +font "courier,8,0" +) +xt "20000,17575,34000,18475" +st "logic_strobe_i : std_logic +" +) +) +*15 (PortIoIn +uid 107,0 +shape (CompositeShape +uid 108,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 109,0 +sl 0 +ro 270 +xt "-4000,-2375,-2500,-1625" +) +(Line +uid 110,0 +sl 0 +ro 270 +xt "-2500,-2000,-2000,-2000" +pts [ +"-2500,-2000" +"-2000,-2000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 111,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 112,0 +va (VaSet +font "courier,8,0" +) +xt "-13000,-2500,-5000,-1600" +st "trigger_count_i" +ju 2 +blo "-5000,-1800" +tm "WireNameMgr" +) +) +) +*16 (Net +uid 113,0 +decl (Decl +n "trigger_count_i" +t "std_logic_vector" +b "(IPBUS_WIDTH-1 DOWNTO 0)" +o 8 +suid 8,0 +) +declText (MLText +uid 114,0 +va (VaSet +font "courier,8,0" +) +xt "20000,18475,49500,19375" +st "trigger_count_i : std_logic_vector(IPBUS_WIDTH-1 downto 0) +" +) +) +*17 (PortIoOut +uid 121,0 +shape (CompositeShape +uid 122,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 123,0 +sl 0 +ro 270 +xt "500,1625,2000,2375" +) +(Line +uid 124,0 +sl 0 +ro 270 +xt "0,2000,500,2000" +pts [ +"0,2000" +"500,2000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 125,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 126,0 +va (VaSet +font "courier,8,0" +) +xt "3000,1500,6500,2400" +st "ipbus_o" +blo "3000,2200" +tm "WireNameMgr" +) +) +) +*18 (Net +uid 127,0 +lang 11 +decl (Decl +n "ipbus_o" +t "ipb_rbus" +o 9 +suid 9,0 +) +declText (MLText +uid 128,0 +va (VaSet +font "courier,8,0" +) +xt "20000,19375,33500,20275" +st "ipbus_o : ipb_rbus +" +) +) +*19 (CommentText +uid 135,0 +ps "EdgeToEdgeStrategy" +shape (Rectangle +uid 136,0 +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "-4000,-8000,11000,-4000" +) +text (MLText +uid 137,0 +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "-3800,-7800,-3800,-6900" +st " + + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 15000 +) +included 4 +) +*20 (Property +uid 138,0 +pclass "HDS" +pname "DocView" +pvalue "eventBuffer_rtl.vhd" +ptn "String" +) +*21 (Property +uid 139,0 +pclass "HDS" +pname "DocViewState" +pvalue "1352824745" +ptn "String" +) +*22 (Wire +uid 17,0 +shape (OrthoPolyLine +uid 18,0 +va (VaSet +vasetType 3 +) +xt "-2000,5000,0,5000" +pts [ +"-2000,5000" +"0,5000" +] +) +start &1 +sat 32 +eat 16 +stc 0 +st 0 +si 0 +tg (WTG +uid 21,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 22,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "1000,4100,8500,5000" +st "clk_4x_logic_i" +blo "1000,4800" +tm "WireNameMgr" +) +) +on &2 +) +*23 (Wire +uid 31,0 +shape (OrthoPolyLine +uid 32,0 +va (VaSet +vasetType 3 +) +xt "-2000,4000,0,4000" +pts [ +"-2000,4000" +"0,4000" +] +) +start &3 +sat 32 +eat 16 +stc 0 +st 0 +si 0 +tg (WTG +uid 35,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 36,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "1000,3100,8000,4000" +st "data_strobe_i" +blo "1000,3800" +tm "WireNameMgr" +) +) +on &4 +) +*24 (Wire +uid 45,0 +shape (OrthoPolyLine +uid 46,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "-2000,3000,0,3000" +pts [ +"-2000,3000" +"0,3000" +] +) +start &5 +sat 32 +eat 16 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 49,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 50,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "1000,2100,7500,3000" +st "event_data_i" +blo "1000,2800" +tm "WireNameMgr" +) +) +on &6 +) +*25 (Wire +uid 59,0 +shape (OrthoPolyLine +uid 60,0 +va (VaSet +vasetType 3 +) +xt "-2000,2000,0,2000" +pts [ +"-2000,2000" +"0,2000" +] +) +start &7 +sat 32 +eat 16 +stc 0 +st 0 +si 0 +tg (WTG +uid 63,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 64,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "1000,1100,7000,2000" +st "ipbus_clk_i" +blo "1000,1800" +tm "WireNameMgr" +) +) +on &8 +) +*26 (Wire +uid 73,0 +shape (OrthoPolyLine +uid 74,0 +va (VaSet +vasetType 3 +) +xt "-2000,1000,0,1000" +pts [ +"-2000,1000" +"0,1000" +] +) +start &9 +sat 32 +eat 16 +stc 0 +st 0 +si 0 +tg (WTG +uid 77,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 78,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "1000,100,4500,1000" +st "ipbus_i" +blo "1000,800" +tm "WireNameMgr" +) +) +on &10 +) +*27 (Wire +uid 87,0 +shape (OrthoPolyLine +uid 88,0 +va (VaSet +vasetType 3 +) +xt "-2000,0,0,0" +pts [ +"-2000,0" +"0,0" +] +) +start &11 +sat 32 +eat 16 +stc 0 +st 0 +si 0 +tg (WTG +uid 91,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 92,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "1000,-900,8000,0" +st "ipbus_reset_i" +blo "1000,-200" +tm "WireNameMgr" +) +) +on &12 +) +*28 (Wire +uid 101,0 +shape (OrthoPolyLine +uid 102,0 +va (VaSet +vasetType 3 +) +xt "-2000,-1000,0,-1000" +pts [ +"-2000,-1000" +"0,-1000" +] +) +start &13 +sat 32 +eat 16 +stc 0 +st 0 +si 0 +tg (WTG +uid 105,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 106,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "1000,-1900,8500,-1000" +st "logic_strobe_i" +blo "1000,-1200" +tm "WireNameMgr" +) +) +on &14 +) +*29 (Wire +uid 115,0 +shape (OrthoPolyLine +uid 116,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "-2000,-2000,0,-2000" +pts [ +"-2000,-2000" +"0,-2000" +] +) +start &15 +sat 32 +eat 16 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 119,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 120,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "1000,-2900,9000,-2000" +st "trigger_count_i" +blo "1000,-2200" +tm "WireNameMgr" +) +) +on &16 +) +*30 (Wire +uid 129,0 +shape (OrthoPolyLine +uid 130,0 +va (VaSet +vasetType 3 +) +xt "-2000,2000,0,2000" +pts [ +"-2000,2000" +"0,2000" +] +) +end &17 +sat 16 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 133,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 134,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-6000,1100,-2500,2000" +st "ipbus_o" +blo "-6000,1800" +tm "WireNameMgr" +) +) +on &18 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *31 (PackageList +uid 162,0 +stg "VerticalLayoutStrategy" +textVec [ +*32 (Text +uid 163,0 +va (VaSet +font "courier,8,1" +) +xt "1000,10375,7500,11275" +st "Package List" +blo "1000,11075" +) +*33 (MLText +uid 164,0 +va (VaSet +font "courier,8,0" +) +xt "1000,11275,15500,14875" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 165,0 +stg "VerticalLayoutStrategy" +textVec [ +*34 (Text +uid 166,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "21000,10375,31000,11275" +st "Compiler Directives" +blo "21000,11075" +) +*35 (Text +uid 167,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "21000,11275,32500,12175" +st "Pre-module directives:" +blo "21000,11975" +) +*36 (MLText +uid 168,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "21000,12175,31100,13975" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*37 (Text +uid 169,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "21000,13975,33000,14875" +st "Post-module directives:" +blo "21000,14675" +) +*38 (MLText +uid 170,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "21000,10375,21000,10375" +tm "BdCompilerDirectivesTextMgr" +) +*39 (Text +uid 171,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "21000,14875,32500,15775" +st "End-module directives:" +blo "21000,15575" +) +*40 (MLText +uid 172,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "21000,15775,21000,15775" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "0,0,1015,690" +viewArea "-13000,-8000,52000,22975" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +lastUid 172,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,4000,1900" +st "Panel0" +blo "1000,1700" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*41 (Text +va (VaSet +font "courier,8,1" +) +xt "1750,3650,6250,4550" +st "<library>" +blo "1750,4350" +tm "BdLibraryNameMgr" +) +*42 (Text +va (VaSet +font "courier,8,1" +) +xt "1750,4550,5250,5450" +st "<block>" +blo "1750,5250" +tm "BlkNameMgr" +) +*43 (Text +va (VaSet +font "courier,8,1" +) +xt "1750,5450,2750,6350" +st "I0" +blo "1750,6150" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "1750,13650,1750,13650" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*44 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,3650,4500,4550" +st "Library" +blo "1000,4350" +) +*45 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,4550,7000,5450" +st "MWComponent" +blo "1000,5250" +) +*46 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,5450,2000,6350" +st "I0" +blo "1000,6150" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6000,1650,-6000,1650" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*47 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,3650,4500,4550" +st "Library" +blo "1000,4350" +tm "BdLibraryNameMgr" +) +*48 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,4550,7000,5450" +st "SaComponent" +blo "1000,5250" +tm "CptNameMgr" +) +*49 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,5450,2000,6350" +st "I0" +blo "1000,6150" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6000,1650,-6000,1650" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +portVis (PortSigDisplay +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*50 (Text +va (VaSet +font "courier,8,1" +) +xt "500,3650,4000,4550" +st "Library" +blo "500,4350" +) +*51 (Text +va (VaSet +font "courier,8,1" +) +xt "500,4550,7500,5450" +st "VhdlComponent" +blo "500,5250" +) +*52 (Text +va (VaSet +font "courier,8,1" +) +xt "500,5450,1500,6350" +st "I0" +blo "500,6150" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6500,1650,-6500,1650" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-750,0,8750,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*53 (Text +va (VaSet +font "courier,8,1" +) +xt "-250,3650,3250,4550" +st "Library" +blo "-250,4350" +) +*54 (Text +va (VaSet +font "courier,8,1" +) +xt "-250,4550,8250,5450" +st "VerilogComponent" +blo "-250,5250" +) +*55 (Text +va (VaSet +font "courier,8,1" +) +xt "-250,5450,750,6350" +st "I0" +blo "-250,6150" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-7250,1650,-7250,1650" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*56 (Text +va (VaSet +font "courier,8,1" +) +xt "3250,4100,4750,5000" +st "eb1" +blo "3250,4800" +tm "HdlTextNameMgr" +) +*57 (Text +va (VaSet +font "courier,8,1" +) +xt "3250,5000,3750,5900" +st "1" +blo "3250,5700" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +font "courier,8,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +font "courier,8,1" +) +xt "-250,-450,250,450" +st "G" +blo "-250,250" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,0,2000,900" +st "sig0" +blo "0,700" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,0,2500,900" +st "dbus0" +blo "0,700" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,0" +) +xt "0,0,3500,900" +st "bundle0" +blo "0,700" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +font "courier,8,0" +) +xt "0,900,1000,1800" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +font "courier,8,0" +) +) +second (MLText +va (VaSet +font "courier,8,0" +) +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,-1000,14500,-100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1350" +) +num (Text +va (VaSet +font "courier,8,0" +) +xt "300,250,800,1150" +st "1" +blo "300,950" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*58 (Text +va (VaSet +font "courier,8,1" +) +xt "12500,20000,22000,20900" +st "Frame Declarations" +blo "12500,20700" +) +*59 (MLText +va (VaSet +font "courier,8,0" +) +xt "12500,20900,12500,20900" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,-1000,9000,-100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1350" +) +num (Text +va (VaSet +font "courier,8,0" +) +xt "300,250,800,1150" +st "1" +blo "300,950" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*60 (Text +va (VaSet +font "courier,8,1" +) +xt "12500,20000,22000,20900" +st "Frame Declarations" +blo "12500,20700" +) +*61 (MLText +va (VaSet +font "courier,8,0" +) +xt "12500,20900,12500,20900" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,2000,1650" +st "Port" +blo "0,1450" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,2000,1650" +st "Port" +blo "0,1450" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "courier,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "18000,10375,24500,11275" +st "Declarations" +blo "18000,11075" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "18000,11275,21000,12175" +st "Ports:" +blo "18000,11975" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "18000,20275,22500,21175" +st "Pre User:" +blo "18000,20975" +) +preUserText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "18000,10375,18000,10375" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "courier,8,1" +) +xt "18000,21175,26500,22075" +st "Diagram Signals:" +blo "18000,21875" +) +postUserLabel (Text +uid 7,0 +va (VaSet +font "courier,8,1" +) +xt "18000,22075,23500,22975" +st "Post User:" +blo "18000,22775" +) +postUserText (MLText +uid 8,0 +va (VaSet +font "courier,8,0" +) +xt "18000,10375,18000,10375" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 9,0 +usingSuid 1 +emptyRow *62 (LEmptyRow +) +optionalChildren [ +*63 (RefLabelRowHdr +) +*64 (TitleRowHdr +) +*65 (FilterRowHdr +) +*66 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*67 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*68 (GroupColHdr +tm "GroupColHdrMgr" +) +*69 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*70 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*71 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*72 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*73 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*74 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*75 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "clk_4x_logic_i" +t "std_logic" +o 1 +suid 1,0 +) +) +uid 140,0 +) +*76 (LeafLogPort +port (LogicalPort +decl (Decl +n "data_strobe_i" +t "std_logic" +eolc "Indicates data to transfer" +o 2 +suid 2,0 +) +) +uid 142,0 +) +*77 (LeafLogPort +port (LogicalPort +decl (Decl +n "event_data_i" +t "std_logic_vector" +b "(EVENT_DATA_WIDTH-1 DOWNTO 0)" +o 3 +suid 3,0 +) +) +uid 144,0 +) +*78 (LeafLogPort +port (LogicalPort +decl (Decl +n "ipbus_clk_i" +t "std_logic" +o 4 +suid 4,0 +) +) +uid 146,0 +) +*79 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "ipbus_i" +t "ipb_wbus" +o 5 +suid 5,0 +) +) +uid 148,0 +) +*80 (LeafLogPort +port (LogicalPort +decl (Decl +n "ipbus_reset_i" +t "std_logic" +o 6 +suid 6,0 +) +) +uid 150,0 +) +*81 (LeafLogPort +port (LogicalPort +decl (Decl +n "logic_strobe_i" +t "std_logic" +o 7 +suid 7,0 +) +) +uid 152,0 +) +*82 (LeafLogPort +port (LogicalPort +decl (Decl +n "trigger_count_i" +t "std_logic_vector" +b "(IPBUS_WIDTH-1 DOWNTO 0)" +o 8 +suid 8,0 +) +) +uid 154,0 +) +*83 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "ipbus_o" +t "ipb_rbus" +o 9 +suid 9,0 +) +) +uid 156,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +optionalChildren [ +*84 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *85 (MRCItem +litem &62 +pos 3 +dimension 20 +) +optionalChildren [ +*86 (MRCItem +litem &63 +pos 0 +dimension 20 +) +*87 (MRCItem +litem &64 +pos 1 +dimension 23 +) +*88 (MRCItem +litem &65 +pos 2 +hidden 1 +dimension 20 +) +*89 (MRCItem +litem &75 +pos 0 +dimension 20 +uid 141,0 +) +*90 (MRCItem +litem &76 +pos 8 +dimension 20 +uid 143,0 +) +*91 (MRCItem +litem &77 +pos 6 +dimension 20 +uid 145,0 +) +*92 (MRCItem +litem &78 +pos 2 +dimension 20 +uid 147,0 +) +*93 (MRCItem +litem &79 +pos 4 +dimension 20 +uid 149,0 +) +*94 (MRCItem +litem &80 +pos 3 +dimension 20 +uid 151,0 +) +*95 (MRCItem +litem &81 +pos 1 +dimension 20 +uid 153,0 +) +*96 (MRCItem +litem &82 +pos 7 +dimension 20 +uid 155,0 +) +*97 (MRCItem +litem &83 +pos 5 +dimension 20 +uid 157,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +optionalChildren [ +*98 (MRCItem +litem &66 +pos 0 +dimension 20 +) +*99 (MRCItem +litem &68 +pos 1 +dimension 50 +) +*100 (MRCItem +litem &69 +pos 2 +dimension 100 +) +*101 (MRCItem +litem &70 +pos 3 +dimension 50 +) +*102 (MRCItem +litem &71 +pos 4 +dimension 100 +) +*103 (MRCItem +litem &72 +pos 5 +dimension 100 +) +*104 (MRCItem +litem &73 +pos 6 +dimension 50 +) +*105 (MRCItem +litem &74 +pos 7 +dimension 80 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +vaOverrides [ +] +) +] +) +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *106 (LEmptyRow +) +optionalChildren [ +*107 (RefLabelRowHdr +) +*108 (TitleRowHdr +) +*109 (FilterRowHdr +) +*110 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*111 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*112 (GroupColHdr +tm "GroupColHdrMgr" +) +*113 (NameColHdr +tm "GenericNameColHdrMgr" +) +*114 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*115 (InitColHdr +tm "GenericValueColHdrMgr" +) +*116 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*117 (EolColHdr +tm "GenericEolColHdrMgr" +) +*118 (LogGeneric +generic (GiElement +name "EVENT_DATA_WIDTH" +type "positive" +value "64" +) +uid 159,0 +) +*119 (LogGeneric +generic (GiElement +name "IPBUS_WIDTH" +type "positive" +value "32" +) +uid 161,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +optionalChildren [ +*120 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *121 (MRCItem +litem &106 +pos 3 +dimension 20 +) +optionalChildren [ +*122 (MRCItem +litem &107 +pos 0 +dimension 20 +) +*123 (MRCItem +litem &108 +pos 1 +dimension 23 +) +*124 (MRCItem +litem &109 +pos 2 +hidden 1 +dimension 20 +) +*125 (MRCItem +litem &118 +pos 0 +dimension 20 +uid 158,0 +) +*126 (MRCItem +litem &119 +pos 1 +dimension 20 +uid 160,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +optionalChildren [ +*127 (MRCItem +litem &110 +pos 0 +dimension 20 +) +*128 (MRCItem +litem &112 +pos 1 +dimension 50 +) +*129 (MRCItem +litem &113 +pos 2 +dimension 100 +) +*130 (MRCItem +litem &114 +pos 3 +dimension 100 +) +*131 (MRCItem +litem &115 +pos 4 +dimension 50 +) +*132 (MRCItem +litem &116 +pos 5 +dimension 50 +) +*133 (MRCItem +litem &117 +pos 6 +dimension 80 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +vaOverrides [ +] +) +] +) +type 1 +) +) diff --git a/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/event@buffer/symbol.sb b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/event@buffer/symbol.sb new file mode 100644 index 00000000..11ef674d --- /dev/null +++ b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/event@buffer/symbol.sb @@ -0,0 +1,2085 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "work" +unitName "ipbus" +) +] +libraryRefs [ +"ieee" +] +) +version "25.1" +appVersion "2012.1 (Build 6)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 11,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 109,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "clk_4x_logic_i" +t "std_logic" +o 1 +suid 1,0 +) +) +uid 51,0 +) +*15 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "strobe_4x_logic_i" +t "std_logic" +o 7 +suid 2,0 +) +) +uid 53,0 +) +*16 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "ipbus_clk_i" +t "std_logic" +o 4 +suid 3,0 +) +) +uid 55,0 +) +*17 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "ipbus_reset_i" +t "std_logic" +o 6 +suid 4,0 +) +) +uid 57,0 +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "ipbus_i" +t "ipb_wbus" +o 5 +suid 5,0 +) +) +uid 59,0 +) +*19 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "ipbus_o" +t "ipb_rbus" +o 10 +suid 6,0 +) +) +uid 61,0 +) +*20 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "event_data_i" +t "std_logic_vector" +b "(g_EVENT_DATA_WIDTH-1 DOWNTO 0)" +o 3 +suid 7,0 +) +) +uid 63,0 +) +*21 (LogPort +port (LogicalPort +decl (Decl +n "trigger_count_i" +t "std_logic_vector" +b "(g_IPBUS_WIDTH-1 DOWNTO 0)" +eolc "--! Not yet used." +posAdd 0 +o 8 +suid 8,0 +) +) +uid 65,0 +) +*22 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "data_strobe_i" +t "std_logic" +eolc "Indicates data to transfer" +o 2 +suid 9,0 +) +) +uid 164,0 +) +*23 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "buffer_full_o" +t "std_logic" +eolc "--! Goes high when event buffer almost full" +preAdd 0 +o 9 +suid 10,0 +) +) +uid 198,0 +) +*24 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "logic_reset_i" +t "std_logic" +eolc "reset buffers when high. Synch withclk_4x_logic" +o 11 +suid 11,0 +) +) +uid 282,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 122,0 +optionalChildren [ +*25 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *26 (MRCItem +litem &1 +pos 11 +dimension 20 +) +uid 124,0 +optionalChildren [ +*27 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 125,0 +) +*28 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 126,0 +) +*29 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 127,0 +) +*30 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 52,0 +) +*31 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 54,0 +) +*32 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 56,0 +) +*33 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 58,0 +) +*34 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 60,0 +) +*35 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 62,0 +) +*36 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 64,0 +) +*37 (MRCItem +litem &21 +pos 7 +dimension 20 +uid 66,0 +) +*38 (MRCItem +litem &22 +pos 8 +dimension 20 +uid 165,0 +) +*39 (MRCItem +litem &23 +pos 9 +dimension 20 +uid 199,0 +) +*40 (MRCItem +litem &24 +pos 10 +dimension 20 +uid 283,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 128,0 +optionalChildren [ +*41 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 129,0 +) +*42 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 130,0 +) +*43 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 131,0 +) +*44 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 132,0 +) +*45 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 133,0 +) +*46 (MRCItem +litem &11 +pos 5 +dimension 180 +uid 134,0 +) +*47 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 135,0 +) +*48 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 136,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 123,0 +vaOverrides [ +] +) +] +) +uid 108,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *49 (LEmptyRow +) +uid 138,0 +optionalChildren [ +*50 (RefLabelRowHdr +) +*51 (TitleRowHdr +) +*52 (FilterRowHdr +) +*53 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*54 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*55 (GroupColHdr +tm "GroupColHdrMgr" +) +*56 (NameColHdr +tm "GenericNameColHdrMgr" +) +*57 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*58 (InitColHdr +tm "GenericValueColHdrMgr" +) +*59 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*60 (EolColHdr +tm "GenericEolColHdrMgr" +) +*61 (LogGeneric +generic (GiElement +name "g_EVENT_DATA_WIDTH" +type "positive" +value "64" +) +uid 312,0 +) +*62 (LogGeneric +generic (GiElement +name "g_IPBUS_WIDTH" +type "positive" +value "32" +) +uid 314,0 +) +*63 (LogGeneric +generic (GiElement +name "g_WRITE_COUNTER_WIDTH" +type "positive" +value "13" +) +uid 316,0 +) +*64 (LogGeneric +generic (GiElement +name "g_READ_COUNTER_WIDTH" +type "positive" +value "14" +) +uid 318,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 150,0 +optionalChildren [ +*65 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *66 (MRCItem +litem &49 +pos 4 +dimension 20 +) +uid 152,0 +optionalChildren [ +*67 (MRCItem +litem &50 +pos 0 +dimension 20 +uid 153,0 +) +*68 (MRCItem +litem &51 +pos 1 +dimension 23 +uid 154,0 +) +*69 (MRCItem +litem &52 +pos 2 +hidden 1 +dimension 20 +uid 155,0 +) +*70 (MRCItem +litem &61 +pos 0 +dimension 20 +uid 313,0 +) +*71 (MRCItem +litem &62 +pos 1 +dimension 20 +uid 315,0 +) +*72 (MRCItem +litem &63 +pos 2 +dimension 20 +uid 317,0 +) +*73 (MRCItem +litem &64 +pos 3 +dimension 20 +uid 319,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 156,0 +optionalChildren [ +*74 (MRCItem +litem &53 +pos 0 +dimension 20 +uid 157,0 +) +*75 (MRCItem +litem &55 +pos 1 +dimension 50 +uid 158,0 +) +*76 (MRCItem +litem &56 +pos 2 +dimension 315 +uid 159,0 +) +*77 (MRCItem +litem &57 +pos 3 +dimension 100 +uid 160,0 +) +*78 (MRCItem +litem &58 +pos 4 +dimension 50 +uid 161,0 +) +*79 (MRCItem +litem &59 +pos 5 +dimension 50 +uid 162,0 +) +*80 (MRCItem +litem &60 +pos 6 +dimension 80 +uid 163,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 151,0 +vaOverrides [ +] +) +] +) +uid 137,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl" +) +(vvPair +variable "HDSDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/event@buffer/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/event@buffer/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "config" +value "%(unit)_config" +) +(vvPair +variable "d" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/event@buffer" +) +(vvPair +variable "d_logical" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/eventBuffer" +) +(vvPair +variable "date" +value "07/23/13" +) +(vvPair +variable "day" +value "Tue" +) +(vvPair +variable "day_long" +value "Tuesday" +) +(vvPair +variable "dd" +value "23" +) +(vvPair +variable "entity_name" +value "eventBuffer" +) +(vvPair +variable "ext" +value "<TBD>" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "group" +value "users" +) +(vvPair +variable "host" +value "fortis.phy.bris.ac.uk" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "work" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/designcheck" +) +(vvPair +variable "library_downstream_ISEPARInvoke" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/ise" +) +(vvPair +variable "library_downstream_ImpactInvoke" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/ise" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/work" +) +(vvPair +variable "library_downstream_XSTDataPrep" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/ise" +) +(vvPair +variable "mm" +value "07" +) +(vvPair +variable "module_name" +value "eventBuffer" +) +(vvPair +variable "month" +value "Jul" +) +(vvPair +variable "month_long" +value "July" +) +(vvPair +variable "p" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/event@buffer/symbol.sb" +) +(vvPair +variable "p_logical" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/eventBuffer/symbol.sb" +) +(vvPair +variable "package_name" +value "<Undefined Variable>" +) +(vvPair +variable "project_name" +value "fmc_mTLU" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "<TBD>" +) +(vvPair +variable "task_DesignCompilerPath" +value "<TBD>" +) +(vvPair +variable "task_LeonardoPath" +value "/opt/CAD/Mentor/LeonardoSpectrum_2008a/bin" +) +(vvPair +variable "task_ModelSimPath" +value "/opt/CAD/Mentor/Modelsim/6.4a/modeltech/bin" +) +(vvPair +variable "task_NC-SimPath" +value "<TBD>" +) +(vvPair +variable "task_PrecisionRTLPath" +value "/opt/CAD/Mentor/Precis_Synth_2009a_update2/Mgc_home/bin" +) +(vvPair +variable "task_QuestaSimPath" +value "/opt/CAD/Mentor/HDS2008.1b/questasim/bin" +) +(vvPair +variable "task_VCSPath" +value "<TBD>" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "16:39:42" +) +(vvPair +variable "unit" +value "eventBuffer" +) +(vvPair +variable "user" +value "phdgc" +) +(vvPair +variable "version" +value "2012.1 (Build 6)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2013" +) +(vvPair +variable "yy" +value "13" +) +] +) +LanguageMgr "VhdlLangMgr" +uid 107,0 +optionalChildren [ +*81 (SymbolBody +uid 8,0 +optionalChildren [ +*82 (CptPort +uid 67,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 68,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "15250,19625,16000,20375" +) +tg (CPTG +uid 69,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 70,0 +va (VaSet +font "courier,8,0" +) +xt "17000,19550,24500,20450" +st "clk_4x_logic_i" +blo "17000,20250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 71,0 +va (VaSet +font "courier,8,0" +) +xt "44000,1800,64000,2700" +st "clk_4x_logic_i : IN std_logic ; +" +) +thePort (LogicalPort +decl (Decl +n "clk_4x_logic_i" +t "std_logic" +o 1 +suid 1,0 +) +) +) +*83 (CptPort +uid 72,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 73,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "15250,33625,16000,34375" +) +tg (CPTG +uid 74,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 75,0 +va (VaSet +font "courier,8,0" +) +xt "17000,33550,26000,34450" +st "strobe_4x_logic_i" +blo "17000,34250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 76,0 +va (VaSet +font "courier,8,0" +) +xt "44000,7200,64000,8100" +st "strobe_4x_logic_i : IN std_logic ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "strobe_4x_logic_i" +t "std_logic" +o 7 +suid 2,0 +) +) +) +*84 (CptPort +uid 77,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 78,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "15250,26625,16000,27375" +) +tg (CPTG +uid 79,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 80,0 +va (VaSet +font "courier,8,0" +) +xt "17000,26550,23000,27450" +st "ipbus_clk_i" +blo "17000,27250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 81,0 +va (VaSet +font "courier,8,0" +) +xt "44000,4500,64000,5400" +st "ipbus_clk_i : IN std_logic ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "ipbus_clk_i" +t "std_logic" +o 4 +suid 3,0 +) +) +) +*85 (CptPort +uid 82,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 83,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "15250,31625,16000,32375" +) +tg (CPTG +uid 84,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 85,0 +va (VaSet +font "courier,8,0" +) +xt "17000,31550,24000,32450" +st "ipbus_reset_i" +blo "17000,32250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 86,0 +va (VaSet +font "courier,8,0" +) +xt "44000,6300,64000,7200" +st "ipbus_reset_i : IN std_logic ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "ipbus_reset_i" +t "std_logic" +o 6 +suid 4,0 +) +) +) +*86 (CptPort +uid 87,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 88,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "15250,28625,16000,29375" +) +tg (CPTG +uid 89,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 90,0 +va (VaSet +font "courier,8,0" +) +xt "17000,28550,20500,29450" +st "ipbus_i" +blo "17000,29250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 91,0 +va (VaSet +font "courier,8,0" +) +xt "44000,5400,63500,6300" +st "ipbus_i : IN ipb_wbus ; +" +) +thePort (LogicalPort +decl (Decl +n "ipbus_i" +t "ipb_wbus" +o 5 +suid 5,0 +) +) +) +*87 (CptPort +uid 92,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 93,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "59000,21625,59750,22375" +) +tg (CPTG +uid 94,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 95,0 +va (VaSet +font "courier,8,0" +) +xt "54500,21550,58000,22450" +st "ipbus_o" +ju 2 +blo "58000,22250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 96,0 +va (VaSet +font "courier,8,0" +) +xt "44000,9900,63500,10800" +st "ipbus_o : OUT ipb_rbus ; +" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "ipbus_o" +t "ipb_rbus" +o 10 +suid 6,0 +) +) +) +*88 (CptPort +uid 97,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 98,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "15250,24625,16000,25375" +) +tg (CPTG +uid 99,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 100,0 +va (VaSet +font "courier,8,0" +) +xt "17000,24550,37000,25450" +st "event_data_i : (g_EVENT_DATA_WIDTH-1:0)" +blo "17000,25250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 101,0 +va (VaSet +font "courier,8,0" +) +xt "44000,3600,83000,4500" +st "event_data_i : IN std_logic_vector (g_EVENT_DATA_WIDTH-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "event_data_i" +t "std_logic_vector" +b "(g_EVENT_DATA_WIDTH-1 DOWNTO 0)" +o 3 +suid 7,0 +) +) +) +*89 (CptPort +uid 102,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 103,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "15250,21625,16000,22375" +) +tg (CPTG +uid 104,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 105,0 +va (VaSet +font "courier,8,0" +) +xt "17000,21550,36000,22450" +st "trigger_count_i : (g_IPBUS_WIDTH-1:0)" +blo "17000,22250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 106,0 +va (VaSet +font "courier,8,0" +) +xt "44000,8100,89500,9000" +st "trigger_count_i : IN std_logic_vector (g_IPBUS_WIDTH-1 DOWNTO 0) ; --! Not yet used. +" +) +thePort (LogicalPort +decl (Decl +n "trigger_count_i" +t "std_logic_vector" +b "(g_IPBUS_WIDTH-1 DOWNTO 0)" +eolc "--! Not yet used." +posAdd 0 +o 8 +suid 8,0 +) +) +) +*90 (CptPort +uid 166,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 167,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "15250,16625,16000,17375" +) +tg (CPTG +uid 168,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 169,0 +va (VaSet +font "courier,8,0" +) +xt "17000,16550,24000,17450" +st "data_strobe_i" +blo "17000,17250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 170,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2700,79000,3600" +st "data_strobe_i : IN std_logic ; -- Indicates data to transfer +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "data_strobe_i" +t "std_logic" +eolc "Indicates data to transfer" +o 2 +suid 9,0 +) +) +) +*91 (CptPort +uid 200,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 201,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "59000,32625,59750,33375" +) +tg (CPTG +uid 202,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 203,0 +va (VaSet +font "courier,8,0" +) +xt "51000,32550,58000,33450" +st "buffer_full_o" +ju 2 +blo "58000,33250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 204,0 +va (VaSet +font "courier,8,0" +) +xt "44000,9000,86000,9900" +st "buffer_full_o : OUT std_logic ; --! Goes high when event buffer almost full +" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "buffer_full_o" +t "std_logic" +eolc "--! Goes high when event buffer almost full" +preAdd 0 +o 9 +suid 10,0 +) +) +) +*92 (CptPort +uid 284,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 285,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "15250,36625,16000,37375" +) +tg (CPTG +uid 286,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 287,0 +va (VaSet +font "courier,8,0" +) +xt "17000,36550,24000,37450" +st "logic_reset_i" +blo "17000,37250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 288,0 +va (VaSet +font "courier,8,0" +) +xt "44000,10800,88500,11700" +st "logic_reset_i : IN std_logic -- reset buffers when high. Synch withclk_4x_logic +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "logic_reset_i" +t "std_logic" +eolc "reset buffers when high. Synch withclk_4x_logic" +o 11 +suid 11,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "16000,16000,59000,38000" +) +oxt "16000,16000,45000,37000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "32750,19100,34750,20000" +st "work" +blo "32750,19800" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "32750,20000,38750,20900" +st "eventBuffer" +blo "32750,20700" +) +) +gi *93 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "23000,12300,41000,17700" +st "Generic Declarations + +g_EVENT_DATA_WIDTH positive 64 +g_IPBUS_WIDTH positive 32 +g_WRITE_COUNTER_WIDTH positive 13 +g_READ_COUNTER_WIDTH positive 14 +" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "g_EVENT_DATA_WIDTH" +type "positive" +value "64" +) +(GiElement +name "g_IPBUS_WIDTH" +type "positive" +value "32" +) +(GiElement +name "g_WRITE_COUNTER_WIDTH" +type "positive" +value "13" +) +(GiElement +name "g_READ_COUNTER_WIDTH" +type "positive" +value "14" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +*94 (Grouping +uid 16,0 +optionalChildren [ +*95 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,50000,49000,51000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "32200,50050,44200,50950" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*96 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "49000,46000,53000,47000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "49200,46050,53200,46950" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*97 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,49000,49000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "32200,48050,45700,48950" +st " +<enter diagram title here> +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*98 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "28000,48000,32000,49000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "28200,48050,31200,48950" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*99 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "49000,47000,69000,51000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "49200,47200,60200,48100" +st " +<enter comments here> +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*100 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,46000,69000,47000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "53200,46050,57200,46950" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*101 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "28000,46000,49000,48000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "34000,46500,43000,47500" +st " +<company name> +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*102 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "28000,49000,32000,50000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "28200,49050,30700,49950" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*103 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "28000,50000,32000,51000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "28200,50050,31700,50950" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*104 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,49000,49000,50000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "32200,49050,44200,49950" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "28000,46000,69000,51000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *105 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*106 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,6500,900" +st "Package List" +blo "0,700" +) +*107 (MLText +uid 50,0 +va (VaSet +font "courier,8,0" +) +xt "0,900,14500,5400" +st "LIBRARY ieee; +USE ieee.std_logic_1164.all; +USE ieee.numeric_std.all; + +USE work.ipbus.all;" +tm "PackageList" +) +] +) +windowSize "2428,253,3443,943" +viewArea "9500,12900,49100,37550" +cachedDiagramExtent "0,0,88500,51000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,4000,1900" +st "Panel0" +blo "1000,1700" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,39000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "24750,15100,29250,16000" +st "<library>" +blo "24750,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "24750,16000,27750,16900" +st "<cell>" +blo "24750,16700" +) +) +gi *108 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *109 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "42000,0,48500,900" +st "Declarations" +blo "42000,700" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "42000,900,45000,1800" +st "Ports:" +blo "42000,1600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "42000,11700,44500,12600" +st "User:" +blo "42000,12400" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "42000,0,49500,900" +st "Internal User:" +blo "42000,700" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "44000,12600,44000,12600" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 319,0 +activeModelName "Symbol" +) diff --git a/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/event@formatter/_symbol.sb._fpf b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/event@formatter/_symbol.sb._fpf new file mode 100755 index 00000000..e0bac7fb --- /dev/null +++ b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/event@formatter/_symbol.sb._fpf @@ -0,0 +1,3 @@ +INCLUDE list { + DEFAULT atom 1 +} diff --git a/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/event@formatter/rtl.bd b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/event@formatter/rtl.bd new file mode 100644 index 00000000..4085d65e --- /dev/null +++ b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/event@formatter/rtl.bd @@ -0,0 +1,2644 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dmPackageRefs [ +] +properties [ +(HdrProperty +class "HDS" +name "DocView" +value "eventFormatter_rtl.vhd" +) +(HdrProperty +class "HDS" +name "DocViewState" +value "1352820697" +) +] +) +version "30.1" +appVersion "2010.3 (Build 21)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl" +) +(vvPair +variable "HDSDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/event@formatter/rtl.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/event@formatter/rtl.bd.user" +) +(vvPair +variable "SourceDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "rtl" +) +(vvPair +variable "config" +value "%(unit)_config" +) +(vvPair +variable "d" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/event@formatter" +) +(vvPair +variable "d_logical" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/eventFormatter" +) +(vvPair +variable "date" +value "11/13/12" +) +(vvPair +variable "day" +value "Tue" +) +(vvPair +variable "day_long" +value "Tuesday" +) +(vvPair +variable "dd" +value "13" +) +(vvPair +variable "entity_name" +value "eventFormatter" +) +(vvPair +variable "ext" +value "<TBD>" +) +(vvPair +variable "f" +value "rtl.bd" +) +(vvPair +variable "f_logical" +value "rtl.bd" +) +(vvPair +variable "f_noext" +value "rtl" +) +(vvPair +variable "group" +value "HEP_Instrumentation" +) +(vvPair +variable "host" +value "kipper.phy.bris.ac.uk" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "fmc_mTLU_lib" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/designcheck" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "eventFormatter" +) +(vvPair +variable "month" +value "Nov" +) +(vvPair +variable "month_long" +value "November" +) +(vvPair +variable "p" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/event@formatter/rtl.bd" +) +(vvPair +variable "p_logical" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/eventFormatter/rtl.bd" +) +(vvPair +variable "package_name" +value "<Undefined Variable>" +) +(vvPair +variable "project_name" +value "fmc_mTLU" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "<TBD>" +) +(vvPair +variable "task_DesignCompilerPath" +value "<TBD>" +) +(vvPair +variable "task_LeonardoPath" +value "/opt/CAD/Mentor/LeonardoSpectrum_2008a/bin" +) +(vvPair +variable "task_ModelSimPath" +value "/opt/CAD/Mentor/Modelsim/6.4a/modeltech/bin" +) +(vvPair +variable "task_NC-SimPath" +value "<TBD>" +) +(vvPair +variable "task_PrecisionRTLPath" +value "/opt/CAD/Mentor/Precis_Synth_2009a_update2/Mgc_home/bin" +) +(vvPair +variable "task_QuestaSimPath" +value "/opt/CAD/Mentor/HDS2008.1b/questasim/bin" +) +(vvPair +variable "task_VCSPath" +value "<TBD>" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "rtl" +) +(vvPair +variable "this_file_logical" +value "rtl" +) +(vvPair +variable "time" +value "16:59:30" +) +(vvPair +variable "unit" +value "eventFormatter" +) +(vvPair +variable "user" +value "phdgc" +) +(vvPair +variable "version" +value "2010.3 (Build 21)" +) +(vvPair +variable "view" +value "rtl" +) +(vvPair +variable "year" +value "2012" +) +(vvPair +variable "yy" +value "12" +) +] +) +LanguageMgr "VhdlLangMgr" +optionalChildren [ +*1 (PortIoIn +uid 9,0 +shape (CompositeShape +uid 10,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 11,0 +sl 0 +ro 270 +xt "-4000,625,-2500,1375" +) +(Line +uid 12,0 +sl 0 +ro 270 +xt "-2500,1000,-2000,1000" +pts [ +"-2500,1000" +"-2000,1000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 13,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 14,0 +va (VaSet +font "courier,8,0" +) +xt "-12500,500,-5000,1400" +st "clk_4x_logic_i" +ju 2 +blo "-5000,1200" +tm "WireNameMgr" +) +) +) +*2 (Net +uid 15,0 +lang 2 +decl (Decl +n "clk_4x_logic_i" +t "std_logic" +eolc "! Rising edge active" +preAdd 0 +posAdd 0 +o 1 +suid 1,0 +) +declText (MLText +uid 16,0 +va (VaSet +font "courier,8,0" +) +xt "20000,8175,46000,9075" +st "clk_4x_logic_i : std_logic -- ! Rising edge active +" +) +) +*3 (PortIoIn +uid 23,0 +shape (CompositeShape +uid 24,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 25,0 +sl 0 +ro 270 +xt "-4000,-375,-2500,375" +) +(Line +uid 26,0 +sl 0 +ro 270 +xt "-2500,0,-2000,0" +pts [ +"-2500,0" +"-2000,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 27,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 28,0 +va (VaSet +font "courier,8,0" +) +xt "-12500,-500,-5000,400" +st "logic_strobe_i" +ju 2 +blo "-5000,200" +tm "WireNameMgr" +) +) +) +*4 (Net +uid 29,0 +lang 2 +decl (Decl +n "logic_strobe_i" +t "std_logic" +eolc "! Pulses high once every 4 cycles of clk_4x_logic" +preAdd 0 +posAdd 0 +o 2 +suid 2,0 +) +declText (MLText +uid 30,0 +va (VaSet +font "courier,8,0" +) +xt "20000,9075,60500,9975" +st "logic_strobe_i : std_logic -- ! Pulses high once every 4 cycles of clk_4x_logic +" +) +) +*5 (PortIoIn +uid 37,0 +shape (CompositeShape +uid 38,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 39,0 +sl 0 +ro 270 +xt "-4000,-1375,-2500,-625" +) +(Line +uid 40,0 +sl 0 +ro 270 +xt "-2500,-1000,-2000,-1000" +pts [ +"-2500,-1000" +"-2000,-1000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 41,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 42,0 +va (VaSet +font "courier,8,0" +) +xt "-9500,-1500,-5000,-600" +st "trigger_i" +ju 2 +blo "-5000,-800" +tm "WireNameMgr" +) +) +) +*6 (Net +uid 43,0 +decl (Decl +n "trigger_i" +t "std_logic" +eolc "goes high to load trigger data" +o 3 +suid 3,0 +) +declText (MLText +uid 44,0 +va (VaSet +font "courier,8,0" +) +xt "20000,9975,51000,10875" +st "trigger_i : std_logic -- goes high to load trigger data +" +) +) +*7 (PortIoIn +uid 51,0 +shape (CompositeShape +uid 52,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 53,0 +sl 0 +ro 270 +xt "-4000,-2375,-2500,-1625" +) +(Line +uid 54,0 +sl 0 +ro 270 +xt "-2500,-2000,-2000,-2000" +pts [ +"-2500,-2000" +"-2000,-2000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 55,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 56,0 +va (VaSet +font "courier,8,0" +) +xt "-13000,-2500,-5000,-1600" +st "trigger_times_i" +ju 2 +blo "-5000,-1800" +tm "WireNameMgr" +) +) +) +*8 (Net +uid 57,0 +lang 2 +decl (Decl +n "trigger_times_i" +t "t_triggerTimeArray" +b "(NUM_TRIG_INPUTS-1 DOWNTO 0)" +eolc "Array of trigger times ( w.r.t. logic_strobe)" +o 4 +suid 4,0 +) +declText (MLText +uid 58,0 +va (VaSet +font "courier,8,0" +) +xt "20000,10875,77000,11775" +st "trigger_times_i : t_triggerTimeArray(NUM_TRIG_INPUTS-1 downto 0) -- Array of trigger times ( w.r.t. logic_strobe) +" +) +) +*9 (PortIoOut +uid 65,0 +shape (CompositeShape +uid 66,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 67,0 +sl 0 +ro 270 +xt "500,625,2000,1375" +) +(Line +uid 68,0 +sl 0 +ro 270 +xt "0,1000,500,1000" +pts [ +"0,1000" +"500,1000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 69,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 70,0 +va (VaSet +font "courier,8,0" +) +xt "3000,500,10000,1400" +st "data_strobe_o" +blo "3000,1200" +tm "WireNameMgr" +) +) +) +*10 (Net +uid 71,0 +lang 2 +decl (Decl +n "data_strobe_o" +t "std_logic" +eolc "goes high when data ready to load into event buffer" +o 5 +suid 5,0 +) +declText (MLText +uid 72,0 +va (VaSet +font "courier,8,0" +) +xt "20000,11775,61500,12675" +st "data_strobe_o : std_logic -- goes high when data ready to load into event buffer +" +) +) +*11 (PortIoOut +uid 79,0 +shape (CompositeShape +uid 80,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 81,0 +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +uid 82,0 +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 83,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 84,0 +va (VaSet +font "courier,8,0" +) +xt "3000,-500,9500,400" +st "event_data_o" +blo "3000,200" +tm "WireNameMgr" +) +) +) +*12 (Net +uid 85,0 +lang 2 +decl (Decl +n "event_data_o" +t "std_logic_vector" +b "(EVENT_DATA_WIDTH-1 DOWNTO 0)" +o 6 +suid 6,0 +) +declText (MLText +uid 86,0 +va (VaSet +font "courier,8,0" +) +xt "20000,12675,52000,13575" +st "event_data_o : std_logic_vector(EVENT_DATA_WIDTH-1 downto 0) +" +) +) +*13 (PortIoOut +uid 93,0 +shape (CompositeShape +uid 94,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 95,0 +sl 0 +ro 270 +xt "500,-1375,2000,-625" +) +(Line +uid 96,0 +sl 0 +ro 270 +xt "0,-1000,500,-1000" +pts [ +"0,-1000" +"500,-1000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 97,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 98,0 +va (VaSet +font "courier,8,0" +) +xt "3000,-1500,11000,-600" +st "trigger_count_o" +blo "3000,-800" +tm "WireNameMgr" +) +) +) +*14 (Net +uid 99,0 +lang 2 +decl (Decl +n "trigger_count_o" +t "std_logic_vector" +b "(IPBUS_WIDTH-1 DOWNTO 0)" +o 7 +suid 7,0 +) +declText (MLText +uid 100,0 +va (VaSet +font "courier,8,0" +) +xt "20000,13575,49500,14475" +st "trigger_count_o : std_logic_vector(IPBUS_WIDTH-1 downto 0) +" +) +) +*15 (CommentText +uid 107,0 +ps "EdgeToEdgeStrategy" +shape (Rectangle +uid 108,0 +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "-4000,-8000,11000,-4000" +) +text (MLText +uid 109,0 +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "-3800,-7800,-3800,-6900" +st " + + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 15000 +) +included 4 +) +*16 (Property +uid 110,0 +pclass "HDS" +pname "DocView" +pvalue "eventFormatter_rtl.vhd" +ptn "String" +) +*17 (Property +uid 111,0 +pclass "HDS" +pname "DocViewState" +pvalue "1352820697" +ptn "String" +) +*18 (Wire +uid 17,0 +shape (OrthoPolyLine +uid 18,0 +va (VaSet +vasetType 3 +) +xt "-2000,1000,0,1000" +pts [ +"-2000,1000" +"0,1000" +] +) +start &1 +sat 32 +eat 16 +stc 0 +st 0 +si 0 +tg (WTG +uid 21,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 22,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "1000,100,8500,1000" +st "clk_4x_logic_i" +blo "1000,800" +tm "WireNameMgr" +) +) +on &2 +) +*19 (Wire +uid 31,0 +shape (OrthoPolyLine +uid 32,0 +va (VaSet +vasetType 3 +) +xt "-2000,0,0,0" +pts [ +"-2000,0" +"0,0" +] +) +start &3 +sat 32 +eat 16 +stc 0 +st 0 +si 0 +tg (WTG +uid 35,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 36,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "1000,-900,8500,0" +st "logic_strobe_i" +blo "1000,-200" +tm "WireNameMgr" +) +) +on &4 +) +*20 (Wire +uid 45,0 +shape (OrthoPolyLine +uid 46,0 +va (VaSet +vasetType 3 +) +xt "-2000,-1000,0,-1000" +pts [ +"-2000,-1000" +"0,-1000" +] +) +start &5 +sat 32 +eat 16 +stc 0 +st 0 +si 0 +tg (WTG +uid 49,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 50,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "1000,-1900,5500,-1000" +st "trigger_i" +blo "1000,-1200" +tm "WireNameMgr" +) +) +on &6 +) +*21 (Wire +uid 59,0 +shape (OrthoPolyLine +uid 60,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "-2000,-2000,0,-2000" +pts [ +"-2000,-2000" +"0,-2000" +] +) +start &7 +sat 32 +eat 16 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 63,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 64,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "1000,-2900,9000,-2000" +st "trigger_times_i" +blo "1000,-2200" +tm "WireNameMgr" +) +) +on &8 +) +*22 (Wire +uid 73,0 +shape (OrthoPolyLine +uid 74,0 +va (VaSet +vasetType 3 +) +xt "-2000,1000,0,1000" +pts [ +"-2000,1000" +"0,1000" +] +) +end &9 +sat 16 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 77,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 78,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-9000,100,-2000,1000" +st "data_strobe_o" +blo "-9000,800" +tm "WireNameMgr" +) +) +on &10 +) +*23 (Wire +uid 87,0 +shape (OrthoPolyLine +uid 88,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "-2000,0,0,0" +pts [ +"-2000,0" +"0,0" +] +) +end &11 +sat 16 +eat 32 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 91,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 92,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-21000,-900,-14500,0" +st "event_data_o" +blo "-21000,-200" +tm "WireNameMgr" +) +) +on &12 +) +*24 (Wire +uid 101,0 +shape (OrthoPolyLine +uid 102,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "-2000,-1000,0,-1000" +pts [ +"-2000,-1000" +"0,-1000" +] +) +end &13 +sat 16 +eat 32 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 105,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 106,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-20000,-1900,-12000,-1000" +st "trigger_count_o" +blo "-20000,-1200" +tm "WireNameMgr" +) +) +on &14 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *25 (PackageList +uid 132,0 +stg "VerticalLayoutStrategy" +textVec [ +*26 (Text +uid 133,0 +va (VaSet +font "courier,8,1" +) +xt "1000,6375,7500,7275" +st "Package List" +blo "1000,7075" +) +*27 (MLText +uid 134,0 +va (VaSet +font "courier,8,0" +) +xt "1000,7275,15500,10875" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 135,0 +stg "VerticalLayoutStrategy" +textVec [ +*28 (Text +uid 136,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "21000,6375,31000,7275" +st "Compiler Directives" +blo "21000,7075" +) +*29 (Text +uid 137,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "21000,7275,32500,8175" +st "Pre-module directives:" +blo "21000,7975" +) +*30 (MLText +uid 138,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "21000,8175,31100,9975" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*31 (Text +uid 139,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "21000,9975,33000,10875" +st "Post-module directives:" +blo "21000,10675" +) +*32 (MLText +uid 140,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "21000,6375,21000,6375" +tm "BdCompilerDirectivesTextMgr" +) +*33 (Text +uid 141,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "21000,10875,32500,11775" +st "End-module directives:" +blo "21000,11575" +) +*34 (MLText +uid 142,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "21000,11775,21000,11775" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "0,0,1015,690" +viewArea "-13000,-8000,77000,17175" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +lastUid 142,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,4000,1900" +st "Panel0" +blo "1000,1700" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*35 (Text +va (VaSet +font "courier,8,1" +) +xt "1750,3650,6250,4550" +st "<library>" +blo "1750,4350" +tm "BdLibraryNameMgr" +) +*36 (Text +va (VaSet +font "courier,8,1" +) +xt "1750,4550,5250,5450" +st "<block>" +blo "1750,5250" +tm "BlkNameMgr" +) +*37 (Text +va (VaSet +font "courier,8,1" +) +xt "1750,5450,2750,6350" +st "I0" +blo "1750,6150" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "1750,13650,1750,13650" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*38 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,3650,4500,4550" +st "Library" +blo "1000,4350" +) +*39 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,4550,7000,5450" +st "MWComponent" +blo "1000,5250" +) +*40 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,5450,2000,6350" +st "I0" +blo "1000,6150" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6000,1650,-6000,1650" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*41 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,3650,4500,4550" +st "Library" +blo "1000,4350" +tm "BdLibraryNameMgr" +) +*42 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,4550,7000,5450" +st "SaComponent" +blo "1000,5250" +tm "CptNameMgr" +) +*43 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,5450,2000,6350" +st "I0" +blo "1000,6150" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6000,1650,-6000,1650" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +portVis (PortSigDisplay +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*44 (Text +va (VaSet +font "courier,8,1" +) +xt "500,3650,4000,4550" +st "Library" +blo "500,4350" +) +*45 (Text +va (VaSet +font "courier,8,1" +) +xt "500,4550,7500,5450" +st "VhdlComponent" +blo "500,5250" +) +*46 (Text +va (VaSet +font "courier,8,1" +) +xt "500,5450,1500,6350" +st "I0" +blo "500,6150" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6500,1650,-6500,1650" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-750,0,8750,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*47 (Text +va (VaSet +font "courier,8,1" +) +xt "-250,3650,3250,4550" +st "Library" +blo "-250,4350" +) +*48 (Text +va (VaSet +font "courier,8,1" +) +xt "-250,4550,8250,5450" +st "VerilogComponent" +blo "-250,5250" +) +*49 (Text +va (VaSet +font "courier,8,1" +) +xt "-250,5450,750,6350" +st "I0" +blo "-250,6150" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-7250,1650,-7250,1650" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*50 (Text +va (VaSet +font "courier,8,1" +) +xt "3250,4100,4750,5000" +st "eb1" +blo "3250,4800" +tm "HdlTextNameMgr" +) +*51 (Text +va (VaSet +font "courier,8,1" +) +xt "3250,5000,3750,5900" +st "1" +blo "3250,5700" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +font "courier,8,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +font "courier,8,1" +) +xt "-250,-450,250,450" +st "G" +blo "-250,250" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,0,2000,900" +st "sig0" +blo "0,700" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,0,2500,900" +st "dbus0" +blo "0,700" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,0" +) +xt "0,0,3500,900" +st "bundle0" +blo "0,700" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +font "courier,8,0" +) +xt "0,900,1000,1800" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +font "courier,8,0" +) +) +second (MLText +va (VaSet +font "courier,8,0" +) +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,-1000,14500,-100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1350" +) +num (Text +va (VaSet +font "courier,8,0" +) +xt "300,250,800,1150" +st "1" +blo "300,950" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*52 (Text +va (VaSet +font "courier,8,1" +) +xt "12500,20000,22000,20900" +st "Frame Declarations" +blo "12500,20700" +) +*53 (MLText +va (VaSet +font "courier,8,0" +) +xt "12500,20900,12500,20900" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,-1000,9000,-100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1350" +) +num (Text +va (VaSet +font "courier,8,0" +) +xt "300,250,800,1150" +st "1" +blo "300,950" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*54 (Text +va (VaSet +font "courier,8,1" +) +xt "12500,20000,22000,20900" +st "Frame Declarations" +blo "12500,20700" +) +*55 (MLText +va (VaSet +font "courier,8,0" +) +xt "12500,20900,12500,20900" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,2000,1650" +st "Port" +blo "0,1450" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,2000,1650" +st "Port" +blo "0,1450" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "courier,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "18000,6375,24500,7275" +st "Declarations" +blo "18000,7075" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "18000,7275,21000,8175" +st "Ports:" +blo "18000,7975" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "18000,14475,22500,15375" +st "Pre User:" +blo "18000,15175" +) +preUserText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "18000,6375,18000,6375" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "courier,8,1" +) +xt "18000,15375,26500,16275" +st "Diagram Signals:" +blo "18000,16075" +) +postUserLabel (Text +uid 7,0 +va (VaSet +font "courier,8,1" +) +xt "18000,16275,23500,17175" +st "Post User:" +blo "18000,16975" +) +postUserText (MLText +uid 8,0 +va (VaSet +font "courier,8,0" +) +xt "18000,6375,18000,6375" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 7,0 +usingSuid 1 +emptyRow *56 (LEmptyRow +) +optionalChildren [ +*57 (RefLabelRowHdr +) +*58 (TitleRowHdr +) +*59 (FilterRowHdr +) +*60 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*61 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*62 (GroupColHdr +tm "GroupColHdrMgr" +) +*63 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*64 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*65 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*66 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*67 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*68 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*69 (LeafLogPort +port (LogicalPort +lang 2 +decl (Decl +n "clk_4x_logic_i" +t "std_logic" +eolc "! Rising edge active" +preAdd 0 +posAdd 0 +o 1 +suid 1,0 +) +) +uid 112,0 +) +*70 (LeafLogPort +port (LogicalPort +lang 2 +decl (Decl +n "logic_strobe_i" +t "std_logic" +eolc "! Pulses high once every 4 cycles of clk_4x_logic" +preAdd 0 +posAdd 0 +o 2 +suid 2,0 +) +) +uid 114,0 +) +*71 (LeafLogPort +port (LogicalPort +decl (Decl +n "trigger_i" +t "std_logic" +eolc "goes high to load trigger data" +o 3 +suid 3,0 +) +) +uid 116,0 +) +*72 (LeafLogPort +port (LogicalPort +lang 2 +decl (Decl +n "trigger_times_i" +t "t_triggerTimeArray" +b "(NUM_TRIG_INPUTS-1 DOWNTO 0)" +eolc "Array of trigger times ( w.r.t. logic_strobe)" +o 4 +suid 4,0 +) +) +uid 118,0 +) +*73 (LeafLogPort +port (LogicalPort +lang 2 +m 1 +decl (Decl +n "data_strobe_o" +t "std_logic" +eolc "goes high when data ready to load into event buffer" +o 5 +suid 5,0 +) +) +uid 120,0 +) +*74 (LeafLogPort +port (LogicalPort +lang 2 +m 1 +decl (Decl +n "event_data_o" +t "std_logic_vector" +b "(EVENT_DATA_WIDTH-1 DOWNTO 0)" +o 6 +suid 6,0 +) +) +uid 122,0 +) +*75 (LeafLogPort +port (LogicalPort +lang 2 +m 1 +decl (Decl +n "trigger_count_o" +t "std_logic_vector" +b "(IPBUS_WIDTH-1 DOWNTO 0)" +o 7 +suid 7,0 +) +) +uid 124,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +optionalChildren [ +*76 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *77 (MRCItem +litem &56 +pos 3 +dimension 20 +) +optionalChildren [ +*78 (MRCItem +litem &57 +pos 0 +dimension 20 +) +*79 (MRCItem +litem &58 +pos 1 +dimension 23 +) +*80 (MRCItem +litem &59 +pos 2 +hidden 1 +dimension 20 +) +*81 (MRCItem +litem &69 +pos 1 +dimension 20 +uid 113,0 +) +*82 (MRCItem +litem &70 +pos 2 +dimension 20 +uid 115,0 +) +*83 (MRCItem +litem &71 +pos 0 +dimension 20 +uid 117,0 +) +*84 (MRCItem +litem &72 +pos 5 +dimension 20 +uid 119,0 +) +*85 (MRCItem +litem &73 +pos 3 +dimension 20 +uid 121,0 +) +*86 (MRCItem +litem &74 +pos 6 +dimension 20 +uid 123,0 +) +*87 (MRCItem +litem &75 +pos 4 +dimension 20 +uid 125,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +optionalChildren [ +*88 (MRCItem +litem &60 +pos 0 +dimension 20 +) +*89 (MRCItem +litem &62 +pos 1 +dimension 50 +) +*90 (MRCItem +litem &63 +pos 2 +dimension 100 +) +*91 (MRCItem +litem &64 +pos 3 +dimension 50 +) +*92 (MRCItem +litem &65 +pos 4 +dimension 100 +) +*93 (MRCItem +litem &66 +pos 5 +dimension 100 +) +*94 (MRCItem +litem &67 +pos 6 +dimension 50 +) +*95 (MRCItem +litem &68 +pos 7 +dimension 80 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +vaOverrides [ +] +) +] +) +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *96 (LEmptyRow +) +optionalChildren [ +*97 (RefLabelRowHdr +) +*98 (TitleRowHdr +) +*99 (FilterRowHdr +) +*100 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*101 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*102 (GroupColHdr +tm "GroupColHdrMgr" +) +*103 (NameColHdr +tm "GenericNameColHdrMgr" +) +*104 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*105 (InitColHdr +tm "GenericValueColHdrMgr" +) +*106 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*107 (EolColHdr +tm "GenericEolColHdrMgr" +) +*108 (LogGeneric +generic (GiElement +name "EVENT_DATA_WIDTH" +type "positive" +value "64" +) +uid 127,0 +) +*109 (LogGeneric +generic (GiElement +name "IPBUS_WIDTH" +type "positive" +value "32" +) +uid 129,0 +) +*110 (LogGeneric +generic (GiElement +name "NUM_TRIG_INPUTS" +type "positive" +value "4" +) +uid 131,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +optionalChildren [ +*111 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *112 (MRCItem +litem &96 +pos 3 +dimension 20 +) +optionalChildren [ +*113 (MRCItem +litem &97 +pos 0 +dimension 20 +) +*114 (MRCItem +litem &98 +pos 1 +dimension 23 +) +*115 (MRCItem +litem &99 +pos 2 +hidden 1 +dimension 20 +) +*116 (MRCItem +litem &108 +pos 0 +dimension 20 +uid 126,0 +) +*117 (MRCItem +litem &109 +pos 1 +dimension 20 +uid 128,0 +) +*118 (MRCItem +litem &110 +pos 2 +dimension 20 +uid 130,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +optionalChildren [ +*119 (MRCItem +litem &100 +pos 0 +dimension 20 +) +*120 (MRCItem +litem &102 +pos 1 +dimension 50 +) +*121 (MRCItem +litem &103 +pos 2 +dimension 100 +) +*122 (MRCItem +litem &104 +pos 3 +dimension 100 +) +*123 (MRCItem +litem &105 +pos 4 +dimension 50 +) +*124 (MRCItem +litem &106 +pos 5 +dimension 50 +) +*125 (MRCItem +litem &107 +pos 6 +dimension 80 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +vaOverrides [ +] +) +] +) +type 1 +) +) diff --git a/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/event@formatter/symbol.sb b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/event@formatter/symbol.sb new file mode 100644 index 00000000..15fa5938 --- /dev/null +++ b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/event@formatter/symbol.sb @@ -0,0 +1,2935 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "work" +unitName "fmcTLU" +) +(DmPackageRef +library "work" +unitName "ipbus" +) +] +libraryRefs [ +"ieee" +] +) +version "25.1" +appVersion "2012.1 (Build 6)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 23,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 53,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "trigger_i" +t "std_logic" +eolc "--! goes high to load trigger data. One cycle of clk_4x_logic" +posAdd 0 +o 5 +suid 1,0 +) +) +uid 108,0 +) +*15 (LogPort +port (LogicalPort +lang 2 +decl (Decl +n "clk_4x_logic_i" +t "std_logic" +eolc "! Rising edge active" +preAdd 0 +posAdd 0 +o 1 +suid 2,0 +) +) +uid 110,0 +) +*16 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "logic_strobe_i" +t "std_logic" +eolc "! Pulses high once every 4 cycles of clk_4x_logic" +preAdd 0 +posAdd 0 +o 3 +suid 3,0 +) +) +uid 112,0 +) +*17 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "data_strobe_o" +t "std_logic" +eolc "goes high when data ready to load into event buffer" +o 19 +suid 4,0 +) +) +uid 114,0 +) +*18 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "trigger_count_o" +t "std_logic_vector" +b "(g_IPBUS_WIDTH-1 DOWNTO 0)" +o 22 +suid 5,0 +) +) +uid 116,0 +) +*19 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "trigger_times_i" +t "t_triggerTimeArray" +b "(g_NUM_TRIG_INPUTS-1 DOWNTO 0)" +eolc "Array of trigger times ( w.r.t. logic_strobe)" +preAdd 0 +o 6 +suid 6,0 +) +) +uid 118,0 +) +*20 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "event_data_o" +t "std_logic_vector" +b "(g_EVENT_DATA_WIDTH-1 DOWNTO 0)" +o 20 +suid 7,0 +) +) +uid 120,0 +) +*21 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "logic_reset_i" +t "std_logic" +eolc "goes high to reset counters. Synchronous with clk_4x_logic" +o 4 +suid 9,0 +) +) +uid 263,0 +) +*22 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "trigger_inputs_fired_i" +t "std_logic_vector" +b "(g_NUM_TRIG_INPUTS-1 DOWNTO 0)" +eolc "high for each input that \"fired\"" +o 7 +suid 10,0 +) +) +uid 275,0 +) +*23 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "edge_fall_i" +t "std_logic_vector" +b "(g_NUM_EDGE_INPUTS-1 DOWNTO 0)" +eolc "-- ! High when falling edge" +preAdd 0 +posAdd 0 +o 14 +suid 11,0 +) +) +uid 366,0 +) +*24 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "edge_fall_time_i" +t "t_triggerTimeArray" +b "(g_NUM_EDGE_INPUTS-1 DOWNTO 0)" +eolc "-- Array of edge times ( w.r.t. logic_strobe)" +preAdd 0 +posAdd 0 +o 16 +suid 12,0 +) +) +uid 368,0 +) +*25 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "edge_rise_i" +t "std_logic_vector" +b "(g_NUM_EDGE_INPUTS-1 DOWNTO 0)" +eolc "-- ! High when rising edge" +posAdd 0 +o 13 +suid 13,0 +) +) +uid 370,0 +) +*26 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "edge_rise_time_i" +t "t_triggerTimeArray" +b "(g_NUM_EDGE_INPUTS-1 DOWNTO 0)" +eolc "-- Array of edge times ( w.r.t. logic_strobe)" +preAdd 0 +posAdd 0 +o 15 +suid 14,0 +) +) +uid 372,0 +) +*27 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "ipbus_clk_i" +t "std_logic" +o 2 +suid 15,0 +) +) +uid 374,0 +) +*28 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "ipbus_i" +t "ipb_wbus" +preAdd 0 +o 17 +suid 16,0 +) +) +uid 376,0 +) +*29 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "ipbus_o" +t "ipb_rbus" +o 18 +suid 17,0 +) +) +uid 378,0 +) +*30 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "shutter_cnt_i" +t "std_logic_vector" +b "(g_COUNTER_WIDTH-1 DOWNTO 0)" +o 10 +suid 18,0 +) +) +uid 380,0 +) +*31 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "shutter_i" +t "std_logic" +o 9 +suid 19,0 +) +) +uid 382,0 +) +*32 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "spill_cnt_i" +t "std_logic_vector" +b "(g_COUNTER_WIDTH-1 DOWNTO 0)" +o 12 +suid 20,0 +) +) +uid 384,0 +) +*33 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "spill_i" +t "std_logic" +o 11 +suid 21,0 +) +) +uid 386,0 +) +*34 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "trigger_cnt_i" +t "std_logic_vector" +b "(g_COUNTER_TRIG_WIDTH-1 DOWNTO 0)" +o 8 +suid 22,0 +) +) +uid 388,0 +) +*35 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "event_number_i" +t "std_logic_vector" +b "(g_IPBUS_WIDTH-1 DOWNTO 0)" +o 21 +suid 23,0 +) +) +uid 432,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 66,0 +optionalChildren [ +*36 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *37 (MRCItem +litem &1 +pos 22 +dimension 20 +) +uid 68,0 +optionalChildren [ +*38 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 69,0 +) +*39 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 70,0 +) +*40 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 71,0 +) +*41 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 109,0 +) +*42 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 111,0 +) +*43 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 113,0 +) +*44 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 115,0 +) +*45 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 117,0 +) +*46 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 119,0 +) +*47 (MRCItem +litem &20 +pos 7 +dimension 20 +uid 121,0 +) +*48 (MRCItem +litem &21 +pos 8 +dimension 20 +uid 264,0 +) +*49 (MRCItem +litem &22 +pos 6 +dimension 20 +uid 276,0 +) +*50 (MRCItem +litem &23 +pos 9 +dimension 20 +uid 367,0 +) +*51 (MRCItem +litem &24 +pos 10 +dimension 20 +uid 369,0 +) +*52 (MRCItem +litem &25 +pos 11 +dimension 20 +uid 371,0 +) +*53 (MRCItem +litem &26 +pos 12 +dimension 20 +uid 373,0 +) +*54 (MRCItem +litem &27 +pos 13 +dimension 20 +uid 375,0 +) +*55 (MRCItem +litem &28 +pos 14 +dimension 20 +uid 377,0 +) +*56 (MRCItem +litem &29 +pos 15 +dimension 20 +uid 379,0 +) +*57 (MRCItem +litem &30 +pos 16 +dimension 20 +uid 381,0 +) +*58 (MRCItem +litem &31 +pos 17 +dimension 20 +uid 383,0 +) +*59 (MRCItem +litem &32 +pos 18 +dimension 20 +uid 385,0 +) +*60 (MRCItem +litem &33 +pos 19 +dimension 20 +uid 387,0 +) +*61 (MRCItem +litem &34 +pos 20 +dimension 20 +uid 389,0 +) +*62 (MRCItem +litem &35 +pos 21 +dimension 20 +uid 433,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 72,0 +optionalChildren [ +*63 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 73,0 +) +*64 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 74,0 +) +*65 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 75,0 +) +*66 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 76,0 +) +*67 (MRCItem +litem &10 +pos 4 +dimension 166 +uid 77,0 +) +*68 (MRCItem +litem &11 +pos 5 +dimension 191 +uid 78,0 +) +*69 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 79,0 +) +*70 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 80,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 67,0 +vaOverrides [ +] +) +] +) +uid 52,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *71 (LEmptyRow +) +uid 82,0 +optionalChildren [ +*72 (RefLabelRowHdr +) +*73 (TitleRowHdr +) +*74 (FilterRowHdr +) +*75 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*76 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*77 (GroupColHdr +tm "GroupColHdrMgr" +) +*78 (NameColHdr +tm "GenericNameColHdrMgr" +) +*79 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*80 (InitColHdr +tm "GenericValueColHdrMgr" +) +*81 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*82 (EolColHdr +tm "GenericEolColHdrMgr" +) +*83 (LogGeneric +generic (GiElement +name "g_EVENT_DATA_WIDTH" +type "positive" +value "64" +) +uid 471,0 +) +*84 (LogGeneric +generic (GiElement +name "g_IPBUS_WIDTH" +type "positive" +value "32" +) +uid 473,0 +) +*85 (LogGeneric +generic (GiElement +name "g_COUNTER_TRIG_WIDTH" +type "positive" +value "32" +) +uid 475,0 +) +*86 (LogGeneric +generic (GiElement +name "g_COUNTER_WIDTH" +type "positive" +value "12" +) +uid 477,0 +) +*87 (LogGeneric +generic (GiElement +name "g_EVTTYPE_WIDTH" +type "positive" +value "4" +e "-- Width of the event type word" +) +uid 479,0 +) +*88 (LogGeneric +generic (GiElement +name "g_NUM_EDGE_INPUTS" +type "positive" +value "4" +pr "--g_NUM_INPUT_TYPES : positive := 4; -- Number of different input types (trigger, shutter, edge...)" +apr 0 +e "-- Number of edge inputs" +) +uid 481,0 +) +*89 (LogGeneric +generic (GiElement +name "g_NUM_TRIG_INPUTS" +type "positive" +value "5" +e "-- Number of trigger inputs" +) +uid 483,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 94,0 +optionalChildren [ +*90 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *91 (MRCItem +litem &71 +pos 7 +dimension 20 +) +uid 96,0 +optionalChildren [ +*92 (MRCItem +litem &72 +pos 0 +dimension 20 +uid 97,0 +) +*93 (MRCItem +litem &73 +pos 1 +dimension 23 +uid 98,0 +) +*94 (MRCItem +litem &74 +pos 2 +hidden 1 +dimension 20 +uid 99,0 +) +*95 (MRCItem +litem &83 +pos 0 +dimension 20 +uid 472,0 +) +*96 (MRCItem +litem &84 +pos 1 +dimension 20 +uid 474,0 +) +*97 (MRCItem +litem &85 +pos 2 +dimension 20 +uid 476,0 +) +*98 (MRCItem +litem &86 +pos 3 +dimension 20 +uid 478,0 +) +*99 (MRCItem +litem &87 +pos 4 +dimension 20 +uid 480,0 +) +*100 (MRCItem +litem &88 +pos 5 +dimension 20 +uid 482,0 +) +*101 (MRCItem +litem &89 +pos 6 +dimension 20 +uid 484,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 100,0 +optionalChildren [ +*102 (MRCItem +litem &75 +pos 0 +dimension 20 +uid 101,0 +) +*103 (MRCItem +litem &77 +pos 1 +dimension 50 +uid 102,0 +) +*104 (MRCItem +litem &78 +pos 2 +dimension 100 +uid 103,0 +) +*105 (MRCItem +litem &79 +pos 3 +dimension 100 +uid 104,0 +) +*106 (MRCItem +litem &80 +pos 4 +dimension 50 +uid 105,0 +) +*107 (MRCItem +litem &81 +pos 5 +dimension 50 +uid 106,0 +) +*108 (MRCItem +litem &82 +pos 6 +dimension 80 +uid 107,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 95,0 +vaOverrides [ +] +) +] +) +uid 81,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl" +) +(vvPair +variable "HDSDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/event@formatter/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/event@formatter/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "config" +value "%(unit)_config" +) +(vvPair +variable "d" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/event@formatter" +) +(vvPair +variable "d_logical" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/eventFormatter" +) +(vvPair +variable "date" +value "07/23/13" +) +(vvPair +variable "day" +value "Tue" +) +(vvPair +variable "day_long" +value "Tuesday" +) +(vvPair +variable "dd" +value "23" +) +(vvPair +variable "entity_name" +value "eventFormatter" +) +(vvPair +variable "ext" +value "<TBD>" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "group" +value "users" +) +(vvPair +variable "host" +value "fortis.phy.bris.ac.uk" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "work" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/designcheck" +) +(vvPair +variable "library_downstream_ISEPARInvoke" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/ise" +) +(vvPair +variable "library_downstream_ImpactInvoke" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/ise" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/work" +) +(vvPair +variable "library_downstream_XSTDataPrep" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/ise" +) +(vvPair +variable "mm" +value "07" +) +(vvPair +variable "module_name" +value "eventFormatter" +) +(vvPair +variable "month" +value "Jul" +) +(vvPair +variable "month_long" +value "July" +) +(vvPair +variable "p" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/event@formatter/symbol.sb" +) +(vvPair +variable "p_logical" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/eventFormatter/symbol.sb" +) +(vvPair +variable "package_name" +value "<Undefined Variable>" +) +(vvPair +variable "project_name" +value "fmc_mTLU" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "<TBD>" +) +(vvPair +variable "task_DesignCompilerPath" +value "<TBD>" +) +(vvPair +variable "task_LeonardoPath" +value "/opt/CAD/Mentor/LeonardoSpectrum_2008a/bin" +) +(vvPair +variable "task_ModelSimPath" +value "/opt/CAD/Mentor/Modelsim/6.4a/modeltech/bin" +) +(vvPair +variable "task_NC-SimPath" +value "<TBD>" +) +(vvPair +variable "task_PrecisionRTLPath" +value "/opt/CAD/Mentor/Precis_Synth_2009a_update2/Mgc_home/bin" +) +(vvPair +variable "task_QuestaSimPath" +value "/opt/CAD/Mentor/HDS2008.1b/questasim/bin" +) +(vvPair +variable "task_VCSPath" +value "<TBD>" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "17:09:18" +) +(vvPair +variable "unit" +value "eventFormatter" +) +(vvPair +variable "user" +value "phdgc" +) +(vvPair +variable "version" +value "2012.1 (Build 6)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2013" +) +(vvPair +variable "yy" +value "13" +) +] +) +LanguageMgr "VhdlLangMgr" +uid 51,0 +optionalChildren [ +*109 (SymbolBody +uid 8,0 +optionalChildren [ +*110 (CptPort +uid 122,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 123,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "15250,19625,16000,20375" +) +tg (CPTG +uid 124,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 125,0 +va (VaSet +font "courier,8,0" +) +xt "17000,19550,21500,20450" +st "trigger_i" +blo "17000,20250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 126,0 +va (VaSet +font "courier,8,0" +) +xt "2000,5600,55500,6500" +st "trigger_i : IN std_logic ; --! goes high to load trigger data. One cycle of clk_4x_logic +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "trigger_i" +t "std_logic" +eolc "--! goes high to load trigger data. One cycle of clk_4x_logic" +posAdd 0 +o 5 +suid 1,0 +) +) +) +*111 (CptPort +uid 127,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 128,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "15250,22625,16000,23375" +) +tg (CPTG +uid 129,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 130,0 +va (VaSet +font "courier,8,0" +) +xt "17000,22550,24500,23450" +st "clk_4x_logic_i" +blo "17000,23250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 131,0 +va (VaSet +font "courier,8,0" +) +xt "2000,2000,36500,2900" +st "clk_4x_logic_i : IN std_logic ; -- ! Rising edge active +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "clk_4x_logic_i" +t "std_logic" +eolc "! Rising edge active" +preAdd 0 +posAdd 0 +o 1 +suid 2,0 +) +) +) +*112 (CptPort +uid 132,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 133,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "15250,25625,16000,26375" +) +tg (CPTG +uid 134,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 135,0 +va (VaSet +font "courier,8,0" +) +xt "17000,25550,24500,26450" +st "logic_strobe_i" +blo "17000,26250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 136,0 +va (VaSet +font "courier,8,0" +) +xt "2000,3800,51000,4700" +st "logic_strobe_i : IN std_logic ; -- ! Pulses high once every 4 cycles of clk_4x_logic +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "logic_strobe_i" +t "std_logic" +eolc "! Pulses high once every 4 cycles of clk_4x_logic" +preAdd 0 +posAdd 0 +o 3 +suid 3,0 +) +) +) +*113 (CptPort +uid 137,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 138,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "68000,21625,68750,22375" +) +tg (CPTG +uid 139,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 140,0 +va (VaSet +font "courier,8,0" +) +xt "60000,21550,67000,22450" +st "data_strobe_o" +ju 2 +blo "67000,22250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 141,0 +va (VaSet +font "courier,8,0" +) +xt "2000,18200,52000,19100" +st "data_strobe_o : OUT std_logic ; -- goes high when data ready to load into event buffer +" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "data_strobe_o" +t "std_logic" +eolc "goes high when data ready to load into event buffer" +o 19 +suid 4,0 +) +) +) +*114 (CptPort +uid 142,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 143,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "68000,28625,68750,29375" +) +tg (CPTG +uid 144,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 145,0 +va (VaSet +font "courier,8,0" +) +xt "48000,28550,67000,29450" +st "trigger_count_o : (g_IPBUS_WIDTH-1:0)" +ju 2 +blo "67000,29250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 146,0 +va (VaSet +font "courier,8,0" +) +xt "2000,20900,40000,21800" +st "trigger_count_o : OUT std_logic_vector (g_IPBUS_WIDTH-1 DOWNTO 0) +" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "trigger_count_o" +t "std_logic_vector" +b "(g_IPBUS_WIDTH-1 DOWNTO 0)" +o 22 +suid 5,0 +) +) +) +*115 (CptPort +uid 147,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 148,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "15250,28625,16000,29375" +) +tg (CPTG +uid 149,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 150,0 +va (VaSet +font "courier,8,0" +) +xt "17000,28550,38000,29450" +st "trigger_times_i : (g_NUM_TRIG_INPUTS-1:0)" +blo "17000,29250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 151,0 +va (VaSet +font "courier,8,0" +) +xt "2000,6500,68500,7400" +st "trigger_times_i : IN t_triggerTimeArray (g_NUM_TRIG_INPUTS-1 DOWNTO 0) ; -- Array of trigger times ( w.r.t. logic_strobe) +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "trigger_times_i" +t "t_triggerTimeArray" +b "(g_NUM_TRIG_INPUTS-1 DOWNTO 0)" +eolc "Array of trigger times ( w.r.t. logic_strobe)" +preAdd 0 +o 6 +suid 6,0 +) +) +) +*116 (CptPort +uid 152,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 153,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "68000,36625,68750,37375" +) +tg (CPTG +uid 154,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 155,0 +va (VaSet +font "courier,8,0" +) +xt "47000,36550,67000,37450" +st "event_data_o : (g_EVENT_DATA_WIDTH-1:0)" +ju 2 +blo "67000,37250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 156,0 +va (VaSet +font "courier,8,0" +) +xt "2000,19100,43500,20000" +st "event_data_o : OUT std_logic_vector (g_EVENT_DATA_WIDTH-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "event_data_o" +t "std_logic_vector" +b "(g_EVENT_DATA_WIDTH-1 DOWNTO 0)" +o 20 +suid 7,0 +) +) +) +*117 (CptPort +uid 270,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 271,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "15250,32625,16000,33375" +) +tg (CPTG +uid 272,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 273,0 +va (VaSet +font "courier,8,0" +) +xt "17000,32550,24000,33450" +st "logic_reset_i" +blo "17000,33250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 274,0 +va (VaSet +font "courier,8,0" +) +xt "2000,4700,55500,5600" +st "logic_reset_i : IN std_logic ; -- goes high to reset counters. Synchronous with clk_4x_logic +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "logic_reset_i" +t "std_logic" +eolc "goes high to reset counters. Synchronous with clk_4x_logic" +o 4 +suid 9,0 +) +) +) +*118 (CptPort +uid 277,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 278,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "15250,38625,16000,39375" +) +tg (CPTG +uid 279,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 280,0 +va (VaSet +font "courier,8,0" +) +xt "17000,38550,41500,39450" +st "trigger_inputs_fired_i : (g_NUM_TRIG_INPUTS-1:0)" +blo "17000,39250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 281,0 +va (VaSet +font "courier,8,0" +) +xt "2000,7400,61000,8300" +st "trigger_inputs_fired_i : IN std_logic_vector (g_NUM_TRIG_INPUTS-1 DOWNTO 0) ; -- high for each input that \"fired\" +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "trigger_inputs_fired_i" +t "std_logic_vector" +b "(g_NUM_TRIG_INPUTS-1 DOWNTO 0)" +eolc "high for each input that \"fired\"" +o 7 +suid 10,0 +) +) +) +*119 (CptPort +uid 306,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 307,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "15250,39625,16000,40375" +) +tg (CPTG +uid 308,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 309,0 +va (VaSet +font "courier,8,0" +) +xt "17000,39550,36000,40450" +st "edge_fall_i : (g_NUM_EDGE_INPUTS-1:0)" +blo "17000,40250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 310,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13700,57000,14600" +st "edge_fall_i : IN std_logic_vector (g_NUM_EDGE_INPUTS-1 DOWNTO 0) ; -- ! High when falling edge +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "edge_fall_i" +t "std_logic_vector" +b "(g_NUM_EDGE_INPUTS-1 DOWNTO 0)" +eolc "-- ! High when falling edge" +preAdd 0 +posAdd 0 +o 14 +suid 11,0 +) +) +) +*120 (CptPort +uid 311,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 312,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "15250,40625,16000,41375" +) +tg (CPTG +uid 313,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 314,0 +va (VaSet +font "courier,8,0" +) +xt "17000,40550,38500,41450" +st "edge_fall_time_i : (g_NUM_EDGE_INPUTS-1:0)" +blo "17000,41250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 315,0 +va (VaSet +font "courier,8,0" +) +xt "2000,15500,67000,16400" +st "edge_fall_time_i : IN t_triggerTimeArray (g_NUM_EDGE_INPUTS-1 DOWNTO 0) ; -- Array of edge times ( w.r.t. logic_strobe) +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "edge_fall_time_i" +t "t_triggerTimeArray" +b "(g_NUM_EDGE_INPUTS-1 DOWNTO 0)" +eolc "-- Array of edge times ( w.r.t. logic_strobe)" +preAdd 0 +posAdd 0 +o 16 +suid 12,0 +) +) +) +*121 (CptPort +uid 316,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 317,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "15250,41625,16000,42375" +) +tg (CPTG +uid 318,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 319,0 +va (VaSet +font "courier,8,0" +) +xt "17000,41550,36000,42450" +st "edge_rise_i : (g_NUM_EDGE_INPUTS-1:0)" +blo "17000,42250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 320,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12800,56500,13700" +st "edge_rise_i : IN std_logic_vector (g_NUM_EDGE_INPUTS-1 DOWNTO 0) ; -- ! High when rising edge +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "edge_rise_i" +t "std_logic_vector" +b "(g_NUM_EDGE_INPUTS-1 DOWNTO 0)" +eolc "-- ! High when rising edge" +posAdd 0 +o 13 +suid 13,0 +) +) +) +*122 (CptPort +uid 321,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 322,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "15250,42625,16000,43375" +) +tg (CPTG +uid 323,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 324,0 +va (VaSet +font "courier,8,0" +) +xt "17000,42550,38500,43450" +st "edge_rise_time_i : (g_NUM_EDGE_INPUTS-1:0)" +blo "17000,43250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 325,0 +va (VaSet +font "courier,8,0" +) +xt "2000,14600,67000,15500" +st "edge_rise_time_i : IN t_triggerTimeArray (g_NUM_EDGE_INPUTS-1 DOWNTO 0) ; -- Array of edge times ( w.r.t. logic_strobe) +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "edge_rise_time_i" +t "t_triggerTimeArray" +b "(g_NUM_EDGE_INPUTS-1 DOWNTO 0)" +eolc "-- Array of edge times ( w.r.t. logic_strobe)" +preAdd 0 +posAdd 0 +o 15 +suid 14,0 +) +) +) +*123 (CptPort +uid 326,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 327,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "15250,43625,16000,44375" +) +tg (CPTG +uid 328,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 329,0 +va (VaSet +font "courier,8,0" +) +xt "17000,43550,23000,44450" +st "ipbus_clk_i" +blo "17000,44250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 330,0 +va (VaSet +font "courier,8,0" +) +xt "2000,2900,24500,3800" +st "ipbus_clk_i : IN std_logic ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "ipbus_clk_i" +t "std_logic" +o 2 +suid 15,0 +) +) +) +*124 (CptPort +uid 331,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 332,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "15250,44625,16000,45375" +) +tg (CPTG +uid 333,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 334,0 +va (VaSet +font "courier,8,0" +) +xt "17000,44550,20500,45450" +st "ipbus_i" +blo "17000,45250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 335,0 +va (VaSet +font "courier,8,0" +) +xt "2000,16400,24000,17300" +st "ipbus_i : IN ipb_wbus ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "ipbus_i" +t "ipb_wbus" +preAdd 0 +o 17 +suid 16,0 +) +) +) +*125 (CptPort +uid 336,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 337,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "68000,37625,68750,38375" +) +tg (CPTG +uid 338,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 339,0 +va (VaSet +font "courier,8,0" +) +xt "63500,37550,67000,38450" +st "ipbus_o" +ju 2 +blo "67000,38250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 340,0 +va (VaSet +font "courier,8,0" +) +xt "2000,17300,24000,18200" +st "ipbus_o : OUT ipb_rbus ; +" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "ipbus_o" +t "ipb_rbus" +o 18 +suid 17,0 +) +) +) +*126 (CptPort +uid 341,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 342,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "15250,45625,16000,46375" +) +tg (CPTG +uid 343,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 344,0 +va (VaSet +font "courier,8,0" +) +xt "17000,45550,36000,46450" +st "shutter_cnt_i : (g_COUNTER_WIDTH-1:0)" +blo "17000,46250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 345,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10100,42000,11000" +st "shutter_cnt_i : IN std_logic_vector (g_COUNTER_WIDTH-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "shutter_cnt_i" +t "std_logic_vector" +b "(g_COUNTER_WIDTH-1 DOWNTO 0)" +o 10 +suid 18,0 +) +) +) +*127 (CptPort +uid 346,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 347,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "15250,46625,16000,47375" +) +tg (CPTG +uid 348,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 349,0 +va (VaSet +font "courier,8,0" +) +xt "17000,46550,21500,47450" +st "shutter_i" +blo "17000,47250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 350,0 +va (VaSet +font "courier,8,0" +) +xt "2000,9200,24500,10100" +st "shutter_i : IN std_logic ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "shutter_i" +t "std_logic" +o 9 +suid 19,0 +) +) +) +*128 (CptPort +uid 351,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 352,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "15250,47625,16000,48375" +) +tg (CPTG +uid 353,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 354,0 +va (VaSet +font "courier,8,0" +) +xt "17000,47550,35000,48450" +st "spill_cnt_i : (g_COUNTER_WIDTH-1:0)" +blo "17000,48250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 355,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11900,42000,12800" +st "spill_cnt_i : IN std_logic_vector (g_COUNTER_WIDTH-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "spill_cnt_i" +t "std_logic_vector" +b "(g_COUNTER_WIDTH-1 DOWNTO 0)" +o 12 +suid 20,0 +) +) +) +*129 (CptPort +uid 356,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 357,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "15250,48625,16000,49375" +) +tg (CPTG +uid 358,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 359,0 +va (VaSet +font "courier,8,0" +) +xt "17000,48550,20500,49450" +st "spill_i" +blo "17000,49250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 360,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11000,24500,11900" +st "spill_i : IN std_logic ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "spill_i" +t "std_logic" +o 11 +suid 21,0 +) +) +) +*130 (CptPort +uid 361,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 362,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "15250,49625,16000,50375" +) +tg (CPTG +uid 363,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 364,0 +va (VaSet +font "courier,8,0" +) +xt "17000,49550,38500,50450" +st "trigger_cnt_i : (g_COUNTER_TRIG_WIDTH-1:0)" +blo "17000,50250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 365,0 +va (VaSet +font "courier,8,0" +) +xt "2000,8300,44500,9200" +st "trigger_cnt_i : IN std_logic_vector (g_COUNTER_TRIG_WIDTH-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "trigger_cnt_i" +t "std_logic_vector" +b "(g_COUNTER_TRIG_WIDTH-1 DOWNTO 0)" +o 8 +suid 22,0 +) +) +) +*131 (CptPort +uid 427,0 +ps "OnEdgeStrategy" +shape (Triangle +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "68000,38625,68750,39375" +) +tg (CPTG +uid 429,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 430,0 +va (VaSet +font "courier,8,0" +) +xt "48500,38550,67000,39450" +st "event_number_i : (g_IPBUS_WIDTH-1:0)" +ju 2 +blo "67000,39250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 431,0 +va (VaSet +font "courier,8,0" +) +xt "2000,20000,41000,20900" +st "event_number_i : IN std_logic_vector (g_IPBUS_WIDTH-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "event_number_i" +t "std_logic_vector" +b "(g_IPBUS_WIDTH-1 DOWNTO 0)" +o 21 +suid 23,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "16000,18000,68000,51000" +) +oxt "16000,18000,47000,38000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "24750,23100,26750,24000" +st "work" +blo "24750,23800" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "24750,24000,32250,24900" +st "eventFormatter" +blo "24750,24700" +) +) +gi *132 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "27000,13400,86000,22400" +st "Generic Declarations + +g_EVENT_DATA_WIDTH positive 64 +g_IPBUS_WIDTH positive 32 +g_COUNTER_TRIG_WIDTH positive 32 +g_COUNTER_WIDTH positive 12 +g_EVTTYPE_WIDTH positive 4 -- Width of the event type word +--g_NUM_INPUT_TYPES : positive := 4; -- Number of different input types (trigger, shutter, edge...) +g_NUM_EDGE_INPUTS positive 4 -- Number of edge inputs +g_NUM_TRIG_INPUTS positive 5 -- Number of trigger inputs +" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "g_EVENT_DATA_WIDTH" +type "positive" +value "64" +) +(GiElement +name "g_IPBUS_WIDTH" +type "positive" +value "32" +) +(GiElement +name "g_COUNTER_TRIG_WIDTH" +type "positive" +value "32" +) +(GiElement +name "g_COUNTER_WIDTH" +type "positive" +value "12" +) +(GiElement +name "g_EVTTYPE_WIDTH" +type "positive" +value "4" +e "-- Width of the event type word" +) +(GiElement +name "g_NUM_EDGE_INPUTS" +type "positive" +value "4" +pr "--g_NUM_INPUT_TYPES : positive := 4; -- Number of different input types (trigger, shutter, edge...)" +apr 0 +e "-- Number of edge inputs" +) +(GiElement +name "g_NUM_TRIG_INPUTS" +type "positive" +value "5" +e "-- Number of trigger inputs" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +*133 (Grouping +uid 16,0 +optionalChildren [ +*134 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,50000,49000,51000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "32200,50050,44200,50950" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*135 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "49000,46000,53000,47000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "49200,46050,53200,46950" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*136 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,49000,49000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "32200,48050,45700,48950" +st " +<enter diagram title here> +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*137 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "28000,48000,32000,49000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "28200,48050,31200,48950" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*138 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "49000,47000,69000,51000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "49200,47200,60200,48100" +st " +<enter comments here> +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*139 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,46000,69000,47000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "53200,46050,57200,46950" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*140 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "28000,46000,49000,48000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "34000,46500,43000,47500" +st " +<company name> +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*141 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "28000,49000,32000,50000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "28200,49050,30700,49950" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*142 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "28000,50000,32000,51000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "28200,50050,31700,50950" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*143 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,49000,49000,50000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "32200,49050,45700,49950" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "28000,46000,69000,51000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *144 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*145 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,41600,6500,42500" +st "Package List" +blo "0,42300" +) +*146 (MLText +uid 50,0 +va (VaSet +font "courier,8,0" +) +xt "0,42500,14500,47900" +st "LIBRARY ieee; +USE ieee.std_logic_1164.all; +USE ieee.numeric_std.all; + +USE work.fmcTLU.all; +USE work.ipbus.all;" +tm "PackageList" +) +] +) +windowSize "668,304,1683,994" +viewArea "-1000,-800,72656,47467" +cachedDiagramExtent "0,200,86000,51000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,4000,1900" +st "Panel0" +blo "1000,1700" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,39000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "24750,15100,29250,16000" +st "<library>" +blo "24750,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "24750,16000,27750,16900" +st "<cell>" +blo "24750,16700" +) +) +gi *147 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *148 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,200,6500,1100" +st "Declarations" +blo "0,900" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,1100,3000,2000" +st "Ports:" +blo "0,1800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,21800,2500,22700" +st "User:" +blo "0,22500" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,200,7500,1100" +st "Internal User:" +blo "0,900" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,22700,2000,22700" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,200,0,200" +tm "SyDeclarativeTextMgr" +) +) +lastUid 484,0 +activeModelName "Symbol:CDM" +) diff --git a/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/gbe_rxpacketbuffer/struct.bd b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/gbe_rxpacketbuffer/struct.bd new file mode 100644 index 00000000..10c3d437 --- /dev/null +++ b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/gbe_rxpacketbuffer/struct.bd @@ -0,0 +1,6388 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +language 1 +dialect 5 +dmPackageRefs [ +] +instances [ +(Instance +name "fifo" +duLibraryName "fmc_mTLU_lib" +duName "sdpram_8x11" +elements [ +] +mwi 0 +uid 231,0 +) +] +embeddedInstances [ +(EmbeddedInstance +name "eb1" +number "1" +) +(EmbeddedInstance +name "eb2" +number "2" +) +(EmbeddedInstance +name "eb3" +number "3" +) +(EmbeddedInstance +name "eb4" +number "4" +) +(EmbeddedInstance +name "eb5" +number "5" +) +(EmbeddedInstance +name "eb6" +number "6" +) +(EmbeddedInstance +name "eb7" +number "7" +) +(EmbeddedInstance +name "eb8" +number "8" +) +(EmbeddedInstance +name "eb9" +number "9" +) +] +properties [ +(HdrProperty +class "HDS" +name "DocView" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/workspace/IPbusFirmware/firmware/ipbus/hdl/gbe_rxpacketbuffer.v" +) +(HdrProperty +class "HDS" +name "DocViewState" +value "1352727141" +) +] +includeRefs [ +"ipbus_v_defs.v" +] +) +version "30.1" +appVersion "2010.3 (Build 21)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl" +) +(vvPair +variable "HDSDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/gbe_rxpacketbuffer/struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/gbe_rxpacketbuffer/struct.bd.user" +) +(vvPair +variable "SourceDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "config" +value "%(unit)_config" +) +(vvPair +variable "d" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/gbe_rxpacketbuffer" +) +(vvPair +variable "d_logical" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/gbe_rxpacketbuffer" +) +(vvPair +variable "date" +value "11/13/12" +) +(vvPair +variable "day" +value "Tue" +) +(vvPair +variable "day_long" +value "Tuesday" +) +(vvPair +variable "dd" +value "13" +) +(vvPair +variable "entity_name" +value "gbe_rxpacketbuffer" +) +(vvPair +variable "ext" +value "<TBD>" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "group" +value "HEP_Instrumentation" +) +(vvPair +variable "host" +value "kipper.phy.bris.ac.uk" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "fmc_mTLU_lib" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/designcheck" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "gbe_rxpacketbuffer" +) +(vvPair +variable "month" +value "Nov" +) +(vvPair +variable "month_long" +value "November" +) +(vvPair +variable "p" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/gbe_rxpacketbuffer/struct.bd" +) +(vvPair +variable "p_logical" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/gbe_rxpacketbuffer/struct.bd" +) +(vvPair +variable "package_name" +value "<Undefined Variable>" +) +(vvPair +variable "project_name" +value "fmc_mTLU" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "<TBD>" +) +(vvPair +variable "task_DesignCompilerPath" +value "<TBD>" +) +(vvPair +variable "task_LeonardoPath" +value "/opt/CAD/Mentor/LeonardoSpectrum_2008a/bin" +) +(vvPair +variable "task_ModelSimPath" +value "/opt/CAD/Mentor/Modelsim/6.4a/modeltech/bin" +) +(vvPair +variable "task_NC-SimPath" +value "<TBD>" +) +(vvPair +variable "task_PrecisionRTLPath" +value "/opt/CAD/Mentor/Precis_Synth_2009a_update2/Mgc_home/bin" +) +(vvPair +variable "task_QuestaSimPath" +value "/opt/CAD/Mentor/HDS2008.1b/questasim/bin" +) +(vvPair +variable "task_VCSPath" +value "<TBD>" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "16:59:31" +) +(vvPair +variable "unit" +value "gbe_rxpacketbuffer" +) +(vvPair +variable "user" +value "phdgc" +) +(vvPair +variable "version" +value "2010.3 (Build 21)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2012" +) +(vvPair +variable "yy" +value "12" +) +] +) +LanguageMgr "Verilog2001LangMgr" +optionalChildren [ +*1 (Net +uid 9,0 +lang 5 +decl (Decl +n "packet_len_acc" +t "reg" +b "[`pbuf_awidth - 1:0]" +o 1 +suid 1,0 +) +declText (MLText +uid 10,0 +va (VaSet +font "courier,8,0" +) +xt "46000,64400,67600,65300" +st "reg [`pbuf_awidth - 1:0] packet_len_acc; +" +) +) +*2 (Net +uid 11,0 +lang 5 +decl (Decl +n "packet_len_fifo" +t "reg" +b "[`pbuf_awidth - 1:0][7:0]" +eolc "// store up to eight incoming packets at once" +o 2 +suid 2,0 +) +declText (MLText +uid 12,0 +va (VaSet +font "courier,8,0" +) +xt "46000,65300,94100,66200" +st "reg [`pbuf_awidth - 1:0] packet_len_fifo [7:0]; // store up to eight incoming packets at once +" +) +) +*3 (Net +uid 13,0 +lang 5 +decl (Decl +n "packet_len_wp" +t "reg" +b "[2:0]" +o 3 +suid 3,0 +) +declText (MLText +uid 14,0 +va (VaSet +font "courier,8,0" +) +xt "46000,66200,67000,67100" +st "reg [2:0] packet_len_wp; +" +) +) +*4 (Net +uid 15,0 +lang 5 +decl (Decl +n "packet_len_rp" +t "reg" +b "[2:0]" +o 4 +suid 4,0 +) +declText (MLText +uid 16,0 +va (VaSet +font "courier,8,0" +) +xt "46000,67100,67000,68000" +st "reg [2:0] packet_len_rp; +" +) +) +*5 (Net +uid 17,0 +lang 5 +decl (Decl +n "packet_len_fifo_empty" +t "wire" +o 5 +suid 5,0 +) +declText (MLText +uid 18,0 +va (VaSet +font "courier,8,0" +) +xt "46000,68000,71000,68900" +st "wire packet_len_fifo_empty; +" +) +) +*6 (Net +uid 19,0 +lang 5 +decl (Decl +n "inByteBuffer" +t "reg" +b "[7:0]" +o 6 +suid 6,0 +) +declText (MLText +uid 20,0 +va (VaSet +font "courier,8,0" +) +xt "46000,68900,66500,69800" +st "reg [7:0] inByteBuffer; +" +) +) +*7 (Net +uid 21,0 +lang 5 +decl (Decl +n "was_packetok" +t "reg" +o 7 +suid 7,0 +) +declText (MLText +uid 22,0 +va (VaSet +font "courier,8,0" +) +xt "46000,69800,66500,70700" +st "reg was_packetok; +" +) +) +*8 (Net +uid 23,0 +lang 5 +decl (Decl +n "was_rxdone" +t "reg" +o 8 +suid 8,0 +) +declText (MLText +uid 24,0 +va (VaSet +font "courier,8,0" +) +xt "46000,70700,65500,71600" +st "reg was_rxdone; +" +) +) +*9 (Net +uid 25,0 +lang 5 +decl (Decl +n "was_dv" +t "reg" +o 9 +suid 9,0 +) +declText (MLText +uid 26,0 +va (VaSet +font "courier,8,0" +) +xt "46000,71600,63500,72500" +st "reg was_dv; +" +) +) +*10 (Net +uid 27,0 +lang 5 +decl (Decl +n "buffer_write_base" +t "reg" +b "[`pbuf_awidth - 1:0]" +o 10 +suid 10,0 +) +declText (MLText +uid 28,0 +va (VaSet +font "courier,8,0" +) +xt "46000,72500,69100,73400" +st "reg [`pbuf_awidth - 1:0] buffer_write_base; +" +) +) +*11 (Net +uid 29,0 +lang 5 +decl (Decl +n "buffer_read_base" +t "reg" +b "[`pbuf_awidth - 1:0]" +o 11 +suid 11,0 +) +declText (MLText +uid 30,0 +va (VaSet +font "courier,8,0" +) +xt "46000,73400,68600,74300" +st "reg [`pbuf_awidth - 1:0] buffer_read_base; +" +) +) +*12 (Net +uid 31,0 +lang 5 +decl (Decl +n "buffer_addr_write" +t "reg" +b "[`pbuf_awidth - 1:0]" +o 12 +suid 12,0 +) +declText (MLText +uid 32,0 +va (VaSet +font "courier,8,0" +) +xt "46000,74300,69100,75200" +st "reg [`pbuf_awidth - 1:0] buffer_addr_write; +" +) +) +*13 (Net +uid 33,0 +lang 5 +decl (Decl +n "buffer_addr_read" +t "wire" +b "[`pbuf_awidth - 1:0]" +o 13 +suid 13,0 +) +declText (MLText +uid 34,0 +va (VaSet +font "courier,8,0" +) +xt "46000,75200,68600,76100" +st "wire [`pbuf_awidth - 1:0] buffer_addr_read; +" +) +) +*14 (PortIoIn +uid 35,0 +shape (CompositeShape +uid 36,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 37,0 +sl 0 +ro 270 +xt "2000,25625,3500,26375" +) +(Line +uid 38,0 +sl 0 +ro 270 +xt "3500,26000,4000,26000" +pts [ +"3500,26000" +"4000,26000" +] +) +] +) +stc 0 +tg (WTG +uid 39,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 40,0 +va (VaSet +font "courier,8,0" +) +xt "-2500,25500,1000,26400" +st "mac_rxd" +ju 2 +blo "1000,26200" +tm "WireNameMgr" +) +) +) +*15 (Net +uid 41,0 +lang 5 +decl (Decl +n "mac_rxd" +t "wire" +b "[7:0]" +o 14 +suid 14,0 +) +declText (MLText +uid 42,0 +va (VaSet +font "courier,8,0" +) +xt "46000,51800,64000,52700" +st "wire [7:0] mac_rxd; +" +) +) +*16 (PortIoIn +uid 49,0 +shape (CompositeShape +uid 50,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 51,0 +sl 0 +ro 270 +xt "-4000,7625,-2500,8375" +) +(Line +uid 52,0 +sl 0 +ro 270 +xt "-2500,8000,-2000,8000" +pts [ +"-2500,8000" +"-2000,8000" +] +) +] +) +stc 0 +tg (WTG +uid 53,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 54,0 +va (VaSet +font "courier,8,0" +) +xt "-9000,7500,-5000,8400" +st "mac_rxdv" +ju 2 +blo "-5000,8200" +tm "WireNameMgr" +) +) +) +*17 (Net +uid 55,0 +lang 5 +decl (Decl +n "mac_rxdv" +t "wire" +o 15 +suid 15,0 +) +declText (MLText +uid 56,0 +va (VaSet +font "courier,8,0" +) +xt "46000,52700,64500,53600" +st "wire mac_rxdv; +" +) +) +*18 (PortIoIn +uid 63,0 +shape (CompositeShape +uid 64,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 65,0 +sl 0 +ro 270 +xt "-4000,32625,-2500,33375" +) +(Line +uid 66,0 +sl 0 +ro 270 +xt "-2500,33000,-2000,33000" +pts [ +"-2500,33000" +"-2000,33000" +] +) +] +) +stc 0 +tg (WTG +uid 67,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 68,0 +va (VaSet +font "courier,8,0" +) +xt "-7500,32500,-5000,33400" +st "reset" +ju 2 +blo "-5000,33200" +tm "WireNameMgr" +) +) +) +*19 (Net +uid 69,0 +lang 5 +decl (Decl +n "reset" +t "wire" +o 16 +suid 16,0 +) +declText (MLText +uid 70,0 +va (VaSet +font "courier,8,0" +) +xt "46000,53600,63000,54500" +st "wire reset; +" +) +) +*20 (PortIoIn +uid 77,0 +shape (CompositeShape +uid 78,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 79,0 +sl 0 +ro 270 +xt "-4000,31625,-2500,32375" +) +(Line +uid 80,0 +sl 0 +ro 270 +xt "-2500,32000,-2000,32000" +pts [ +"-2500,32000" +"-2000,32000" +] +) +] +) +stc 0 +tg (WTG +uid 81,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 82,0 +va (VaSet +font "courier,8,0" +) +xt "-12500,31500,-5000,32400" +st "mac_rxpacketok" +ju 2 +blo "-5000,32200" +tm "WireNameMgr" +) +) +) +*21 (Net +uid 83,0 +lang 5 +decl (Decl +n "mac_rxpacketok" +t "wire" +o 17 +suid 17,0 +) +declText (MLText +uid 84,0 +va (VaSet +font "courier,8,0" +) +xt "46000,54500,67500,55400" +st "wire mac_rxpacketok; +" +) +) +*22 (PortIoIn +uid 91,0 +shape (CompositeShape +uid 92,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 93,0 +sl 0 +ro 270 +xt "38000,21625,39500,22375" +) +(Line +uid 94,0 +sl 0 +ro 270 +xt "39500,22000,40000,22000" +pts [ +"39500,22000" +"40000,22000" +] +) +] +) +stc 0 +tg (WTG +uid 95,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 96,0 +va (VaSet +font "courier,8,0" +) +xt "29000,21500,37000,22400" +st "mac_rxpacketbad" +ju 2 +blo "37000,22200" +tm "WireNameMgr" +) +) +) +*23 (Net +uid 97,0 +lang 5 +decl (Decl +n "mac_rxpacketbad" +t "wire" +o 18 +suid 18,0 +) +declText (MLText +uid 98,0 +va (VaSet +font "courier,8,0" +) +xt "46000,55400,68000,56300" +st "wire mac_rxpacketbad; +" +) +) +*24 (PortIoIn +uid 105,0 +shape (CompositeShape +uid 106,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 107,0 +sl 0 +ro 270 +xt "-5000,41625,-3500,42375" +) +(Line +uid 108,0 +sl 0 +ro 270 +xt "-3500,42000,-3000,42000" +pts [ +"-3500,42000" +"-3000,42000" +] +) +] +) +stc 0 +tg (WTG +uid 109,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 110,0 +va (VaSet +font "courier,8,0" +) +xt "-9500,41500,-6000,42400" +st "mac_clk" +ju 2 +blo "-6000,42200" +tm "WireNameMgr" +) +) +) +*25 (Net +uid 111,0 +lang 5 +decl (Decl +n "mac_clk" +t "wire" +o 19 +suid 19,0 +) +declText (MLText +uid 112,0 +va (VaSet +font "courier,8,0" +) +xt "46000,56300,64000,57200" +st "wire mac_clk; +" +) +) +*26 (PortIoIn +uid 119,0 +shape (CompositeShape +uid 120,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 121,0 +sl 0 +ro 270 +xt "-5000,43625,-3500,44375" +) +(Line +uid 122,0 +sl 0 +ro 270 +xt "-3500,44000,-3000,44000" +pts [ +"-3500,44000" +"-3000,44000" +] +) +] +) +stc 0 +tg (WTG +uid 123,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 124,0 +va (VaSet +font "courier,8,0" +) +xt "-7500,43500,-6000,44400" +st "clk" +ju 2 +blo "-6000,44200" +tm "WireNameMgr" +) +) +) +*27 (Net +uid 125,0 +lang 5 +decl (Decl +n "clk" +t "wire" +o 20 +suid 20,0 +) +declText (MLText +uid 126,0 +va (VaSet +font "courier,8,0" +) +xt "46000,57200,62000,58100" +st "wire clk; +" +) +) +*28 (PortIoOut +uid 133,0 +shape (CompositeShape +uid 134,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 135,0 +sl 0 +ro 270 +xt "48500,-1375,50000,-625" +) +(Line +uid 136,0 +sl 0 +ro 270 +xt "48000,-1000,48500,-1000" +pts [ +"48000,-1000" +"48500,-1000" +] +) +] +) +stc 0 +tg (WTG +uid 137,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 138,0 +va (VaSet +font "courier,8,0" +) +xt "51000,-1500,56500,-600" +st "packet_rxd" +blo "51000,-800" +tm "WireNameMgr" +) +) +) +*29 (Net +uid 139,0 +lang 5 +decl (Decl +n "packet_rxd" +t "wire" +b "[7:0]" +o 21 +suid 21,0 +) +declText (MLText +uid 140,0 +va (VaSet +font "courier,8,0" +) +xt "46000,58100,65500,59000" +st "wire [7:0] packet_rxd; +" +) +) +*30 (PortIoIn +uid 147,0 +shape (CompositeShape +uid 148,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 149,0 +sl 0 +ro 270 +xt "38000,38625,39500,39375" +) +(Line +uid 150,0 +sl 0 +ro 270 +xt "39500,39000,40000,39000" +pts [ +"39500,39000" +"40000,39000" +] +) +] +) +stc 0 +tg (WTG +uid 151,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 152,0 +va (VaSet +font "courier,8,0" +) +xt "31500,38500,37000,39400" +st "packet_rxa" +ju 2 +blo "37000,39200" +tm "WireNameMgr" +) +) +) +*31 (Net +uid 153,0 +lang 5 +decl (Decl +n "packet_rxa" +t "wire" +b "[`pbuf_awidth - 1:0]" +o 22 +suid 22,0 +) +declText (MLText +uid 154,0 +va (VaSet +font "courier,8,0" +) +xt "46000,59000,65600,59900" +st "wire [`pbuf_awidth - 1:0] packet_rxa; +" +) +) +*32 (PortIoOut +uid 161,0 +shape (CompositeShape +uid 162,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 163,0 +sl 0 +ro 270 +xt "88500,33625,90000,34375" +) +(Line +uid 164,0 +sl 0 +ro 270 +xt "88000,34000,88500,34000" +pts [ +"88000,34000" +"88500,34000" +] +) +] +) +stc 0 +tg (WTG +uid 165,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 166,0 +va (VaSet +font "courier,8,0" +) +xt "91000,33500,96500,34400" +st "packet_len" +blo "91000,34200" +tm "WireNameMgr" +) +) +) +*33 (Net +uid 167,0 +lang 5 +decl (Decl +n "packet_len" +t "reg" +b "[`pbuf_awidth - 1:0]" +o 23 +suid 23,0 +) +declText (MLText +uid 168,0 +va (VaSet +font "courier,8,0" +) +xt "46000,59900,65600,60800" +st "reg [`pbuf_awidth - 1:0] packet_len; +" +) +) +*34 (PortIoOut +uid 175,0 +shape (CompositeShape +uid 176,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 177,0 +sl 0 +ro 270 +xt "45500,12625,47000,13375" +) +(Line +uid 178,0 +sl 0 +ro 270 +xt "45000,13000,45500,13000" +pts [ +"45000,13000" +"45500,13000" +] +) +] +) +stc 0 +tg (WTG +uid 179,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 180,0 +va (VaSet +font "courier,8,0" +) +xt "48000,12500,55500,13400" +st "packet_rxready" +blo "48000,13200" +tm "WireNameMgr" +) +) +) +*35 (Net +uid 181,0 +lang 5 +decl (Decl +n "packet_rxready" +t "reg" +o 24 +suid 24,0 +) +declText (MLText +uid 182,0 +va (VaSet +font "courier,8,0" +) +xt "46000,60800,67500,61700" +st "reg packet_rxready; +" +) +) +*36 (PortIoIn +uid 189,0 +shape (CompositeShape +uid 190,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 191,0 +sl 0 +ro 270 +xt "-4000,33625,-2500,34375" +) +(Line +uid 192,0 +sl 0 +ro 270 +xt "-2500,34000,-2000,34000" +pts [ +"-2500,34000" +"-2000,34000" +] +) +] +) +stc 0 +tg (WTG +uid 193,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 194,0 +va (VaSet +font "courier,8,0" +) +xt "-12000,33500,-5000,34400" +st "packet_rxdone" +ju 2 +blo "-5000,34200" +tm "WireNameMgr" +) +) +) +*37 (Net +uid 195,0 +lang 5 +decl (Decl +n "packet_rxdone" +t "wire" +o 25 +suid 25,0 +) +declText (MLText +uid 196,0 +va (VaSet +font "courier,8,0" +) +xt "46000,61700,67000,62600" +st "wire packet_rxdone; +" +) +) +*38 (SaComponent +uid 231,0 +optionalChildren [ +*39 (CptPort +uid 241,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 242,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,-375,39000,375" +) +tg (CPTG +uid 243,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 244,0 +va (VaSet +font "courier,8,0" +) +xt "40000,-450,42000,450" +st "clka" +blo "40000,250" +) +) +thePort (LogicalPort +lang 5 +decl (Decl +n "clka" +t "wire" +preAdd 0 +posAdd 0 +o 1 +) +) +) +*40 (CptPort +uid 245,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 246,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "46000,1625,46750,2375" +) +tg (CPTG +uid 247,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 248,0 +va (VaSet +font "courier,8,0" +) +xt "43500,1550,45000,2450" +st "wea" +ju 2 +blo "45000,2250" +) +) +thePort (LogicalPort +lang 5 +m 1 +decl (Decl +n "wea" +t "reg" +preAdd 0 +posAdd 0 +o 2 +) +) +) +*41 (CptPort +uid 249,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 250,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "46000,2625,46750,3375" +) +tg (CPTG +uid 251,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 252,0 +va (VaSet +font "courier,8,0" +) +xt "42500,2550,45000,3450" +st "addra" +ju 2 +blo "45000,3250" +) +) +thePort (LogicalPort +lang 5 +m 1 +decl (Decl +n "addra" +t "reg" +preAdd 0 +posAdd 0 +o 3 +) +) +) +*42 (CptPort +uid 253,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 254,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "46000,-375,46750,375" +) +tg (CPTG +uid 255,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 256,0 +va (VaSet +font "courier,8,0" +) +xt "43000,-450,45000,450" +st "dina" +ju 2 +blo "45000,250" +) +) +thePort (LogicalPort +lang 5 +m 1 +decl (Decl +n "dina" +t "reg" +preAdd 0 +posAdd 0 +o 4 +) +) +) +*43 (CptPort +uid 257,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 258,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,-1375,39000,-625" +) +tg (CPTG +uid 259,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 260,0 +va (VaSet +font "courier,8,0" +) +xt "40000,-1450,42000,-550" +st "clkb" +blo "40000,-750" +) +) +thePort (LogicalPort +lang 5 +decl (Decl +n "clkb" +t "wire" +preAdd 0 +posAdd 0 +o 5 +) +) +) +*44 (CptPort +uid 261,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 262,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "46000,625,46750,1375" +) +tg (CPTG +uid 263,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 264,0 +va (VaSet +font "courier,8,0" +) +xt "42500,550,45000,1450" +st "addrb" +ju 2 +blo "45000,1250" +) +) +thePort (LogicalPort +lang 5 +m 1 +decl (Decl +n "addrb" +t "reg" +preAdd 0 +posAdd 0 +o 6 +) +) +) +*45 (CptPort +uid 265,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 266,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "46000,-1375,46750,-625" +) +tg (CPTG +uid 267,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 268,0 +va (VaSet +font "courier,8,0" +) +xt "42500,-1450,45000,-550" +st "doutb" +ju 2 +blo "45000,-750" +) +) +thePort (LogicalPort +lang 5 +m 1 +decl (Decl +n "doutb" +t "reg" +preAdd 0 +posAdd 0 +o 7 +) +) +) +*46 (PortMapFrame +uid 269,0 +ps "PortMapFrameStrategy" +shape (RectFrame +uid 270,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "37000,-4000,48000,6000" +) +portMapText (BiTextGroup +uid 271,0 +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +uid 272,0 +va (VaSet +font "courier,8,0" +) +xt "48000,6000,61500,11400" +st ".clka(mac_clk), +.clkb(clk), +.addra(buffer_addr_write), +.addrb(buffer_addr_read), +.dina(inByteBuffer), +.doutb(packet_rxd)," +) +second (MLText +uid 273,0 +va (VaSet +font "courier,8,0" +) +xt "48000,11400,60500,12300" +st ".wea(mac_rxdv || was_dv)" +tm "PortMapTextMgr" +) +) +) +] +shape (Rectangle +uid 232,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "39000,-2000,46000,4000" +) +ttg (MlTextGroup +uid 233,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*47 (Text +uid 234,0 +va (VaSet +font "courier,8,1" +) +xt "39250,4000,45750,4900" +st "fmc_mTLU_lib" +blo "39250,4700" +tm "BdLibraryNameMgr" +) +*48 (Text +uid 235,0 +va (VaSet +font "courier,8,1" +) +xt "39250,4900,45250,5800" +st "sdpram_8x11" +blo "39250,5600" +tm "CptNameMgr" +) +*49 (Text +uid 236,0 +va (VaSet +font "courier,8,1" +) +xt "39250,5800,41250,6700" +st "fifo" +blo "39250,6500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 237,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 238,0 +text (MLText +uid 239,0 +va (VaSet +font "courier,8,0" +) +xt "38750,-2000,38750,-2000" +) +header "" +) +elements [ +] +includeGenericsInHDL 0 +) +viewicon (ZoomableIcon +uid 240,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "39250,2250,40750,3750" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*50 (HdlText +uid 310,0 +optionalChildren [ +*51 (EmbeddedText +uid 316,0 +commentText (CommentText +uid 317,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 318,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "68000,38000,86000,43000" +) +text (MLText +uid 319,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "68200,38200,85700,41800" +st " +assign packet_len_fifo_empty=(packet_len_wp==packet_len_rp); // clock domain crossing - sync reg below + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +] +shape (Rectangle +uid 311,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "60000,38000,63000,41000" +) +ttg (MlTextGroup +uid 312,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*52 (Text +uid 313,0 +va (VaSet +font "courier,8,1" +) +xt "60750,38600,62250,39500" +st "eb1" +blo "60750,39300" +tm "HdlTextNameMgr" +) +*53 (Text +uid 314,0 +va (VaSet +font "courier,8,1" +) +xt "60750,39500,61250,40400" +st "1" +blo "60750,40200" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 315,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "60250,39250,61750,40750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*54 (HdlText +uid 344,0 +optionalChildren [ +*55 (EmbeddedText +uid 350,0 +commentText (CommentText +uid 351,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 352,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "68000,24000,86000,29000" +) +text (MLText +uid 353,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "68200,24200,85200,28700" +st " +//assign buffer_addr_write = buffer_write_base+packet_len_acc; + + always @(posedge mac_clk) buffer_addr_write<=buffer_write_base+packet_len_acc; + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +] +shape (Rectangle +uid 345,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "60000,24000,63000,28000" +) +ttg (MlTextGroup +uid 346,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*56 (Text +uid 347,0 +va (VaSet +font "courier,8,1" +) +xt "60750,25100,62250,26000" +st "eb2" +blo "60750,25800" +tm "HdlTextNameMgr" +) +*57 (Text +uid 348,0 +va (VaSet +font "courier,8,1" +) +xt "60750,26000,61250,26900" +st "2" +blo "60750,26700" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 349,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "60250,26250,61750,27750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*58 (HdlText +uid 386,0 +optionalChildren [ +*59 (EmbeddedText +uid 392,0 +commentText (CommentText +uid 393,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 394,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "13000,25000,31000,30000" +) +text (MLText +uid 395,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "13200,25200,26700,27000" +st " +always @(posedge mac_clk) inByteBuffer<=mac_rxd; + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +] +shape (Rectangle +uid 387,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "5000,25000,8000,28000" +) +ttg (MlTextGroup +uid 388,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*60 (Text +uid 389,0 +va (VaSet +font "courier,8,1" +) +xt "5750,25600,7250,26500" +st "eb3" +blo "5750,26300" +tm "HdlTextNameMgr" +) +*61 (Text +uid 390,0 +va (VaSet +font "courier,8,1" +) +xt "5750,26500,6250,27400" +st "3" +blo "5750,27200" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 391,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "5250,26250,6750,27750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*62 (HdlText +uid 420,0 +optionalChildren [ +*63 (EmbeddedText +uid 426,0 +commentText (CommentText +uid 427,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 428,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "87000,38000,105000,43000" +) +text (MLText +uid 429,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "87200,38200,104700,40000" +st " +always @(posedge mac_clk) was_dv<=mac_rxdv; + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +] +shape (Rectangle +uid 421,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "79000,38000,82000,41000" +) +ttg (MlTextGroup +uid 422,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*64 (Text +uid 423,0 +va (VaSet +font "courier,8,1" +) +xt "79750,38600,81250,39500" +st "eb4" +blo "79750,39300" +tm "HdlTextNameMgr" +) +*65 (Text +uid 424,0 +va (VaSet +font "courier,8,1" +) +xt "79750,39500,80250,40400" +st "4" +blo "79750,40200" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 425,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "79250,39250,80750,40750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*66 (HdlText +uid 454,0 +optionalChildren [ +*67 (EmbeddedText +uid 460,0 +commentText (CommentText +uid 461,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 462,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "28000,25000,46000,30000" +) +text (MLText +uid 463,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "28200,25200,43200,27000" +st " +always @(posedge mac_clk) was_packetok<=mac_rxpacketok; + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +] +shape (Rectangle +uid 455,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "20000,25000,23000,28000" +) +ttg (MlTextGroup +uid 456,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*68 (Text +uid 457,0 +va (VaSet +font "courier,8,1" +) +xt "20750,25600,22250,26500" +st "eb5" +blo "20750,26300" +tm "HdlTextNameMgr" +) +*69 (Text +uid 458,0 +va (VaSet +font "courier,8,1" +) +xt "20750,26500,21250,27400" +st "5" +blo "20750,27200" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 459,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "20250,26250,21750,27750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*70 (HdlText +uid 488,0 +optionalChildren [ +*71 (EmbeddedText +uid 494,0 +commentText (CommentText +uid 495,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 496,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "49000,21000,67000,26000" +) +text (MLText +uid 497,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "49200,21200,64700,25700" +st " +always @(posedge mac_clk) + if (reset) begin + buffer_write_base<=0; + packet_len_wp<=0; + packet_len_acc<=0; + end else if (mac_rxpacketok && !was_packetok) begin // keep the packet + packet_len_fifo[packet_len_wp]<=packet_len_acc; + buffer_write_base<=buffer_write_base+packet_len_acc; + end else if (!mac_rxpacketok && was_packetok) begin // keep the packet (inc count) + packet_len_wp<=packet_len_wp+1; + packet_len_acc<=0; + end else if (mac_rxpacketbad) begin // drop the packet (forget the length...) + packet_len_acc<=0; + end else if (mac_rxdv) begin + packet_len_acc<=packet_len_acc+1; + end + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +] +shape (Rectangle +uid 489,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "41000,21000,44000,28000" +) +ttg (MlTextGroup +uid 490,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*72 (Text +uid 491,0 +va (VaSet +font "courier,8,1" +) +xt "41750,23600,43250,24500" +st "eb6" +blo "41750,24300" +tm "HdlTextNameMgr" +) +*73 (Text +uid 492,0 +va (VaSet +font "courier,8,1" +) +xt "41750,24500,42250,25400" +st "6" +blo "41750,25200" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 493,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "41250,26250,42750,27750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*74 (HdlText +uid 578,0 +optionalChildren [ +*75 (EmbeddedText +uid 584,0 +commentText (CommentText +uid 585,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 586,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "49000,38000,67000,43000" +) +text (MLText +uid 587,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "49200,38200,63700,40000" +st " +assign buffer_addr_read=buffer_read_base+packet_rxa; + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +] +shape (Rectangle +uid 579,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "41000,38000,44000,41000" +) +ttg (MlTextGroup +uid 580,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*76 (Text +uid 581,0 +va (VaSet +font "courier,8,1" +) +xt "41750,38600,43250,39500" +st "eb7" +blo "41750,39300" +tm "HdlTextNameMgr" +) +*77 (Text +uid 582,0 +va (VaSet +font "courier,8,1" +) +xt "41750,39500,42250,40400" +st "7" +blo "41750,40200" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 583,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "41250,39250,42750,40750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*78 (HdlText +uid 612,0 +optionalChildren [ +*79 (EmbeddedText +uid 618,0 +commentText (CommentText +uid 619,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 620,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "49000,12000,67000,17000" +) +text (MLText +uid 621,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "49200,12200,66700,16700" +st " +always @(posedge clk) begin + packet_len<=packet_len_fifo[packet_len_rp]; + packet_rxready<=!packet_len_fifo_empty; + was_rxdone<=packet_rxdone; + end + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +] +shape (Rectangle +uid 613,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "41000,12000,44000,17000" +) +ttg (MlTextGroup +uid 614,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*80 (Text +uid 615,0 +va (VaSet +font "courier,8,1" +) +xt "41750,13600,43250,14500" +st "eb8" +blo "41750,14300" +tm "HdlTextNameMgr" +) +*81 (Text +uid 616,0 +va (VaSet +font "courier,8,1" +) +xt "41750,14500,42250,15400" +st "8" +blo "41750,15200" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 617,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "41250,15250,42750,16750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*82 (HdlText +uid 678,0 +optionalChildren [ +*83 (EmbeddedText +uid 684,0 +commentText (CommentText +uid 685,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 686,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "68000,12000,86000,17000" +) +text (MLText +uid 687,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "68200,12200,85700,16700" +st " +always @(posedge clk) + if (reset) begin + packet_len_rp<=0; + buffer_read_base<=0; + end else if (packet_rxdone && !was_rxdone) begin + packet_len_rp<=packet_len_rp+1; + buffer_read_base<=buffer_read_base+packet_len; + end + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +] +shape (Rectangle +uid 679,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "60000,12000,63000,18000" +) +ttg (MlTextGroup +uid 680,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*84 (Text +uid 681,0 +va (VaSet +font "courier,8,1" +) +xt "60750,14100,62250,15000" +st "eb9" +blo "60750,14800" +tm "HdlTextNameMgr" +) +*85 (Text +uid 682,0 +va (VaSet +font "courier,8,1" +) +xt "60750,15000,61250,15900" +st "9" +blo "60750,15700" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 683,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "60250,16250,61750,17750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*86 (GlobalConnector +uid 744,0 +shape (Circle +uid 745,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-2000,41000,0,43000" +radius 1000 +) +name (Text +uid 746,0 +va (VaSet +font "courier,8,1" +) +xt "-1250,41550,-750,42450" +st "G" +blo "-1250,42250" +) +) +*87 (GlobalConnector +uid 753,0 +shape (Circle +uid 754,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-2000,43000,0,45000" +radius 1000 +) +name (Text +uid 755,0 +va (VaSet +font "courier,8,1" +) +xt "-1250,43550,-750,44450" +st "G" +blo "-1250,44250" +) +) +*88 (BundleNet +uid 762,0 +bundleNetName "bundle_eb8_eb9_0" +bundleContents [ +*89 (Wire +uid 772,0 +shape (OrthoPolyLine +uid 773,0 +sl 0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "222000,62000,232000,62000" +pts [ +"222000,62000" +"232000,62000" +] +) +sat 2 +eat 16 +sty 1 +cm 0 +stc 0 +st 0 +si 0 +tg (WTG +uid 778,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 779,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "205000,61100,210500,62000" +st "packet_len" +blo "205000,61800" +tm "WireNameMgr" +) +) +on &33 +) +*90 (Wire +uid 780,0 +shape (OrthoPolyLine +uid 781,0 +sl 0 +va (VaSet +vasetType 3 +) +xt "222000,64000,232000,64000" +pts [ +"222000,64000" +"232000,64000" +] +) +sat 2 +eat 16 +cm 0 +stc 0 +st 0 +si 0 +tg (WTG +uid 786,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 787,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "216000,63100,221500,64000" +st "was_rxdone" +blo "216000,63800" +tm "WireNameMgr" +) +) +on &8 +) +] +) +*91 (BundleNet +uid 788,0 +bundleNetName "bundle_eb6_eb2_0" +bundleContents [ +*92 (Wire +uid 798,0 +shape (OrthoPolyLine +uid 799,0 +sl 0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "222000,71000,232000,71000" +pts [ +"222000,71000" +"232000,71000" +] +) +sat 4 +eat 16 +sty 1 +cm 0 +stc 0 +st 0 +si 0 +tg (WTG +uid 804,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 805,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "201000,70100,210000,71000" +st "buffer_write_base" +blo "201000,70800" +tm "WireNameMgr" +) +) +on &10 +) +*93 (Wire +uid 806,0 +shape (OrthoPolyLine +uid 807,0 +sl 0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "222000,72000,232000,72000" +pts [ +"222000,72000" +"232000,72000" +] +) +sat 4 +eat 16 +sty 1 +cm 0 +stc 0 +st 0 +si 0 +tg (WTG +uid 812,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 813,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "203000,71100,210500,72000" +st "packet_len_acc" +blo "203000,71800" +tm "WireNameMgr" +) +) +on &1 +) +] +) +*94 (Property +uid 824,0 +pclass "HDS" +pname "DocView" +pvalue "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/workspace/IPbusFirmware/firmware/ipbus/hdl/gbe_rxpacketbuffer.v" +ptn "String" +) +*95 (Property +uid 825,0 +pclass "HDS" +pname "DocViewState" +pvalue "1352727141" +ptn "String" +) +*96 (Wire +uid 43,0 +shape (OrthoPolyLine +uid 44,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "4000,26000,5000,26000" +pts [ +"4000,26000" +"5000,26000" +] +) +start &14 +end &58 +ss 0 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 47,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 48,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "1000,25100,4500,26000" +st "mac_rxd" +blo "1000,25800" +tm "WireNameMgr" +) +) +on &15 +) +*97 (Wire +uid 57,0 +optionalChildren [ +*98 (BdJunction +uid 816,0 +ps "OnConnectorStrategy" +shape (Circle +uid 817,0 +va (VaSet +vasetType 1 +) +xt "32600,7600,33400,8400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 58,0 +va (VaSet +vasetType 3 +) +xt "-2000,8000,79000,39000" +pts [ +"-2000,8000" +"73000,8000" +"73000,39000" +"79000,39000" +] +) +start &16 +end &62 +ss 0 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 61,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 62,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "74000,38100,78000,39000" +st "mac_rxdv" +blo "74000,38800" +tm "WireNameMgr" +) +) +on &17 +) +*99 (Wire +uid 71,0 +optionalChildren [ +*100 (BdJunction +uid 818,0 +ps "OnConnectorStrategy" +shape (Circle +uid 819,0 +va (VaSet +vasetType 1 +) +xt "31600,32600,32400,33400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 72,0 +va (VaSet +vasetType 3 +) +xt "-2000,16000,60000,33000" +pts [ +"-2000,33000" +"53000,33000" +"53000,16000" +"60000,16000" +] +) +start &18 +end &82 +ss 0 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 75,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 76,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "57000,15100,59500,16000" +st "reset" +blo "57000,15800" +tm "WireNameMgr" +) +) +on &19 +) +*101 (Wire +uid 85,0 +optionalChildren [ +*102 (BdJunction +uid 814,0 +ps "OnConnectorStrategy" +shape (Circle +uid 815,0 +va (VaSet +vasetType 1 +) +xt "13600,31600,14400,32400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 86,0 +va (VaSet +vasetType 3 +) +xt "-2000,27000,41000,32000" +pts [ +"-2000,32000" +"34000,32000" +"34000,27000" +"41000,27000" +] +) +start &20 +end &70 +ss 0 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 89,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 90,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "33000,26100,40500,27000" +st "mac_rxpacketok" +blo "33000,26800" +tm "WireNameMgr" +) +) +on &21 +) +*103 (Wire +uid 99,0 +shape (OrthoPolyLine +uid 100,0 +va (VaSet +vasetType 3 +) +xt "40000,22000,41000,22000" +pts [ +"40000,22000" +"41000,22000" +] +) +start &22 +end &70 +ss 0 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 103,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 104,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "37000,21100,45000,22000" +st "mac_rxpacketbad" +blo "37000,21800" +tm "WireNameMgr" +) +) +on &23 +) +*104 (Wire +uid 113,0 +shape (OrthoPolyLine +uid 114,0 +va (VaSet +vasetType 3 +) +xt "-3000,42000,-2000,42000" +pts [ +"-3000,42000" +"-2000,42000" +] +) +start &24 +end &86 +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 117,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 118,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-4000,41100,-500,42000" +st "mac_clk" +blo "-4000,41800" +tm "WireNameMgr" +) +) +on &25 +) +*105 (Wire +uid 127,0 +shape (OrthoPolyLine +uid 128,0 +va (VaSet +vasetType 3 +) +xt "-3000,44000,-2000,44000" +pts [ +"-3000,44000" +"-2000,44000" +] +) +start &26 +end &87 +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 131,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 132,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-3000,43100,-1500,44000" +st "clk" +blo "-3000,43800" +tm "WireNameMgr" +) +) +on &27 +) +*106 (Wire +uid 155,0 +shape (OrthoPolyLine +uid 156,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "40000,39000,41000,39000" +pts [ +"40000,39000" +"41000,39000" +] +) +start &30 +end &74 +ss 0 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 159,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 160,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "32000,38100,37500,39000" +st "packet_rxa" +blo "32000,38800" +tm "WireNameMgr" +) +) +on &31 +) +*107 (Wire +uid 169,0 +shape (OrthoPolyLine +uid 170,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "86000,34000,88000,34000" +pts [ +"86000,34000" +"88000,34000" +] +) +end &32 +sat 16 +eat 32 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 173,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 174,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "69000,33100,74500,34000" +st "packet_len" +blo "69000,33800" +tm "WireNameMgr" +) +) +on &33 +) +*108 (Wire +uid 197,0 +optionalChildren [ +*109 (BdJunction +uid 820,0 +ps "OnConnectorStrategy" +shape (Circle +uid 821,0 +va (VaSet +vasetType 1 +) +xt "30600,33600,31400,34400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 198,0 +va (VaSet +vasetType 3 +) +xt "-2000,17000,60000,34000" +pts [ +"-2000,34000" +"54000,34000" +"54000,17000" +"60000,17000" +] +) +start &36 +end &82 +ss 0 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 201,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 202,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "52000,16100,59000,17000" +st "packet_rxdone" +blo "52000,16800" +tm "WireNameMgr" +) +) +on &37 +) +*110 (Wire +uid 274,0 +shape (OrthoPolyLine +uid 275,0 +va (VaSet +vasetType 3 +) +xt "36000,0,38250,0" +pts [ +"36000,0" +"38250,0" +] +) +end &39 +sat 16 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 278,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 279,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "32000,-900,35500,0" +st "mac_clk" +blo "32000,-200" +tm "WireNameMgr" +) +) +on &25 +) +*111 (Wire +uid 280,0 +shape (OrthoPolyLine +uid 281,0 +va (VaSet +vasetType 3 +) +xt "46750,3000,71000,27000" +pts [ +"46750,3000" +"71000,3000" +"71000,27000" +"63000,27000" +] +) +start &41 +end &54 +ss 0 +sat 32 +eat 2 +stc 0 +st 0 +si 0 +tg (WTG +uid 284,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 285,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "64000,26100,73000,27000" +st "buffer_addr_write" +blo "64000,26800" +tm "WireNameMgr" +) +) +on &12 +) +*112 (Wire +uid 286,0 +shape (OrthoPolyLine +uid 287,0 +va (VaSet +vasetType 3 +) +xt "46750,0,49000,0" +pts [ +"46750,0" +"49000,0" +] +) +start &42 +ss 0 +es 0 +sat 32 +eat 16 +stc 0 +st 0 +si 0 +tg (WTG +uid 290,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 291,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "50000,-900,56500,0" +st "inByteBuffer" +blo "50000,-200" +tm "WireNameMgr" +) +) +on &6 +) +*113 (Wire +uid 292,0 +shape (OrthoPolyLine +uid 293,0 +va (VaSet +vasetType 3 +) +xt "36000,-1000,38250,-1000" +pts [ +"36000,-1000" +"38250,-1000" +] +) +end &43 +sat 16 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 296,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 297,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "34000,-1900,35500,-1000" +st "clk" +blo "34000,-1200" +tm "WireNameMgr" +) +) +on &27 +) +*114 (Wire +uid 298,0 +shape (OrthoPolyLine +uid 299,0 +va (VaSet +vasetType 3 +) +xt "46750,1000,49000,1000" +pts [ +"46750,1000" +"49000,1000" +] +) +start &44 +ss 0 +es 0 +sat 32 +eat 16 +stc 0 +st 0 +si 0 +tg (WTG +uid 302,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 303,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "50000,100,58500,1000" +st "buffer_addr_read" +blo "50000,800" +tm "WireNameMgr" +) +) +on &13 +) +*115 (Wire +uid 304,0 +shape (OrthoPolyLine +uid 305,0 +va (VaSet +vasetType 3 +) +xt "46750,-1000,48000,-1000" +pts [ +"46750,-1000" +"48000,-1000" +] +) +start &45 +end &28 +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 308,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 309,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "43000,-1900,48500,-1000" +st "packet_rxd" +blo "43000,-1200" +tm "WireNameMgr" +) +) +on &29 +) +*116 (Wire +uid 320,0 +shape (OrthoPolyLine +uid 321,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "52000,19000,60000,39000" +pts [ +"52000,19000" +"52000,39000" +"60000,39000" +] +) +start *117 (BdJunction +uid 822,0 +ps "OnConnectorStrategy" +shape (Circle +uid 823,0 +va (VaSet +vasetType 1 +) +xt "51600,18600,52400,19400" +radius 400 +) +) +end &50 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 326,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 327,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "48000,38100,55000,39000" +st "packet_len_rp" +blo "48000,38800" +tm "WireNameMgr" +) +) +on &4 +) +*118 (Wire +uid 336,0 +shape (OrthoPolyLine +uid 337,0 +va (VaSet +vasetType 3 +) +xt "35000,16000,69000,42000" +pts [ +"63000,40000" +"69000,40000" +"69000,42000" +"35000,42000" +"35000,16000" +"41000,16000" +] +) +start &50 +end &78 +sat 2 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 342,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 343,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "64000,39100,75000,40000" +st "packet_len_fifo_empty" +blo "64000,39800" +tm "WireNameMgr" +) +) +on &5 +) +*119 (Wire +uid 362,0 +shape (OrthoPolyLine +uid 363,0 +va (VaSet +vasetType 3 +) +xt "58000,26000,60000,26000" +pts [ +"58000,26000" +"60000,26000" +] +) +end &54 +sat 16 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 368,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 369,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "54000,25100,57500,26000" +st "mac_clk" +blo "54000,25800" +tm "WireNameMgr" +) +) +on &25 +) +*120 (Wire +uid 396,0 +shape (OrthoPolyLine +uid 397,0 +va (VaSet +vasetType 3 +) +xt "3000,27000,5000,27000" +pts [ +"3000,27000" +"5000,27000" +] +) +end &58 +sat 16 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 402,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 403,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-1000,26100,2500,27000" +st "mac_clk" +blo "-1000,26800" +tm "WireNameMgr" +) +) +on &25 +) +*121 (Wire +uid 412,0 +shape (OrthoPolyLine +uid 413,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "8000,26000,10000,26000" +pts [ +"8000,26000" +"10000,26000" +] +) +start &58 +sat 2 +eat 16 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 418,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 419,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "11000,25100,17500,26000" +st "inByteBuffer" +blo "11000,25800" +tm "WireNameMgr" +) +) +on &6 +) +*122 (Wire +uid 430,0 +shape (OrthoPolyLine +uid 431,0 +va (VaSet +vasetType 3 +) +xt "77000,40000,79000,40000" +pts [ +"77000,40000" +"79000,40000" +] +) +end &62 +sat 16 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 436,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 437,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "73000,39100,76500,40000" +st "mac_clk" +blo "73000,39800" +tm "WireNameMgr" +) +) +on &25 +) +*123 (Wire +uid 446,0 +shape (OrthoPolyLine +uid 447,0 +va (VaSet +vasetType 3 +) +xt "82000,39000,84000,39000" +pts [ +"82000,39000" +"84000,39000" +] +) +start &62 +sat 2 +eat 16 +stc 0 +st 0 +si 0 +tg (WTG +uid 452,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 453,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "85000,38100,88000,39000" +st "was_dv" +blo "85000,38800" +tm "WireNameMgr" +) +) +on &9 +) +*124 (Wire +uid 464,0 +shape (OrthoPolyLine +uid 465,0 +va (VaSet +vasetType 3 +) +xt "18000,26000,20000,26000" +pts [ +"18000,26000" +"20000,26000" +] +) +end &66 +sat 16 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 470,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 471,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "14000,25100,17500,26000" +st "mac_clk" +blo "14000,25800" +tm "WireNameMgr" +) +) +on &25 +) +*125 (Wire +uid 472,0 +shape (OrthoPolyLine +uid 473,0 +va (VaSet +vasetType 3 +) +xt "14000,27000,20000,32000" +pts [ +"14000,32000" +"14000,27000" +"20000,27000" +] +) +start &102 +end &66 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 478,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 479,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "12000,26100,19500,27000" +st "mac_rxpacketok" +blo "12000,26800" +tm "WireNameMgr" +) +) +on &21 +) +*126 (Wire +uid 480,0 +shape (OrthoPolyLine +uid 481,0 +va (VaSet +vasetType 3 +) +xt "23000,23000,41000,26000" +pts [ +"23000,26000" +"29000,26000" +"29000,23000" +"41000,23000" +] +) +start &66 +end &70 +sat 2 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 486,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 487,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "24000,25100,30500,26000" +st "was_packetok" +blo "24000,25800" +tm "WireNameMgr" +) +) +on &7 +) +*127 (Wire +uid 498,0 +shape (OrthoPolyLine +uid 499,0 +va (VaSet +vasetType 3 +) +xt "39000,24000,41000,24000" +pts [ +"39000,24000" +"41000,24000" +] +) +end &70 +sat 16 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 504,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 505,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "35000,23100,38500,24000" +st "mac_clk" +blo "35000,23800" +tm "WireNameMgr" +) +) +on &25 +) +*128 (Wire +uid 506,0 +shape (OrthoPolyLine +uid 507,0 +va (VaSet +vasetType 3 +) +xt "33000,8000,41000,26000" +pts [ +"33000,8000" +"33000,26000" +"41000,26000" +] +) +start &98 +end &70 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 512,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 513,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "36000,25100,40000,26000" +st "mac_rxdv" +blo "36000,25800" +tm "WireNameMgr" +) +) +on &17 +) +*129 (Wire +uid 530,0 +shape (OrthoPolyLine +uid 531,0 +va (VaSet +vasetType 3 +) +xt "32000,25000,41000,33000" +pts [ +"32000,33000" +"32000,25000" +"41000,25000" +] +) +start &100 +end &70 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 536,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 537,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "38000,24100,40500,25000" +st "reset" +blo "38000,24800" +tm "WireNameMgr" +) +) +on &19 +) +*130 (Wire +uid 562,0 +shape (OrthoPolyLine +uid 563,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "44000,27000,60000,40000" +pts [ +"44000,27000" +"50000,27000" +"50000,40000" +"60000,40000" +] +) +start &70 +end &50 +sat 4 +eat 1 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 568,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 569,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "45000,26100,52000,27000" +st "packet_len_wp" +blo "45000,26800" +tm "WireNameMgr" +) +) +on &3 +) +*131 (Wire +uid 570,0 +shape (OrthoPolyLine +uid 571,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "44000,23000,46000,23000" +pts [ +"44000,23000" +"46000,23000" +] +) +start &70 +sat 2 +eat 16 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 576,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 577,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "47000,22100,55000,23000" +st "packet_len_fifo" +blo "47000,22800" +tm "WireNameMgr" +) +) +on &2 +) +*132 (Wire +uid 604,0 +shape (OrthoPolyLine +uid 605,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "44000,39000,46000,39000" +pts [ +"44000,39000" +"46000,39000" +] +) +start &74 +sat 2 +eat 16 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 610,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 611,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "47000,38100,55500,39000" +st "buffer_addr_read" +blo "47000,38800" +tm "WireNameMgr" +) +) +on &13 +) +*133 (Wire +uid 622,0 +shape (OrthoPolyLine +uid 623,0 +va (VaSet +vasetType 3 +) +xt "39000,13000,41000,13000" +pts [ +"39000,13000" +"41000,13000" +] +) +end &78 +sat 16 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 628,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 629,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "37000,12100,38500,13000" +st "clk" +blo "37000,12800" +tm "WireNameMgr" +) +) +on &27 +) +*134 (Wire +uid 646,0 +shape (OrthoPolyLine +uid 647,0 +va (VaSet +vasetType 3 +) +xt "31000,14000,41000,34000" +pts [ +"31000,34000" +"31000,14000" +"41000,14000" +] +) +start &109 +end &78 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 652,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 653,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "33000,13100,40000,14000" +st "packet_rxdone" +blo "33000,13800" +tm "WireNameMgr" +) +) +on &37 +) +*135 (Wire +uid 662,0 +shape (OrthoPolyLine +uid 663,0 +va (VaSet +vasetType 3 +) +xt "44000,13000,45000,13000" +pts [ +"44000,13000" +"45000,13000" +] +) +start &78 +end &34 +es 0 +sat 2 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 668,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 669,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "41000,12100,48500,13000" +st "packet_rxready" +blo "41000,12800" +tm "WireNameMgr" +) +) +on &35 +) +*136 (Wire +uid 688,0 +shape (OrthoPolyLine +uid 689,0 +va (VaSet +vasetType 3 +) +xt "58000,14000,60000,14000" +pts [ +"58000,14000" +"60000,14000" +] +) +end &82 +sat 16 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 694,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 695,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "56000,13100,57500,14000" +st "clk" +blo "56000,13800" +tm "WireNameMgr" +) +) +on &27 +) +*137 (Wire +uid 728,0 +shape (OrthoPolyLine +uid 729,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "34000,17000,70000,43000" +pts [ +"63000,17000" +"70000,17000" +"70000,43000" +"34000,43000" +"34000,40000" +"41000,40000" +] +) +start &82 +end &74 +sat 4 +eat 1 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 734,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 735,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "64000,16100,72500,17000" +st "buffer_read_base" +blo "64000,16800" +tm "WireNameMgr" +) +) +on &11 +) +*138 (Wire +uid 736,0 +optionalChildren [ +&117 +] +shape (OrthoPolyLine +uid 737,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "34000,15000,69000,19000" +pts [ +"63000,16000" +"69000,16000" +"69000,19000" +"34000,19000" +"34000,15000" +"41000,15000" +] +) +start &82 +end &78 +sat 4 +eat 1 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 742,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 743,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "64000,15100,71000,16000" +st "packet_len_rp" +blo "64000,15800" +tm "WireNameMgr" +) +) +on &4 +) +*139 (Bundle +uid 763,0 +shape (OrthoPolyLine +uid 764,0 +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +xt "44000,13000,60000,14000" +pts [ +"44000,14000" +"50000,14000" +"50000,13000" +"60000,13000" +] +) +start &78 +end &82 +sat 2 +eat 1 +textGroup (BiTextGroup +uid 769,0 +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 770,0 +va (VaSet +font "courier,8,0" +) +xt "45000,13100,53500,14000" +st "bundle_eb8_eb9_0" +blo "45000,13800" +tm "BundleNameMgr" +) +second (MLText +uid 771,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "45000,14000,57000,14900" +st "(packet_len,was_rxdone)" +tm "BundleContentsMgr" +) +) +bundleNet &88 +) +&89 +&90 +*140 (Bundle +uid 789,0 +shape (OrthoPolyLine +uid 790,0 +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +xt "44000,22000,60000,25000" +pts [ +"44000,22000" +"50000,22000" +"50000,25000" +"60000,25000" +] +) +start &70 +end &54 +sat 2 +eat 1 +textGroup (BiTextGroup +uid 795,0 +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 796,0 +va (VaSet +font "courier,8,0" +) +xt "45000,21100,53500,22000" +st "bundle_eb6_eb2_0" +blo "45000,21800" +tm "BundleNameMgr" +) +second (MLText +uid 797,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "45000,22000,62500,22900" +st "(buffer_write_base,packet_len_acc)" +tm "BundleContentsMgr" +) +) +bundleNet &91 +) +&92 +&93 +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *141 (PackageList +uid 876,0 +stg "VerticalLayoutStrategy" +textVec [ +*142 (Text +uid 877,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,50000,26500,50900" +st "Package List" +blo "20000,50700" +) +*143 (MLText +uid 878,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "20000,50900,34500,54500" +st "LIBRARY ieee; +USE ieee.std_logic_1164.all; +USE ieee.numeric_std.all; + +" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 879,0 +stg "VerticalLayoutStrategy" +textVec [ +*144 (Text +uid 880,0 +va (VaSet +font "courier,8,1" +) +xt "0,50000,10000,50900" +st "Compiler Directives" +blo "0,50700" +) +*145 (Text +uid 881,0 +va (VaSet +font "courier,8,1" +) +xt "0,50900,11500,51800" +st "Pre-module directives:" +blo "0,51600" +) +*146 (MLText +uid 882,0 +va (VaSet +font "courier,8,0" +) +xt "0,51800,41500,70700" +st "`timescale 1ns / 1ps +`include \"ipbus_v_defs.v\" +////////////////////////////////////////////////////////////////////////////////// +// Company: +// Engineer: +// +// Create Date: 08:57:35 01/07/2010 +// Design Name: +// Module Name: gbe_packetbuffer +// Project Name: +// Target Devices: +// Tool versions: +// Description: +// +// Dependencies: +// +// Revision: +// Revision 0.01 - File Created +// Additional Comments: +// +////////////////////////////////////////////////////////////////////////////////// +" +tm "BdCompilerDirectivesTextMgr" +) +*147 (Text +uid 883,0 +va (VaSet +font "courier,8,1" +) +xt "0,70700,12000,71600" +st "Post-module directives:" +blo "0,71400" +) +*148 (MLText +uid 884,0 +va (VaSet +font "courier,8,0" +) +xt "0,50000,0,50000" +tm "BdCompilerDirectivesTextMgr" +) +*149 (Text +uid 885,0 +va (VaSet +font "courier,8,1" +) +xt "0,71600,11500,72500" +st "End-module directives:" +blo "0,72300" +) +*150 (MLText +uid 886,0 +va (VaSet +font "courier,8,0" +) +xt "0,72500,0,72500" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "0,0,1015,690" +viewArea "-12500,-4000,96500,77000" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +lastUid 886,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,4000,1900" +st "Panel0" +blo "1000,1700" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*151 (Text +va (VaSet +font "courier,8,1" +) +xt "1750,3650,6250,4550" +st "<library>" +blo "1750,4350" +tm "BdLibraryNameMgr" +) +*152 (Text +va (VaSet +font "courier,8,1" +) +xt "1750,4550,5250,5450" +st "<block>" +blo "1750,5250" +tm "BlkNameMgr" +) +*153 (Text +va (VaSet +font "courier,8,1" +) +xt "1750,5450,2750,6350" +st "I0" +blo "1750,6150" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "1750,13650,1750,13650" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*154 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,3650,4500,4550" +st "Library" +blo "1000,4350" +) +*155 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,4550,7000,5450" +st "MWComponent" +blo "1000,5250" +) +*156 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,5450,2000,6350" +st "I0" +blo "1000,6150" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6000,1650,-6000,1650" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*157 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,3650,4500,4550" +st "Library" +blo "1000,4350" +tm "BdLibraryNameMgr" +) +*158 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,4550,7000,5450" +st "SaComponent" +blo "1000,5250" +tm "CptNameMgr" +) +*159 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,5450,2000,6350" +st "I0" +blo "1000,6150" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6000,1650,-6000,1650" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +portVis (PortSigDisplay +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*160 (Text +va (VaSet +font "courier,8,1" +) +xt "500,3650,4000,4550" +st "Library" +blo "500,4350" +) +*161 (Text +va (VaSet +font "courier,8,1" +) +xt "500,4550,7500,5450" +st "VhdlComponent" +blo "500,5250" +) +*162 (Text +va (VaSet +font "courier,8,1" +) +xt "500,5450,1500,6350" +st "I0" +blo "500,6150" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6500,1650,-6500,1650" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-750,0,8750,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*163 (Text +va (VaSet +font "courier,8,1" +) +xt "-250,3650,3250,4550" +st "Library" +blo "-250,4350" +) +*164 (Text +va (VaSet +font "courier,8,1" +) +xt "-250,4550,8250,5450" +st "VerilogComponent" +blo "-250,5250" +) +*165 (Text +va (VaSet +font "courier,8,1" +) +xt "-250,5450,750,6350" +st "I0" +blo "-250,6150" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-7250,1650,-7250,1650" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*166 (Text +va (VaSet +font "courier,8,1" +) +xt "3250,4100,4750,5000" +st "eb1" +blo "3250,4800" +tm "HdlTextNameMgr" +) +*167 (Text +va (VaSet +font "courier,8,1" +) +xt "3250,5000,3750,5900" +st "1" +blo "3250,5700" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +font "courier,8,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +font "courier,8,1" +) +xt "-250,-450,250,450" +st "G" +blo "-250,250" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +stc 0 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +st 0 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,0,2000,900" +st "sig0" +blo "0,700" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +st 0 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,0,2500,900" +st "dbus0" +blo "0,700" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,0" +) +xt "0,0,3500,900" +st "bundle0" +blo "0,700" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +font "courier,8,0" +) +xt "0,900,1000,1800" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +font "courier,8,0" +) +) +second (MLText +va (VaSet +font "courier,8,0" +) +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,-1000,4500,-100" +st "g0: [7:0]" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1350" +) +num (Text +va (VaSet +font "courier,8,0" +) +xt "300,250,800,1150" +st "1" +blo "300,950" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*168 (Text +va (VaSet +font "courier,8,1" +) +xt "12500,20000,22000,20900" +st "Frame Declarations" +blo "12500,20700" +) +*169 (MLText +va (VaSet +font "courier,8,0" +) +xt "12500,20900,12500,20900" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,-1000,9000,-100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1350" +) +num (Text +va (VaSet +font "courier,8,0" +) +xt "300,250,800,1150" +st "1" +blo "300,950" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*170 (Text +va (VaSet +font "courier,8,1" +) +xt "12500,20000,22000,20900" +st "Frame Declarations" +blo "12500,20700" +) +*171 (MLText +va (VaSet +font "courier,8,0" +) +xt "12500,20900,12500,20900" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,2000,1650" +st "Port" +blo "0,1450" +) +) +thePort (LogicalPort +lang 5 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,2000,1650" +st "Port" +blo "0,1450" +) +) +thePort (LogicalPort +lang 5 +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "courier,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "44000,50000,50500,50900" +st "Declarations" +blo "44000,50700" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "44000,50900,47000,51800" +st "Ports:" +blo "44000,51600" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "44000,62600,48500,63500" +st "Pre User:" +blo "44000,63300" +) +preUserText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "44000,50000,44000,50000" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "courier,8,1" +) +xt "44000,63500,52500,64400" +st "Diagram Signals:" +blo "44000,64200" +) +postUserLabel (Text +uid 7,0 +va (VaSet +font "courier,8,1" +) +xt "44000,76100,49500,77000" +st "Post User:" +blo "44000,76800" +) +postUserText (MLText +uid 8,0 +va (VaSet +font "courier,8,0" +) +xt "44000,50000,44000,50000" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 25,0 +usingSuid 1 +emptyRow *172 (LEmptyRow +) +optionalChildren [ +*173 (RefLabelRowHdr +) +*174 (TitleRowHdr +) +*175 (FilterRowHdr +) +*176 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*177 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*178 (GroupColHdr +tm "GroupColHdrMgr" +) +*179 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*180 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*181 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*182 (SignedColHdr +tm "BlockDiagramSignedColHdrMgr" +) +*183 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*184 (DelayColHdr +tm "BlockDiagramDelayColHdrMgr" +) +*185 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*186 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*187 (LeafLogPort +port (LogicalPort +lang 5 +m 4 +decl (Decl +n "packet_len_acc" +t "reg" +b "[`pbuf_awidth - 1:0]" +o 1 +suid 1,0 +) +) +uid 826,0 +) +*188 (LeafLogPort +port (LogicalPort +lang 5 +m 4 +decl (Decl +n "packet_len_fifo" +t "reg" +b "[`pbuf_awidth - 1:0][7:0]" +eolc "// store up to eight incoming packets at once" +o 2 +suid 2,0 +) +) +uid 828,0 +) +*189 (LeafLogPort +port (LogicalPort +lang 5 +m 4 +decl (Decl +n "packet_len_wp" +t "reg" +b "[2:0]" +o 3 +suid 3,0 +) +) +uid 830,0 +) +*190 (LeafLogPort +port (LogicalPort +lang 5 +m 4 +decl (Decl +n "packet_len_rp" +t "reg" +b "[2:0]" +o 4 +suid 4,0 +) +) +uid 832,0 +) +*191 (LeafLogPort +port (LogicalPort +lang 5 +m 4 +decl (Decl +n "packet_len_fifo_empty" +t "wire" +o 5 +suid 5,0 +) +) +uid 834,0 +) +*192 (LeafLogPort +port (LogicalPort +lang 5 +m 4 +decl (Decl +n "inByteBuffer" +t "reg" +b "[7:0]" +o 6 +suid 6,0 +) +) +uid 836,0 +) +*193 (LeafLogPort +port (LogicalPort +lang 5 +m 4 +decl (Decl +n "was_packetok" +t "reg" +o 7 +suid 7,0 +) +) +uid 838,0 +) +*194 (LeafLogPort +port (LogicalPort +lang 5 +m 4 +decl (Decl +n "was_rxdone" +t "reg" +o 8 +suid 8,0 +) +) +uid 840,0 +) +*195 (LeafLogPort +port (LogicalPort +lang 5 +m 4 +decl (Decl +n "was_dv" +t "reg" +o 9 +suid 9,0 +) +) +uid 842,0 +) +*196 (LeafLogPort +port (LogicalPort +lang 5 +m 4 +decl (Decl +n "buffer_write_base" +t "reg" +b "[`pbuf_awidth - 1:0]" +o 10 +suid 10,0 +) +) +uid 844,0 +) +*197 (LeafLogPort +port (LogicalPort +lang 5 +m 4 +decl (Decl +n "buffer_read_base" +t "reg" +b "[`pbuf_awidth - 1:0]" +o 11 +suid 11,0 +) +) +uid 846,0 +) +*198 (LeafLogPort +port (LogicalPort +lang 5 +m 4 +decl (Decl +n "buffer_addr_write" +t "reg" +b "[`pbuf_awidth - 1:0]" +o 12 +suid 12,0 +) +) +uid 848,0 +) +*199 (LeafLogPort +port (LogicalPort +lang 5 +m 4 +decl (Decl +n "buffer_addr_read" +t "wire" +b "[`pbuf_awidth - 1:0]" +o 13 +suid 13,0 +) +) +uid 850,0 +) +*200 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "mac_rxd" +t "wire" +b "[7:0]" +o 14 +suid 14,0 +) +) +uid 852,0 +) +*201 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "mac_rxdv" +t "wire" +o 15 +suid 15,0 +) +) +uid 854,0 +) +*202 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "reset" +t "wire" +o 16 +suid 16,0 +) +) +uid 856,0 +) +*203 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "mac_rxpacketok" +t "wire" +o 17 +suid 17,0 +) +) +uid 858,0 +) +*204 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "mac_rxpacketbad" +t "wire" +o 18 +suid 18,0 +) +) +uid 860,0 +) +*205 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "mac_clk" +t "wire" +o 19 +suid 19,0 +) +) +uid 862,0 +) +*206 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "clk" +t "wire" +o 20 +suid 20,0 +) +) +uid 864,0 +) +*207 (LeafLogPort +port (LogicalPort +lang 5 +m 1 +decl (Decl +n "packet_rxd" +t "wire" +b "[7:0]" +o 21 +suid 21,0 +) +) +uid 866,0 +) +*208 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "packet_rxa" +t "wire" +b "[`pbuf_awidth - 1:0]" +o 22 +suid 22,0 +) +) +uid 868,0 +) +*209 (LeafLogPort +port (LogicalPort +lang 5 +m 1 +decl (Decl +n "packet_len" +t "reg" +b "[`pbuf_awidth - 1:0]" +o 23 +suid 23,0 +) +) +uid 870,0 +) +*210 (LeafLogPort +port (LogicalPort +lang 5 +m 1 +decl (Decl +n "packet_rxready" +t "reg" +o 24 +suid 24,0 +) +) +uid 872,0 +) +*211 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "packet_rxdone" +t "wire" +o 25 +suid 25,0 +) +) +uid 874,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +optionalChildren [ +*212 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *213 (MRCItem +litem &172 +pos 3 +dimension 20 +) +optionalChildren [ +*214 (MRCItem +litem &173 +pos 0 +dimension 20 +) +*215 (MRCItem +litem &174 +pos 1 +dimension 23 +) +*216 (MRCItem +litem &175 +pos 2 +hidden 1 +dimension 20 +) +*217 (MRCItem +litem &187 +pos 0 +dimension 20 +uid 827,0 +) +*218 (MRCItem +litem &188 +pos 1 +dimension 20 +uid 829,0 +) +*219 (MRCItem +litem &189 +pos 2 +dimension 20 +uid 831,0 +) +*220 (MRCItem +litem &190 +pos 3 +dimension 20 +uid 833,0 +) +*221 (MRCItem +litem &191 +pos 4 +dimension 20 +uid 835,0 +) +*222 (MRCItem +litem &192 +pos 5 +dimension 20 +uid 837,0 +) +*223 (MRCItem +litem &193 +pos 6 +dimension 20 +uid 839,0 +) +*224 (MRCItem +litem &194 +pos 7 +dimension 20 +uid 841,0 +) +*225 (MRCItem +litem &195 +pos 8 +dimension 20 +uid 843,0 +) +*226 (MRCItem +litem &196 +pos 9 +dimension 20 +uid 845,0 +) +*227 (MRCItem +litem &197 +pos 10 +dimension 20 +uid 847,0 +) +*228 (MRCItem +litem &198 +pos 11 +dimension 20 +uid 849,0 +) +*229 (MRCItem +litem &199 +pos 12 +dimension 20 +uid 851,0 +) +*230 (MRCItem +litem &200 +pos 13 +dimension 20 +uid 853,0 +) +*231 (MRCItem +litem &201 +pos 14 +dimension 20 +uid 855,0 +) +*232 (MRCItem +litem &202 +pos 15 +dimension 20 +uid 857,0 +) +*233 (MRCItem +litem &203 +pos 16 +dimension 20 +uid 859,0 +) +*234 (MRCItem +litem &204 +pos 17 +dimension 20 +uid 861,0 +) +*235 (MRCItem +litem &205 +pos 18 +dimension 20 +uid 863,0 +) +*236 (MRCItem +litem &206 +pos 19 +dimension 20 +uid 865,0 +) +*237 (MRCItem +litem &207 +pos 20 +dimension 20 +uid 867,0 +) +*238 (MRCItem +litem &208 +pos 21 +dimension 20 +uid 869,0 +) +*239 (MRCItem +litem &209 +pos 22 +dimension 20 +uid 871,0 +) +*240 (MRCItem +litem &210 +pos 23 +dimension 20 +uid 873,0 +) +*241 (MRCItem +litem &211 +pos 24 +dimension 20 +uid 875,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +optionalChildren [ +*242 (MRCItem +litem &176 +pos 0 +dimension 20 +) +*243 (MRCItem +litem &178 +pos 1 +dimension 50 +) +*244 (MRCItem +litem &179 +pos 2 +dimension 100 +) +*245 (MRCItem +litem &180 +pos 3 +dimension 50 +) +*246 (MRCItem +litem &181 +pos 4 +dimension 100 +) +*247 (MRCItem +litem &182 +pos 5 +dimension 60 +) +*248 (MRCItem +litem &183 +pos 6 +dimension 100 +) +*249 (MRCItem +litem &184 +pos 7 +dimension 50 +) +*250 (MRCItem +litem &185 +pos 8 +dimension 50 +) +*251 (MRCItem +litem &186 +pos 9 +dimension 80 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +vaOverrides [ +] +) +] +) +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *252 (LEmptyRow +) +optionalChildren [ +*253 (RefLabelRowHdr +) +*254 (TitleRowHdr +) +*255 (FilterRowHdr +) +*256 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*257 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*258 (GroupColHdr +tm "GroupColHdrMgr" +) +*259 (NameColHdr +tm "GenericNameColHdrMgr" +) +*260 (InitColHdr +tm "GenericValueColHdrMgr" +) +*261 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +optionalChildren [ +*262 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *263 (MRCItem +litem &252 +pos 3 +dimension 20 +) +optionalChildren [ +*264 (MRCItem +litem &253 +pos 0 +dimension 20 +) +*265 (MRCItem +litem &254 +pos 1 +dimension 23 +) +*266 (MRCItem +litem &255 +pos 2 +hidden 1 +dimension 20 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +optionalChildren [ +*267 (MRCItem +litem &256 +pos 0 +dimension 20 +) +*268 (MRCItem +litem &258 +pos 1 +dimension 50 +) +*269 (MRCItem +litem &259 +pos 2 +dimension 100 +) +*270 (MRCItem +litem &260 +pos 3 +dimension 50 +) +*271 (MRCItem +litem &261 +pos 4 +dimension 80 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +vaOverrides [ +] +) +] +) +type 1 +) +) diff --git a/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/gbe_txpacketbuffer/struct.bd b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/gbe_txpacketbuffer/struct.bd new file mode 100644 index 00000000..15cf1476 --- /dev/null +++ b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/gbe_txpacketbuffer/struct.bd @@ -0,0 +1,9718 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +language 1 +dialect 5 +dmPackageRefs [ +] +instances [ +(Instance +name "fifo" +duLibraryName "fmc_mTLU_lib" +duName "sdpram_8x11" +elements [ +] +mwi 0 +uid 183,0 +) +] +embeddedInstances [ +(EmbeddedInstance +name "SM" +number "1" +view 1 +machine (Machine +name "state" +children [ +(Machine +name "state" +children [ +] +stateSignalName "state" +) +] +) +) +(EmbeddedInstance +name "eb1" +number "2" +) +(EmbeddedInstance +name "eb2" +number "3" +) +(EmbeddedInstance +name "eb3" +number "4" +) +(EmbeddedInstance +name "eb4" +number "5" +) +(EmbeddedInstance +name "eb5" +number "6" +) +(EmbeddedInstance +name "eb6" +number "7" +) +] +properties [ +(HdrProperty +class "HDS" +name "DocView" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/workspace/IPbusFirmware/firmware/ipbus/hdl/gbe_txpacketbuffer.v" +) +(HdrProperty +class "HDS" +name "DocViewState" +value "1352727141" +) +] +includeRefs [ +"ipbus_v_defs.v" +] +) +version "30.1" +appVersion "2010.3 (Build 21)" +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl" +) +(vvPair +variable "HDSDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/gbe_txpacketbuffer/struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/gbe_txpacketbuffer/struct.bd.user" +) +(vvPair +variable "SourceDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "config" +value "%(unit)_config" +) +(vvPair +variable "d" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/gbe_txpacketbuffer" +) +(vvPair +variable "d_logical" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/gbe_txpacketbuffer" +) +(vvPair +variable "date" +value "11/13/12" +) +(vvPair +variable "day" +value "Tue" +) +(vvPair +variable "day_long" +value "Tuesday" +) +(vvPair +variable "dd" +value "13" +) +(vvPair +variable "entity_name" +value "gbe_txpacketbuffer" +) +(vvPair +variable "ext" +value "<TBD>" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "group" +value "HEP_Instrumentation" +) +(vvPair +variable "host" +value "kipper.phy.bris.ac.uk" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "fmc_mTLU_lib" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/designcheck" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "gbe_txpacketbuffer" +) +(vvPair +variable "month" +value "Nov" +) +(vvPair +variable "month_long" +value "November" +) +(vvPair +variable "p" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/gbe_txpacketbuffer/struct.bd" +) +(vvPair +variable "p_logical" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/gbe_txpacketbuffer/struct.bd" +) +(vvPair +variable "package_name" +value "<Undefined Variable>" +) +(vvPair +variable "project_name" +value "fmc_mTLU" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "<TBD>" +) +(vvPair +variable "task_DesignCompilerPath" +value "<TBD>" +) +(vvPair +variable "task_LeonardoPath" +value "/opt/CAD/Mentor/LeonardoSpectrum_2008a/bin" +) +(vvPair +variable "task_ModelSimPath" +value "/opt/CAD/Mentor/Modelsim/6.4a/modeltech/bin" +) +(vvPair +variable "task_NC-SimPath" +value "<TBD>" +) +(vvPair +variable "task_PrecisionRTLPath" +value "/opt/CAD/Mentor/Precis_Synth_2009a_update2/Mgc_home/bin" +) +(vvPair +variable "task_QuestaSimPath" +value "/opt/CAD/Mentor/HDS2008.1b/questasim/bin" +) +(vvPair +variable "task_VCSPath" +value "<TBD>" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "16:59:32" +) +(vvPair +variable "unit" +value "gbe_txpacketbuffer" +) +(vvPair +variable "user" +value "phdgc" +) +(vvPair +variable "version" +value "2010.3 (Build 21)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2012" +) +(vvPair +variable "yy" +value "12" +) +] +) +LanguageMgr "Verilog2001LangMgr" +optionalChildren [ +*1 (Net +uid 9,0 +lang 5 +decl (Decl +n "packet_len_fifo" +t "reg" +b "[`pbuf_awidth - 1:0][7:0]" +eolc "// store up to eight outgoing packets at once" +o 1 +suid 1,0 +) +declText (MLText +uid 10,0 +va (VaSet +font "courier,8,0" +) +xt "46000,39400,94100,40300" +st "reg [`pbuf_awidth - 1:0] packet_len_fifo [7:0]; // store up to eight outgoing packets at once +" +) +) +*2 (Net +uid 11,0 +lang 5 +decl (Decl +n "packet_len_wp" +t "reg" +b "[2:0]" +o 2 +suid 2,0 +) +declText (MLText +uid 12,0 +va (VaSet +font "courier,8,0" +) +xt "46000,40300,67000,41200" +st "reg [2:0] packet_len_wp; +" +) +) +*3 (Net +uid 13,0 +lang 5 +decl (Decl +n "packet_len_rp" +t "reg" +b "[2:0]" +o 3 +suid 3,0 +) +declText (MLText +uid 14,0 +va (VaSet +font "courier,8,0" +) +xt "46000,41200,67000,42100" +st "reg [2:0] packet_len_rp; +" +) +) +*4 (Net +uid 15,0 +lang 5 +decl (Decl +n "fifo_base_write" +t "reg" +b "[`pbuf_awidth - 1:0]" +o 4 +suid 4,0 +) +declText (MLText +uid 16,0 +va (VaSet +font "courier,8,0" +) +xt "46000,42100,68100,43000" +st "reg [`pbuf_awidth - 1:0] fifo_base_write; +" +) +) +*5 (Net +uid 17,0 +lang 5 +decl (Decl +n "fifo_addr_read" +t "reg" +b "[`pbuf_awidth - 1:0]" +o 5 +suid 5,0 +) +declText (MLText +uid 18,0 +va (VaSet +font "courier,8,0" +) +xt "46000,43000,67600,43900" +st "reg [`pbuf_awidth - 1:0] fifo_addr_read; +" +) +) +*6 (Net +uid 19,0 +lang 5 +decl (Decl +n "packet_len_full" +t "wire" +o 6 +suid 6,0 +) +declText (MLText +uid 20,0 +va (VaSet +font "courier,8,0" +) +xt "46000,43900,68000,44800" +st "wire packet_len_full; +" +) +) +*7 (Net +uid 21,0 +lang 5 +decl (Decl +n "packet_len_empty" +t "wire" +o 7 +suid 7,0 +) +declText (MLText +uid 22,0 +va (VaSet +font "courier,8,0" +) +xt "46000,44800,68500,45700" +st "wire packet_len_empty; +" +) +) +*8 (Net +uid 25,0 +lang 5 +decl (Decl +n "was_packet_done" +t "reg" +o 8 +suid 9,0 +) +declText (MLText +uid 26,0 +va (VaSet +font "courier,8,0" +) +xt "46000,45700,68000,46600" +st "reg was_packet_done; +" +) +) +*9 (Net +uid 29,0 +lang 5 +decl (Decl +n "packet_sent_len" +t "reg" +b "[`pbuf_awidth - 1:0]" +o 9 +suid 11,0 +) +declText (MLText +uid 30,0 +va (VaSet +font "courier,8,0" +) +xt "46000,46600,68100,47500" +st "reg [`pbuf_awidth - 1:0] packet_sent_len; +" +) +) +*10 (Net +uid 31,0 +lang 5 +decl (Decl +n "end_of_output" +t "wire" +o 10 +suid 12,0 +) +declText (MLText +uid 32,0 +va (VaSet +font "courier,8,0" +) +xt "46000,47500,67000,48400" +st "wire end_of_output; +" +) +) +*11 (Net +uid 33,0 +lang 5 +decl (Decl +n "fifo_read" +t "wire" +b "[7:0]" +prec "parameter ST_IDLE = 2'h0; +parameter ST_FIRSTBYTE = 2'h1; +parameter ST_WAITSTART = 2'h2; +parameter ST_BYTES = 2'h3;" +preAdd 0 +o 11 +suid 13,0 +) +declText (MLText +uid 34,0 +va (VaSet +font "courier,8,0" +) +xt "46000,48400,62100,52900" +st "parameter ST_IDLE = 2'h0; +parameter ST_FIRSTBYTE = 2'h1; +parameter ST_WAITSTART = 2'h2; +parameter ST_BYTES = 2'h3; +wire [7:0] fifo_read; +" +) +) +*12 (Net +uid 35,0 +lang 5 +decl (Decl +n "second_byte" +t "reg" +b "[7:0]" +o 12 +suid 14,0 +) +declText (MLText +uid 36,0 +va (VaSet +font "courier,8,0" +) +xt "46000,52900,66000,53800" +st "reg [7:0] second_byte; +" +) +) +*13 (Net +uid 37,0 +lang 5 +decl (Decl +n "fifo_addr_write" +t "reg" +b "[`pbuf_awidth - 1:0]" +o 13 +suid 15,0 +iv "0" +) +declText (MLText +uid 38,0 +va (VaSet +font "courier,8,0" +) +xt "46000,53800,70100,54700" +st "reg [`pbuf_awidth - 1:0] fifo_addr_write = 0; +" +) +) +*14 (Net +uid 39,0 +lang 5 +decl (Decl +n "fifo_data_write" +t "reg" +b "[7:0]" +o 14 +suid 16,0 +iv "8'b0" +) +declText (MLText +uid 40,0 +va (VaSet +font "courier,8,0" +) +xt "46000,54700,71600,55600" +st "reg [7:0] fifo_data_write = 8'b0; +" +) +) +*15 (Net +uid 41,0 +lang 5 +decl (Decl +n "fifo_we" +t "reg" +o 15 +suid 17,0 +iv "0" +) +declText (MLText +uid 42,0 +va (VaSet +font "courier,8,0" +) +xt "46000,55600,66000,56500" +st "reg fifo_we = 0; +" +) +) +*16 (PortIoIn +uid 43,0 +shape (CompositeShape +uid 44,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 45,0 +sl 0 +ro 270 +xt "-5000,18625,-3500,19375" +) +(Line +uid 46,0 +sl 0 +ro 270 +xt "-3500,19000,-3000,19000" +pts [ +"-3500,19000" +"-3000,19000" +] +) +] +) +stc 0 +tg (WTG +uid 47,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 48,0 +va (VaSet +font "courier,8,0" +) +xt "-9500,18500,-6000,19400" +st "mac_clk" +ju 2 +blo "-6000,19200" +tm "WireNameMgr" +) +) +) +*17 (Net +uid 49,0 +lang 5 +decl (Decl +n "mac_clk" +t "wire" +o 16 +suid 18,0 +) +declText (MLText +uid 50,0 +va (VaSet +font "courier,8,0" +) +xt "46000,26800,64000,27700" +st "wire mac_clk; +" +) +) +*18 (PortIoOut +uid 57,0 +shape (CompositeShape +uid 58,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 59,0 +sl 0 +ro 270 +xt "80500,2625,82000,3375" +) +(Line +uid 60,0 +sl 0 +ro 270 +xt "80000,3000,80500,3000" +pts [ +"80000,3000" +"80500,3000" +] +) +] +) +stc 0 +tg (WTG +uid 61,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 62,0 +va (VaSet +font "courier,8,0" +) +xt "83000,2500,86500,3400" +st "mac_txd" +blo "83000,3200" +tm "WireNameMgr" +) +) +) +*19 (Net +uid 63,0 +lang 5 +decl (Decl +n "mac_txd" +t "reg" +b "[7:0]" +o 17 +suid 19,0 +) +declText (MLText +uid 64,0 +va (VaSet +font "courier,8,0" +) +xt "46000,27700,64000,28600" +st "reg [7:0] mac_txd; +" +) +) +*20 (PortIoOut +uid 71,0 +shape (CompositeShape +uid 72,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 73,0 +sl 0 +ro 270 +xt "80500,3625,82000,4375" +) +(Line +uid 74,0 +sl 0 +ro 270 +xt "80000,4000,80500,4000" +pts [ +"80000,4000" +"80500,4000" +] +) +] +) +stc 0 +tg (WTG +uid 75,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 76,0 +va (VaSet +font "courier,8,0" +) +xt "83000,3500,87000,4400" +st "mac_txdv" +blo "83000,4200" +tm "WireNameMgr" +) +) +) +*21 (Net +uid 77,0 +lang 5 +decl (Decl +n "mac_txdv" +t "reg" +o 18 +suid 20,0 +) +declText (MLText +uid 78,0 +va (VaSet +font "courier,8,0" +) +xt "46000,28600,64500,29500" +st "reg mac_txdv; +" +) +) +*22 (PortIoIn +uid 85,0 +shape (CompositeShape +uid 86,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 87,0 +sl 0 +ro 270 +xt "73000,2625,74500,3375" +) +(Line +uid 88,0 +sl 0 +ro 270 +xt "74500,3000,75000,3000" +pts [ +"74500,3000" +"75000,3000" +] +) +] +) +stc 0 +tg (WTG +uid 89,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 90,0 +va (VaSet +font "courier,8,0" +) +xt "67500,2500,72000,3400" +st "mac_txack" +ju 2 +blo "72000,3200" +tm "WireNameMgr" +) +) +) +*23 (Net +uid 91,0 +lang 5 +decl (Decl +n "mac_txack" +t "wire" +o 19 +suid 21,0 +) +declText (MLText +uid 92,0 +va (VaSet +font "courier,8,0" +) +xt "46000,29500,65000,30400" +st "wire mac_txack; +" +) +) +*24 (PortIoIn +uid 99,0 +shape (CompositeShape +uid 100,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 101,0 +sl 0 +ro 270 +xt "38000,2625,39500,3375" +) +(Line +uid 102,0 +sl 0 +ro 270 +xt "39500,3000,40000,3000" +pts [ +"39500,3000" +"40000,3000" +] +) +] +) +stc 0 +tg (WTG +uid 103,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 104,0 +va (VaSet +font "courier,8,0" +) +xt "31500,2500,37000,3400" +st "packet_txd" +ju 2 +blo "37000,3200" +tm "WireNameMgr" +) +) +) +*25 (Net +uid 105,0 +lang 5 +decl (Decl +n "packet_txd" +t "wire" +b "[7:0]" +o 20 +suid 22,0 +) +declText (MLText +uid 106,0 +va (VaSet +font "courier,8,0" +) +xt "46000,30400,65500,31300" +st "wire [7:0] packet_txd; +" +) +) +*26 (PortIoIn +uid 113,0 +shape (CompositeShape +uid 114,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 115,0 +sl 0 +ro 270 +xt "38000,3625,39500,4375" +) +(Line +uid 116,0 +sl 0 +ro 270 +xt "39500,4000,40000,4000" +pts [ +"39500,4000" +"40000,4000" +] +) +] +) +stc 0 +tg (WTG +uid 117,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 118,0 +va (VaSet +font "courier,8,0" +) +xt "31000,3500,37000,4400" +st "packet_addr" +ju 2 +blo "37000,4200" +tm "WireNameMgr" +) +) +) +*27 (Net +uid 119,0 +lang 5 +decl (Decl +n "packet_addr" +t "wire" +b "[`pbuf_awidth - 1:0]" +o 21 +suid 23,0 +) +declText (MLText +uid 120,0 +va (VaSet +font "courier,8,0" +) +xt "46000,31300,66100,32200" +st "wire [`pbuf_awidth - 1:0] packet_addr; +" +) +) +*28 (PortIoIn +uid 127,0 +shape (CompositeShape +uid 128,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 129,0 +sl 0 +ro 270 +xt "22000,6625,23500,7375" +) +(Line +uid 130,0 +sl 0 +ro 270 +xt "23500,7000,24000,7000" +pts [ +"23500,7000" +"24000,7000" +] +) +] +) +stc 0 +tg (WTG +uid 131,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 132,0 +va (VaSet +font "courier,8,0" +) +xt "15500,6500,21000,7400" +st "packet_len" +ju 2 +blo "21000,7200" +tm "WireNameMgr" +) +) +) +*29 (Net +uid 133,0 +lang 5 +decl (Decl +n "packet_len" +t "wire" +b "[`pbuf_awidth - 1:0]" +o 22 +suid 24,0 +) +declText (MLText +uid 134,0 +va (VaSet +font "courier,8,0" +) +xt "46000,32200,65600,33100" +st "wire [`pbuf_awidth - 1:0] packet_len; +" +) +) +*30 (PortIoIn +uid 141,0 +shape (CompositeShape +uid 142,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 143,0 +sl 0 +ro 270 +xt "38000,4625,39500,5375" +) +(Line +uid 144,0 +sl 0 +ro 270 +xt "39500,5000,40000,5000" +pts [ +"39500,5000" +"40000,5000" +] +) +] +) +stc 0 +tg (WTG +uid 145,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 146,0 +va (VaSet +font "courier,8,0" +) +xt "32500,4500,37000,5400" +st "packet_we" +ju 2 +blo "37000,5200" +tm "WireNameMgr" +) +) +) +*31 (Net +uid 147,0 +lang 5 +decl (Decl +n "packet_we" +t "wire" +o 23 +suid 25,0 +) +declText (MLText +uid 148,0 +va (VaSet +font "courier,8,0" +) +xt "46000,33100,65000,34000" +st "wire packet_we; +" +) +) +*32 (PortIoIn +uid 155,0 +shape (CompositeShape +uid 156,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 157,0 +sl 0 +ro 270 +xt "-4000,15625,-2500,16375" +) +(Line +uid 158,0 +sl 0 +ro 270 +xt "-2500,16000,-2000,16000" +pts [ +"-2500,16000" +"-2000,16000" +] +) +] +) +stc 0 +tg (WTG +uid 159,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 160,0 +va (VaSet +font "courier,8,0" +) +xt "-11000,15500,-5000,16400" +st "packet_done" +ju 2 +blo "-5000,16200" +tm "WireNameMgr" +) +) +) +*33 (Net +uid 161,0 +lang 5 +decl (Decl +n "packet_done" +t "wire" +o 24 +suid 26,0 +) +declText (MLText +uid 162,0 +va (VaSet +font "courier,8,0" +) +xt "46000,34000,66000,34900" +st "wire packet_done; +" +) +) +*34 (PortIoIn +uid 169,0 +shape (CompositeShape +uid 170,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 171,0 +sl 0 +ro 270 +xt "-4000,16625,-2500,17375" +) +(Line +uid 172,0 +sl 0 +ro 270 +xt "-2500,17000,-2000,17000" +pts [ +"-2500,17000" +"-2000,17000" +] +) +] +) +stc 0 +tg (WTG +uid 173,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 174,0 +va (VaSet +font "courier,8,0" +) +xt "-7500,16500,-5000,17400" +st "reset" +ju 2 +blo "-5000,17200" +tm "WireNameMgr" +) +) +) +*35 (Net +uid 175,0 +lang 5 +decl (Decl +n "reset" +t "wire" +o 25 +suid 27,0 +) +declText (MLText +uid 176,0 +va (VaSet +font "courier,8,0" +) +xt "46000,34900,63000,35800" +st "wire reset; +" +) +) +*36 (SaComponent +uid 183,0 +optionalChildren [ +*37 (CptPort +uid 193,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 194,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "53250,7625,54000,8375" +) +tg (CPTG +uid 195,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 196,0 +va (VaSet +font "courier,8,0" +) +xt "55000,7550,57000,8450" +st "clka" +blo "55000,8250" +) +) +thePort (LogicalPort +lang 5 +decl (Decl +n "clka" +t "wire" +preAdd 0 +posAdd 0 +o 1 +) +) +) +*38 (CptPort +uid 197,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 198,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "61000,7625,61750,8375" +) +tg (CPTG +uid 199,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 200,0 +va (VaSet +font "courier,8,0" +) +xt "58500,7550,60000,8450" +st "wea" +ju 2 +blo "60000,8250" +) +) +thePort (LogicalPort +lang 5 +m 1 +decl (Decl +n "wea" +t "reg" +preAdd 0 +posAdd 0 +o 2 +) +) +) +*39 (CptPort +uid 201,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 202,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "61000,8625,61750,9375" +) +tg (CPTG +uid 203,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 204,0 +va (VaSet +font "courier,8,0" +) +xt "57500,8550,60000,9450" +st "addra" +ju 2 +blo "60000,9250" +) +) +thePort (LogicalPort +lang 5 +m 1 +decl (Decl +n "addra" +t "reg" +preAdd 0 +posAdd 0 +o 3 +) +) +) +*40 (CptPort +uid 205,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 206,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "61000,9625,61750,10375" +) +tg (CPTG +uid 207,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 208,0 +va (VaSet +font "courier,8,0" +) +xt "58000,9550,60000,10450" +st "dina" +ju 2 +blo "60000,10250" +) +) +thePort (LogicalPort +lang 5 +m 1 +decl (Decl +n "dina" +t "reg" +preAdd 0 +posAdd 0 +o 4 +) +) +) +*41 (CptPort +uid 209,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 210,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "53250,6625,54000,7375" +) +tg (CPTG +uid 211,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 212,0 +va (VaSet +font "courier,8,0" +) +xt "55000,6550,57000,7450" +st "clkb" +blo "55000,7250" +) +) +thePort (LogicalPort +lang 5 +decl (Decl +n "clkb" +t "wire" +preAdd 0 +posAdd 0 +o 5 +) +) +) +*42 (CptPort +uid 213,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 214,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "61000,10625,61750,11375" +) +tg (CPTG +uid 215,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 216,0 +va (VaSet +font "courier,8,0" +) +xt "57500,10550,60000,11450" +st "addrb" +ju 2 +blo "60000,11250" +) +) +thePort (LogicalPort +lang 5 +m 1 +decl (Decl +n "addrb" +t "reg" +preAdd 0 +posAdd 0 +o 6 +) +) +) +*43 (CptPort +uid 217,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 218,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "61000,6625,61750,7375" +) +tg (CPTG +uid 219,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 220,0 +va (VaSet +font "courier,8,0" +) +xt "57500,6550,60000,7450" +st "doutb" +ju 2 +blo "60000,7250" +) +) +thePort (LogicalPort +lang 5 +m 1 +decl (Decl +n "doutb" +t "reg" +preAdd 0 +posAdd 0 +o 7 +) +) +) +] +shape (Rectangle +uid 184,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "54000,6000,61000,12000" +) +ttg (MlTextGroup +uid 185,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*44 (Text +uid 186,0 +va (VaSet +font "courier,8,1" +) +xt "54250,12000,60750,12900" +st "fmc_mTLU_lib" +blo "54250,12700" +tm "BdLibraryNameMgr" +) +*45 (Text +uid 187,0 +va (VaSet +font "courier,8,1" +) +xt "54250,12900,60250,13800" +st "sdpram_8x11" +blo "54250,13600" +tm "CptNameMgr" +) +*46 (Text +uid 188,0 +va (VaSet +font "courier,8,1" +) +xt "54250,13800,56250,14700" +st "fifo" +blo "54250,14500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 189,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 190,0 +text (MLText +uid 191,0 +va (VaSet +font "courier,8,0" +) +xt "53750,6000,53750,6000" +) +header "" +) +elements [ +] +includeGenericsInHDL 0 +) +viewicon (ZoomableIcon +uid 192,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "54250,10250,55750,11750" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*47 (HdlText +uid 263,0 +optionalChildren [ +*48 (SmEmbeddedModel +version "25.1" +model (StateMachine +uid 270,0 +optionalChildren [ +*49 (ConcurrentSM +uid 339,0 +topDiagram (StateDiagram +LanguageMgr "None" +uid 340,0 +optionalChildren [ +*50 (State +uid 382,0 +shape (Circle +uid 383,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "27477,4000,33477,10000" +radius 3000 +) +name (Text +uid 384,0 +va (VaSet +font "courier,10,1" +) +xt "28377,5850,32577,6850" +st "ST_IDLE" +ju 0 +blo "30477,6650" +tm "ONodeName" +) +wait (TextAssociate +uid 385,0 +ps "CenterOffsetStrategy" +text (Text +uid 386,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "30877,6750,34477,7750" +st "wait 2" +blo "30877,7550" +tm "SmWaitText" +) +) +encoding (Text +uid 387,0 +va (VaSet +font "courier,8,1" +) +xt "29427,7250,31527,8150" +st "2'h0" +blo "29427,7950" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 390,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 391,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "34377,9900,34577,10100" +) +autoResize 1 +tline (Line +uid 392,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "30477,7000,30477,7000" +pts [ +"30477,7000" +"30477,7000" +] +) +bline (Line +uid 393,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "30477,7000,30477,7000" +pts [ +"30477,7000" +"30477,7000" +] +) +ttri (Triangle +uid 394,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "30027,6825,30377,7175" +) +btri (Triangle +uid 395,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "30027,6825,30377,7175" +) +entryActions (MLText +uid 396,0 +va (VaSet +font "courier,8,0" +) +xt "30477,7000,30477,7000" +tm "Actions" +) +inActions (MLText +uid 397,0 +va (VaSet +font "courier,8,0" +) +xt "30477,7000,40477,8800" +st "packet_sent_len<=0; +mac_txdv<=0;" +tm "Actions" +) +exitActions (MLText +uid 398,0 +va (VaSet +font "courier,8,0" +) +xt "30477,7000,30477,7000" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 388,0 +ps "CenterOffsetStrategy" +text (MLText +uid 389,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "28327,7800,33827,8700" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*51 (State +uid 399,0 +shape (Circle +uid 400,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "51393,27896,60513,37016" +radius 4560 +) +name (Text +uid 401,0 +va (VaSet +font "courier,10,1" +) +xt "52053,31306,59853,32306" +st "ST_FIRSTBYTE" +ju 0 +blo "55953,32106" +tm "ONodeName" +) +wait (TextAssociate +uid 402,0 +ps "CenterOffsetStrategy" +text (Text +uid 403,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "56353,32206,59953,33206" +st "wait 2" +blo "56353,33006" +tm "SmWaitText" +) +) +encoding (Text +uid 404,0 +va (VaSet +font "courier,8,1" +) +xt "54903,32706,57003,33606" +st "2'h1" +blo "54903,33406" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 407,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 408,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "59853,35356,60053,35556" +) +autoResize 1 +tline (Line +uid 409,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "55953,32456,55953,32456" +pts [ +"55953,32456" +"55953,32456" +] +) +bline (Line +uid 410,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "55953,32456,55953,32456" +pts [ +"55953,32456" +"55953,32456" +] +) +ttri (Triangle +uid 411,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "55503,32281,55853,32631" +) +btri (Triangle +uid 412,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "55503,32281,55853,32631" +) +entryActions (MLText +uid 413,0 +va (VaSet +font "courier,8,0" +) +xt "55953,32456,55953,32456" +tm "Actions" +) +inActions (MLText +uid 414,0 +va (VaSet +font "courier,8,0" +) +xt "55953,32456,86453,34256" +st "mac_txdv<=0; +fifo_addr_read<=fifo_addr_read+1; // prep for _third_ byte.." +tm "Actions" +) +exitActions (MLText +uid 415,0 +va (VaSet +font "courier,8,0" +) +xt "55953,32456,55953,32456" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 405,0 +ps "CenterOffsetStrategy" +text (MLText +uid 406,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "53803,33256,59303,34156" +st "CASE: expr" +tm "SmCaseExpr" +) +) +impLoop 0 +) +*52 (State +uid 416,0 +shape (Circle +uid 417,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "25877,53393,34997,62513" +radius 4560 +) +name (Text +uid 418,0 +va (VaSet +font "courier,10,1" +) +xt "26537,56803,34337,57803" +st "ST_WAITSTART" +ju 0 +blo "30437,57603" +tm "ONodeName" +) +wait (TextAssociate +uid 419,0 +ps "CenterOffsetStrategy" +text (Text +uid 420,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "30837,57703,34437,58703" +st "wait 2" +blo "30837,58503" +tm "SmWaitText" +) +) +encoding (Text +uid 421,0 +va (VaSet +font "courier,8,1" +) +xt "29387,58203,31487,59103" +st "2'h2" +blo "29387,58903" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 424,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 425,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "34337,60853,34537,61053" +) +autoResize 1 +tline (Line +uid 426,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "30437,57953,30437,57953" +pts [ +"30437,57953" +"30437,57953" +] +) +bline (Line +uid 427,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "30437,57953,30437,57953" +pts [ +"30437,57953" +"30437,57953" +] +) +ttri (Triangle +uid 428,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "29987,57778,30337,58128" +) +btri (Triangle +uid 429,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "29987,57778,30337,58128" +) +entryActions (MLText +uid 430,0 +va (VaSet +font "courier,8,0" +) +xt "30437,57953,30437,57953" +tm "Actions" +) +inActions (MLText +uid 431,0 +va (VaSet +font "courier,8,0" +) +xt "30437,57953,49937,61553" +st "if (mac_txdv==0) begin + second_byte<=fifo_read; + end +mac_txdv<=1;" +tm "Actions" +) +exitActions (MLText +uid 432,0 +va (VaSet +font "courier,8,0" +) +xt "30437,57953,30437,57953" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 422,0 +ps "CenterOffsetStrategy" +text (MLText +uid 423,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "28287,58753,33787,59653" +st "CASE: expr" +tm "SmCaseExpr" +) +) +impLoop 0 +) +*53 (State +uid 433,0 +shape (Circle +uid 434,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "1863,29318,8139,35594" +radius 3138 +) +name (Text +uid 435,0 +va (VaSet +font "courier,10,1" +) +xt "2601,31306,7401,32306" +st "ST_BYTES" +ju 0 +blo "5001,32106" +tm "ONodeName" +) +wait (TextAssociate +uid 436,0 +ps "CenterOffsetStrategy" +text (Text +uid 437,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "5401,32206,9001,33206" +st "wait 2" +blo "5401,33006" +tm "SmWaitText" +) +) +encoding (Text +uid 438,0 +va (VaSet +font "courier,8,1" +) +xt "3951,32706,6051,33606" +st "2'h3" +blo "3951,33406" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 441,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 442,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "8901,35356,9101,35556" +) +autoResize 1 +tline (Line +uid 443,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "5001,32456,5001,32456" +pts [ +"5001,32456" +"5001,32456" +] +) +bline (Line +uid 444,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "5001,32456,5001,32456" +pts [ +"5001,32456" +"5001,32456" +] +) +ttri (Triangle +uid 445,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "4551,32281,4901,32631" +) +btri (Triangle +uid 446,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "4551,32281,4901,32631" +) +entryActions (MLText +uid 447,0 +va (VaSet +font "courier,8,0" +) +xt "5001,32456,5001,32456" +tm "Actions" +) +inActions (MLText +uid 448,0 +va (VaSet +font "courier,8,0" +) +xt "5001,32456,5001,32456" +tm "Actions" +) +exitActions (MLText +uid 449,0 +va (VaSet +font "courier,8,0" +) +xt "5001,32456,5001,32456" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 439,0 +ps "CenterOffsetStrategy" +text (MLText +uid 440,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "2851,33256,8351,34156" +st "CASE: expr" +tm "SmCaseExpr" +) +) +impLoop 0 +) +*54 (SmResetPoint +uid 450,0 +shape (CompositeShape +uid 451,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +uid 452,0 +sl 0 +ro 270 +xt "-5375,-11375,-3125,-10375" +) +(OrthoPolyLine +uid 453,0 +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "-4475,-11175,-3876,-10575" +pts [ +"-3876,-10575" +"-4176,-10575" +"-4176,-11175" +"-4475,-11175" +] +) +(Line +uid 454,0 +sl 0 +ro 270 +xt "-5126,-11050,-5026,-11000" +pts [ +"-5126,-11000" +"-5026,-11050" +] +) +(Line +uid 455,0 +sl 0 +ro 270 +xt "-5126,-11050,-5126,-10700" +pts [ +"-5126,-10700" +"-5126,-11050" +] +) +(Circle +uid 456,0 +layer 10 +sl 0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,0" +) +xt "-4326,-11025,-4026,-10725" +radius 150 +) +] +) +cond (SmControlCondition +uid 462,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 463,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "-5875,-12875,-2675,-11775" +) +autoResize 1 +cond (MLText +uid 464,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-5775,-12775,-2775,-11875" +st "!reset" +tm "SmControlConditionMgr" +) +) +prio (TransitionPriority +uid 459,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 460,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "-3125,-11665,-1545,-10085" +radius 790 +) +pr (Text +uid 461,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-2585,-11325,-2085,-10425" +st "1" +ju 0 +blo "-2335,-10625" +tm "TransitionPriority" +) +padding "200,200" +) +name (TextAssociate +uid 457,0 +ps "CenterOffsetStrategy" +text (Text +uid 458,0 +va (VaSet +font "courier,8,0" +) +xt "-7875,-11325,-5375,-10425" +st "reset" +ju 2 +blo "-5375,-10625" +tm "SmControlSignalNameMgr" +) +) +actions (TextAssociate +uid 465,0 +ps "CenterOffsetStrategy" +text (MLText +uid 466,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "2500,-6750,9500,-5850" +st "< Automatic >" +tm "Actions" +) +) +) +*55 (SmClockPoint +uid 467,0 +shape (CompositeShape +uid 468,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +uid 469,0 +sl 0 +ro 270 +xt "-4250,-6375,-2000,-5375" +) +(OrthoPolyLine +uid 470,0 +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "-3350,-6175,-2751,-5575" +pts [ +"-3350,-5575" +"-3051,-5575" +"-3051,-6175" +"-2751,-6175" +] +) +(Arc2D +pts [ +"-3741,-5722" +"-3996,-6028" +"-3741,-6028" +] +uid 471,0 +sl 0 +ro 270 +va (VaSet +vasetType 1 +transparent 1 +) +xt "-3996,-6028,-3741,-5722" +) +] +) +name (TextAssociate +uid 472,0 +ps "CenterOffsetStrategy" +text (Text +uid 473,0 +va (VaSet +font "courier,8,0" +) +xt "-8250,-6375,-4750,-5475" +st "mac_clk" +ju 2 +blo "-4750,-5675" +tm "SmControlSignalNameMgr" +) +) +cond (SmControlCondition +uid 474,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 475,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "-2000,-6425,6200,-5325" +) +autoResize 1 +cond (MLText +uid 476,0 +va (VaSet +font "courier,8,0" +) +xt "-1900,-6325,6100,-5425" +st "posedge mac_clk" +tm "SmControlConditionMgr" +) +) +) +*56 (Link +uid 477,0 +shape (CompositeShape +uid 478,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +optionalChildren [ +(Pentagon +uid 479,0 +sl 0 +ro 270 +xt "3375,-11375,5625,-10375" +) +(Line +uid 480,0 +sl 0 +ro 270 +xt "2875,-10875,3375,-10875" +pts [ +"2875,-10875" +"3375,-10875" +] +) +] +) +name (TextAssociate +uid 481,0 +ps "CenterOffsetStrategy" +text (Text +uid 482,0 +va (VaSet +font "courier,8,1" +) +xt "6125,-11375,9625,-10475" +st "ST_IDLE" +blo "6125,-10675" +tm "LinkName" +) +) +) +*57 (Transition +uid 483,0 +shape (Spline +uid 484,0 +va (VaSet +vasetType 3 +) +xt "-3125,-10875,2875,-10875" +pts [ +"-3125,-10875" +"2875,-10875" +] +) +start &54 +end &56 +ss 0 +es 0 +cond "!reset" +tb (TransitionBlock +uid 485,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 486,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "-2125,-11825,1875,-9925" +) +autoResize 1 +lineShape (Line +uid 487,0 +va (VaSet +vasetType 3 +) +xt "-1625,-10525,1375,-10525" +pts [ +"-1625,-10525" +"1375,-10525" +] +) +condition (MLText +uid 488,0 +va (VaSet +font "courier,8,0" +) +xt "-1625,-11825,1375,-10925" +st "!reset" +tm "Condition" +) +actions (MLText +uid 489,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-3625,-10125,3375,-9225" +st "< Automatic >" +tm "Actions" +) +) +tp (TransitionPriority +uid 490,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 491,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "-3314,-11665,-1734,-10085" +radius 790 +) +pr (Text +uid 492,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-2774,-11325,-2274,-10425" +st "1" +ju 0 +blo "-2524,-10625" +tm "TransitionPriority" +) +padding "200,200" +) +) +*58 (Transition +uid 493,0 +shape (Spline +uid 494,0 +va (VaSet +vasetType 3 +) +xt "32281,9396,52308,29716" +pts [ +"32281,9396" +"41416,21528" +"52308,29716" +] +arrow 1 +) +start &50 +end &51 +ss 0 +es 0 +cond "!packet_len_empty" +tb (TransitionBlock +uid 495,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 496,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "36916,21028,54916,25128" +) +autoResize 1 +lineShape (Line +uid 497,0 +va (VaSet +vasetType 3 +) +xt "37416,22628,54416,22628" +pts [ +"37416,22628" +"54416,22628" +] +) +condition (MLText +uid 498,0 +va (VaSet +font "courier,8,0" +) +xt "41416,21528,50416,22428" +st "!packet_len_empty" +tm "Condition" +) +actions (MLText +uid 499,0 +va (VaSet +font "courier,8,0" +) +xt "37416,22828,54416,24628" +st "mac_txd<=fifo_read; +fifo_addr_read<=fifo_addr_read+1;" +tm "Actions" +) +) +tp (TransitionPriority +uid 500,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 501,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "33150,10964,34730,12544" +radius 790 +) +pr (Text +uid 502,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "33690,11304,34190,12204" +st "1" +ju 0 +blo "33940,12004" +tm "TransitionPriority" +) +padding "200,200" +) +) +*59 (Transition +uid 503,0 +shape (Spline +uid 504,0 +va (VaSet +vasetType 3 +) +xt "33186,35201,52313,54316" +pts [ +"52313,35201" +"41433,43407" +"33186,54316" +] +arrow 1 +) +start &51 +end &52 +ss 0 +es 0 +tb (TransitionBlock +uid 505,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 506,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "40933,43352,46433,44362" +) +autoResize 1 +lineShape (Line +uid 507,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "43683,44707,43683,44707" +pts [ +"43683,44707" +"43683,44707" +] +) +condition (MLText +uid 508,0 +va (VaSet +font "courier,8,0" +) +xt "41433,43407,45933,44307" +tm "Condition" +) +actions (MLText +uid 509,0 +va (VaSet +font "courier,8,0" +) +xt "43683,44707,43683,44707" +tm "Actions" +) +) +tp (TransitionPriority +uid 510,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 511,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "49280,35975,50860,37555" +radius 790 +) +pr (Text +uid 512,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "49820,36315,50320,37215" +st "1" +ju 0 +blo "50070,37015" +tm "TransitionPriority" +) +padding "200,200" +) +) +*60 (Transition +uid 513,0 +shape (Spline +uid 514,0 +va (VaSet +vasetType 3 +) +xt "7505,34346,27701,54305" +pts [ +"27701,54305" +"19554,43442" +"7505,34346" +] +arrow 1 +) +start &52 +end &53 +ss 0 +es 0 +cond "mac_txack" +tb (TransitionBlock +uid 515,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 516,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "7054,42942,36554,47942" +) +autoResize 1 +lineShape (Line +uid 517,0 +va (VaSet +vasetType 3 +) +xt "7554,44542,36054,44542" +pts [ +"7554,44542" +"36054,44542" +] +) +condition (MLText +uid 518,0 +va (VaSet +font "courier,8,0" +) +xt "19554,43442,24054,44342" +st "mac_txack" +tm "Condition" +) +actions (MLText +uid 519,0 +va (VaSet +font "courier,8,0" +) +xt "7554,44742,36054,47442" +st "mac_txd<=second_byte; +fifo_addr_read<=fifo_addr_read+1; // now has second byte +packet_sent_len<=2;" +tm "Actions" +) +) +tp (TransitionPriority +uid 520,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 521,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "25288,51147,26868,52727" +radius 790 +) +pr (Text +uid 522,0 +va (VaSet +font "courier,8,0" +) +xt "25828,51487,26328,52387" +st "1" +ju 0 +blo "26078,52187" +tm "TransitionPriority" +) +padding "200,200" +) +) +*61 (Transition +uid 523,0 +shape (Spline +uid 524,0 +va (VaSet +vasetType 3 +) +xt "21057,61638,39858,77952" +pts [ +"33122,61638" +"39858,70885" +"30468,77952" +"21057,70915" +"27764,61647" +] +arrow 1 +) +start &52 +end &52 +ss 0 +es 0 +tb (TransitionBlock +uid 525,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 526,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "24218,78342,41218,81552" +) +autoResize 1 +lineShape (Line +uid 527,0 +va (VaSet +vasetType 3 +) +xt "24718,79052,40718,79052" +pts [ +"24718,79052" +"40718,79052" +] +) +condition (MLText +uid 528,0 +va (VaSet +font "courier,8,0" +) +xt "30468,77952,34968,78852" +tm "Condition" +) +actions (MLText +uid 529,0 +va (VaSet +font "courier,8,0" +) +xt "24718,79252,40718,81052" +st "mac_txd<=mac_txd; +fifo_addr_read<=fifo_addr_read;" +tm "Actions" +) +) +tp (TransitionPriority +uid 530,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 531,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "35631,64321,37211,65901" +radius 790 +) +pr (Text +uid 532,0 +va (VaSet +font "courier,8,0" +) +xt "36171,64661,36671,65561" +st "2" +ju 0 +blo "36421,65361" +tm "TransitionPriority" +) +padding "200,200" +) +) +*62 (Transition +uid 533,0 +shape (Spline +uid 534,0 +va (VaSet +vasetType 3 +) +xt "7510,9395,28672,30572" +pts [ +"7510,30572" +"19502,21563" +"28672,9395" +] +arrow 1 +) +start &53 +end &50 +ss 0 +es 0 +cond "end_of_output" +tb (TransitionBlock +uid 535,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 536,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "8502,21063,37502,26063" +) +autoResize 1 +lineShape (Line +uid 537,0 +va (VaSet +vasetType 3 +) +xt "9002,22663,37002,22663" +pts [ +"9002,22663" +"37002,22663" +] +) +condition (MLText +uid 538,0 +va (VaSet +font "courier,8,0" +) +xt "19502,21563,26502,22463" +st "end_of_output" +tm "Condition" +) +actions (MLText +uid 539,0 +va (VaSet +font "courier,8,0" +) +xt "9002,22863,37002,25563" +st "packet_len_rp<=packet_len_rp+1; +fifo_addr_read<=fifo_addr_read-1; // back off by one... +mac_txdv<=0;" +tm "Actions" +) +) +tp (TransitionPriority +uid 540,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 541,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "9208,28055,10788,29635" +radius 790 +) +pr (Text +uid 542,0 +va (VaSet +font "courier,8,0" +) +xt "9748,28395,10248,29295" +st "1" +ju 0 +blo "9998,29095" +tm "TransitionPriority" +) +padding "200,200" +) +) +*63 (Transition +uid 543,0 +shape (Spline +uid 544,0 +va (VaSet +vasetType 3 +) +xt "-14998,23086,2467,41887" +pts [ +"2467,34305" +"-7923,41887" +"-14998,32503" +"-7968,23086" +"2458,30619" +] +arrow 1 +) +start &53 +end &53 +ss 0 +es 0 +tb (TransitionBlock +uid 545,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 546,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "-22248,32893,-3248,37903" +) +autoResize 1 +lineShape (Line +uid 547,0 +va (VaSet +vasetType 3 +) +xt "-21748,33603,-3748,33603" +pts [ +"-21748,33603" +"-3748,33603" +] +) +condition (MLText +uid 548,0 +va (VaSet +font "courier,8,0" +) +xt "-14998,32503,-10498,33403" +tm "Condition" +) +actions (MLText +uid 549,0 +va (VaSet +font "courier,8,0" +) +xt "-21748,33803,-3748,37403" +st "mac_txdv<=1; +mac_txd<=fifo_read; +fifo_addr_read<=fifo_addr_read+1; +packet_sent_len<=packet_sent_len+1;" +tm "Actions" +) +) +tp (TransitionPriority +uid 550,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 551,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "-2054,36968,-474,38548" +radius 790 +) +pr (Text +uid 552,0 +va (VaSet +font "courier,8,0" +) +xt "-1514,37308,-1014,38208" +st "2" +ju 0 +blo "-1264,38008" +tm "TransitionPriority" +) +padding "200,200" +) +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 0 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *64 (PackageList +uid 371,0 +stg "VerticalLayoutStrategy" +textVec [ +*65 (Text +uid 372,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,3000,6500,3900" +st "Package List" +blo "0,3700" +) +*66 (MLText +uid 373,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,3900,14500,7500" +tm "SmPackageListTextMgr" +) +] +) +compDirBlock (MlTextGroup +uid 374,0 +stg "VerticalLayoutStrategy" +textVec [ +*67 (Text +uid 375,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-22248,86552,-12248,87452" +st "Compiler Directives" +blo "-22248,87252" +) +*68 (Text +uid 376,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-22248,87452,-10748,88352" +st "Pre-module directives:" +blo "-22248,88152" +) +*69 (MLText +uid 377,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-22248,88352,-12148,90152" +st "`resetall +`timescale 1ns/10ps" +tm "SmCompilerDirectivesTextMgr" +) +*70 (Text +uid 378,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-22248,90152,-10248,91052" +st "Post-module directives:" +blo "-22248,90852" +) +*71 (MLText +uid 379,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-22248,86552,-22248,86552" +tm "SmCompilerDirectivesTextMgr" +) +*72 (Text +uid 380,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-22248,91052,-10748,91952" +st "End-module directives:" +blo "-22248,91752" +) +*73 (MLText +uid 381,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-22248,91952,-22248,91952" +tm "SmCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "0,0,1015,690" +viewArea "-22248,-11825,86453,86252" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +isTopLevel 1 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,4000,1900" +st "Panel0" +blo "1000,1700" +tm "PanelText" +) +) +) +localPreDecl *74 (SmLocalDecl +uid 341,0 +stg "VerticalLayoutStrategy" +first (Text +uid 342,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,0,13000,900" +st "Architecture Declarations" +blo "0,700" +) +second (MLText +uid 343,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,900,0,900" +tm "LocalDeclTextMgr" +) +declType 1 +) +localDecl *75 (SmLocalDecl +uid 344,0 +stg "VerticalLayoutStrategy" +first (Text +uid 345,0 +va (VaSet +font "courier,8,1" +) +xt "11502,83552,21502,84452" +st "Module Declarations" +blo "11502,84252" +) +second (MLText +uid 346,0 +va (VaSet +font "courier,8,0" +) +xt "11502,84452,11502,84452" +tm "LocalDeclTextMgr" +) +declType 2 +) +localPostDecl *76 (SmLocalDecl +uid 347,0 +stg "VerticalLayoutStrategy" +first (Text +uid 348,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,0,13000,900" +st "Architecture Declarations" +blo "0,700" +) +second (MLText +uid 349,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,900,0,900" +tm "LocalDeclTextMgr" +) +declType 3 +) +processDecl *77 (SmProcessDecl +uid 350,0 +stg "VerticalLayoutStrategy" +textVec [ +*78 (Text +uid 351,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "66500,-1000,77000,-100" +st "Process Declarations" +blo "66500,-300" +) +*79 (Text +uid 352,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "66500,-100,75000,800" +st "Clocked Process:" +blo "66500,600" +) +*80 (MLText +uid 353,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "66500,-1000,66500,-1000" +tm "ProcessDeclTextMgr" +) +*81 (Text +uid 354,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "66500,800,74500,1700" +st "Output Process:" +blo "66500,1500" +) +*82 (MLText +uid 355,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "66500,1700,66500,1700" +tm "ProcessDeclTextMgr" +) +] +associable 1 +) +defaultActions *83 (MlTextGroup +uid 356,0 +stg "VerticalLayoutStrategy" +textVec [ +*84 (Text +uid 357,0 +va (VaSet +font "courier,8,1" +) +xt "-20248,83552,-12748,84452" +st "Global Actions" +blo "-20248,84252" +) +*85 (Text +uid 358,0 +va (VaSet +font "courier,8,1" +) +xt "-20248,84452,-13748,85352" +st "Pre Actions:" +blo "-20248,85152" +) +*86 (MLText +uid 359,0 +va (VaSet +font "courier,8,0" +) +xt "-20248,83552,-20248,83552" +tm "Actions" +) +*87 (Text +uid 360,0 +va (VaSet +font "courier,8,1" +) +xt "-20248,85352,-13248,86252" +st "Post Actions:" +blo "-20248,86052" +) +*88 (MLText +uid 361,0 +va (VaSet +font "courier,8,0" +) +xt "-20248,86252,-20248,86252" +tm "Actions" +) +] +associable 1 +) +archConcurrentStatementBlock *89 (BiTextGroup +uid 362,0 +stg "VerticalLayoutStrategy" +first (Text +uid 363,0 +va (VaSet +font "courier,8,1" +) +xt "-6998,83552,4002,84452" +st "Concurrent Statements" +blo "-6998,84252" +) +second (MLText +uid 364,0 +va (VaSet +font "courier,8,0" +) +xt "-6998,84452,-6998,84452" +tm "ArchConcStmtTextMgr" +) +associable 1 +) +signalsGenStatus *90 (SmSignalGenStatus +uid 368,0 +stg "VerticalLayoutStrategy" +first (Text +uid 369,0 +va (VaSet +font "courier,8,1" +) +xt "28502,83552,35502,84452" +st "Signal Status" +blo "28502,84252" +) +second (MLText +uid 370,0 +va (VaSet +font "courier,8,0" +) +xt "28502,84452,28502,84452" +tm "SmSignalsGenStatusTextMgr" +) +) +stateRegBlock *91 (BiTextGroup +uid 365,0 +stg "VerticalLayoutStrategy" +first (Text +uid 366,0 +va (VaSet +font "courier,8,1" +) +xt "41002,83552,54002,84452" +st "State Register Statements" +blo "41002,84252" +) +second (MLText +uid 367,0 +va (VaSet +font "courier,8,0" +) +xt "41002,84452,41002,84452" +tm "Actions" +) +associable 1 +) +) +genChar (SmGenChar +uid 553,0 +csName "state" +nextStateClocking 0 +numProcs 1 +) +encoding (Encoding +scheme 0 +encodingStyles [ +(pair +scheme 0 +style 6 +) +(pair +scheme 1 +style 1 +) +(pair +scheme 2 +style 0 +) +(pair +scheme 3 +style 0 +) +(pair +scheme 4 +style 0 +) +(pair +scheme 5 +style 0 +) +] +otherValues [ +(pair +scheme 0 +otherValue "" +) +(pair +scheme 1 +otherValue "" +) +(pair +scheme 2 +otherValue "" +) +(pair +scheme 3 +otherValue "" +) +(pair +scheme 4 +otherValue "" +) +(pair +scheme 5 +otherValue "" +) +] +attribute 1 +synSafe 0 +outputEncodedLocals 0 +useVerilogParameterRange 0 +radix 2 +) +stateOrder [ +&50 +&51 +&52 +&53 +] +name "state" +) +] +lastUid 0,0 +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +emptyRow *92 (LEmptyRow +) +uid 272,0 +optionalChildren [ +*93 (RefLabelRowHdr +) +*94 (TitleRowHdr +) +*95 (FilterRowHdr +) +*96 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*97 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*98 (GroupColHdr +tm "GroupColHdrMgr" +) +*99 (NameColHdr +tm "SmNameColHdrMgr" +) +*100 (ModeColHdr +tm "SmModeColHdrMgr" +) +*101 (TypeColHdr +tm "SmTypeColHdrMgr" +) +*102 (SignedColHdr +tm "SmSignedColHdrMgr" +) +*103 (BoundsColHdr +tm "SmBoundsColHdrMgr" +) +*104 (DelayColHdr +tm "SmDelayColHdrMgr" +) +*105 (InitColHdr +tm "SmInitColHdrMgr" +) +*106 (ColumnHdr +tm "SmCategoryColHdrMgr" +) +*107 (ColumnHdr +tm "SmAssignColHdrMgr" +) +*108 (ColumnHdr +tm "SmExprColHdrMgr" +) +*109 (ColumnHdr +tm "SmSchemeColHdrMgr" +) +*110 (ColumnHdr +tm "SmDefValColHdrMgr" +) +*111 (ColumnHdr +tm "SmRstValColHdrMgr" +) +*112 (EolColHdr +tm "SmEolColHdrMgr" +) +*113 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "mac_clk" +t "wire" +o 18 +) +) +uid 554,0 +cat 1 +expr "posedge mac_clk" +) +*114 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "reset" +t "wire" +o 27 +) +) +uid 556,0 +cat 8 +expr "!reset" +) +*115 (LeafLogPort +port (LogicalPort +lang 5 +m 4 +decl (Decl +n "packet_len_fifo" +t "reg" +b "[`pbuf_awidth - 1:0][7:0]" +eolc "// store up to eight outgoing packets at once" +o 1 +) +) +uid 613,0 +scheme 1 +) +*116 (LeafLogPort +port (LogicalPort +lang 5 +m 4 +decl (Decl +n "packet_len_wp" +t "reg" +b "[2:0]" +o 2 +) +) +uid 615,0 +scheme 1 +) +*117 (LeafLogPort +port (LogicalPort +lang 5 +m 4 +decl (Decl +n "packet_len_rp" +t "reg" +b "[2:0]" +o 3 +) +) +uid 617,0 +scheme 1 +) +*118 (LeafLogPort +port (LogicalPort +lang 5 +m 4 +decl (Decl +n "fifo_base_write" +t "reg" +b "[`pbuf_awidth - 1:0]" +o 4 +) +) +uid 619,0 +scheme 1 +) +*119 (LeafLogPort +port (LogicalPort +lang 5 +m 4 +decl (Decl +n "fifo_addr_read" +t "reg" +b "[`pbuf_awidth - 1:0]" +o 5 +) +) +uid 621,0 +scheme 1 +) +*120 (LeafLogPort +port (LogicalPort +lang 5 +m 4 +decl (Decl +n "packet_len_full" +t "wire" +o 6 +) +) +uid 623,0 +scheme 1 +) +*121 (LeafLogPort +port (LogicalPort +lang 5 +m 4 +decl (Decl +n "packet_len_empty" +t "wire" +o 7 +) +) +uid 625,0 +scheme 1 +) +*122 (LeafLogPort +port (LogicalPort +lang 5 +m 4 +decl (Decl +n "packet_fifo_space" +t "wire" +o 8 +) +) +uid 627,0 +scheme 1 +) +*123 (LeafLogPort +port (LogicalPort +lang 5 +m 4 +decl (Decl +n "was_packet_done" +t "reg" +o 9 +) +) +uid 629,0 +scheme 1 +) +*124 (LeafLogPort +port (LogicalPort +lang 5 +m 4 +decl (Decl +n "state" +t "reg" +b "[1:0]" +o 10 +) +) +uid 631,0 +scheme 1 +) +*125 (LeafLogPort +port (LogicalPort +lang 5 +m 4 +decl (Decl +n "packet_sent_len" +t "reg" +b "[`pbuf_awidth - 1:0]" +o 11 +) +) +uid 633,0 +scheme 1 +) +*126 (LeafLogPort +port (LogicalPort +lang 5 +m 4 +decl (Decl +n "end_of_output" +t "wire" +o 12 +) +) +uid 635,0 +scheme 1 +) +*127 (LeafLogPort +port (LogicalPort +lang 5 +m 4 +decl (Decl +n "fifo_read" +t "wire" +b "[7:0]" +prec "parameter ST_IDLE = 2'h0; +parameter ST_FIRSTBYTE = 2'h1; +parameter ST_WAITSTART = 2'h2; +parameter ST_BYTES = 2'h3;" +preAdd 0 +o 13 +) +) +uid 637,0 +scheme 1 +) +*128 (LeafLogPort +port (LogicalPort +lang 5 +m 4 +decl (Decl +n "second_byte" +t "reg" +b "[7:0]" +o 14 +) +) +uid 639,0 +scheme 1 +) +*129 (LeafLogPort +port (LogicalPort +lang 5 +m 4 +decl (Decl +n "fifo_addr_write" +t "reg" +b "[`pbuf_awidth - 1:0]" +o 15 +iv "0" +) +) +uid 641,0 +scheme 1 +) +*130 (LeafLogPort +port (LogicalPort +lang 5 +m 4 +decl (Decl +n "fifo_data_write" +t "reg" +b "[7:0]" +o 16 +iv "8'b0" +) +) +uid 643,0 +scheme 1 +) +*131 (LeafLogPort +port (LogicalPort +lang 5 +m 4 +decl (Decl +n "fifo_we" +t "reg" +o 17 +iv "0" +) +) +uid 645,0 +scheme 1 +) +*132 (LeafLogPort +port (LogicalPort +lang 5 +m 1 +decl (Decl +n "mac_txd" +t "reg" +b "[7:0]" +o 19 +) +) +uid 647,0 +scheme 0 +) +*133 (LeafLogPort +port (LogicalPort +lang 5 +m 1 +decl (Decl +n "mac_txdv" +t "reg" +o 20 +) +) +uid 649,0 +scheme 0 +) +*134 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "mac_txack" +t "wire" +o 21 +) +) +uid 651,0 +ass "" +) +*135 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "packet_txd" +t "wire" +b "[7:0]" +o 22 +) +) +uid 653,0 +ass "" +) +*136 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "packet_addr" +t "wire" +b "[`pbuf_awidth - 1:0]" +o 23 +) +) +uid 655,0 +ass "" +) +*137 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "packet_len" +t "wire" +b "[`pbuf_awidth - 1:0]" +o 24 +) +) +uid 657,0 +ass "" +) +*138 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "packet_we" +t "wire" +o 25 +) +) +uid 659,0 +ass "" +) +*139 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "packet_done" +t "wire" +o 26 +) +) +uid 661,0 +ass "" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 293,0 +optionalChildren [ +*140 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *141 (MRCItem +litem &92 +pos 3 +dimension 20 +) +uid 295,0 +optionalChildren [ +*142 (MRCItem +litem &93 +pos 0 +dimension 20 +uid 296,0 +) +*143 (MRCItem +litem &94 +pos 1 +dimension 23 +uid 297,0 +) +*144 (MRCItem +litem &95 +pos 2 +hidden 1 +dimension 20 +uid 298,0 +) +*145 (MRCItem +litem &113 +pos 0 +dimension 20 +uid 555,0 +) +*146 (MRCItem +litem &114 +pos 9 +dimension 20 +uid 557,0 +) +*147 (MRCItem +litem &115 +pos 10 +dimension 20 +uid 612,0 +) +*148 (MRCItem +litem &116 +pos 11 +dimension 20 +uid 614,0 +) +*149 (MRCItem +litem &117 +pos 12 +dimension 20 +uid 616,0 +) +*150 (MRCItem +litem &118 +pos 13 +dimension 20 +uid 618,0 +) +*151 (MRCItem +litem &119 +pos 14 +dimension 20 +uid 620,0 +) +*152 (MRCItem +litem &120 +pos 15 +dimension 20 +uid 622,0 +) +*153 (MRCItem +litem &121 +pos 16 +dimension 20 +uid 624,0 +) +*154 (MRCItem +litem &122 +pos 17 +dimension 20 +uid 626,0 +) +*155 (MRCItem +litem &123 +pos 18 +dimension 20 +uid 628,0 +) +*156 (MRCItem +litem &124 +pos 19 +dimension 20 +uid 630,0 +) +*157 (MRCItem +litem &125 +pos 20 +dimension 20 +uid 632,0 +) +*158 (MRCItem +litem &126 +pos 21 +dimension 20 +uid 634,0 +) +*159 (MRCItem +litem &127 +pos 22 +dimension 20 +uid 636,0 +) +*160 (MRCItem +litem &128 +pos 23 +dimension 20 +uid 638,0 +) +*161 (MRCItem +litem &129 +pos 24 +dimension 20 +uid 640,0 +) +*162 (MRCItem +litem &130 +pos 25 +dimension 20 +uid 642,0 +) +*163 (MRCItem +litem &131 +pos 26 +dimension 20 +uid 644,0 +) +*164 (MRCItem +litem &132 +pos 1 +dimension 20 +uid 646,0 +) +*165 (MRCItem +litem &133 +pos 2 +dimension 20 +uid 648,0 +) +*166 (MRCItem +litem &134 +pos 3 +dimension 20 +uid 650,0 +) +*167 (MRCItem +litem &135 +pos 4 +dimension 20 +uid 652,0 +) +*168 (MRCItem +litem &136 +pos 5 +dimension 20 +uid 654,0 +) +*169 (MRCItem +litem &137 +pos 6 +dimension 20 +uid 656,0 +) +*170 (MRCItem +litem &138 +pos 7 +dimension 20 +uid 658,0 +) +*171 (MRCItem +litem &139 +pos 8 +dimension 20 +uid 660,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 299,0 +optionalChildren [ +*172 (MRCItem +litem &96 +pos 0 +dimension 20 +uid 300,0 +) +*173 (MRCItem +litem &98 +pos 1 +dimension 50 +uid 301,0 +) +*174 (MRCItem +litem &99 +pos 2 +dimension 70 +uid 302,0 +) +*175 (MRCItem +litem &100 +pos 3 +dimension 50 +uid 303,0 +) +*176 (MRCItem +litem &101 +pos 4 +dimension 80 +uid 304,0 +) +*177 (MRCItem +litem &102 +pos 5 +dimension 60 +uid 305,0 +) +*178 (MRCItem +litem &103 +pos 6 +dimension 80 +uid 306,0 +) +*179 (MRCItem +litem &104 +pos 7 +dimension 40 +uid 307,0 +) +*180 (MRCItem +litem &105 +pos 8 +dimension 40 +uid 308,0 +) +*181 (MRCItem +litem &106 +pos 9 +dimension 100 +uid 309,0 +) +*182 (MRCItem +litem &107 +pos 10 +dimension 60 +uid 310,0 +) +*183 (MRCItem +litem &108 +pos 11 +dimension 130 +uid 311,0 +) +*184 (MRCItem +litem &109 +pos 12 +dimension 56 +uid 312,0 +) +*185 (MRCItem +litem &110 +pos 13 +dimension 50 +uid 313,0 +) +*186 (MRCItem +litem &111 +pos 14 +dimension 50 +uid 314,0 +) +*187 (MRCItem +litem &112 +pos 15 +dimension 80 +uid 315,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 294,0 +vaOverrides [ +] +) +] +) +uid 271,0 +) +cdmCsm &49 +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *188 (LEmptyRow +) +uid 317,0 +optionalChildren [ +*189 (RefLabelRowHdr +) +*190 (TitleRowHdr +) +*191 (FilterRowHdr +) +*192 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*193 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*194 (GroupColHdr +tm "GroupColHdrMgr" +) +*195 (NameColHdr +tm "GenericNameColHdrMgr" +) +*196 (InitColHdr +tm "GenericValueColHdrMgr" +) +*197 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 327,0 +optionalChildren [ +*198 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *199 (MRCItem +litem &188 +pos 3 +dimension 20 +) +uid 329,0 +optionalChildren [ +*200 (MRCItem +litem &189 +pos 0 +dimension 20 +uid 330,0 +) +*201 (MRCItem +litem &190 +pos 1 +dimension 23 +uid 331,0 +) +*202 (MRCItem +litem &191 +pos 2 +hidden 1 +dimension 20 +uid 332,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 333,0 +optionalChildren [ +*203 (MRCItem +litem &192 +pos 0 +dimension 20 +uid 334,0 +) +*204 (MRCItem +litem &194 +pos 1 +dimension 50 +uid 335,0 +) +*205 (MRCItem +litem &195 +pos 2 +dimension 100 +uid 336,0 +) +*206 (MRCItem +litem &196 +pos 3 +dimension 50 +uid 337,0 +) +*207 (MRCItem +litem &197 +pos 4 +dimension 80 +uid 338,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 328,0 +vaOverrides [ +] +) +] +) +uid 316,0 +type 1 +) +signalSuffix "_int" +clockSuffix "_cld" +defaultState (State +shape (Circle +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "-3000,-3000,3000,3000" +radius 3000 +) +name (Text +va (VaSet +font "courier,10,1" +) +xt "0,0,1200,1000" +st "s0" +ju 0 +blo "600,800" +tm "ONodeName" +) +wait (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "1000,900,4600,1900" +st "wait 2" +blo "1000,1700" +tm "SmWaitText" +) +) +encoding (Text +va (VaSet +font "courier,8,1" +) +blo "0,0" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "3900,2900,4100,3100" +) +autoResize 1 +tline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +pts [ +"0,0" +"0,0" +] +) +bline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +pts [ +"0,0" +"0,0" +] +) +ttri (Triangle +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "-450,-175,-100,175" +) +btri (Triangle +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "-450,-175,-100,175" +) +entryActions (MLText +va (VaSet +font "courier,8,0" +) +tm "Actions" +) +inActions (MLText +va (VaSet +font "courier,8,0" +) +tm "Actions" +) +exitActions (MLText +va (VaSet +font "courier,8,0" +) +tm "Actions" +) +) +caseExpr (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-2150,800,3350,1700" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +defaultWaitState (State +shape (CircleInOctagon +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "26368,26368,26368" +lineWidth 2 +) +xt "-529,-529,6529,6529" +) +name (Text +va (VaSet +font "courier,10,1" +) +xt "0,0,1200,1000" +st "s0" +ju 0 +blo "600,800" +tm "ONodeName" +) +wait (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "1000,900,4600,1900" +st "wait 2" +blo "1000,1700" +tm "SmWaitText" +) +) +encoding (Text +va (VaSet +font "courier,8,1" +) +blo "0,0" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "8900,5900,9100,6100" +) +autoResize 1 +tline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "5000,3000,5000,3000" +pts [ +"5000,3000" +"5000,3000" +] +) +bline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "5000,3000,5000,3000" +pts [ +"5000,3000" +"5000,3000" +] +) +ttri (Triangle +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "4550,2825,4900,3175" +) +btri (Triangle +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "4550,2825,4900,3175" +) +entryActions (MLText +va (VaSet +font "courier,8,0" +) +xt "5000,3000,5000,3000" +tm "Actions" +) +inActions (MLText +va (VaSet +font "courier,8,0" +) +xt "5000,3000,5000,3000" +tm "Actions" +) +exitActions (MLText +va (VaSet +font "courier,8,0" +) +xt "5000,3000,5000,3000" +tm "Actions" +) +) +caseExpr (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-2150,800,3350,1700" +st "CASE: expr" +tm "SmCaseExpr" +) +) +isWait 1 +) +defaultCompositeState (CompositeState +shape (TripleCircle +va (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "-3000,-3000,3000,3000" +radius 3000 +) +name (Text +va (VaSet +font "courier,10,1" +) +xt "-600,-500,600,500" +st "s0" +ju 0 +blo "0,300" +tm "ONodeName" +) +childDiagram &0 +) +defaultJunction (Junction +shape (Diamond +va (VaSet +vasetType 1 +fg "59904,39936,65280" +) +xt "-700,-700,1700,1700" +) +symbol (Text +va (VaSet +font "courier,10,1" +) +xt "200,0,800,1000" +st "&" +ju 0 +blo "500,800" +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "2000,1000,2000,1000" +blo "2000,1000" +tm "JunctionName" +) +) +caseExpr (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-2450,1800,3050,2700" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +defaultEntryPoint (EntryPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "29952,39936,65280" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-875,875,1375,1875" +) +(Line +sl 0 +ro 270 +xt "1375,1375,1875,1375" +pts [ +"1375,1375" +"1875,1375" +] +) +] +) +) +defaultInterruptPoint (InterruptPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,0,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-875,875,1375,1875" +) +(Line +sl 0 +ro 270 +xt "1375,1375,1875,1375" +pts [ +"1375,1375" +"1875,1375" +] +) +(CustomPolygon +pts [ +"-625,1600" +"-625,1300" +"25,1425" +"-75,1150" +"1025,1350" +"200,1350" +"375,1600" +] +sl 0 +ro 270 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "65535,0,0" +lineColor "65535,65535,0" +) +xt "-625,1150,1025,1600" +) +] +) +) +defaultLink (Link +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-375,875,1875,1875" +) +(Line +sl 0 +ro 270 +xt "-875,1375,-375,1375" +pts [ +"-875,1375" +"-375,1375" +] +) +] +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "2375,875,4375,1775" +st "Link" +blo "2375,1575" +tm "LinkName" +) +) +) +defaultExitPoint (ExitPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "29952,39936,65280" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-375,875,1875,1875" +) +(Line +sl 0 +ro 270 +xt "-875,1375,-375,1375" +pts [ +"-875,1375" +"-375,1375" +] +) +] +) +) +defaultTransition (Transition +shape (Spline +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +arrow 1 +) +ss 0 +es 0 +cond "condition" +tb (TransitionBlock +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "-500,-500,5000,1400" +) +autoResize 1 +lineShape (Line +va (VaSet +vasetType 3 +isHidden 1 +) +xt "2250,1300,2250,1300" +pts [ +"2250,1300" +"2250,1300" +] +) +condition (MLText +va (VaSet +font "courier,8,0" +) +xt "0,0,4500,900" +st "condition" +tm "Condition" +) +actions (MLText +va (VaSet +font "courier,8,0" +) +xt "2250,1700,2250,1700" +tm "Actions" +) +) +tp (TransitionPriority +ps "PercentageFromStartStrategy" +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "-790,-790,790,790" +radius 790 +) +pr (Text +va (VaSet +font "courier,8,0" +) +xt "-250,-450,250,450" +st "1" +ju 0 +blo "0,250" +tm "TransitionPriority" +) +padding "200,200" +) +) +defaultClk (SmClockPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-625,625,1625,1625" +) +(OrthoPolyLine +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "275,825,874,1425" +pts [ +"275,1425" +"574,1425" +"574,825" +"874,825" +] +) +(Arc2D +pts [ +"-116,1278" +"-371,972" +"-116,972" +] +sl 0 +ro 270 +va (VaSet +vasetType 1 +transparent 1 +) +xt "-371,972,-116,1278" +) +] +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +font "courier,8,0" +) +xt "-2625,625,-1125,1525" +st "clk" +ju 2 +blo "-1125,1325" +tm "SmControlSignalNameMgr" +) +) +cond (SmControlCondition +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "1625,575,3825,1675" +) +autoResize 1 +cond (MLText +va (VaSet +font "courier,8,0" +) +xt "1725,675,3725,1575" +st "cond" +tm "SmControlConditionMgr" +) +) +) +defaultEnable (SmEnablePoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-625,625,1625,1625" +) +(OrthoPolyLine +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "275,825,874,1425" +pts [ +"874,1425" +"574,1425" +"574,825" +"275,825" +] +) +(Arc2D +pts [ +"-130,1263" +"-415,1064" +"-76,1064" +] +layer 10 +sl 0 +ro 270 +va (VaSet +vasetType 1 +transparent 1 +) +xt "-415,1064,-76,1263" +) +(Line +sl 0 +ro 270 +xt "-415,1064,-106,1064" +pts [ +"-415,1064" +"-106,1064" +] +) +] +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +font "courier,8,0" +) +xt "-4125,625,-1125,1525" +st "enable" +ju 2 +blo "-1125,1325" +tm "SmControlSignalNameMgr" +) +) +cond (SmControlCondition +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "1625,575,3825,1675" +) +autoResize 1 +cond (MLText +va (VaSet +font "courier,8,0" +) +xt "1725,675,3725,1575" +st "cond" +tm "SmControlConditionMgr" +) +) +) +defaultRst (SmResetPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-625,625,1625,1625" +) +(OrthoPolyLine +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "275,825,874,1425" +pts [ +"874,1425" +"574,1425" +"574,825" +"275,825" +] +) +(Line +sl 0 +ro 270 +xt "-376,950,-276,1000" +pts [ +"-376,1000" +"-276,950" +] +) +(Line +sl 0 +ro 270 +xt "-376,950,-376,1300" +pts [ +"-376,1300" +"-376,950" +] +) +(Circle +layer 10 +sl 0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,0" +) +xt "424,975,724,1275" +radius 150 +) +] +) +cond (SmControlCondition +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "-625,-875,1575,225" +) +autoResize 1 +cond (MLText +va (VaSet +font "courier,8,0" +) +xt "-525,-775,1475,125" +st "cond" +tm "SmControlConditionMgr" +) +) +prio (TransitionPriority +ps "PercentageFromStartStrategy" +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "1625,335,3205,1915" +radius 790 +) +pr (Text +va (VaSet +font "courier,8,0" +) +xt "2165,675,2665,1575" +st "1" +ju 0 +blo "2415,1375" +tm "TransitionPriority" +) +padding "200,200" +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +font "courier,8,0" +) +xt "-2125,675,-625,1575" +st "rst" +ju 2 +blo "-625,1375" +tm "SmControlSignalNameMgr" +) +) +actions (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "4750,2625,11750,3525" +st "< Automatic >" +tm "Actions" +) +) +) +defaultRecStatePt (SmRecoveryStatePoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,0,0" +) +optionalChildren [ +(Circle +sl 0 +xt "-900,-900,900,900" +radius 900 +) +(Line +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "-425,-425,425,425" +pts [ +"-425,425" +"425,-425" +] +) +(Line +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "-425,-425,425,425" +pts [ +"425,425" +"-425,-425" +] +) +] +) +) +LanguageMgr "Verilog2001LangMgr" +) +) +] +shape (Rectangle +uid 264,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "76000,2000,79000,9000" +) +ttg (MlTextGroup +uid 265,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*208 (Text +uid 266,0 +va (VaSet +font "courier,8,1" +) +xt "77000,4600,78000,5500" +st "SM" +blo "77000,5300" +tm "HdlTextNameMgr" +) +*209 (Text +uid 267,0 +va (VaSet +font "courier,8,1" +) +xt "77000,5500,77500,6400" +st "1" +blo "77000,6200" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 268,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "76250,7250,77750,8750" +iconName "StateMachineViewIcon.png" +iconMaskName "StateMachineViewIcon.msk" +ftype 3 +) +viewiconposition 0 +) +*210 (HdlText +uid 662,0 +optionalChildren [ +*211 (EmbeddedText +uid 668,0 +commentText (CommentText +uid 669,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 670,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "64000,-1000,82000,4000" +) +text (MLText +uid 671,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "64200,-800,79700,1000" +st " +assign packet_len_empty=(packet_len_wp==packet_len_rp); + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +] +shape (Rectangle +uid 663,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "56000,-1000,59000,2000" +) +ttg (MlTextGroup +uid 664,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*212 (Text +uid 665,0 +va (VaSet +font "courier,8,1" +) +xt "56750,-400,58250,500" +st "eb1" +blo "56750,300" +tm "HdlTextNameMgr" +) +*213 (Text +uid 666,0 +va (VaSet +font "courier,8,1" +) +xt "56750,500,57250,1400" +st "2" +blo "56750,1200" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 667,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "56250,250,57750,1750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*214 (HdlText +uid 696,0 +optionalChildren [ +*215 (EmbeddedText +uid 702,0 +commentText (CommentText +uid 703,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 704,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "101000,9000,119000,14000" +) +text (MLText +uid 705,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "101200,9200,118200,11000" +st " +assign packet_len_full=((packet_len_wp+1)==packet_len_rp); + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +] +shape (Rectangle +uid 697,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "93000,9000,96000,12000" +) +ttg (MlTextGroup +uid 698,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*216 (Text +uid 699,0 +va (VaSet +font "courier,8,1" +) +xt "93750,9600,95250,10500" +st "eb2" +blo "93750,10300" +tm "HdlTextNameMgr" +) +*217 (Text +uid 700,0 +va (VaSet +font "courier,8,1" +) +xt "93750,10500,94250,11400" +st "3" +blo "93750,11200" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 701,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "93250,10250,94750,11750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*218 (HdlText +uid 730,0 +optionalChildren [ +*219 (EmbeddedText +uid 736,0 +commentText (CommentText +uid 737,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 738,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "15000,9000,33000,14000" +) +text (MLText +uid 739,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "15200,9200,30200,11000" +st " +always @(posedge mac_clk) was_packet_done<=packet_done; + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +] +shape (Rectangle +uid 731,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "7000,9000,10000,12000" +) +ttg (MlTextGroup +uid 732,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*220 (Text +uid 733,0 +va (VaSet +font "courier,8,1" +) +xt "7750,9600,9250,10500" +st "eb3" +blo "7750,10300" +tm "HdlTextNameMgr" +) +*221 (Text +uid 734,0 +va (VaSet +font "courier,8,1" +) +xt "7750,10500,8250,11400" +st "4" +blo "7750,11200" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 735,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "7250,10250,8750,11750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*222 (HdlText +uid 764,0 +optionalChildren [ +*223 (EmbeddedText +uid 770,0 +commentText (CommentText +uid 771,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 772,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "33000,6000,51000,11000" +) +text (MLText +uid 773,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "33200,6200,50700,10700" +st " +always @(posedge mac_clk) + if (reset) begin + packet_len_wp<=0; + fifo_base_write<=0; + end else if (packet_done && !was_packet_done) begin + fifo_base_write<=fifo_base_write+packet_len; + packet_len_fifo[packet_len_wp]<=packet_len; + end else if (!packet_done && was_packet_done) begin + packet_len_wp<=packet_len_wp+1; + end + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +] +shape (Rectangle +uid 765,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "25000,6000,28000,12000" +) +ttg (MlTextGroup +uid 766,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*224 (Text +uid 767,0 +va (VaSet +font "courier,8,1" +) +xt "25750,8100,27250,9000" +st "eb4" +blo "25750,8800" +tm "HdlTextNameMgr" +) +*225 (Text +uid 768,0 +va (VaSet +font "courier,8,1" +) +xt "25750,9000,26250,9900" +st "5" +blo "25750,9700" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 769,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "25250,10250,26750,11750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*226 (HdlText +uid 838,0 +optionalChildren [ +*227 (EmbeddedText +uid 844,0 +commentText (CommentText +uid 845,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 846,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "101000,-1000,119000,4000" +) +text (MLText +uid 847,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "101200,-800,117700,1900" +st " +assign end_of_output=(state==ST_BYTES && packet_sent_len==packet_len_fifo[packet_len_rp]); + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +] +shape (Rectangle +uid 839,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "93000,-1000,96000,2000" +) +ttg (MlTextGroup +uid 840,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*228 (Text +uid 841,0 +va (VaSet +font "courier,8,1" +) +xt "93750,-400,95250,500" +st "eb5" +blo "93750,300" +tm "HdlTextNameMgr" +) +*229 (Text +uid 842,0 +va (VaSet +font "courier,8,1" +) +xt "93750,500,94250,1400" +st "6" +blo "93750,1200" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 843,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "93250,250,94750,1750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*230 (HdlText +uid 872,0 +optionalChildren [ +*231 (EmbeddedText +uid 878,0 +commentText (CommentText +uid 879,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 880,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "49000,2000,67000,7000" +) +text (MLText +uid 881,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "49200,2200,66700,6700" +st " +always @(posedge mac_clk) begin + fifo_addr_write<=fifo_base_write+packet_addr; + fifo_data_write<=packet_txd; + fifo_we<=packet_we; + end + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +] +shape (Rectangle +uid 873,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "41000,2000,44000,8000" +) +ttg (MlTextGroup +uid 874,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*232 (Text +uid 875,0 +va (VaSet +font "courier,8,1" +) +xt "41750,4100,43250,5000" +st "eb6" +blo "41750,4800" +tm "HdlTextNameMgr" +) +*233 (Text +uid 876,0 +va (VaSet +font "courier,8,1" +) +xt "41750,5000,42250,5900" +st "7" +blo "41750,5700" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 877,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "41250,6250,42750,7750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*234 (GlobalConnector +uid 1042,0 +shape (Circle +uid 1043,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-2000,18000,0,20000" +radius 1000 +) +name (Text +uid 1044,0 +va (VaSet +font "courier,8,1" +) +xt "-1250,18550,-750,19450" +st "G" +blo "-1250,19250" +) +) +*235 (BundleNet +uid 1051,0 +bundleNetName "bundle_SM_eb5_0" +bundleContents [ +*236 (Wire +uid 1061,0 +shape (OrthoPolyLine +uid 1062,0 +sl 0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "342000,8000,352000,8000" +pts [ +"342000,8000" +"352000,8000" +] +) +sat 4 +eat 16 +sty 1 +cm 0 +stc 0 +st 0 +si 0 +tg (WTG +uid 1067,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1068,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "331000,7100,338000,8000" +st "packet_len_rp" +blo "331000,7800" +tm "WireNameMgr" +) +) +on &3 +) +*237 (Wire +uid 1069,0 +shape (OrthoPolyLine +uid 1070,0 +sl 0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "342000,9000,352000,9000" +pts [ +"342000,9000" +"352000,9000" +] +) +sat 4 +eat 16 +sty 1 +cm 0 +stc 0 +st 0 +si 0 +tg (WTG +uid 1075,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1076,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "322000,8100,330000,9000" +st "packet_sent_len" +blo "322000,8800" +tm "WireNameMgr" +) +) +on &9 +) +] +) +*238 (Property +uid 1083,0 +pclass "HDS" +pname "DocView" +pvalue "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/workspace/IPbusFirmware/firmware/ipbus/hdl/gbe_txpacketbuffer.v" +ptn "String" +) +*239 (Property +uid 1084,0 +pclass "HDS" +pname "DocViewState" +pvalue "1352727141" +ptn "String" +) +*240 (Wire +uid 51,0 +shape (OrthoPolyLine +uid 52,0 +va (VaSet +vasetType 3 +) +xt "-3000,19000,-2000,19000" +pts [ +"-3000,19000" +"-2000,19000" +] +) +start &16 +end &234 +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 55,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 56,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-4000,18100,-500,19000" +st "mac_clk" +blo "-4000,18800" +tm "WireNameMgr" +) +) +on &17 +) +*241 (Wire +uid 93,0 +shape (OrthoPolyLine +uid 94,0 +va (VaSet +vasetType 3 +) +xt "75000,3000,76000,3000" +pts [ +"75000,3000" +"76000,3000" +] +) +start &22 +end &47 +ss 0 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 97,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 98,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "73000,2100,77500,3000" +st "mac_txack" +blo "73000,2800" +tm "WireNameMgr" +) +) +on &23 +) +*242 (Wire +uid 107,0 +shape (OrthoPolyLine +uid 108,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "40000,3000,41000,3000" +pts [ +"40000,3000" +"41000,3000" +] +) +start &24 +end &230 +ss 0 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 111,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 112,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "36000,2100,41500,3000" +st "packet_txd" +blo "36000,2800" +tm "WireNameMgr" +) +) +on &25 +) +*243 (Wire +uid 121,0 +shape (OrthoPolyLine +uid 122,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "40000,4000,41000,4000" +pts [ +"40000,4000" +"41000,4000" +] +) +start &26 +end &230 +ss 0 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 125,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 126,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "32000,3100,38000,4000" +st "packet_addr" +blo "32000,3800" +tm "WireNameMgr" +) +) +on &27 +) +*244 (Wire +uid 135,0 +shape (OrthoPolyLine +uid 136,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "24000,7000,25000,7000" +pts [ +"24000,7000" +"25000,7000" +] +) +start &28 +end &222 +ss 0 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 139,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 140,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "16000,6100,21500,7000" +st "packet_len" +blo "16000,6800" +tm "WireNameMgr" +) +) +on &29 +) +*245 (Wire +uid 149,0 +shape (OrthoPolyLine +uid 150,0 +va (VaSet +vasetType 3 +) +xt "40000,5000,41000,5000" +pts [ +"40000,5000" +"41000,5000" +] +) +start &30 +end &230 +ss 0 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 153,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 154,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "38000,4100,42500,5000" +st "packet_we" +blo "38000,4800" +tm "WireNameMgr" +) +) +on &31 +) +*246 (Wire +uid 163,0 +optionalChildren [ +*247 (BdJunction +uid 1077,0 +ps "OnConnectorStrategy" +shape (Circle +uid 1078,0 +va (VaSet +vasetType 1 +) +xt "600,15600,1400,16400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 164,0 +va (VaSet +vasetType 3 +) +xt "-2000,11000,25000,16000" +pts [ +"-2000,16000" +"19000,16000" +"19000,11000" +"25000,11000" +] +) +start &32 +end &222 +ss 0 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 167,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 168,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "18000,10100,24000,11000" +st "packet_done" +blo "18000,10800" +tm "WireNameMgr" +) +) +on &33 +) +*248 (Wire +uid 177,0 +optionalChildren [ +*249 (BdJunction +uid 1079,0 +ps "OnConnectorStrategy" +shape (Circle +uid 1080,0 +va (VaSet +vasetType 1 +) +xt "17600,16600,18400,17400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 178,0 +va (VaSet +vasetType 3 +) +xt "-2000,7000,76000,17000" +pts [ +"-2000,17000" +"69000,17000" +"69000,7000" +"76000,7000" +] +) +start &34 +end &47 +ss 0 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 181,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 182,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "73000,6100,75500,7000" +st "reset" +blo "73000,6800" +tm "WireNameMgr" +) +) +on &35 +) +*250 (Wire +uid 221,0 +shape (OrthoPolyLine +uid 222,0 +va (VaSet +vasetType 3 +) +xt "51000,8000,53250,8000" +pts [ +"51000,8000" +"53250,8000" +] +) +end &37 +sat 16 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 225,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 226,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "47000,7100,50500,8000" +st "mac_clk" +blo "47000,7800" +tm "WireNameMgr" +) +) +on &17 +) +*251 (Wire +uid 227,0 +shape (OrthoPolyLine +uid 228,0 +va (VaSet +vasetType 3 +) +xt "61750,8000,64000,8000" +pts [ +"61750,8000" +"64000,8000" +] +) +start &38 +ss 0 +es 0 +sat 32 +eat 16 +stc 0 +st 0 +si 0 +tg (WTG +uid 231,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 232,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "65000,7100,68500,8000" +st "fifo_we" +blo "65000,7800" +tm "WireNameMgr" +) +) +on &15 +) +*252 (Wire +uid 233,0 +shape (OrthoPolyLine +uid 234,0 +va (VaSet +vasetType 3 +) +xt "61750,9000,64000,9000" +pts [ +"61750,9000" +"64000,9000" +] +) +start &39 +ss 0 +es 0 +sat 32 +eat 16 +stc 0 +st 0 +si 0 +tg (WTG +uid 237,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 238,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "65000,8100,73000,9000" +st "fifo_addr_write" +blo "65000,8800" +tm "WireNameMgr" +) +) +on &13 +) +*253 (Wire +uid 239,0 +shape (OrthoPolyLine +uid 240,0 +va (VaSet +vasetType 3 +) +xt "61750,10000,64000,10000" +pts [ +"61750,10000" +"64000,10000" +] +) +start &40 +ss 0 +es 0 +sat 32 +eat 16 +stc 0 +st 0 +si 0 +tg (WTG +uid 243,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 244,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "65000,9100,73000,10000" +st "fifo_data_write" +blo "65000,9800" +tm "WireNameMgr" +) +) +on &14 +) +*254 (Wire +uid 245,0 +shape (OrthoPolyLine +uid 246,0 +va (VaSet +vasetType 3 +) +xt "51000,7000,53250,7000" +pts [ +"51000,7000" +"53250,7000" +] +) +end &41 +sat 16 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 249,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 250,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "47000,6100,50500,7000" +st "mac_clk" +blo "47000,6800" +tm "WireNameMgr" +) +) +on &17 +) +*255 (Wire +uid 251,0 +shape (OrthoPolyLine +uid 252,0 +va (VaSet +vasetType 3 +) +xt "61750,8000,85000,11000" +pts [ +"61750,11000" +"85000,11000" +"85000,8000" +"79000,8000" +] +) +start &42 +end &47 +ss 0 +sat 32 +eat 4 +stc 0 +st 0 +si 0 +tg (WTG +uid 255,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 256,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "80000,7100,87500,8000" +st "fifo_addr_read" +blo "80000,7800" +tm "WireNameMgr" +) +) +on &5 +) +*256 (Wire +uid 257,0 +shape (OrthoPolyLine +uid 258,0 +va (VaSet +vasetType 3 +) +xt "61750,4000,76000,7000" +pts [ +"61750,7000" +"67000,7000" +"67000,4000" +"76000,4000" +] +) +start &43 +end &47 +ss 0 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 261,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 262,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "66000,3100,70500,4000" +st "fifo_read" +blo "66000,3800" +tm "WireNameMgr" +) +) +on &11 +) +*257 (Wire +uid 672,0 +shape (OrthoPolyLine +uid 673,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "54000,1000,56000,1000" +pts [ +"54000,1000" +"56000,1000" +] +) +end &210 +sat 16 +eat 1 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 678,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 679,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "43000,100,50000,1000" +st "packet_len_rp" +blo "43000,800" +tm "WireNameMgr" +) +) +on &3 +) +*258 (Wire +uid 680,0 +shape (OrthoPolyLine +uid 681,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "34000,0,56000,0" +pts [ +"34000,0" +"56000,0" +] +) +start *259 (BdJunction +uid 1081,0 +ps "OnConnectorStrategy" +shape (Circle +uid 1082,0 +va (VaSet +vasetType 1 +) +xt "33600,-400,34400,400" +radius 400 +) +) +end &210 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 686,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 687,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "40000,-900,47000,0" +st "packet_len_wp" +blo "40000,-200" +tm "WireNameMgr" +) +) +on &2 +) +*260 (Wire +uid 688,0 +shape (OrthoPolyLine +uid 689,0 +va (VaSet +vasetType 3 +) +xt "59000,1000,76000,6000" +pts [ +"59000,1000" +"65000,1000" +"65000,6000" +"76000,6000" +] +) +start &210 +end &47 +sat 2 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 694,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 695,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "60000,100,68500,1000" +st "packet_len_empty" +blo "60000,800" +tm "WireNameMgr" +) +) +on &7 +) +*261 (Wire +uid 706,0 +shape (OrthoPolyLine +uid 707,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "91000,11000,93000,11000" +pts [ +"91000,11000" +"93000,11000" +] +) +end &214 +sat 16 +eat 1 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 712,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 713,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "80000,10100,87000,11000" +st "packet_len_rp" +blo "80000,10800" +tm "WireNameMgr" +) +) +on &3 +) +*262 (Wire +uid 722,0 +shape (OrthoPolyLine +uid 723,0 +va (VaSet +vasetType 3 +) +xt "96000,10000,98000,10000" +pts [ +"96000,10000" +"98000,10000" +] +) +start &214 +sat 2 +eat 16 +stc 0 +st 0 +si 0 +tg (WTG +uid 728,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 729,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "99000,9100,107000,10000" +st "packet_len_full" +blo "99000,9800" +tm "WireNameMgr" +) +) +on &6 +) +*263 (Wire +uid 740,0 +shape (OrthoPolyLine +uid 741,0 +va (VaSet +vasetType 3 +) +xt "5000,10000,7000,10000" +pts [ +"5000,10000" +"7000,10000" +] +) +end &218 +sat 16 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 746,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 747,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "1000,9100,4500,10000" +st "mac_clk" +blo "1000,9800" +tm "WireNameMgr" +) +) +on &17 +) +*264 (Wire +uid 748,0 +shape (OrthoPolyLine +uid 749,0 +va (VaSet +vasetType 3 +) +xt "1000,11000,7000,16000" +pts [ +"1000,16000" +"1000,11000" +"7000,11000" +] +) +start &247 +end &218 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 754,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 755,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,10100,6000,11000" +st "packet_done" +blo "0,10800" +tm "WireNameMgr" +) +) +on &33 +) +*265 (Wire +uid 756,0 +shape (OrthoPolyLine +uid 757,0 +va (VaSet +vasetType 3 +) +xt "10000,8000,25000,10000" +pts [ +"10000,10000" +"16000,10000" +"16000,8000" +"25000,8000" +] +) +start &218 +end &222 +sat 2 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 762,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 763,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "11000,9100,19000,10000" +st "was_packet_done" +blo "11000,9800" +tm "WireNameMgr" +) +) +on &8 +) +*266 (Wire +uid 774,0 +shape (OrthoPolyLine +uid 775,0 +va (VaSet +vasetType 3 +) +xt "23000,9000,25000,9000" +pts [ +"23000,9000" +"25000,9000" +] +) +end &222 +sat 16 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 780,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 781,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "19000,8100,22500,9000" +st "mac_clk" +blo "19000,8800" +tm "WireNameMgr" +) +) +on &17 +) +*267 (Wire +uid 798,0 +shape (OrthoPolyLine +uid 799,0 +va (VaSet +vasetType 3 +) +xt "18000,10000,25000,17000" +pts [ +"18000,17000" +"18000,10000" +"25000,10000" +] +) +start &249 +end &222 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 804,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 805,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "22000,9100,24500,10000" +st "reset" +blo "22000,9800" +tm "WireNameMgr" +) +) +on &35 +) +*268 (Wire +uid 814,0 +shape (OrthoPolyLine +uid 815,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "28000,6000,41000,8000" +pts [ +"28000,8000" +"35000,8000" +"35000,6000" +"41000,6000" +] +) +start &222 +end &230 +sat 4 +eat 1 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 820,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 821,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "29000,7100,37000,8000" +st "fifo_base_write" +blo "29000,7800" +tm "WireNameMgr" +) +) +on &4 +) +*269 (Wire +uid 822,0 +optionalChildren [ +&259 +] +shape (OrthoPolyLine +uid 823,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "28000,-2000,93000,10000" +pts [ +"28000,7000" +"34000,7000" +"34000,-2000" +"87000,-2000" +"87000,10000" +"93000,10000" +] +) +start &222 +end &214 +sat 4 +eat 1 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 828,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 829,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "29000,6100,36000,7000" +st "packet_len_wp" +blo "29000,6800" +tm "WireNameMgr" +) +) +on &2 +) +*270 (Wire +uid 830,0 +shape (OrthoPolyLine +uid 831,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "28000,9000,30000,9000" +pts [ +"28000,9000" +"30000,9000" +] +) +start &222 +sat 2 +eat 16 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 836,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 837,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "31000,8100,39000,9000" +st "packet_len_fifo" +blo "31000,8800" +tm "WireNameMgr" +) +) +on &1 +) +*271 (Wire +uid 864,0 +shape (OrthoPolyLine +uid 865,0 +va (VaSet +vasetType 3 +) +xt "70000,1000,102000,13000" +pts [ +"96000,1000" +"102000,1000" +"102000,13000" +"70000,13000" +"70000,8000" +"76000,8000" +] +) +start &226 +end &47 +sat 2 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 870,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 871,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "97000,100,104000,1000" +st "end_of_output" +blo "97000,800" +tm "WireNameMgr" +) +) +on &10 +) +*272 (Wire +uid 890,0 +shape (OrthoPolyLine +uid 891,0 +va (VaSet +vasetType 3 +) +xt "39000,7000,41000,7000" +pts [ +"39000,7000" +"41000,7000" +] +) +end &230 +sat 16 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 896,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 897,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "35000,6100,38500,7000" +st "mac_clk" +blo "35000,6800" +tm "WireNameMgr" +) +) +on &17 +) +*273 (Wire +uid 922,0 +shape (OrthoPolyLine +uid 923,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "44000,3000,46000,3000" +pts [ +"44000,3000" +"46000,3000" +] +) +start &230 +sat 2 +eat 16 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 928,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 929,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "47000,2100,55000,3000" +st "fifo_addr_write" +blo "47000,2800" +tm "WireNameMgr" +) +) +on &13 +) +*274 (Wire +uid 930,0 +shape (OrthoPolyLine +uid 931,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "44000,4000,46000,4000" +pts [ +"44000,4000" +"46000,4000" +] +) +start &230 +sat 2 +eat 16 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 936,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 937,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "47000,3100,55000,4000" +st "fifo_data_write" +blo "47000,3800" +tm "WireNameMgr" +) +) +on &14 +) +*275 (Wire +uid 938,0 +shape (OrthoPolyLine +uid 939,0 +va (VaSet +vasetType 3 +) +xt "44000,5000,46000,5000" +pts [ +"44000,5000" +"46000,5000" +] +) +start &230 +sat 2 +eat 16 +stc 0 +st 0 +si 0 +tg (WTG +uid 944,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 945,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "47000,4100,50500,5000" +st "fifo_we" +blo "47000,4800" +tm "WireNameMgr" +) +) +on &15 +) +*276 (Wire +uid 962,0 +shape (OrthoPolyLine +uid 963,0 +va (VaSet +vasetType 3 +) +xt "74000,5000,76000,5000" +pts [ +"74000,5000" +"76000,5000" +] +) +end &47 +sat 16 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 968,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 969,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "70000,4100,73500,5000" +st "mac_clk" +blo "70000,4800" +tm "WireNameMgr" +) +) +on &17 +) +*277 (Wire +uid 1002,0 +shape (OrthoPolyLine +uid 1003,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "79000,3000,80000,3000" +pts [ +"79000,3000" +"80000,3000" +] +) +start &47 +end &18 +es 0 +sat 4 +eat 32 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 1008,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1009,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "76000,2100,79500,3000" +st "mac_txd" +blo "76000,2800" +tm "WireNameMgr" +) +) +on &19 +) +*278 (Wire +uid 1010,0 +shape (OrthoPolyLine +uid 1011,0 +va (VaSet +vasetType 3 +) +xt "79000,4000,80000,4000" +pts [ +"79000,4000" +"80000,4000" +] +) +start &47 +end &20 +es 0 +sat 4 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 1016,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1017,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "78000,3100,82000,4000" +st "mac_txdv" +blo "78000,3800" +tm "WireNameMgr" +) +) +on &21 +) +*279 (Wire +uid 1034,0 +shape (OrthoPolyLine +uid 1035,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "79000,6000,81000,6000" +pts [ +"79000,6000" +"81000,6000" +] +) +start &47 +sat 4 +eat 16 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 1040,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1041,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "82000,5100,88000,6000" +st "second_byte" +blo "82000,5800" +tm "WireNameMgr" +) +) +on &12 +) +*280 (Bundle +uid 1052,0 +shape (OrthoPolyLine +uid 1053,0 +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +xt "79000,0,93000,5000" +pts [ +"79000,5000" +"85000,5000" +"85000,0" +"93000,0" +] +) +start &47 +end &226 +sat 2 +eat 1 +textGroup (BiTextGroup +uid 1058,0 +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 1059,0 +va (VaSet +font "courier,8,0" +) +xt "80000,4100,88000,5000" +st "bundle_SM_eb5_0" +blo "80000,4800" +tm "BundleNameMgr" +) +second (MLText +uid 1060,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "80000,5000,96000,5900" +st "(packet_len_rp,packet_sent_len)" +tm "BundleContentsMgr" +) +) +bundleNet &235 +) +&236 +&237 +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *281 (PackageList +uid 1085,0 +stg "VerticalLayoutStrategy" +textVec [ +*282 (Text +uid 1086,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,25000,26500,25900" +st "Package List" +blo "20000,25700" +) +*283 (MLText +uid 1087,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "20000,25900,34500,29500" +st "LIBRARY ieee; +USE ieee.std_logic_1164.all; +USE ieee.numeric_std.all; + +" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 1088,0 +stg "VerticalLayoutStrategy" +textVec [ +*284 (Text +uid 1089,0 +va (VaSet +font "courier,8,1" +) +xt "0,25000,10000,25900" +st "Compiler Directives" +blo "0,25700" +) +*285 (Text +uid 1090,0 +va (VaSet +font "courier,8,1" +) +xt "0,25900,11500,26800" +st "Pre-module directives:" +blo "0,26600" +) +*286 (MLText +uid 1091,0 +va (VaSet +font "courier,8,0" +) +xt "0,26800,41500,45700" +st "`timescale 1ns / 1ps +`include \"ipbus_v_defs.v\" +////////////////////////////////////////////////////////////////////////////////// +// Company: +// Engineer: +// +// Create Date: 08:57:35 01/07/2010 +// Design Name: +// Module Name: gbe_packetbuffer +// Project Name: +// Target Devices: +// Tool versions: +// Description: +// +// Dependencies: +// +// Revision: +// Revision 0.01 - File Created +// Additional Comments: +// +////////////////////////////////////////////////////////////////////////////////// +" +tm "BdCompilerDirectivesTextMgr" +) +*287 (Text +uid 1092,0 +va (VaSet +font "courier,8,1" +) +xt "0,45700,12000,46600" +st "Post-module directives:" +blo "0,46400" +) +*288 (MLText +uid 1093,0 +va (VaSet +font "courier,8,0" +) +xt "0,25000,0,25000" +tm "BdCompilerDirectivesTextMgr" +) +*289 (Text +uid 1094,0 +va (VaSet +font "courier,8,1" +) +xt "0,46600,11500,47500" +st "End-module directives:" +blo "0,47300" +) +*290 (MLText +uid 1095,0 +va (VaSet +font "courier,8,0" +) +xt "0,47500,0,47500" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "0,0,1015,690" +viewArea "-11000,-2000,102000,57400" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +lastUid 1095,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,4000,1900" +st "Panel0" +blo "1000,1700" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*291 (Text +va (VaSet +font "courier,8,1" +) +xt "1750,3650,6250,4550" +st "<library>" +blo "1750,4350" +tm "BdLibraryNameMgr" +) +*292 (Text +va (VaSet +font "courier,8,1" +) +xt "1750,4550,5250,5450" +st "<block>" +blo "1750,5250" +tm "BlkNameMgr" +) +*293 (Text +va (VaSet +font "courier,8,1" +) +xt "1750,5450,2750,6350" +st "I0" +blo "1750,6150" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "1750,13650,1750,13650" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*294 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,3650,4500,4550" +st "Library" +blo "1000,4350" +) +*295 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,4550,7000,5450" +st "MWComponent" +blo "1000,5250" +) +*296 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,5450,2000,6350" +st "I0" +blo "1000,6150" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6000,1650,-6000,1650" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*297 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,3650,4500,4550" +st "Library" +blo "1000,4350" +tm "BdLibraryNameMgr" +) +*298 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,4550,7000,5450" +st "SaComponent" +blo "1000,5250" +tm "CptNameMgr" +) +*299 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,5450,2000,6350" +st "I0" +blo "1000,6150" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6000,1650,-6000,1650" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +portVis (PortSigDisplay +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*300 (Text +va (VaSet +font "courier,8,1" +) +xt "500,3650,4000,4550" +st "Library" +blo "500,4350" +) +*301 (Text +va (VaSet +font "courier,8,1" +) +xt "500,4550,7500,5450" +st "VhdlComponent" +blo "500,5250" +) +*302 (Text +va (VaSet +font "courier,8,1" +) +xt "500,5450,1500,6350" +st "I0" +blo "500,6150" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6500,1650,-6500,1650" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-750,0,8750,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*303 (Text +va (VaSet +font "courier,8,1" +) +xt "-250,3650,3250,4550" +st "Library" +blo "-250,4350" +) +*304 (Text +va (VaSet +font "courier,8,1" +) +xt "-250,4550,8250,5450" +st "VerilogComponent" +blo "-250,5250" +) +*305 (Text +va (VaSet +font "courier,8,1" +) +xt "-250,5450,750,6350" +st "I0" +blo "-250,6150" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-7250,1650,-7250,1650" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*306 (Text +va (VaSet +font "courier,8,1" +) +xt "3250,4100,4750,5000" +st "eb1" +blo "3250,4800" +tm "HdlTextNameMgr" +) +*307 (Text +va (VaSet +font "courier,8,1" +) +xt "3250,5000,3750,5900" +st "1" +blo "3250,5700" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +font "courier,8,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +font "courier,8,1" +) +xt "-250,-450,250,450" +st "G" +blo "-250,250" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +stc 0 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +st 0 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,0,2000,900" +st "sig0" +blo "0,700" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +st 0 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,0,2500,900" +st "dbus0" +blo "0,700" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,0" +) +xt "0,0,3500,900" +st "bundle0" +blo "0,700" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +font "courier,8,0" +) +xt "0,900,1000,1800" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +font "courier,8,0" +) +) +second (MLText +va (VaSet +font "courier,8,0" +) +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,-1000,4500,-100" +st "g0: [7:0]" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1350" +) +num (Text +va (VaSet +font "courier,8,0" +) +xt "300,250,800,1150" +st "1" +blo "300,950" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*308 (Text +va (VaSet +font "courier,8,1" +) +xt "12500,20000,22000,20900" +st "Frame Declarations" +blo "12500,20700" +) +*309 (MLText +va (VaSet +font "courier,8,0" +) +xt "12500,20900,12500,20900" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,-1000,9000,-100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1350" +) +num (Text +va (VaSet +font "courier,8,0" +) +xt "300,250,800,1150" +st "1" +blo "300,950" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*310 (Text +va (VaSet +font "courier,8,1" +) +xt "12500,20000,22000,20900" +st "Frame Declarations" +blo "12500,20700" +) +*311 (MLText +va (VaSet +font "courier,8,0" +) +xt "12500,20900,12500,20900" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,2000,1650" +st "Port" +blo "0,1450" +) +) +thePort (LogicalPort +lang 5 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,2000,1650" +st "Port" +blo "0,1450" +) +) +thePort (LogicalPort +lang 5 +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "courier,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "44000,25000,50500,25900" +st "Declarations" +blo "44000,25700" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "44000,25900,47000,26800" +st "Ports:" +blo "44000,26600" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "44000,35800,48500,36700" +st "Pre User:" +blo "44000,36500" +) +preUserText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "46000,36700,58500,38500" +st " +wire packet_fifo_space; +" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "courier,8,1" +) +xt "44000,38500,52500,39400" +st "Diagram Signals:" +blo "44000,39200" +) +postUserLabel (Text +uid 7,0 +va (VaSet +font "courier,8,1" +) +xt "44000,56500,49500,57400" +st "Post User:" +blo "44000,57200" +) +postUserText (MLText +uid 8,0 +va (VaSet +font "courier,8,0" +) +xt "44000,25000,44000,25000" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 27,0 +usingSuid 1 +emptyRow *312 (LEmptyRow +) +optionalChildren [ +*313 (RefLabelRowHdr +) +*314 (TitleRowHdr +) +*315 (FilterRowHdr +) +*316 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*317 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*318 (GroupColHdr +tm "GroupColHdrMgr" +) +*319 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*320 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*321 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*322 (SignedColHdr +tm "BlockDiagramSignedColHdrMgr" +) +*323 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*324 (DelayColHdr +tm "BlockDiagramDelayColHdrMgr" +) +*325 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*326 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*327 (LeafLogPort +port (LogicalPort +lang 5 +m 4 +decl (Decl +n "packet_len_fifo" +t "reg" +b "[`pbuf_awidth - 1:0][7:0]" +eolc "// store up to eight outgoing packets at once" +o 1 +suid 1,0 +) +) +uid 558,0 +) +*328 (LeafLogPort +port (LogicalPort +lang 5 +m 4 +decl (Decl +n "packet_len_wp" +t "reg" +b "[2:0]" +o 2 +suid 2,0 +) +) +uid 560,0 +) +*329 (LeafLogPort +port (LogicalPort +lang 5 +m 4 +decl (Decl +n "packet_len_rp" +t "reg" +b "[2:0]" +o 3 +suid 3,0 +) +) +uid 562,0 +) +*330 (LeafLogPort +port (LogicalPort +lang 5 +m 4 +decl (Decl +n "fifo_base_write" +t "reg" +b "[`pbuf_awidth - 1:0]" +o 4 +suid 4,0 +) +) +uid 564,0 +) +*331 (LeafLogPort +port (LogicalPort +lang 5 +m 4 +decl (Decl +n "fifo_addr_read" +t "reg" +b "[`pbuf_awidth - 1:0]" +o 5 +suid 5,0 +) +) +uid 566,0 +) +*332 (LeafLogPort +port (LogicalPort +lang 5 +m 4 +decl (Decl +n "packet_len_full" +t "wire" +o 6 +suid 6,0 +) +) +uid 568,0 +) +*333 (LeafLogPort +port (LogicalPort +lang 5 +m 4 +decl (Decl +n "packet_len_empty" +t "wire" +o 7 +suid 7,0 +) +) +uid 570,0 +) +*334 (LeafLogPort +port (LogicalPort +lang 5 +m 4 +decl (Decl +n "was_packet_done" +t "reg" +o 8 +suid 9,0 +) +) +uid 574,0 +) +*335 (LeafLogPort +port (LogicalPort +lang 5 +m 4 +decl (Decl +n "packet_sent_len" +t "reg" +b "[`pbuf_awidth - 1:0]" +o 9 +suid 11,0 +) +) +uid 578,0 +) +*336 (LeafLogPort +port (LogicalPort +lang 5 +m 4 +decl (Decl +n "end_of_output" +t "wire" +o 10 +suid 12,0 +) +) +uid 580,0 +) +*337 (LeafLogPort +port (LogicalPort +lang 5 +m 4 +decl (Decl +n "fifo_read" +t "wire" +b "[7:0]" +prec "parameter ST_IDLE = 2'h0; +parameter ST_FIRSTBYTE = 2'h1; +parameter ST_WAITSTART = 2'h2; +parameter ST_BYTES = 2'h3;" +preAdd 0 +o 11 +suid 13,0 +) +) +uid 582,0 +) +*338 (LeafLogPort +port (LogicalPort +lang 5 +m 4 +decl (Decl +n "second_byte" +t "reg" +b "[7:0]" +o 12 +suid 14,0 +) +) +uid 584,0 +) +*339 (LeafLogPort +port (LogicalPort +lang 5 +m 4 +decl (Decl +n "fifo_addr_write" +t "reg" +b "[`pbuf_awidth - 1:0]" +o 13 +suid 15,0 +iv "0" +) +) +uid 586,0 +) +*340 (LeafLogPort +port (LogicalPort +lang 5 +m 4 +decl (Decl +n "fifo_data_write" +t "reg" +b "[7:0]" +o 14 +suid 16,0 +iv "8'b0" +) +) +uid 588,0 +) +*341 (LeafLogPort +port (LogicalPort +lang 5 +m 4 +decl (Decl +n "fifo_we" +t "reg" +o 15 +suid 17,0 +iv "0" +) +) +uid 590,0 +) +*342 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "mac_clk" +t "wire" +o 16 +suid 18,0 +) +) +uid 592,0 +) +*343 (LeafLogPort +port (LogicalPort +lang 5 +m 1 +decl (Decl +n "mac_txd" +t "reg" +b "[7:0]" +o 17 +suid 19,0 +) +) +uid 594,0 +) +*344 (LeafLogPort +port (LogicalPort +lang 5 +m 1 +decl (Decl +n "mac_txdv" +t "reg" +o 18 +suid 20,0 +) +) +uid 596,0 +) +*345 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "mac_txack" +t "wire" +o 19 +suid 21,0 +) +) +uid 598,0 +) +*346 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "packet_txd" +t "wire" +b "[7:0]" +o 20 +suid 22,0 +) +) +uid 600,0 +) +*347 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "packet_addr" +t "wire" +b "[`pbuf_awidth - 1:0]" +o 21 +suid 23,0 +) +) +uid 602,0 +) +*348 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "packet_len" +t "wire" +b "[`pbuf_awidth - 1:0]" +o 22 +suid 24,0 +) +) +uid 604,0 +) +*349 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "packet_we" +t "wire" +o 23 +suid 25,0 +) +) +uid 606,0 +) +*350 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "packet_done" +t "wire" +o 24 +suid 26,0 +) +) +uid 608,0 +) +*351 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "reset" +t "wire" +o 25 +suid 27,0 +) +) +uid 610,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +optionalChildren [ +*352 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *353 (MRCItem +litem &312 +pos 3 +dimension 20 +) +optionalChildren [ +*354 (MRCItem +litem &313 +pos 0 +dimension 20 +) +*355 (MRCItem +litem &314 +pos 1 +dimension 23 +) +*356 (MRCItem +litem &315 +pos 2 +hidden 1 +dimension 20 +) +*357 (MRCItem +litem &327 +pos 0 +dimension 20 +uid 559,0 +) +*358 (MRCItem +litem &328 +pos 1 +dimension 20 +uid 561,0 +) +*359 (MRCItem +litem &329 +pos 2 +dimension 20 +uid 563,0 +) +*360 (MRCItem +litem &330 +pos 3 +dimension 20 +uid 565,0 +) +*361 (MRCItem +litem &331 +pos 4 +dimension 20 +uid 567,0 +) +*362 (MRCItem +litem &332 +pos 5 +dimension 20 +uid 569,0 +) +*363 (MRCItem +litem &333 +pos 6 +dimension 20 +uid 571,0 +) +*364 (MRCItem +litem &334 +pos 7 +dimension 20 +uid 575,0 +) +*365 (MRCItem +litem &335 +pos 8 +dimension 20 +uid 579,0 +) +*366 (MRCItem +litem &336 +pos 9 +dimension 20 +uid 581,0 +) +*367 (MRCItem +litem &337 +pos 10 +dimension 20 +uid 583,0 +) +*368 (MRCItem +litem &338 +pos 11 +dimension 20 +uid 585,0 +) +*369 (MRCItem +litem &339 +pos 12 +dimension 20 +uid 587,0 +) +*370 (MRCItem +litem &340 +pos 13 +dimension 20 +uid 589,0 +) +*371 (MRCItem +litem &341 +pos 14 +dimension 20 +uid 591,0 +) +*372 (MRCItem +litem &342 +pos 15 +dimension 20 +uid 593,0 +) +*373 (MRCItem +litem &343 +pos 16 +dimension 20 +uid 595,0 +) +*374 (MRCItem +litem &344 +pos 17 +dimension 20 +uid 597,0 +) +*375 (MRCItem +litem &345 +pos 18 +dimension 20 +uid 599,0 +) +*376 (MRCItem +litem &346 +pos 19 +dimension 20 +uid 601,0 +) +*377 (MRCItem +litem &347 +pos 20 +dimension 20 +uid 603,0 +) +*378 (MRCItem +litem &348 +pos 21 +dimension 20 +uid 605,0 +) +*379 (MRCItem +litem &349 +pos 22 +dimension 20 +uid 607,0 +) +*380 (MRCItem +litem &350 +pos 23 +dimension 20 +uid 609,0 +) +*381 (MRCItem +litem &351 +pos 24 +dimension 20 +uid 611,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +optionalChildren [ +*382 (MRCItem +litem &316 +pos 0 +dimension 20 +) +*383 (MRCItem +litem &318 +pos 1 +dimension 50 +) +*384 (MRCItem +litem &319 +pos 2 +dimension 100 +) +*385 (MRCItem +litem &320 +pos 3 +dimension 50 +) +*386 (MRCItem +litem &321 +pos 4 +dimension 100 +) +*387 (MRCItem +litem &322 +pos 5 +dimension 60 +) +*388 (MRCItem +litem &323 +pos 6 +dimension 100 +) +*389 (MRCItem +litem &324 +pos 7 +dimension 50 +) +*390 (MRCItem +litem &325 +pos 8 +dimension 50 +) +*391 (MRCItem +litem &326 +pos 9 +dimension 80 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +vaOverrides [ +] +) +] +) +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *392 (LEmptyRow +) +optionalChildren [ +*393 (RefLabelRowHdr +) +*394 (TitleRowHdr +) +*395 (FilterRowHdr +) +*396 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*397 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*398 (GroupColHdr +tm "GroupColHdrMgr" +) +*399 (NameColHdr +tm "GenericNameColHdrMgr" +) +*400 (InitColHdr +tm "GenericValueColHdrMgr" +) +*401 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +optionalChildren [ +*402 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *403 (MRCItem +litem &392 +pos 3 +dimension 20 +) +optionalChildren [ +*404 (MRCItem +litem &393 +pos 0 +dimension 20 +) +*405 (MRCItem +litem &394 +pos 1 +dimension 23 +) +*406 (MRCItem +litem &395 +pos 2 +hidden 1 +dimension 20 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +optionalChildren [ +*407 (MRCItem +litem &396 +pos 0 +dimension 20 +) +*408 (MRCItem +litem &398 +pos 1 +dimension 50 +) +*409 (MRCItem +litem &399 +pos 2 +dimension 100 +) +*410 (MRCItem +litem &400 +pos 3 +dimension 50 +) +*411 (MRCItem +litem &401 +pos 4 +dimension 80 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +vaOverrides [ +] +) +] +) +type 1 +) +) diff --git a/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/icmp/struct.bd b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/icmp/struct.bd new file mode 100644 index 00000000..dfa32818 --- /dev/null +++ b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/icmp/struct.bd @@ -0,0 +1,5417 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +language 1 +dialect 5 +dmPackageRefs [ +] +instances [ +(Instance +name "csum_icmp" +duLibraryName "fmc_mTLU_lib" +duName "ip_checksum_8bit" +elements [ +] +mwi 0 +uid 219,0 +) +] +embeddedInstances [ +(EmbeddedInstance +name "eb1" +number "1" +) +(EmbeddedInstance +name "eb2" +number "2" +) +(EmbeddedInstance +name "eb3" +number "3" +) +(EmbeddedInstance +name "eb4" +number "4" +) +(EmbeddedInstance +name "eb5" +number "5" +) +] +properties [ +(HdrProperty +class "HDS" +name "DocView" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/workspace/IPbusFirmware/firmware/ipbus/hdl/icmp.v" +) +(HdrProperty +class "HDS" +name "DocViewState" +value "1352727141" +) +] +) +version "30.1" +appVersion "2010.3 (Build 21)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl" +) +(vvPair +variable "HDSDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/icmp/struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/icmp/struct.bd.user" +) +(vvPair +variable "SourceDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "config" +value "%(unit)_config" +) +(vvPair +variable "d" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/icmp" +) +(vvPair +variable "d_logical" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/icmp" +) +(vvPair +variable "date" +value "11/13/12" +) +(vvPair +variable "day" +value "Tue" +) +(vvPair +variable "day_long" +value "Tuesday" +) +(vvPair +variable "dd" +value "13" +) +(vvPair +variable "entity_name" +value "icmp" +) +(vvPair +variable "ext" +value "<TBD>" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "group" +value "HEP_Instrumentation" +) +(vvPair +variable "host" +value "kipper.phy.bris.ac.uk" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "fmc_mTLU_lib" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/designcheck" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "icmp" +) +(vvPair +variable "month" +value "Nov" +) +(vvPair +variable "month_long" +value "November" +) +(vvPair +variable "p" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/icmp/struct.bd" +) +(vvPair +variable "p_logical" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/icmp/struct.bd" +) +(vvPair +variable "package_name" +value "<Undefined Variable>" +) +(vvPair +variable "project_name" +value "fmc_mTLU" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "<TBD>" +) +(vvPair +variable "task_DesignCompilerPath" +value "<TBD>" +) +(vvPair +variable "task_LeonardoPath" +value "/opt/CAD/Mentor/LeonardoSpectrum_2008a/bin" +) +(vvPair +variable "task_ModelSimPath" +value "/opt/CAD/Mentor/Modelsim/6.4a/modeltech/bin" +) +(vvPair +variable "task_NC-SimPath" +value "<TBD>" +) +(vvPair +variable "task_PrecisionRTLPath" +value "/opt/CAD/Mentor/Precis_Synth_2009a_update2/Mgc_home/bin" +) +(vvPair +variable "task_QuestaSimPath" +value "/opt/CAD/Mentor/HDS2008.1b/questasim/bin" +) +(vvPair +variable "task_VCSPath" +value "<TBD>" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "16:59:31" +) +(vvPair +variable "unit" +value "icmp" +) +(vvPair +variable "user" +value "phdgc" +) +(vvPair +variable "version" +value "2010.3 (Build 21)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2012" +) +(vvPair +variable "yy" +value "12" +) +] +) +LanguageMgr "Verilog2001LangMgr" +optionalChildren [ +*1 (Net +uid 11,0 +lang 5 +decl (Decl +n "resp_read_addr" +t "reg" +b "[9:0]" +prec "// to be worth responding to, HARDWARE = 0x0 0x1, PROTOCOL = 0x8 0x0, +// HLEN = 0x6, PLEN=0x4, OPERATION = 0x0 0x1 +// TARGET IA = my IP + + // wire [9:0] resp_read_addr; + // wire [7:0] resp_data;" +preAdd 0 +o 1 +suid 2,0 +) +declText (MLText +uid 12,0 +va (VaSet +font "courier,8,0" +) +xt "46000,57400,82000,63700" +st "// to be worth responding to, HARDWARE = 0x0 0x1, PROTOCOL = 0x8 0x0, +// HLEN = 0x6, PLEN=0x4, OPERATION = 0x0 0x1 +// TARGET IA = my IP + + // wire [9:0] resp_read_addr; + // wire [7:0] resp_data; +reg [9:0] resp_read_addr; +" +) +) +*2 (Net +uid 13,0 +lang 5 +decl (Decl +n "resp_data" +t "reg" +b "[7:0]" +o 2 +suid 3,0 +) +declText (MLText +uid 14,0 +va (VaSet +font "courier,8,0" +) +xt "46000,63700,58000,64600" +st "reg [7:0] resp_data; +" +) +) +*3 (Net +uid 15,0 +lang 5 +decl (Decl +n "ICMP_type" +t "reg" +b "[7:0]" +o 3 +suid 4,0 +) +declText (MLText +uid 16,0 +va (VaSet +font "courier,8,0" +) +xt "46000,64600,58000,65500" +st "reg [7:0] ICMP_type; +" +) +) +*4 (Net +uid 17,0 +lang 5 +decl (Decl +n "reset_reg_int" +t "reg" +b "[31:0]" +o 4 +suid 5,0 +) +declText (MLText +uid 18,0 +va (VaSet +font "courier,8,0" +) +xt "46000,65500,60000,66400" +st "reg [31:0] reset_reg_int; +" +) +) +*5 (Net +uid 19,0 +lang 5 +decl (Decl +n "checksum_toggle" +t "reg" +o 5 +suid 6,0 +) +declText (MLText +uid 20,0 +va (VaSet +font "courier,8,0" +) +xt "46000,66400,61000,67300" +st "reg checksum_toggle; +" +) +) +*6 (Net +uid 21,0 +lang 5 +decl (Decl +n "icmp_checksum" +t "wire" +b "[15:0]" +o 6 +suid 7,0 +) +declText (MLText +uid 22,0 +va (VaSet +font "courier,8,0" +) +xt "46000,67300,60000,68200" +st "wire [15:0] icmp_checksum; +" +) +) +*7 (Net +uid 23,0 +lang 5 +decl (Decl +n "in_icmp_addresses" +t "wire" +o 7 +suid 8,0 +) +declText (MLText +uid 24,0 +va (VaSet +font "courier,8,0" +) +xt "46000,68200,62000,69100" +st "wire in_icmp_addresses; +" +) +) +*8 (Net +uid 25,0 +lang 5 +decl (Decl +n "icmp_csum_cond" +t "wire" +o 8 +suid 9,0 +) +declText (MLText +uid 26,0 +va (VaSet +font "courier,8,0" +) +xt "46000,69100,60500,70000" +st "wire icmp_csum_cond; +" +) +) +*9 (PortIoIn +uid 27,0 +shape (CompositeShape +uid 28,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 29,0 +sl 0 +ro 270 +xt "-5000,34625,-3500,35375" +) +(Line +uid 30,0 +sl 0 +ro 270 +xt "-3500,35000,-3000,35000" +pts [ +"-3500,35000" +"-3000,35000" +] +) +] +) +stc 0 +tg (WTG +uid 31,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 32,0 +va (VaSet +font "courier,8,0" +) +xt "-9500,34500,-6000,35400" +st "mac_clk" +ju 2 +blo "-6000,35200" +tm "WireNameMgr" +) +) +) +*10 (Net +uid 33,0 +lang 5 +decl (Decl +n "mac_clk" +t "wire" +o 9 +suid 10,0 +) +declText (MLText +uid 34,0 +va (VaSet +font "courier,8,0" +) +xt "46000,44800,57000,45700" +st "wire mac_clk; +" +) +) +*11 (PortIoIn +uid 41,0 +shape (CompositeShape +uid 42,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 43,0 +sl 0 +ro 270 +xt "27000,-1375,28500,-625" +) +(Line +uid 44,0 +sl 0 +ro 270 +xt "28500,-1000,29000,-1000" +pts [ +"28500,-1000" +"29000,-1000" +] +) +] +) +stc 0 +tg (WTG +uid 45,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 46,0 +va (VaSet +font "courier,8,0" +) +xt "23500,-1500,26000,-600" +st "reset" +ju 2 +blo "26000,-800" +tm "WireNameMgr" +) +) +) +*12 (Net +uid 47,0 +lang 5 +decl (Decl +n "reset" +t "wire" +o 10 +suid 11,0 +) +declText (MLText +uid 48,0 +va (VaSet +font "courier,8,0" +) +xt "46000,45700,56000,46600" +st "wire reset; +" +) +) +*13 (PortIoIn +uid 55,0 +shape (CompositeShape +uid 56,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 57,0 +sl 0 +ro 270 +xt "27000,-375,28500,375" +) +(Line +uid 58,0 +sl 0 +ro 270 +xt "28500,0,29000,0" +pts [ +"28500,0" +"29000,0" +] +) +] +) +stc 0 +tg (WTG +uid 59,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 60,0 +va (VaSet +font "courier,8,0" +) +xt "19500,-500,26000,400" +st "packet_ready" +ju 2 +blo "26000,200" +tm "WireNameMgr" +) +) +) +*14 (Net +uid 61,0 +lang 5 +decl (Decl +n "packet_ready" +t "wire" +o 11 +suid 12,0 +) +declText (MLText +uid 62,0 +va (VaSet +font "courier,8,0" +) +xt "46000,46600,59500,47500" +st "wire packet_ready; +" +) +) +*15 (PortIoOut +uid 69,0 +shape (CompositeShape +uid 70,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 71,0 +sl 0 +ro 270 +xt "34500,-1375,36000,-625" +) +(Line +uid 72,0 +sl 0 +ro 270 +xt "34000,-1000,34500,-1000" +pts [ +"34000,-1000" +"34500,-1000" +] +) +] +) +stc 0 +tg (WTG +uid 73,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 74,0 +va (VaSet +font "courier,8,0" +) +xt "37000,-1500,45500,-600" +st "done_with_packet" +blo "37000,-800" +tm "WireNameMgr" +) +) +) +*16 (Net +uid 75,0 +lang 5 +decl (Decl +n "done_with_packet" +t "reg" +o 12 +suid 13,0 +) +declText (MLText +uid 76,0 +va (VaSet +font "courier,8,0" +) +xt "46000,47500,61500,48400" +st "reg done_with_packet; +" +) +) +*17 (PortIoIn +uid 83,0 +shape (CompositeShape +uid 84,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 85,0 +sl 0 +ro 270 +xt "-4000,16625,-2500,17375" +) +(Line +uid 86,0 +sl 0 +ro 270 +xt "-2500,17000,-2000,17000" +pts [ +"-2500,17000" +"-2000,17000" +] +) +] +) +stc 0 +tg (WTG +uid 87,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 88,0 +va (VaSet +font "courier,8,0" +) +xt "-11000,16500,-5000,17400" +st "packet_data" +ju 2 +blo "-5000,17200" +tm "WireNameMgr" +) +) +) +*18 (Net +uid 89,0 +lang 5 +decl (Decl +n "packet_data" +t "wire" +b "[7:0]" +o 13 +suid 14,0 +) +declText (MLText +uid 90,0 +va (VaSet +font "courier,8,0" +) +xt "46000,48400,59000,49300" +st "wire [7:0] packet_data; +" +) +) +*19 (PortIoOut +uid 97,0 +shape (CompositeShape +uid 98,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 99,0 +sl 0 +ro 270 +xt "34500,-375,36000,375" +) +(Line +uid 100,0 +sl 0 +ro 270 +xt "34000,0,34500,0" +pts [ +"34000,0" +"34500,0" +] +) +] +) +stc 0 +tg (WTG +uid 101,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 102,0 +va (VaSet +font "courier,8,0" +) +xt "37000,-500,45500,400" +st "packet_read_addr" +blo "37000,200" +tm "WireNameMgr" +) +) +) +*20 (Net +uid 103,0 +lang 5 +decl (Decl +n "packet_read_addr" +t "reg" +b "[9:0]" +o 14 +suid 15,0 +) +declText (MLText +uid 104,0 +va (VaSet +font "courier,8,0" +) +xt "46000,49300,61500,50200" +st "reg [9:0] packet_read_addr; +" +) +) +*21 (PortIoOut +uid 111,0 +shape (CompositeShape +uid 112,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 113,0 +sl 0 +ro 270 +xt "41500,16625,43000,17375" +) +(Line +uid 114,0 +sl 0 +ro 270 +xt "41000,17000,41500,17000" +pts [ +"41000,17000" +"41500,17000" +] +) +] +) +stc 0 +tg (WTG +uid 115,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 116,0 +va (VaSet +font "courier,8,0" +) +xt "44000,16500,49500,17400" +st "packet_out" +blo "44000,17200" +tm "WireNameMgr" +) +) +) +*22 (Net +uid 117,0 +lang 5 +decl (Decl +n "packet_out" +t "reg" +b "[7:0]" +o 15 +suid 16,0 +) +declText (MLText +uid 118,0 +va (VaSet +font "courier,8,0" +) +xt "46000,50200,58500,51100" +st "reg [7:0] packet_out; +" +) +) +*23 (PortIoOut +uid 125,0 +shape (CompositeShape +uid 126,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 127,0 +sl 0 +ro 270 +xt "34500,625,36000,1375" +) +(Line +uid 128,0 +sl 0 +ro 270 +xt "34000,1000,34500,1000" +pts [ +"34000,1000" +"34500,1000" +] +) +] +) +stc 0 +tg (WTG +uid 129,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 130,0 +va (VaSet +font "courier,8,0" +) +xt "37000,500,44500,1400" +st "packet_out_len" +blo "37000,1200" +tm "WireNameMgr" +) +) +) +*24 (Net +uid 131,0 +lang 5 +decl (Decl +n "packet_out_len" +t "reg" +b "[9:0]" +o 16 +suid 17,0 +) +declText (MLText +uid 132,0 +va (VaSet +font "courier,8,0" +) +xt "46000,51100,60500,52000" +st "reg [9:0] packet_out_len; +" +) +) +*25 (PortIoOut +uid 139,0 +shape (CompositeShape +uid 140,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 141,0 +sl 0 +ro 270 +xt "41500,17625,43000,18375" +) +(Line +uid 142,0 +sl 0 +ro 270 +xt "41000,18000,41500,18000" +pts [ +"41000,18000" +"41500,18000" +] +) +] +) +stc 0 +tg (WTG +uid 143,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 144,0 +va (VaSet +font "courier,8,0" +) +xt "44000,17500,52000,18400" +st "packet_out_addr" +blo "44000,18200" +tm "WireNameMgr" +) +) +) +*26 (Net +uid 145,0 +lang 5 +decl (Decl +n "packet_out_addr" +t "reg" +b "[9:0]" +o 17 +suid 18,0 +) +declText (MLText +uid 146,0 +va (VaSet +font "courier,8,0" +) +xt "46000,52000,61000,52900" +st "reg [9:0] packet_out_addr; +" +) +) +*27 (PortIoOut +uid 153,0 +shape (CompositeShape +uid 154,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 155,0 +sl 0 +ro 270 +xt "34500,1625,36000,2375" +) +(Line +uid 156,0 +sl 0 +ro 270 +xt "34000,2000,34500,2000" +pts [ +"34000,2000" +"34500,2000" +] +) +] +) +stc 0 +tg (WTG +uid 157,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 158,0 +va (VaSet +font "courier,8,0" +) +xt "37000,1500,44000,2400" +st "packet_out_we" +blo "37000,2200" +tm "WireNameMgr" +) +) +) +*28 (Net +uid 159,0 +lang 5 +decl (Decl +n "packet_out_we" +t "reg" +o 18 +suid 19,0 +) +declText (MLText +uid 160,0 +va (VaSet +font "courier,8,0" +) +xt "46000,52900,60000,53800" +st "reg packet_out_we; +" +) +) +*29 (PortIoOut +uid 167,0 +shape (CompositeShape +uid 168,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 169,0 +sl 0 +ro 270 +xt "34500,2625,36000,3375" +) +(Line +uid 170,0 +sl 0 +ro 270 +xt "34000,3000,34500,3000" +pts [ +"34000,3000" +"34500,3000" +] +) +] +) +stc 0 +tg (WTG +uid 171,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 172,0 +va (VaSet +font "courier,8,0" +) +xt "37000,2500,43000,3400" +st "packet_xmit" +blo "37000,3200" +tm "WireNameMgr" +) +) +) +*30 (Net +uid 173,0 +lang 5 +decl (Decl +n "packet_xmit" +t "reg" +o 19 +suid 20,0 +) +declText (MLText +uid 174,0 +va (VaSet +font "courier,8,0" +) +xt "46000,53800,59000,54700" +st "reg packet_xmit; +" +) +) +*31 (PortIoOut +uid 181,0 +shape (CompositeShape +uid 182,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 183,0 +sl 0 +ro 270 +xt "34500,3625,36000,4375" +) +(Line +uid 184,0 +sl 0 +ro 270 +xt "34000,4000,34500,4000" +pts [ +"34000,4000" +"34500,4000" +] +) +] +) +stc 0 +tg (WTG +uid 185,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 186,0 +va (VaSet +font "courier,8,0" +) +xt "37000,3500,44000,4400" +st "reset_reg_out" +blo "37000,4200" +tm "WireNameMgr" +) +) +) +*32 (Net +uid 187,0 +lang 5 +decl (Decl +n "reset_reg_out" +t "reg" +b "[31:0]" +o 20 +suid 21,0 +) +declText (MLText +uid 188,0 +va (VaSet +font "courier,8,0" +) +xt "46000,54700,60000,55600" +st "reg [31:0] reset_reg_out; +" +) +) +*33 (SaComponent +uid 219,0 +optionalChildren [ +*34 (CptPort +uid 229,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 230,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "4250,2625,5000,3375" +) +tg (CPTG +uid 231,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 232,0 +va (VaSet +font "courier,8,0" +) +xt "6000,2550,7500,3450" +st "clk" +blo "6000,3250" +) +) +thePort (LogicalPort +lang 3 +decl (Decl +n "clk" +t "wire" +preAdd 0 +posAdd 0 +o 1 +) +) +) +*35 (CptPort +uid 233,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 234,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "4250,3625,5000,4375" +) +tg (CPTG +uid 235,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 236,0 +va (VaSet +font "courier,8,0" +) +xt "6000,3550,9500,4450" +st "dv_even" +blo "6000,4250" +) +) +thePort (LogicalPort +lang 3 +decl (Decl +n "dv_even" +t "wire" +preAdd 0 +posAdd 0 +o 2 +) +) +) +*36 (CptPort +uid 237,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 238,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "4250,4625,5000,5375" +) +tg (CPTG +uid 239,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 240,0 +va (VaSet +font "courier,8,0" +) +xt "6000,4550,9000,5450" +st "dv_odd" +blo "6000,5250" +) +) +thePort (LogicalPort +lang 3 +decl (Decl +n "dv_odd" +t "wire" +preAdd 0 +posAdd 0 +o 3 +) +) +) +*37 (CptPort +uid 241,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 242,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "4250,5625,5000,6375" +) +tg (CPTG +uid 243,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 244,0 +va (VaSet +font "courier,8,0" +) +xt "6000,5550,8500,6450" +st "reset" +blo "6000,6250" +) +) +thePort (LogicalPort +lang 3 +decl (Decl +n "reset" +t "wire" +preAdd 0 +posAdd 0 +o 4 +) +) +) +*38 (CptPort +uid 245,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 246,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "15000,5625,15750,6375" +) +tg (CPTG +uid 247,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 248,0 +va (VaSet +font "courier,8,0" +) +xt "10000,5550,14000,6450" +st "checksum" +ju 2 +blo "14000,6250" +) +) +thePort (LogicalPort +lang 3 +m 1 +decl (Decl +n "checksum" +t "reg" +b "[15:0]" +preAdd 0 +posAdd 0 +o 5 +) +) +) +*39 (CptPort +uid 249,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 250,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "4250,1625,5000,2375" +) +tg (CPTG +uid 251,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 252,0 +va (VaSet +font "courier,8,0" +) +xt "6000,1550,8000,2450" +st "data" +blo "6000,2250" +) +) +thePort (LogicalPort +lang 3 +decl (Decl +n "data" +t "wire" +b "[7:0]" +preAdd 0 +posAdd 0 +o 6 +) +) +) +*40 (PortMapFrame +uid 253,0 +ps "PortMapFrameStrategy" +shape (RectFrame +uid 254,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "3000,-1000,17000,9000" +) +portMapText (BiTextGroup +uid 255,0 +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +uid 256,0 +va (VaSet +font "courier,8,0" +) +xt "17000,9000,30000,11700" +st ".clk(mac_clk), +.data(packet_out), +.checksum(icmp_checksum)," +) +second (MLText +uid 257,0 +va (VaSet +font "courier,8,0" +) +xt "17000,11700,41500,14400" +st ".reset(state==STATE_IDLE), +.dv_even(icmp_csum_cond && !packet_out_addr[0]), +.dv_odd(icmp_csum_cond && packet_out_addr[0])" +tm "PortMapTextMgr" +) +) +) +] +shape (Rectangle +uid 220,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "5000,1000,15000,7000" +) +ttg (MlTextGroup +uid 221,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*41 (Text +uid 222,0 +va (VaSet +font "courier,8,1" +) +xt "5750,7000,12250,7900" +st "fmc_mTLU_lib" +blo "5750,7700" +tm "BdLibraryNameMgr" +) +*42 (Text +uid 223,0 +va (VaSet +font "courier,8,1" +) +xt "5750,7900,14250,8800" +st "ip_checksum_8bit" +blo "5750,8600" +tm "CptNameMgr" +) +*43 (Text +uid 224,0 +va (VaSet +font "courier,8,1" +) +xt "5750,8800,10250,9700" +st "csum_icmp" +blo "5750,9500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 225,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 226,0 +text (MLText +uid 227,0 +va (VaSet +font "courier,8,0" +) +xt "3750,1000,3750,1000" +) +header "" +) +elements [ +] +includeGenericsInHDL 0 +) +viewicon (ZoomableIcon +uid 228,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "5250,5250,6750,6750" +iconName "VerilogFileViewIcon.png" +iconMaskName "VerilogFileViewIcon.msk" +ftype 11 +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*44 (HdlText +uid 276,0 +optionalChildren [ +*45 (EmbeddedText +uid 282,0 +commentText (CommentText +uid 283,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 284,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "17000,31000,35000,36000" +) +text (MLText +uid 285,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "17200,31200,30700,33000" +st " +assign in_icmp_addresses=(packet_out_addr>=10'd34); + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +] +shape (Rectangle +uid 277,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "9000,31000,12000,34000" +) +ttg (MlTextGroup +uid 278,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*46 (Text +uid 279,0 +va (VaSet +font "courier,8,1" +) +xt "9750,31600,11250,32500" +st "eb1" +blo "9750,32300" +tm "HdlTextNameMgr" +) +*47 (Text +uid 280,0 +va (VaSet +font "courier,8,1" +) +xt "9750,32500,10250,33400" +st "1" +blo "9750,33200" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 281,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "9250,32250,10750,33750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*48 (HdlText +uid 302,0 +optionalChildren [ +*49 (EmbeddedText +uid 308,0 +commentText (CommentText +uid 309,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 310,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "38000,31000,56000,36000" +) +text (MLText +uid 311,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "38200,31200,55200,34800" +st " +//always @(posedge mac_clk) + assign icmp_csum_cond=(state==STATE_RESP_NEXT && in_icmp_addresses); + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +] +shape (Rectangle +uid 303,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "30000,31000,33000,34000" +) +ttg (MlTextGroup +uid 304,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*50 (Text +uid 305,0 +va (VaSet +font "courier,8,1" +) +xt "30750,31600,32250,32500" +st "eb2" +blo "30750,32300" +tm "HdlTextNameMgr" +) +*51 (Text +uid 306,0 +va (VaSet +font "courier,8,1" +) +xt "30750,32500,31250,33400" +st "2" +blo "30750,33200" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 307,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "30250,32250,31750,33750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*52 (HdlText +uid 328,0 +optionalChildren [ +*53 (EmbeddedText +uid 334,0 +commentText (CommentText +uid 335,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 336,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "38000,-2000,56000,3000" +) +text (MLText +uid 337,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "38200,-1800,51200,2700" +st " +always @(posedge mac_clk) + if (reset) begin + state<=STATE_IDLE; + checksum_toggle<=0; + packet_out_we<=0; + packet_xmit<=0; + done_with_packet<=0; + ICMP_type<=0; + reset_reg_out<=0; + reset_reg_int<=0; + end else case (state) + + STATE_IDLE: if (packet_ready) begin + packet_read_addr<=10'd34; // check the type - 14(ethernet header length) + 20(IPv4 header assumed length) + packet_out_addr<=0; + checksum_toggle<=0; + packet_out_we<=0; + packet_xmit<=0; + packet_out_len<=10'h3ff; + done_with_packet<=0; + reset_reg_out<=0; + reset_reg_int<=0; + state<=STATE_CHECK0WAIT; + end + + STATE_CHECK0WAIT : + state<=STATE_CHECK0; // one wait state + + STATE_CHECK0 : begin + ICMP_type<=packet_data; + if (packet_data == 8'd08) begin // only handle ping! + state<=STATE_RESP_READSET; + end else if (packet_data == 8'd42) begin // and the \"unused\" #42! + state<=STATE_RESP_READSET; + end else state<=STATE_DONEFAIL; + end + + STATE_RESP_READSET : begin // handle ping and the \"unused\" #42! + checksum_toggle<=0; + packet_read_addr<=resp_read_addr; + state<=STATE_RESP_READWAIT; + end + + STATE_RESP_READWAIT : begin + state<=STATE_RESP_READWAIT2; + end + + STATE_RESP_READWAIT2 : begin + state<=STATE_RESP_WE; + end + + STATE_RESP_WE : begin + packet_out<=resp_data; + packet_out_we<=1; + if (packet_out_addr==10'd16) packet_out_len[9:8]<=packet_data[1:0]; + else if (packet_out_addr==10'd17) packet_out_len[7:0]<=packet_data; + else if (packet_out_addr==10'd18) packet_out_len<=packet_out_len+14; // for the ethernet header + + state<=STATE_RESP_NEXT; + + if ( ICMP_type==8'd42 ) begin + if (packet_out_addr==10'd18) + if (packet_out_len!=10'd32) + state<=STATE_DONEFAIL; + else if (packet_out_addr==10'd42) reset_reg_int[31:24]<=packet_data; + else if (packet_out_addr==10'd43) reset_reg_int[23:16]<=packet_data; + else if (packet_out_addr==10'd44) reset_reg_int[15:8]<=packet_data; + else if (packet_out_addr==10'd45) reset_reg_int[7:0]<=packet_data; + end + end + + STATE_RESP_NEXT : begin + packet_out_we<=0; + checksum_toggle<=1; + if (packet_out_addr>10'd18 && packet_out_addr==packet_out_len ) + state<=STATE_ADDCHECKSUM0; + else begin + if (packet_out_addr==10'd35) packet_out_addr <= 10'd38; + else packet_out_addr<=packet_out_addr+1; + state<=STATE_RESP_READSET; + end + end + + STATE_ADDCHECKSUM0 : begin + packet_out_addr<=10'd36; + packet_out<=icmp_checksum[15:8]; + checksum_toggle<=0; + packet_out_we<=1; + state<=STATE_ADDCHECKSUM1; + end + + STATE_ADDCHECKSUM1 : begin + packet_out_addr<=10'd37; + packet_out<=icmp_checksum[7:0]; + packet_out_we<=1; + state<=STATE_ADDCHECKSUMDONE; + end + + STATE_ADDCHECKSUMDONE : begin + packet_out_we<=0; + state<=STATE_DONEOK; + end + + STATE_DONEFAIL : begin + done_with_packet<=1; + if (done_with_packet==0) state<=STATE_DONEFAIL; + else state<=STATE_PREIDLE; + end + + STATE_DONEOK : begin + reset_reg_out<=reset_reg_int; + done_with_packet<=1; + packet_xmit<=1; + if (done_with_packet==0) state<=STATE_DONEOK; + else state<=STATE_PREIDLE; + end + + STATE_PREIDLE : begin + done_with_packet<=0; + packet_xmit<=0; + if (done_with_packet==1) state<=STATE_PREIDLE; + else state<=STATE_IDLE; + end + + endcase + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +] +shape (Rectangle +uid 329,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "30000,-2000,33000,10000" +) +ttg (MlTextGroup +uid 330,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*54 (Text +uid 331,0 +va (VaSet +font "courier,8,1" +) +xt "30750,3100,32250,4000" +st "eb3" +blo "30750,3800" +tm "HdlTextNameMgr" +) +*55 (Text +uid 332,0 +va (VaSet +font "courier,8,1" +) +xt "30750,4000,31250,4900" +st "3" +blo "30750,4700" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 333,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "30250,8250,31750,9750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*56 (HdlText +uid 482,0 +optionalChildren [ +*57 (EmbeddedText +uid 488,0 +commentText (CommentText +uid 489,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 490,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "38000,22000,56000,27000" +) +text (MLText +uid 491,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "38200,22200,55200,26700" +st " +// this section sets the address of the input pointer, according to the address of the output pointer, in order to fill the ETHERNET and IPv4 headers +// it does this by simply swapping the transmit/receive MAC addresses, copies the rest of the header and swaps the transmit/receive IP addresses +// assign resp_read_addr = (packet_out_addr==10'd00)?(10'd06):// packet out 0 (MAC destination 0) <- packet in 6 (MAC source 0) + // (packet_out_addr==10'd01)?(10'd07):// packet out 1 (MAC destination 1) <- packet in 7 (MAC source 1) + // (packet_out_addr==10'd02)?(10'd08):// packet out 2 (MAC destination 2) <- packet in 8 (MAC source 2) + // (packet_out_addr==10'd03)?(10'd09):// packet out 3 (MAC destination 3) <- packet in 9 (MAC source 3) + // (packet_out_addr==10'd04)?(10'd10):// packet out 4 (MAC destination 4) <- packet in 10 (MAC source 4) + // (packet_out_addr==10'd05)?(10'd11):// packet out 5 (MAC destination 5) <- packet in 11 (MAC source 5) + // (packet_out_addr==10'd06)?(10'd00):// packet out 6 (MAC source 0) <- packet in 0 (MAC destination 0) + // (packet_out_addr==10'd07)?(10'd01):// packet out 7 (MAC source 1) <- packet in 1 (MAC destination 1) + // (packet_out_addr==10'd08)?(10'd02):// packet out 8 (MAC source 2) <- packet in 2 (MAC destination 2) + // (packet_out_addr==10'd09)?(10'd03):// packet out 9 (MAC source 3) <- packet in 3 (MAC destination 3) + // (packet_out_addr==10'd10)?(10'd04):// packet out 10 (MAC source 4) <- packet in 4 (MAC destination 4) + // (packet_out_addr==10'd11)?(10'd05):// packet out 11 (MAC source 5) <- packet in 5 (MAC destination 5) + // // . + // // . 12 through 25 are \"default\" making packet out 12 <- packet in 12 + // // . + // // . these are: + // // . 12,13 = length + // // . 14 = IP version + header length + // // . 15 = IP type of service + // // . 16,17 = IP total length in bytes + // // . 18,19 = identification + // // . 20,21 = flags + fragment offset + // // . 22 = time to live + // // . 23 = protocol + // // . 24,25 = header checksum + // // . + // // . 12 through 25 are \"default\" making packet out 25 <- packet in 25 + // // . + // (packet_out_addr==10'd26)?(10'd30):// packet out 26 (IPv4 destination 0) <- packet in 30 (IPv4 source 0) + // (packet_out_addr==10'd27)?(10'd31):// packet out 27 (IPv4 destination 1) <- packet in 31 (IPv4 source 1) + // (packet_out_addr==10'd28)?(10'd32):// packet out 28 (IPv4 destination 2) <- packet in 32 (IPv4 source 2) + // (packet_out_addr==10'd29)?(10'd33):// packet out 29 (IPv4 destination 3) <- packet in 33 (IPv4 source 3) + // (packet_out_addr==10'd30)?(10'd26):// packet out 30 (IPv4 source 0) <- packet in 26 (IPv4 destination 0) + // (packet_out_addr==10'd31)?(10'd27):// packet out 31 (IPv4 source 1) <- packet in 27 (IPv4 destination 1) + // (packet_out_addr==10'd32)?(10'd28):// packet out 32 (IPv4 source 2) <- packet in 28 (IPv4 destination 2) + // (packet_out_addr==10'd33)?(10'd29):// packet out 33 (IPv4 source 3) <- packet in 29 (IPv4 destination 3) + // (packet_out_addr); // for 34 and 35, this is irrelevant since the value is fixed, rather than using the location pointed to by the read pointer + + + +// this section sets the address of the input pointer, according to the address of the output pointer, in order to fill the ETHERNET and IPv4 headers +// it does this by simply swapping the transmit/receive MAC addresses, copies the rest of the header and swaps the transmit/receive IP addresses +always @ (packet_out_addr) + case (packet_out_addr) + 10'd00 : + // packet out 0 (MAC destination 0) <- packet in 6 (MAC source 0) + resp_read_addr = 10'd06; + 10'd01 : + // packet out 1 (MAC destination 1) <- packet in 7 (MAC source 1) + resp_read_addr = 10'd07; + 10'd02 : + // packet out 2 (MAC destination 2) <- packet in 8 (MAC source 2) + resp_read_addr = 10'd08; + 10'd03 : + // packet out 3 (MAC destination 3) <- packet in 9 (MAC source 3) + resp_read_addr = 10'd09; + 10'd04 : + // packet out 4 (MAC destination 4) <- packet in 10 (MAC source 4) + resp_read_addr = 10'd10; + 10'd05 : + // packet out 5 (MAC destination 5) <- packet in 11 (MAC source 5) + resp_read_addr = 10'd11; + 10'd06 : + // packet out 6 (MAC source 0) <- packet in 0 (MAC destination 0) + resp_read_addr = 10'd00; + 10'd07 : + // packet out 7 (MAC source 1) <- packet in 1 (MAC destination 1) + resp_read_addr = 10'd01; + 10'd08 : + // packet out 8 (MAC source 2) <- packet in 2 (MAC destination 2) + resp_read_addr = 10'd02; + 10'd09 : + // packet out 9 (MAC source 3) <- packet in 3 (MAC destination 3) + resp_read_addr = 10'd03; + 10'd10 : + // packet out 10 (MAC source 4) <- packet in 4 (MAC destination 4) + resp_read_addr = 10'd04; + 10'd11 : + // packet out 11 (MAC source 5) <- packet in 5 (MAC destination 5) + resp_read_addr = 10'd05; + // . + // . 12 through 25 are \"default\" making packet out 12 <- packet in 12 + // . + // . these are: + // . 12,13 = length + // . 14 = IP version + header length + // . 15 = IP type of service + // . 16,17 = IP total length in bytes + // . 18,19 = identification + // . 20,21 = flags + fragment offset + // . 22 = time to live + // . 23 = protocol + // . 24,25 = header checksum + // . + // . 12 through 25 are \"default\" making packet out 25 <- packet in 25 + // . + 10'd26 : + // packet out 26 (IPv4 destination 0) <- packet in 30 (IPv4 source 0) + resp_read_addr = 10'd30; + 10'd27 : + // packet out 27 (IPv4 destination 1) <- packet in 31 (IPv4 source 1) + resp_read_addr = 10'd31; + 10'd28 : + // packet out 28 (IPv4 destination 2) <- packet in 32 (IPv4 source 2) + resp_read_addr = 10'd32; + 10'd29 : + // packet out 29 (IPv4 destination 3) <- packet in 33 (IPv4 source 3) + resp_read_addr = 10'd33; + 10'd30 : + // packet out 30 (IPv4 source 0) <- packet in 26 (IPv4 destination 0) + resp_read_addr = 10'd26; + 10'd31 : + // packet out 31 (IPv4 source 1) <- packet in 27 (IPv4 destination 1) + resp_read_addr = 10'd27; + 10'd32 : + // packet out 32 (IPv4 source 2) <- packet in 28 (IPv4 destination 2) + resp_read_addr = 10'd28; + 10'd33 : + // packet out 33 (IPv4 source 3) <- packet in 29 (IPv4 destination 3) + resp_read_addr = 10'd29; + default : + // for 34 and 35, this is irrelevant since the value is fixed, rather than using the location pointed to by the read pointer + resp_read_addr = packet_out_addr; + endcase + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +] +shape (Rectangle +uid 483,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "30000,22000,33000,25000" +) +ttg (MlTextGroup +uid 484,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*58 (Text +uid 485,0 +va (VaSet +font "courier,8,1" +) +xt "30750,22600,32250,23500" +st "eb4" +blo "30750,23300" +tm "HdlTextNameMgr" +) +*59 (Text +uid 486,0 +va (VaSet +font "courier,8,1" +) +xt "30750,23500,31250,24400" +st "4" +blo "30750,24200" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 487,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "30250,23250,31750,24750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*60 (HdlText +uid 508,0 +optionalChildren [ +*61 (EmbeddedText +uid 514,0 +commentText (CommentText +uid 515,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 516,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "17000,22000,35000,27000" +) +text (MLText +uid 517,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "17200,22200,34700,26700" +st " +// // this section sets the data to be written to the location indicaterd by the output pointer +// // if it is in the ICMP packet, it sets the type/code to 0 (ping reply) +// // else, set the output value to the value of the location pointed to by the input pointer +// assign resp_data = (packet_out_addr==10'd34)?(8'h0):// packet out 34 (ICMP type) <- 0 (ping reply) + // (packet_out_addr==10'd35)?(8'h0):// packet out 35 (ICMP code) <- 0 (ping reply) + // (packet_out_addr==10'd36)?(8'h0):// packet out 36 (checksum 0) <- 0 + // (packet_out_addr==10'd37)?(8'h0):// packet out 37 (checksum 1) <- 0 + // packet_data; + + + + +// this section sets the data to be written to the location indicaterd by the output pointer +// if it is in the ICMP packet, it sets the type/code to 0 (ping reply) +// else, set the output value to the value of the location pointed to by the input pointer +always @ (packet_out_addr , packet_data) + case (packet_out_addr) + 10'd34 : + // packet out 34 (ICMP type) <- 0 (ping reply) + resp_data = 8'h0; + 10'd35 : + // packet out 35 (ICMP code) <- 0 (ping reply) + resp_data = 8'h0; + 10'd36 : + // packet out 36 (checksum 0) <- 0 + resp_data = 8'h0; + 10'd37 : + // packet out 37 (checksum 1) <- 0 + resp_data = 8'h0; + default : + resp_data = packet_data; + endcase + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +] +shape (Rectangle +uid 509,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "9000,22000,12000,25000" +) +ttg (MlTextGroup +uid 510,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*62 (Text +uid 511,0 +va (VaSet +font "courier,8,1" +) +xt "9750,22600,11250,23500" +st "eb5" +blo "9750,23300" +tm "HdlTextNameMgr" +) +*63 (Text +uid 512,0 +va (VaSet +font "courier,8,1" +) +xt "9750,23500,10250,24400" +st "5" +blo "9750,24200" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 513,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "9250,23250,10750,24750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*64 (GlobalConnector +uid 542,0 +shape (Circle +uid 543,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-2000,34000,0,36000" +radius 1000 +) +name (Text +uid 544,0 +va (VaSet +font "courier,8,1" +) +xt "-1250,34550,-750,35450" +st "G" +blo "-1250,35250" +) +) +*65 (GlobalConnector +uid 551,0 +shape (Circle +uid 552,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-2000,36000,0,38000" +radius 1000 +) +name (Text +uid 553,0 +va (VaSet +font "courier,8,1" +) +xt "-1250,36550,-750,37450" +st "G" +blo "-1250,37250" +) +) +*66 (Property +uid 568,0 +pclass "HDS" +pname "DocView" +pvalue "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/workspace/IPbusFirmware/firmware/ipbus/hdl/icmp.v" +ptn "String" +) +*67 (Property +uid 569,0 +pclass "HDS" +pname "DocViewState" +pvalue "1352727141" +ptn "String" +) +*68 (Wire +uid 35,0 +shape (OrthoPolyLine +uid 36,0 +va (VaSet +vasetType 3 +) +xt "-3000,35000,-2000,35000" +pts [ +"-3000,35000" +"-2000,35000" +] +) +start &9 +end &64 +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 39,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 40,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-4000,34100,-500,35000" +st "mac_clk" +blo "-4000,34800" +tm "WireNameMgr" +) +) +on &10 +) +*69 (Wire +uid 49,0 +shape (OrthoPolyLine +uid 50,0 +va (VaSet +vasetType 3 +) +xt "29000,-1000,30000,-1000" +pts [ +"29000,-1000" +"30000,-1000" +] +) +start &11 +end &52 +ss 0 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 53,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 54,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "28000,-1900,30500,-1000" +st "reset" +blo "28000,-1200" +tm "WireNameMgr" +) +) +on &12 +) +*70 (Wire +uid 63,0 +shape (OrthoPolyLine +uid 64,0 +va (VaSet +vasetType 3 +) +xt "29000,0,30000,0" +pts [ +"29000,0" +"30000,0" +] +) +start &13 +end &52 +ss 0 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 67,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 68,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "26000,-900,32500,0" +st "packet_ready" +blo "26000,-200" +tm "WireNameMgr" +) +) +on &14 +) +*71 (Wire +uid 91,0 +optionalChildren [ +*72 (BdJunction +uid 560,0 +ps "OnConnectorStrategy" +shape (Circle +uid 561,0 +va (VaSet +vasetType 1 +) +xt "2600,16600,3400,17400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 92,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "-2000,8000,30000,17000" +pts [ +"-2000,17000" +"23000,17000" +"23000,8000" +"30000,8000" +] +) +start &17 +end &52 +ss 0 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 95,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 96,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "19000,7100,25000,8000" +st "packet_data" +blo "19000,7800" +tm "WireNameMgr" +) +) +on &18 +) +*73 (Wire +uid 119,0 +shape (OrthoPolyLine +uid 120,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "39000,17000,41000,17000" +pts [ +"39000,17000" +"41000,17000" +] +) +end &21 +sat 16 +eat 32 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 123,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 124,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "30000,16100,35500,17000" +st "packet_out" +blo "30000,16800" +tm "WireNameMgr" +) +) +on &22 +) +*74 (Wire +uid 258,0 +shape (OrthoPolyLine +uid 259,0 +va (VaSet +vasetType 3 +) +xt "2000,3000,4250,3000" +pts [ +"2000,3000" +"4250,3000" +] +) +end &34 +sat 16 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 262,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 263,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-2000,2100,1500,3000" +st "mac_clk" +blo "-2000,2800" +tm "WireNameMgr" +) +) +on &10 +) +*75 (Wire +uid 264,0 +shape (OrthoPolyLine +uid 265,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "2000,2000,4250,2000" +pts [ +"2000,2000" +"4250,2000" +] +) +end &39 +sat 16 +eat 32 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 268,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 269,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-8000,1100,-2500,2000" +st "packet_out" +blo "-8000,1800" +tm "WireNameMgr" +) +) +on &22 +) +*76 (Wire +uid 270,0 +shape (OrthoPolyLine +uid 271,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "15750,6000,30000,7000" +pts [ +"15750,6000" +"21000,6000" +"21000,7000" +"30000,7000" +] +) +start &38 +end &52 +ss 0 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 274,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 275,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "18000,6100,25000,7000" +st "icmp_checksum" +blo "18000,6800" +tm "WireNameMgr" +) +) +on &6 +) +*77 (Wire +uid 286,0 +shape (OrthoPolyLine +uid 287,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "2000,18000,39000,33000" +pts [ +"39000,18000" +"2000,18000" +"2000,33000" +"9000,33000" +] +) +start *78 (BdJunction +uid 562,0 +ps "OnConnectorStrategy" +shape (Circle +uid 563,0 +va (VaSet +vasetType 1 +) +xt "38600,17600,39400,18400" +radius 400 +) +) +end &44 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 292,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 293,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-4000,32100,4000,33000" +st "packet_out_addr" +blo "-4000,32800" +tm "WireNameMgr" +) +) +on &26 +) +*79 (Wire +uid 294,0 +shape (OrthoPolyLine +uid 295,0 +va (VaSet +vasetType 3 +) +xt "12000,32000,30000,32000" +pts [ +"12000,32000" +"30000,32000" +] +) +start &44 +end &48 +sat 2 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 300,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 301,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "17000,31100,26000,32000" +st "in_icmp_addresses" +blo "17000,31800" +tm "WireNameMgr" +) +) +on &7 +) +*80 (Wire +uid 320,0 +shape (OrthoPolyLine +uid 321,0 +va (VaSet +vasetType 3 +) +xt "33000,32000,35000,32000" +pts [ +"33000,32000" +"35000,32000" +] +) +start &48 +sat 2 +eat 16 +stc 0 +st 0 +si 0 +tg (WTG +uid 326,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 327,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "36000,31100,43500,32000" +st "icmp_csum_cond" +blo "36000,31800" +tm "WireNameMgr" +) +) +on &8 +) +*81 (Wire +uid 346,0 +shape (OrthoPolyLine +uid 347,0 +va (VaSet +vasetType 3 +) +xt "28000,2000,30000,2000" +pts [ +"28000,2000" +"30000,2000" +] +) +end &52 +sat 16 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 352,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 353,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "24000,1100,27500,2000" +st "mac_clk" +blo "24000,1800" +tm "WireNameMgr" +) +) +on &10 +) +*82 (Wire +uid 394,0 +shape (OrthoPolyLine +uid 395,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "33000,8000,35000,8000" +pts [ +"33000,8000" +"35000,8000" +] +) +start &52 +sat 4 +eat 16 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 400,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 401,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "36000,7100,40500,8000" +st "ICMP_type" +blo "36000,7800" +tm "WireNameMgr" +) +) +on &3 +) +*83 (Wire +uid 402,0 +shape (OrthoPolyLine +uid 403,0 +va (VaSet +vasetType 3 +) +xt "33000,-1000,34000,-1000" +pts [ +"33000,-1000" +"34000,-1000" +] +) +start &52 +end &15 +es 0 +sat 4 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 408,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 409,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "29000,-1900,37500,-1000" +st "done_with_packet" +blo "29000,-1200" +tm "WireNameMgr" +) +) +on &16 +) +*84 (Wire +uid 410,0 +optionalChildren [ +&78 +*85 (BdJunction +uid 564,0 +ps "OnConnectorStrategy" +shape (Circle +uid 565,0 +va (VaSet +vasetType 1 +) +xt "38600,13600,39400,14400" +radius 400 +) +) +*86 (BdJunction +uid 566,0 +ps "OnConnectorStrategy" +shape (Circle +uid 567,0 +va (VaSet +vasetType 1 +) +xt "38600,14600,39400,15400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 411,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "33000,9000,41000,18000" +pts [ +"33000,9000" +"39000,9000" +"39000,18000" +"41000,18000" +] +) +start &52 +end &25 +es 0 +sat 4 +eat 32 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 416,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 417,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "34000,8100,42000,9000" +st "packet_out_addr" +blo "34000,8800" +tm "WireNameMgr" +) +) +on &26 +) +*87 (Wire +uid 418,0 +shape (OrthoPolyLine +uid 419,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "33000,1000,34000,1000" +pts [ +"33000,1000" +"34000,1000" +] +) +start &52 +end &23 +es 0 +sat 4 +eat 32 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 424,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 425,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "28000,100,35500,1000" +st "packet_out_len" +blo "28000,800" +tm "WireNameMgr" +) +) +on &24 +) +*88 (Wire +uid 426,0 +shape (OrthoPolyLine +uid 427,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "33000,5000,35000,5000" +pts [ +"33000,5000" +"35000,5000" +] +) +start &52 +sat 4 +eat 16 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 432,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 433,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "36000,4100,43000,5000" +st "reset_reg_int" +blo "36000,4800" +tm "WireNameMgr" +) +) +on &4 +) +*89 (Wire +uid 434,0 +shape (OrthoPolyLine +uid 435,0 +va (VaSet +vasetType 3 +) +xt "33000,6000,35000,6000" +pts [ +"33000,6000" +"35000,6000" +] +) +start &52 +sat 2 +eat 16 +stc 0 +st 0 +si 0 +tg (WTG +uid 440,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 441,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "36000,5100,44000,6000" +st "checksum_toggle" +blo "36000,5800" +tm "WireNameMgr" +) +) +on &5 +) +*90 (Wire +uid 442,0 +shape (OrthoPolyLine +uid 443,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "33000,7000,35000,7000" +pts [ +"33000,7000" +"35000,7000" +] +) +start &52 +sat 2 +eat 16 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 448,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 449,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "36000,6100,41500,7000" +st "packet_out" +blo "36000,6800" +tm "WireNameMgr" +) +) +on &22 +) +*91 (Wire +uid 450,0 +shape (OrthoPolyLine +uid 451,0 +va (VaSet +vasetType 3 +) +xt "33000,2000,34000,2000" +pts [ +"33000,2000" +"34000,2000" +] +) +start &52 +end &27 +es 0 +sat 2 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 456,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 457,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "30000,1100,37000,2000" +st "packet_out_we" +blo "30000,1800" +tm "WireNameMgr" +) +) +on &28 +) +*92 (Wire +uid 458,0 +shape (OrthoPolyLine +uid 459,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "33000,0,34000,0" +pts [ +"33000,0" +"34000,0" +] +) +start &52 +end &19 +es 0 +sat 2 +eat 32 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 464,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 465,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "27000,-900,35500,0" +st "packet_read_addr" +blo "27000,-200" +tm "WireNameMgr" +) +) +on &20 +) +*93 (Wire +uid 466,0 +shape (OrthoPolyLine +uid 467,0 +va (VaSet +vasetType 3 +) +xt "33000,3000,34000,3000" +pts [ +"33000,3000" +"34000,3000" +] +) +start &52 +end &29 +es 0 +sat 2 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 472,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 473,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "31000,2100,37000,3000" +st "packet_xmit" +blo "31000,2800" +tm "WireNameMgr" +) +) +on &30 +) +*94 (Wire +uid 474,0 +shape (OrthoPolyLine +uid 475,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "33000,4000,34000,4000" +pts [ +"33000,4000" +"34000,4000" +] +) +start &52 +end &31 +es 0 +sat 2 +eat 32 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 480,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 481,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "28000,3100,35000,4000" +st "reset_reg_out" +blo "28000,3800" +tm "WireNameMgr" +) +) +on &32 +) +*95 (Wire +uid 492,0 +shape (OrthoPolyLine +uid 493,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "29000,14000,39000,24000" +pts [ +"39000,14000" +"29000,14000" +"29000,24000" +"30000,24000" +] +) +start &85 +end &56 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 498,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 499,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "17000,23100,25000,24000" +st "packet_out_addr" +blo "17000,23800" +tm "WireNameMgr" +) +) +on &26 +) +*96 (Wire +uid 500,0 +shape (OrthoPolyLine +uid 501,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "24000,9000,39000,26000" +pts [ +"33000,24000" +"39000,24000" +"39000,26000" +"24000,26000" +"24000,9000" +"30000,9000" +] +) +start &56 +end &52 +sat 2 +eat 1 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 506,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 507,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "34000,23100,41500,24000" +st "resp_read_addr" +blo "34000,23800" +tm "WireNameMgr" +) +) +on &1 +) +*97 (Wire +uid 518,0 +shape (OrthoPolyLine +uid 519,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "3000,17000,9000,24000" +pts [ +"3000,17000" +"3000,24000" +"9000,24000" +] +) +start &72 +end &60 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 524,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 525,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-2000,23100,4000,24000" +st "packet_data" +blo "-2000,23800" +tm "WireNameMgr" +) +) +on &18 +) +*98 (Wire +uid 526,0 +shape (OrthoPolyLine +uid 527,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "8000,15000,39000,23000" +pts [ +"39000,15000" +"8000,15000" +"8000,23000" +"9000,23000" +] +) +start &86 +end &60 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 532,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 533,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-4000,22100,4000,23000" +st "packet_out_addr" +blo "-4000,22800" +tm "WireNameMgr" +) +) +on &26 +) +*99 (Wire +uid 534,0 +shape (OrthoPolyLine +uid 535,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "12000,1000,30000,23000" +pts [ +"12000,23000" +"18000,23000" +"18000,1000" +"30000,1000" +] +) +start &60 +end &52 +sat 2 +eat 1 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 540,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 541,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "13000,22100,17500,23000" +st "resp_data" +blo "13000,22800" +tm "WireNameMgr" +) +) +on &2 +) +*100 (Wire +uid 554,0 +shape (OrthoPolyLine +uid 555,0 +va (VaSet +vasetType 3 +) +xt "-4000,37000,-2000,37000" +pts [ +"-4000,37000" +"-2000,37000" +] +) +end &65 +ss 0 +sat 16 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 558,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 559,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-7000,36100,-1500,37000" +st "packet_out" +blo "-7000,36800" +tm "WireNameMgr" +) +) +on &22 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *101 (PackageList +uid 610,0 +stg "VerticalLayoutStrategy" +textVec [ +*102 (Text +uid 611,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,43000,26500,43900" +st "Package List" +blo "20000,43700" +) +*103 (MLText +uid 612,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "20000,43900,34500,47500" +st "LIBRARY ieee; +USE ieee.std_logic_1164.all; +USE ieee.numeric_std.all; + +" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 613,0 +stg "VerticalLayoutStrategy" +textVec [ +*104 (Text +uid 614,0 +va (VaSet +font "courier,8,1" +) +xt "0,43000,10000,43900" +st "Compiler Directives" +blo "0,43700" +) +*105 (Text +uid 615,0 +va (VaSet +font "courier,8,1" +) +xt "0,43900,11500,44800" +st "Pre-module directives:" +blo "0,44600" +) +*106 (MLText +uid 616,0 +va (VaSet +font "courier,8,0" +) +xt "0,44800,41500,62800" +st "`timescale 1ns / 1ps +////////////////////////////////////////////////////////////////////////////////// +// Company: +// Engineer: +// +// Create Date: 16:19:33 01/15/2010 +// Design Name: +// Module Name: icmp +// Project Name: +// Target Devices: +// Tool versions: +// Description: +// +// Dependencies: +// +// Revision: +// Revision 0.01 - File Created +// Additional Comments: +// +////////////////////////////////////////////////////////////////////////////////// +" +tm "BdCompilerDirectivesTextMgr" +) +*107 (Text +uid 617,0 +va (VaSet +font "courier,8,1" +) +xt "0,62800,12000,63700" +st "Post-module directives:" +blo "0,63500" +) +*108 (MLText +uid 618,0 +va (VaSet +font "courier,8,0" +) +xt "0,63700,15000,64600" +st "// Check for ping and resets. +" +tm "BdCompilerDirectivesTextMgr" +) +*109 (Text +uid 619,0 +va (VaSet +font "courier,8,1" +) +xt "0,64600,11500,65500" +st "End-module directives:" +blo "0,65300" +) +*110 (MLText +uid 620,0 +va (VaSet +font "courier,8,0" +) +xt "0,65500,0,65500" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "0,0,1015,690" +viewArea "-11000,-2000,82000,70900" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +lastUid 620,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,4000,1900" +st "Panel0" +blo "1000,1700" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*111 (Text +va (VaSet +font "courier,8,1" +) +xt "1750,3650,6250,4550" +st "<library>" +blo "1750,4350" +tm "BdLibraryNameMgr" +) +*112 (Text +va (VaSet +font "courier,8,1" +) +xt "1750,4550,5250,5450" +st "<block>" +blo "1750,5250" +tm "BlkNameMgr" +) +*113 (Text +va (VaSet +font "courier,8,1" +) +xt "1750,5450,2750,6350" +st "I0" +blo "1750,6150" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "1750,13650,1750,13650" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*114 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,3650,4500,4550" +st "Library" +blo "1000,4350" +) +*115 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,4550,7000,5450" +st "MWComponent" +blo "1000,5250" +) +*116 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,5450,2000,6350" +st "I0" +blo "1000,6150" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6000,1650,-6000,1650" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*117 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,3650,4500,4550" +st "Library" +blo "1000,4350" +tm "BdLibraryNameMgr" +) +*118 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,4550,7000,5450" +st "SaComponent" +blo "1000,5250" +tm "CptNameMgr" +) +*119 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,5450,2000,6350" +st "I0" +blo "1000,6150" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6000,1650,-6000,1650" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +portVis (PortSigDisplay +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*120 (Text +va (VaSet +font "courier,8,1" +) +xt "500,3650,4000,4550" +st "Library" +blo "500,4350" +) +*121 (Text +va (VaSet +font "courier,8,1" +) +xt "500,4550,7500,5450" +st "VhdlComponent" +blo "500,5250" +) +*122 (Text +va (VaSet +font "courier,8,1" +) +xt "500,5450,1500,6350" +st "I0" +blo "500,6150" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6500,1650,-6500,1650" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-750,0,8750,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*123 (Text +va (VaSet +font "courier,8,1" +) +xt "-250,3650,3250,4550" +st "Library" +blo "-250,4350" +) +*124 (Text +va (VaSet +font "courier,8,1" +) +xt "-250,4550,8250,5450" +st "VerilogComponent" +blo "-250,5250" +) +*125 (Text +va (VaSet +font "courier,8,1" +) +xt "-250,5450,750,6350" +st "I0" +blo "-250,6150" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-7250,1650,-7250,1650" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*126 (Text +va (VaSet +font "courier,8,1" +) +xt "3250,4100,4750,5000" +st "eb1" +blo "3250,4800" +tm "HdlTextNameMgr" +) +*127 (Text +va (VaSet +font "courier,8,1" +) +xt "3250,5000,3750,5900" +st "1" +blo "3250,5700" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +font "courier,8,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +font "courier,8,1" +) +xt "-250,-450,250,450" +st "G" +blo "-250,250" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +stc 0 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +st 0 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,0,2000,900" +st "sig0" +blo "0,700" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +st 0 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,0,2500,900" +st "dbus0" +blo "0,700" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,0" +) +xt "0,0,3500,900" +st "bundle0" +blo "0,700" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +font "courier,8,0" +) +xt "0,900,1000,1800" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +font "courier,8,0" +) +) +second (MLText +va (VaSet +font "courier,8,0" +) +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,-1000,4500,-100" +st "g0: [7:0]" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1350" +) +num (Text +va (VaSet +font "courier,8,0" +) +xt "300,250,800,1150" +st "1" +blo "300,950" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*128 (Text +va (VaSet +font "courier,8,1" +) +xt "12500,20000,22000,20900" +st "Frame Declarations" +blo "12500,20700" +) +*129 (MLText +va (VaSet +font "courier,8,0" +) +xt "12500,20900,12500,20900" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,-1000,9000,-100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1350" +) +num (Text +va (VaSet +font "courier,8,0" +) +xt "300,250,800,1150" +st "1" +blo "300,950" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*130 (Text +va (VaSet +font "courier,8,1" +) +xt "12500,20000,22000,20900" +st "Frame Declarations" +blo "12500,20700" +) +*131 (MLText +va (VaSet +font "courier,8,0" +) +xt "12500,20900,12500,20900" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,2000,1650" +st "Port" +blo "0,1450" +) +) +thePort (LogicalPort +lang 5 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,2000,1650" +st "Port" +blo "0,1450" +) +) +thePort (LogicalPort +lang 5 +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "courier,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "44000,43000,50500,43900" +st "Declarations" +blo "44000,43700" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "44000,43900,47000,44800" +st "Ports:" +blo "44000,44600" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "44000,55600,48500,56500" +st "Pre User:" +blo "44000,56300" +) +preUserText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "44000,43000,44000,43000" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "courier,8,1" +) +xt "44000,56500,52500,57400" +st "Diagram Signals:" +blo "44000,57200" +) +postUserLabel (Text +uid 7,0 +va (VaSet +font "courier,8,1" +) +xt "44000,70000,49500,70900" +st "Post User:" +blo "44000,70700" +) +postUserText (MLText +uid 8,0 +va (VaSet +font "courier,8,0" +) +xt "44000,43000,44000,43000" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 21,0 +usingSuid 1 +emptyRow *132 (LEmptyRow +) +optionalChildren [ +*133 (RefLabelRowHdr +) +*134 (TitleRowHdr +) +*135 (FilterRowHdr +) +*136 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*137 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*138 (GroupColHdr +tm "GroupColHdrMgr" +) +*139 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*140 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*141 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*142 (SignedColHdr +tm "BlockDiagramSignedColHdrMgr" +) +*143 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*144 (DelayColHdr +tm "BlockDiagramDelayColHdrMgr" +) +*145 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*146 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*147 (LeafLogPort +port (LogicalPort +lang 5 +m 4 +decl (Decl +n "resp_read_addr" +t "reg" +b "[9:0]" +prec "// to be worth responding to, HARDWARE = 0x0 0x1, PROTOCOL = 0x8 0x0, +// HLEN = 0x6, PLEN=0x4, OPERATION = 0x0 0x1 +// TARGET IA = my IP + + // wire [9:0] resp_read_addr; + // wire [7:0] resp_data;" +preAdd 0 +o 1 +suid 2,0 +) +) +uid 570,0 +) +*148 (LeafLogPort +port (LogicalPort +lang 5 +m 4 +decl (Decl +n "resp_data" +t "reg" +b "[7:0]" +o 2 +suid 3,0 +) +) +uid 572,0 +) +*149 (LeafLogPort +port (LogicalPort +lang 5 +m 4 +decl (Decl +n "ICMP_type" +t "reg" +b "[7:0]" +o 3 +suid 4,0 +) +) +uid 574,0 +) +*150 (LeafLogPort +port (LogicalPort +lang 5 +m 4 +decl (Decl +n "reset_reg_int" +t "reg" +b "[31:0]" +o 4 +suid 5,0 +) +) +uid 576,0 +) +*151 (LeafLogPort +port (LogicalPort +lang 5 +m 4 +decl (Decl +n "checksum_toggle" +t "reg" +o 5 +suid 6,0 +) +) +uid 578,0 +) +*152 (LeafLogPort +port (LogicalPort +lang 5 +m 4 +decl (Decl +n "icmp_checksum" +t "wire" +b "[15:0]" +o 6 +suid 7,0 +) +) +uid 580,0 +) +*153 (LeafLogPort +port (LogicalPort +lang 5 +m 4 +decl (Decl +n "in_icmp_addresses" +t "wire" +o 7 +suid 8,0 +) +) +uid 582,0 +) +*154 (LeafLogPort +port (LogicalPort +lang 5 +m 4 +decl (Decl +n "icmp_csum_cond" +t "wire" +o 8 +suid 9,0 +) +) +uid 584,0 +) +*155 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "mac_clk" +t "wire" +o 9 +suid 10,0 +) +) +uid 586,0 +) +*156 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "reset" +t "wire" +o 10 +suid 11,0 +) +) +uid 588,0 +) +*157 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "packet_ready" +t "wire" +o 11 +suid 12,0 +) +) +uid 590,0 +) +*158 (LeafLogPort +port (LogicalPort +lang 5 +m 1 +decl (Decl +n "done_with_packet" +t "reg" +o 12 +suid 13,0 +) +) +uid 592,0 +) +*159 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "packet_data" +t "wire" +b "[7:0]" +o 13 +suid 14,0 +) +) +uid 594,0 +) +*160 (LeafLogPort +port (LogicalPort +lang 5 +m 1 +decl (Decl +n "packet_read_addr" +t "reg" +b "[9:0]" +o 14 +suid 15,0 +) +) +uid 596,0 +) +*161 (LeafLogPort +port (LogicalPort +lang 5 +m 1 +decl (Decl +n "packet_out" +t "reg" +b "[7:0]" +o 15 +suid 16,0 +) +) +uid 598,0 +) +*162 (LeafLogPort +port (LogicalPort +lang 5 +m 1 +decl (Decl +n "packet_out_len" +t "reg" +b "[9:0]" +o 16 +suid 17,0 +) +) +uid 600,0 +) +*163 (LeafLogPort +port (LogicalPort +lang 5 +m 1 +decl (Decl +n "packet_out_addr" +t "reg" +b "[9:0]" +o 17 +suid 18,0 +) +) +uid 602,0 +) +*164 (LeafLogPort +port (LogicalPort +lang 5 +m 1 +decl (Decl +n "packet_out_we" +t "reg" +o 18 +suid 19,0 +) +) +uid 604,0 +) +*165 (LeafLogPort +port (LogicalPort +lang 5 +m 1 +decl (Decl +n "packet_xmit" +t "reg" +o 19 +suid 20,0 +) +) +uid 606,0 +) +*166 (LeafLogPort +port (LogicalPort +lang 5 +m 1 +decl (Decl +n "reset_reg_out" +t "reg" +b "[31:0]" +o 20 +suid 21,0 +) +) +uid 608,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +optionalChildren [ +*167 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *168 (MRCItem +litem &132 +pos 3 +dimension 20 +) +optionalChildren [ +*169 (MRCItem +litem &133 +pos 0 +dimension 20 +) +*170 (MRCItem +litem &134 +pos 1 +dimension 23 +) +*171 (MRCItem +litem &135 +pos 2 +hidden 1 +dimension 20 +) +*172 (MRCItem +litem &147 +pos 0 +dimension 20 +uid 571,0 +) +*173 (MRCItem +litem &148 +pos 1 +dimension 20 +uid 573,0 +) +*174 (MRCItem +litem &149 +pos 2 +dimension 20 +uid 575,0 +) +*175 (MRCItem +litem &150 +pos 3 +dimension 20 +uid 577,0 +) +*176 (MRCItem +litem &151 +pos 4 +dimension 20 +uid 579,0 +) +*177 (MRCItem +litem &152 +pos 5 +dimension 20 +uid 581,0 +) +*178 (MRCItem +litem &153 +pos 6 +dimension 20 +uid 583,0 +) +*179 (MRCItem +litem &154 +pos 7 +dimension 20 +uid 585,0 +) +*180 (MRCItem +litem &155 +pos 8 +dimension 20 +uid 587,0 +) +*181 (MRCItem +litem &156 +pos 9 +dimension 20 +uid 589,0 +) +*182 (MRCItem +litem &157 +pos 10 +dimension 20 +uid 591,0 +) +*183 (MRCItem +litem &158 +pos 11 +dimension 20 +uid 593,0 +) +*184 (MRCItem +litem &159 +pos 12 +dimension 20 +uid 595,0 +) +*185 (MRCItem +litem &160 +pos 13 +dimension 20 +uid 597,0 +) +*186 (MRCItem +litem &161 +pos 14 +dimension 20 +uid 599,0 +) +*187 (MRCItem +litem &162 +pos 15 +dimension 20 +uid 601,0 +) +*188 (MRCItem +litem &163 +pos 16 +dimension 20 +uid 603,0 +) +*189 (MRCItem +litem &164 +pos 17 +dimension 20 +uid 605,0 +) +*190 (MRCItem +litem &165 +pos 18 +dimension 20 +uid 607,0 +) +*191 (MRCItem +litem &166 +pos 19 +dimension 20 +uid 609,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +optionalChildren [ +*192 (MRCItem +litem &136 +pos 0 +dimension 20 +) +*193 (MRCItem +litem &138 +pos 1 +dimension 50 +) +*194 (MRCItem +litem &139 +pos 2 +dimension 100 +) +*195 (MRCItem +litem &140 +pos 3 +dimension 50 +) +*196 (MRCItem +litem &141 +pos 4 +dimension 100 +) +*197 (MRCItem +litem &142 +pos 5 +dimension 60 +) +*198 (MRCItem +litem &143 +pos 6 +dimension 100 +) +*199 (MRCItem +litem &144 +pos 7 +dimension 50 +) +*200 (MRCItem +litem &145 +pos 8 +dimension 50 +) +*201 (MRCItem +litem &146 +pos 9 +dimension 80 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +vaOverrides [ +] +) +] +) +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *202 (LEmptyRow +) +optionalChildren [ +*203 (RefLabelRowHdr +) +*204 (TitleRowHdr +) +*205 (FilterRowHdr +) +*206 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*207 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*208 (GroupColHdr +tm "GroupColHdrMgr" +) +*209 (NameColHdr +tm "GenericNameColHdrMgr" +) +*210 (InitColHdr +tm "GenericValueColHdrMgr" +) +*211 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +optionalChildren [ +*212 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *213 (MRCItem +litem &202 +pos 3 +dimension 20 +) +optionalChildren [ +*214 (MRCItem +litem &203 +pos 0 +dimension 20 +) +*215 (MRCItem +litem &204 +pos 1 +dimension 23 +) +*216 (MRCItem +litem &205 +pos 2 +hidden 1 +dimension 20 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +optionalChildren [ +*217 (MRCItem +litem &206 +pos 0 +dimension 20 +) +*218 (MRCItem +litem &208 +pos 1 +dimension 50 +) +*219 (MRCItem +litem &209 +pos 2 +dimension 100 +) +*220 (MRCItem +litem &210 +pos 3 +dimension 50 +) +*221 (MRCItem +litem &211 +pos 4 +dimension 80 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +vaOverrides [ +] +) +] +) +type 1 +) +) diff --git a/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/ipbus_ctrl_udponly/rtl.bd b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/ipbus_ctrl_udponly/rtl.bd new file mode 100644 index 00000000..d9b72378 --- /dev/null +++ b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/ipbus_ctrl_udponly/rtl.bd @@ -0,0 +1,15291 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dmPackageRefs [ +] +instances [ +(Instance +name "txbuf" +duLibraryName "fmc_mTLU_lib" +duName "gbe_txpacketbuffer" +elements [ +(GiElement +name "ST_IDLE" +value "2'h0" +) +(GiElement +name "ST_FIRSTBYTE" +value "2'h1" +) +(GiElement +name "ST_WAITSTART" +value "2'h2" +) +(GiElement +name "ST_BYTES" +value "2'h3" +) +] +mwi 0 +uid 367,0 +) +(Instance +name "rxbuf" +duLibraryName "fmc_mTLU_lib" +duName "gbe_rxpacketbuffer" +elements [ +] +mwi 0 +uid 525,0 +) +(Instance +name "handler" +duLibraryName "fmc_mTLU_lib" +duName "packet_handler" +elements [ +(GiElement +name "SRC_SELF" +value "2'h0" +) +(GiElement +name "SRC_ARP" +value "2'h1" +) +(GiElement +name "SRC_ICMP" +value "2'h2" +) +(GiElement +name "SRC_UDP" +value "2'h3" +) +(GiElement +name "ST_IDLE" +value "5'h00" +) +(GiElement +name "ST_WAITETH0" +value "5'h01" +) +(GiElement +name "ST_ETH0" +value "5'h02" +) +(GiElement +name "ST_ETH1" +value "5'h03" +) +(GiElement +name "ST_ARP" +value "5'h04" +) +(GiElement +name "ST_IP0" +value "5'h05" +) +(GiElement +name "ST_IP1" +value "5'h06" +) +(GiElement +name "ST_IP2" +value "5'h07" +) +(GiElement +name "ST_IP3" +value "5'h08" +) +(GiElement +name "ST_IP" +value "5'h09" +) +(GiElement +name "ST_ICMP" +value "5'h0a" +) +(GiElement +name "ST_UDP" +value "5'h0b" +) +(GiElement +name "ST_UDP0" +value "5'h0c" +) +(GiElement +name "ST_UDP1" +value "5'h0d" +) +(GiElement +name "ST_PREDONE" +value "5'h1d" +) +(GiElement +name "ST_DONE" +value "5'h1e" +) +(GiElement +name "ST_UDP_XMIT" +value "5'h1f" +) +(GiElement +name "UDP_PORT_CTL" +value "16'hc351" +e "// Original JM definition d791;" +) +] +mwi 0 +uid 811,0 +) +(Instance +name "arp_block" +duLibraryName "fmc_mTLU_lib" +duName "arp" +elements [ +(GiElement +name "ST_IDLE" +value "4'h0" +) +(GiElement +name "ST_CHECKCONSTWAIT" +value "4'h1" +) +(GiElement +name "ST_CHECKCONST" +value "4'h2" +) +(GiElement +name "ST_CHECKIP_WAIT" +value "4'h3" +) +(GiElement +name "ST_CHECKIP" +value "4'h4" +) +(GiElement +name "ST_RESP_READSET" +value "4'h5" +) +(GiElement +name "ST_RESP_READWAIT" +value "4'h6" +) +(GiElement +name "ST_RESP_WE" +value "4'h7" +) +(GiElement +name "ST_RESP_NEXT" +value "4'h8" +) +(GiElement +name "ST_RESP_READWAIT2" +value "4'h9" +) +(GiElement +name "ST_PREIDLE" +value "4'hd" +) +(GiElement +name "ST_DONEOK" +value "4'he" +) +(GiElement +name "ST_DONEFAIL" +value "4'hf" +) +] +mwi 0 +uid 1258,0 +) +(Instance +name "icmp_block" +duLibraryName "fmc_mTLU_lib" +duName "icmp" +elements [ +(GiElement +name "STATE_IDLE" +value "4'h0" +) +(GiElement +name "STATE_CHECK0WAIT" +value "4'h1" +) +(GiElement +name "STATE_CHECK0" +value "4'h2" +) +(GiElement +name "STATE_RESP_READSET" +value "4'h3" +) +(GiElement +name "STATE_RESP_READWAIT" +value "4'h4" +) +(GiElement +name "STATE_RESP_READWAIT2" +value "4'h5" +) +(GiElement +name "STATE_RESP_WE" +value "4'h6" +) +(GiElement +name "STATE_RESP_NEXT" +value "4'h7" +) +(GiElement +name "STATE_ADDCHECKSUM0" +value "4'h8" +) +(GiElement +name "STATE_ADDCHECKSUM1" +value "4'h9" +) +(GiElement +name "STATE_ADDCHECKSUMDONE" +value "4'ha" +) +(GiElement +name "STATE_PREIDLE" +value "4'hd" +) +(GiElement +name "STATE_DONEOK" +value "4'he" +) +(GiElement +name "STATE_DONEFAIL" +value "4'hf" +) +] +mwi 0 +uid 1436,0 +) +(Instance +name "packet_buffer" +duLibraryName "fmc_mTLU_lib" +duName "sub_packetbuffer" +elements [ +(GiElement +name "ST_IDLE" +value "3'h0" +) +(GiElement +name "ST_COPYHEADER" +value "3'h1" +) +(GiElement +name "ST_COPYHEADER2" +value "3'h2" +) +(GiElement +name "ST_WAIT_FOR_ENGINE" +value "3'h3" +) +(GiElement +name "ST_DONE" +value "3'h6" +) +(GiElement +name "ST_RESP" +value "3'h7" +) +] +mwi 0 +uid 1660,0 +) +(Instance +name "shim" +duLibraryName "fmc_mTLU_lib" +duName "udp_shim" +elements [ +] +mwi 0 +uid 1972,0 +) +(Instance +name "trans" +duLibraryName "fmc_mTLU_lib" +duName "transactor" +elements [ +] +mwi 0 +uid 2136,0 +) +] +properties [ +(HdrProperty +class "HDS" +name "DocView" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/workspace/IPbusFirmware/firmware/ipbus/hdl/ipbus_ctrl_udponly.vhd" +) +(HdrProperty +class "HDS" +name "DocViewState" +value "1352727141" +) +] +) +version "30.1" +appVersion "2010.3 (Build 21)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl" +) +(vvPair +variable "HDSDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/ipbus_ctrl_udponly/rtl.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/ipbus_ctrl_udponly/rtl.bd.user" +) +(vvPair +variable "SourceDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "rtl" +) +(vvPair +variable "config" +value "%(unit)_config" +) +(vvPair +variable "d" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/ipbus_ctrl_udponly" +) +(vvPair +variable "d_logical" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/ipbus_ctrl_udponly" +) +(vvPair +variable "date" +value "11/13/12" +) +(vvPair +variable "day" +value "Tue" +) +(vvPair +variable "day_long" +value "Tuesday" +) +(vvPair +variable "dd" +value "13" +) +(vvPair +variable "entity_name" +value "ipbus_ctrl_udponly" +) +(vvPair +variable "ext" +value "<TBD>" +) +(vvPair +variable "f" +value "rtl.bd" +) +(vvPair +variable "f_logical" +value "rtl.bd" +) +(vvPair +variable "f_noext" +value "rtl" +) +(vvPair +variable "group" +value "HEP_Instrumentation" +) +(vvPair +variable "host" +value "kipper.phy.bris.ac.uk" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "fmc_mTLU_lib" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/designcheck" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "ipbus_ctrl_udponly" +) +(vvPair +variable "month" +value "Nov" +) +(vvPair +variable "month_long" +value "November" +) +(vvPair +variable "p" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/ipbus_ctrl_udponly/rtl.bd" +) +(vvPair +variable "p_logical" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/ipbus_ctrl_udponly/rtl.bd" +) +(vvPair +variable "package_name" +value "<Undefined Variable>" +) +(vvPair +variable "project_name" +value "fmc_mTLU" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "<TBD>" +) +(vvPair +variable "task_DesignCompilerPath" +value "<TBD>" +) +(vvPair +variable "task_LeonardoPath" +value "/opt/CAD/Mentor/LeonardoSpectrum_2008a/bin" +) +(vvPair +variable "task_ModelSimPath" +value "/opt/CAD/Mentor/Modelsim/6.4a/modeltech/bin" +) +(vvPair +variable "task_NC-SimPath" +value "<TBD>" +) +(vvPair +variable "task_PrecisionRTLPath" +value "/opt/CAD/Mentor/Precis_Synth_2009a_update2/Mgc_home/bin" +) +(vvPair +variable "task_QuestaSimPath" +value "/opt/CAD/Mentor/HDS2008.1b/questasim/bin" +) +(vvPair +variable "task_VCSPath" +value "<TBD>" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "rtl" +) +(vvPair +variable "this_file_logical" +value "rtl" +) +(vvPair +variable "time" +value "16:59:32" +) +(vvPair +variable "unit" +value "ipbus_ctrl_udponly" +) +(vvPair +variable "user" +value "phdgc" +) +(vvPair +variable "version" +value "2010.3 (Build 21)" +) +(vvPair +variable "view" +value "rtl" +) +(vvPair +variable "year" +value "2012" +) +(vvPair +variable "yy" +value "12" +) +] +) +LanguageMgr "VhdlLangMgr" +optionalChildren [ +*1 (Net +uid 9,0 +decl (Decl +n "packet_txd" +t "std_logic_vector" +b "(7 downto 0)" +o 1 +suid 1,0 +) +declText (MLText +uid 10,0 +va (VaSet +font "courier,8,0" +) +xt "20000,85000,47500,85900" +st "SIGNAL packet_txd : std_logic_vector(7 downto 0) +" +) +) +*2 (Net +uid 11,0 +decl (Decl +n "packet_rxd" +t "std_logic_vector" +b "(7 downto 0)" +o 2 +suid 2,0 +) +declText (MLText +uid 12,0 +va (VaSet +font "courier,8,0" +) +xt "20000,85900,47500,86800" +st "SIGNAL packet_rxd : std_logic_vector(7 downto 0) +" +) +) +*3 (Net +uid 13,0 +decl (Decl +n "packet_txa" +t "pbuf_a" +o 3 +suid 3,0 +) +declText (MLText +uid 14,0 +va (VaSet +font "courier,8,0" +) +xt "20000,86800,36500,87700" +st "SIGNAL packet_txa : pbuf_a +" +) +) +*4 (Net +uid 15,0 +decl (Decl +n "packet_txlen" +t "pbuf_a" +o 4 +suid 4,0 +) +declText (MLText +uid 16,0 +va (VaSet +font "courier,8,0" +) +xt "20000,87700,36500,88600" +st "SIGNAL packet_txlen : pbuf_a +" +) +) +*5 (Net +uid 17,0 +decl (Decl +n "packet_rxa" +t "pbuf_a" +o 5 +suid 5,0 +) +declText (MLText +uid 18,0 +va (VaSet +font "courier,8,0" +) +xt "20000,88600,36500,89500" +st "SIGNAL packet_rxa : pbuf_a +" +) +) +*6 (Net +uid 19,0 +decl (Decl +n "packet_rxl" +t "pbuf_a" +o 6 +suid 6,0 +) +declText (MLText +uid 20,0 +va (VaSet +font "courier,8,0" +) +xt "20000,89500,36500,90400" +st "SIGNAL packet_rxl : pbuf_a +" +) +) +*7 (Net +uid 21,0 +decl (Decl +n "packet_txwe" +t "std_logic" +o 7 +suid 7,0 +) +declText (MLText +uid 22,0 +va (VaSet +font "courier,8,0" +) +xt "20000,90400,38000,91300" +st "SIGNAL packet_txwe : std_logic +" +) +) +*8 (Net +uid 23,0 +decl (Decl +n "packet_txdone" +t "std_logic" +o 8 +suid 8,0 +) +declText (MLText +uid 24,0 +va (VaSet +font "courier,8,0" +) +xt "20000,91300,38000,92200" +st "SIGNAL packet_txdone : std_logic +" +) +) +*9 (Net +uid 25,0 +decl (Decl +n "packet_rxready" +t "std_logic" +o 9 +suid 9,0 +) +declText (MLText +uid 26,0 +va (VaSet +font "courier,8,0" +) +xt "20000,92200,38000,93100" +st "SIGNAL packet_rxready : std_logic +" +) +) +*10 (Net +uid 27,0 +decl (Decl +n "packet_rxdone" +t "std_logic" +o 10 +suid 10,0 +) +declText (MLText +uid 28,0 +va (VaSet +font "courier,8,0" +) +xt "20000,93100,38000,94000" +st "SIGNAL packet_rxdone : std_logic +" +) +) +*11 (Net +uid 29,0 +decl (Decl +n "arp_rxa" +t "std_logic_vector" +b "(5 downto 0)" +o 11 +suid 11,0 +) +declText (MLText +uid 30,0 +va (VaSet +font "courier,8,0" +) +xt "20000,94000,47500,94900" +st "SIGNAL arp_rxa : std_logic_vector(5 downto 0) +" +) +) +*12 (Net +uid 31,0 +decl (Decl +n "arp_txa" +t "std_logic_vector" +b "(5 downto 0)" +o 12 +suid 12,0 +) +declText (MLText +uid 32,0 +va (VaSet +font "courier,8,0" +) +xt "20000,94900,47500,95800" +st "SIGNAL arp_txa : std_logic_vector(5 downto 0) +" +) +) +*13 (Net +uid 33,0 +decl (Decl +n "arp_txd" +t "std_logic_vector" +b "(7 downto 0)" +o 13 +suid 13,0 +) +declText (MLText +uid 34,0 +va (VaSet +font "courier,8,0" +) +xt "20000,95800,47500,96700" +st "SIGNAL arp_txd : std_logic_vector(7 downto 0) +" +) +) +*14 (Net +uid 35,0 +decl (Decl +n "arp_we" +t "std_logic" +o 14 +suid 14,0 +) +declText (MLText +uid 36,0 +va (VaSet +font "courier,8,0" +) +xt "20000,96700,38000,97600" +st "SIGNAL arp_we : std_logic +" +) +) +*15 (Net +uid 37,0 +decl (Decl +n "arp_xmit" +t "std_logic" +o 15 +suid 15,0 +) +declText (MLText +uid 38,0 +va (VaSet +font "courier,8,0" +) +xt "20000,97600,38000,98500" +st "SIGNAL arp_xmit : std_logic +" +) +) +*16 (Net +uid 39,0 +decl (Decl +n "arp_done" +t "std_logic" +o 16 +suid 16,0 +) +declText (MLText +uid 40,0 +va (VaSet +font "courier,8,0" +) +xt "20000,98500,38000,99400" +st "SIGNAL arp_done : std_logic +" +) +) +*17 (Net +uid 41,0 +decl (Decl +n "arp_ready" +t "std_logic" +o 17 +suid 17,0 +) +declText (MLText +uid 42,0 +va (VaSet +font "courier,8,0" +) +xt "20000,99400,38000,100300" +st "SIGNAL arp_ready : std_logic +" +) +) +*18 (Net +uid 43,0 +decl (Decl +n "icmp_rxa" +t "std_logic_vector" +b "(9 downto 0)" +o 18 +suid 18,0 +) +declText (MLText +uid 44,0 +va (VaSet +font "courier,8,0" +) +xt "20000,100300,47500,101200" +st "SIGNAL icmp_rxa : std_logic_vector(9 downto 0) +" +) +) +*19 (Net +uid 45,0 +decl (Decl +n "icmp_txa" +t "std_logic_vector" +b "(9 downto 0)" +o 19 +suid 19,0 +) +declText (MLText +uid 46,0 +va (VaSet +font "courier,8,0" +) +xt "20000,101200,47500,102100" +st "SIGNAL icmp_txa : std_logic_vector(9 downto 0) +" +) +) +*20 (Net +uid 47,0 +decl (Decl +n "icmp_len" +t "std_logic_vector" +b "(9 downto 0)" +o 20 +suid 20,0 +) +declText (MLText +uid 48,0 +va (VaSet +font "courier,8,0" +) +xt "20000,102100,47500,103000" +st "SIGNAL icmp_len : std_logic_vector(9 downto 0) +" +) +) +*21 (Net +uid 49,0 +decl (Decl +n "icmp_txd" +t "std_logic_vector" +b "(7 downto 0)" +o 21 +suid 21,0 +) +declText (MLText +uid 50,0 +va (VaSet +font "courier,8,0" +) +xt "20000,103000,47500,103900" +st "SIGNAL icmp_txd : std_logic_vector(7 downto 0) +" +) +) +*22 (Net +uid 51,0 +decl (Decl +n "icmp_we" +t "std_logic" +o 22 +suid 22,0 +) +declText (MLText +uid 52,0 +va (VaSet +font "courier,8,0" +) +xt "20000,103900,38000,104800" +st "SIGNAL icmp_we : std_logic +" +) +) +*23 (Net +uid 53,0 +decl (Decl +n "icmp_xmit" +t "std_logic" +o 23 +suid 23,0 +) +declText (MLText +uid 54,0 +va (VaSet +font "courier,8,0" +) +xt "20000,104800,38000,105700" +st "SIGNAL icmp_xmit : std_logic +" +) +) +*24 (Net +uid 55,0 +decl (Decl +n "icmp_done" +t "std_logic" +o 24 +suid 24,0 +) +declText (MLText +uid 56,0 +va (VaSet +font "courier,8,0" +) +xt "20000,105700,38000,106600" +st "SIGNAL icmp_done : std_logic +" +) +) +*25 (Net +uid 57,0 +decl (Decl +n "icmp_ready" +t "std_logic" +o 25 +suid 25,0 +) +declText (MLText +uid 58,0 +va (VaSet +font "courier,8,0" +) +xt "20000,106600,38000,107500" +st "SIGNAL icmp_ready : std_logic +" +) +) +*26 (Net +uid 59,0 +decl (Decl +n "udp_rxa" +t "pbuf_a" +o 26 +suid 26,0 +) +declText (MLText +uid 60,0 +va (VaSet +font "courier,8,0" +) +xt "20000,107500,36500,108400" +st "SIGNAL udp_rxa : pbuf_a +" +) +) +*27 (Net +uid 61,0 +decl (Decl +n "udp_txa" +t "pbuf_a" +o 27 +suid 27,0 +) +declText (MLText +uid 62,0 +va (VaSet +font "courier,8,0" +) +xt "20000,108400,36500,109300" +st "SIGNAL udp_txa : pbuf_a +" +) +) +*28 (Net +uid 63,0 +decl (Decl +n "udp_len" +t "pbuf_a" +o 28 +suid 28,0 +) +declText (MLText +uid 64,0 +va (VaSet +font "courier,8,0" +) +xt "20000,109300,36500,110200" +st "SIGNAL udp_len : pbuf_a +" +) +) +*29 (Net +uid 65,0 +decl (Decl +n "udp_txd" +t "std_logic_vector" +b "(7 downto 0)" +o 29 +suid 29,0 +) +declText (MLText +uid 66,0 +va (VaSet +font "courier,8,0" +) +xt "20000,110200,47500,111100" +st "SIGNAL udp_txd : std_logic_vector(7 downto 0) +" +) +) +*30 (Net +uid 67,0 +decl (Decl +n "udp_we" +t "std_logic" +o 30 +suid 30,0 +) +declText (MLText +uid 68,0 +va (VaSet +font "courier,8,0" +) +xt "20000,111100,38000,112000" +st "SIGNAL udp_we : std_logic +" +) +) +*31 (Net +uid 69,0 +decl (Decl +n "udp_xmit" +t "std_logic" +o 31 +suid 31,0 +) +declText (MLText +uid 70,0 +va (VaSet +font "courier,8,0" +) +xt "20000,112000,38000,112900" +st "SIGNAL udp_xmit : std_logic +" +) +) +*32 (Net +uid 71,0 +decl (Decl +n "udp_done" +t "std_logic" +o 32 +suid 32,0 +) +declText (MLText +uid 72,0 +va (VaSet +font "courier,8,0" +) +xt "20000,112900,38000,113800" +st "SIGNAL udp_done : std_logic +" +) +) +*33 (Net +uid 73,0 +decl (Decl +n "udp_ready" +t "std_logic" +o 33 +suid 33,0 +) +declText (MLText +uid 74,0 +va (VaSet +font "courier,8,0" +) +xt "20000,113800,38000,114700" +st "SIGNAL udp_ready : std_logic +" +) +) +*34 (Net +uid 75,0 +decl (Decl +n "udp_space" +t "std_logic" +o 34 +suid 34,0 +) +declText (MLText +uid 76,0 +va (VaSet +font "courier,8,0" +) +xt "20000,114700,38000,115600" +st "SIGNAL udp_space : std_logic +" +) +) +*35 (Net +uid 77,0 +decl (Decl +n "udp_xmit_req" +t "std_logic" +o 35 +suid 35,0 +) +declText (MLText +uid 78,0 +va (VaSet +font "courier,8,0" +) +xt "20000,115600,38000,116500" +st "SIGNAL udp_xmit_req : std_logic +" +) +) +*36 (Net +uid 79,0 +decl (Decl +n "udp_xmit_ok" +t "std_logic" +o 36 +suid 36,0 +) +declText (MLText +uid 80,0 +va (VaSet +font "courier,8,0" +) +xt "20000,116500,38000,117400" +st "SIGNAL udp_xmit_ok : std_logic +" +) +) +*37 (Net +uid 81,0 +decl (Decl +n "packet_req_addr" +t "rbuf_a" +o 37 +suid 37,0 +) +declText (MLText +uid 82,0 +va (VaSet +font "courier,8,0" +) +xt "20000,117400,36500,118300" +st "SIGNAL packet_req_addr : rbuf_a +" +) +) +*38 (Net +uid 83,0 +decl (Decl +n "packet_req_len" +t "rbuf_a" +o 38 +suid 38,0 +) +declText (MLText +uid 84,0 +va (VaSet +font "courier,8,0" +) +xt "20000,118300,36500,119200" +st "SIGNAL packet_req_len : rbuf_a +" +) +) +*39 (Net +uid 85,0 +decl (Decl +n "packet_resp_addr" +t "wbuf_a" +o 39 +suid 39,0 +) +declText (MLText +uid 86,0 +va (VaSet +font "courier,8,0" +) +xt "20000,119200,36500,120100" +st "SIGNAL packet_resp_addr : wbuf_a +" +) +) +*40 (Net +uid 87,0 +decl (Decl +n "packet_resp_len" +t "wbuf_a" +o 40 +suid 40,0 +) +declText (MLText +uid 88,0 +va (VaSet +font "courier,8,0" +) +xt "20000,120100,36500,121000" +st "SIGNAL packet_resp_len : wbuf_a +" +) +) +*41 (Net +uid 89,0 +decl (Decl +n "packet_req_data" +t "std_logic_vector" +b "(31 downto 0)" +o 41 +suid 41,0 +) +declText (MLText +uid 90,0 +va (VaSet +font "courier,8,0" +) +xt "20000,121000,48000,121900" +st "SIGNAL packet_req_data : std_logic_vector(31 downto 0) +" +) +) +*42 (Net +uid 91,0 +decl (Decl +n "packet_resp_data" +t "std_logic_vector" +b "(31 downto 0)" +o 42 +suid 42,0 +) +declText (MLText +uid 92,0 +va (VaSet +font "courier,8,0" +) +xt "20000,121900,48000,122800" +st "SIGNAL packet_resp_data : std_logic_vector(31 downto 0) +" +) +) +*43 (Net +uid 93,0 +decl (Decl +n "resp_we" +t "std_logic" +o 43 +suid 43,0 +) +declText (MLText +uid 94,0 +va (VaSet +font "courier,8,0" +) +xt "20000,122800,38000,123700" +st "SIGNAL resp_we : std_logic +" +) +) +*44 (Net +uid 95,0 +decl (Decl +n "req_avail" +t "std_logic" +o 44 +suid 44,0 +) +declText (MLText +uid 96,0 +va (VaSet +font "courier,8,0" +) +xt "20000,123700,38000,124600" +st "SIGNAL req_avail : std_logic +" +) +) +*45 (Net +uid 97,0 +decl (Decl +n "resp_done" +t "std_logic" +o 45 +suid 45,0 +) +declText (MLText +uid 98,0 +va (VaSet +font "courier,8,0" +) +xt "20000,124600,38000,125500" +st "SIGNAL resp_done : std_logic +" +) +) +*46 (Net +uid 99,0 +decl (Decl +n "moti" +t "trans_moti" +o 46 +suid 46,0 +) +declText (MLText +uid 100,0 +va (VaSet +font "courier,8,0" +) +xt "20000,125500,38500,126400" +st "SIGNAL moti : trans_moti +" +) +) +*47 (Net +uid 101,0 +decl (Decl +n "tomi" +t "trans_tomi" +o 47 +suid 47,0 +) +declText (MLText +uid 102,0 +va (VaSet +font "courier,8,0" +) +xt "20000,126400,38500,127300" +st "SIGNAL tomi : trans_tomi +" +) +) +*48 (PortIoIn +uid 103,0 +shape (CompositeShape +uid 104,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 105,0 +sl 0 +ro 270 +xt "-4000,37625,-2500,38375" +) +(Line +uid 106,0 +sl 0 +ro 270 +xt "-2500,38000,-2000,38000" +pts [ +"-2500,38000" +"-2000,38000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 107,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 108,0 +va (VaSet +font "courier,8,0" +) +xt "-8500,37500,-5000,38400" +st "ipb_clk" +ju 2 +blo "-5000,38200" +tm "WireNameMgr" +) +) +) +*49 (Net +uid 109,0 +decl (Decl +n "ipb_clk" +t "std_logic" +o 48 +suid 48,0 +) +declText (MLText +uid 110,0 +va (VaSet +font "courier,8,0" +) +xt "20000,68800,34500,69700" +st "ipb_clk : std_logic +" +) +) +*50 (PortIoIn +uid 117,0 +shape (CompositeShape +uid 118,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 119,0 +sl 0 +ro 270 +xt "-4000,40625,-2500,41375" +) +(Line +uid 120,0 +sl 0 +ro 270 +xt "-2500,41000,-2000,41000" +pts [ +"-2500,41000" +"-2000,41000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 121,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 122,0 +va (VaSet +font "courier,8,0" +) +xt "-8500,40500,-5000,41400" +st "rst_ipb" +ju 2 +blo "-5000,41200" +tm "WireNameMgr" +) +) +) +*51 (Net +uid 123,0 +decl (Decl +n "rst_ipb" +t "std_logic" +o 49 +suid 49,0 +) +declText (MLText +uid 124,0 +va (VaSet +font "courier,8,0" +) +xt "20000,69700,34500,70600" +st "rst_ipb : std_logic +" +) +) +*52 (PortIoIn +uid 131,0 +shape (CompositeShape +uid 132,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 133,0 +sl 0 +ro 270 +xt "-4000,38625,-2500,39375" +) +(Line +uid 134,0 +sl 0 +ro 270 +xt "-2500,39000,-2000,39000" +pts [ +"-2500,39000" +"-2000,39000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 135,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 136,0 +va (VaSet +font "courier,8,0" +) +xt "-10500,38500,-5000,39400" +st "rst_macclk" +ju 2 +blo "-5000,39200" +tm "WireNameMgr" +) +) +) +*53 (Net +uid 137,0 +decl (Decl +n "rst_macclk" +t "std_logic" +o 50 +suid 50,0 +) +declText (MLText +uid 138,0 +va (VaSet +font "courier,8,0" +) +xt "20000,70600,34500,71500" +st "rst_macclk : std_logic +" +) +) +*54 (PortIoIn +uid 145,0 +shape (CompositeShape +uid 146,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 147,0 +sl 0 +ro 270 +xt "-4000,39625,-2500,40375" +) +(Line +uid 148,0 +sl 0 +ro 270 +xt "-2500,40000,-2000,40000" +pts [ +"-2500,40000" +"-2000,40000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 149,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 150,0 +va (VaSet +font "courier,8,0" +) +xt "-9500,39500,-5000,40400" +st "mac_txclk" +ju 2 +blo "-5000,40200" +tm "WireNameMgr" +) +) +) +*55 (Net +uid 151,0 +decl (Decl +n "mac_txclk" +t "std_logic" +o 51 +suid 51,0 +) +declText (MLText +uid 152,0 +va (VaSet +font "courier,8,0" +) +xt "20000,71500,34500,72400" +st "mac_txclk : std_logic +" +) +) +*56 (PortIoIn +uid 159,0 +shape (CompositeShape +uid 160,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 161,0 +sl 0 +ro 270 +xt "30000,45625,31500,46375" +) +(Line +uid 162,0 +sl 0 +ro 270 +xt "31500,46000,32000,46000" +pts [ +"31500,46000" +"32000,46000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 163,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 164,0 +va (VaSet +font "courier,8,0" +) +xt "24500,45500,29000,46400" +st "mac_rxclk" +ju 2 +blo "29000,46200" +tm "WireNameMgr" +) +) +) +*57 (Net +uid 165,0 +decl (Decl +n "mac_rxclk" +t "std_logic" +o 52 +suid 52,0 +) +declText (MLText +uid 166,0 +va (VaSet +font "courier,8,0" +) +xt "20000,72400,34500,73300" +st "mac_rxclk : std_logic +" +) +) +*58 (PortIoIn +uid 173,0 +shape (CompositeShape +uid 174,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 175,0 +sl 0 +ro 270 +xt "30000,46625,31500,47375" +) +(Line +uid 176,0 +sl 0 +ro 270 +xt "31500,47000,32000,47000" +pts [ +"31500,47000" +"32000,47000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 177,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 178,0 +va (VaSet +font "courier,8,0" +) +xt "25500,46500,29000,47400" +st "mac_rxd" +ju 2 +blo "29000,47200" +tm "WireNameMgr" +) +) +) +*59 (Net +uid 179,0 +decl (Decl +n "mac_rxd" +t "std_logic_vector" +b "(7 downto 0)" +o 53 +suid 53,0 +) +declText (MLText +uid 180,0 +va (VaSet +font "courier,8,0" +) +xt "20000,73300,44000,74200" +st "mac_rxd : std_logic_vector(7 downto 0) +" +) +) +*60 (PortIoIn +uid 187,0 +shape (CompositeShape +uid 188,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 189,0 +sl 0 +ro 270 +xt "30000,47625,31500,48375" +) +(Line +uid 190,0 +sl 0 +ro 270 +xt "31500,48000,32000,48000" +pts [ +"31500,48000" +"32000,48000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 191,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 192,0 +va (VaSet +font "courier,8,0" +) +xt "23500,47500,29000,48400" +st "mac_rxdvld" +ju 2 +blo "29000,48200" +tm "WireNameMgr" +) +) +) +*61 (Net +uid 193,0 +decl (Decl +n "mac_rxdvld" +t "std_logic" +o 54 +suid 54,0 +) +declText (MLText +uid 194,0 +va (VaSet +font "courier,8,0" +) +xt "20000,74200,34500,75100" +st "mac_rxdvld : std_logic +" +) +) +*62 (PortIoIn +uid 201,0 +shape (CompositeShape +uid 202,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 203,0 +sl 0 +ro 270 +xt "30000,48625,31500,49375" +) +(Line +uid 204,0 +sl 0 +ro 270 +xt "31500,49000,32000,49000" +pts [ +"31500,49000" +"32000,49000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 205,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 206,0 +va (VaSet +font "courier,8,0" +) +xt "21000,48500,29000,49400" +st "mac_rxgoodframe" +ju 2 +blo "29000,49200" +tm "WireNameMgr" +) +) +) +*63 (Net +uid 207,0 +decl (Decl +n "mac_rxgoodframe" +t "std_logic" +o 55 +suid 55,0 +) +declText (MLText +uid 208,0 +va (VaSet +font "courier,8,0" +) +xt "20000,75100,34500,76000" +st "mac_rxgoodframe : std_logic +" +) +) +*64 (PortIoIn +uid 215,0 +shape (CompositeShape +uid 216,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 217,0 +sl 0 +ro 270 +xt "30000,49625,31500,50375" +) +(Line +uid 218,0 +sl 0 +ro 270 +xt "31500,50000,32000,50000" +pts [ +"31500,50000" +"32000,50000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 219,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 220,0 +va (VaSet +font "courier,8,0" +) +xt "21500,49500,29000,50400" +st "mac_rxbadframe" +ju 2 +blo "29000,50200" +tm "WireNameMgr" +) +) +) +*65 (Net +uid 221,0 +decl (Decl +n "mac_rxbadframe" +t "std_logic" +o 56 +suid 56,0 +) +declText (MLText +uid 222,0 +va (VaSet +font "courier,8,0" +) +xt "20000,76000,34500,76900" +st "mac_rxbadframe : std_logic +" +) +) +*66 (PortIoOut +uid 229,0 +shape (CompositeShape +uid 230,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 231,0 +sl 0 +ro 270 +xt "127500,23625,129000,24375" +) +(Line +uid 232,0 +sl 0 +ro 270 +xt "127000,24000,127500,24000" +pts [ +"127000,24000" +"127500,24000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 233,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 234,0 +va (VaSet +font "courier,8,0" +) +xt "130000,23500,133500,24400" +st "mac_txd" +blo "130000,24200" +tm "WireNameMgr" +) +) +) +*67 (Net +uid 235,0 +decl (Decl +n "mac_txd" +t "std_logic_vector" +b "(7 downto 0)" +o 57 +suid 57,0 +) +declText (MLText +uid 236,0 +va (VaSet +font "courier,8,0" +) +xt "20000,76900,44000,77800" +st "mac_txd : std_logic_vector(7 downto 0) +" +) +) +*68 (PortIoOut +uid 243,0 +shape (CompositeShape +uid 244,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 245,0 +sl 0 +ro 270 +xt "127500,24625,129000,25375" +) +(Line +uid 246,0 +sl 0 +ro 270 +xt "127000,25000,127500,25000" +pts [ +"127000,25000" +"127500,25000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 247,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 248,0 +va (VaSet +font "courier,8,0" +) +xt "130000,24500,135500,25400" +st "mac_txdvld" +blo "130000,25200" +tm "WireNameMgr" +) +) +) +*69 (Net +uid 249,0 +decl (Decl +n "mac_txdvld" +t "std_logic" +o 58 +suid 58,0 +) +declText (MLText +uid 250,0 +va (VaSet +font "courier,8,0" +) +xt "20000,77800,34500,78700" +st "mac_txdvld : std_logic +" +) +) +*70 (PortIoIn +uid 257,0 +shape (CompositeShape +uid 258,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 259,0 +sl 0 +ro 270 +xt "109000,23625,110500,24375" +) +(Line +uid 260,0 +sl 0 +ro 270 +xt "110500,24000,111000,24000" +pts [ +"110500,24000" +"111000,24000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 261,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 262,0 +va (VaSet +font "courier,8,0" +) +xt "103500,23500,108000,24400" +st "mac_txack" +ju 2 +blo "108000,24200" +tm "WireNameMgr" +) +) +) +*71 (Net +uid 263,0 +decl (Decl +n "mac_txack" +t "std_logic" +o 59 +suid 59,0 +) +declText (MLText +uid 264,0 +va (VaSet +font "courier,8,0" +) +xt "20000,78700,34500,79600" +st "mac_txack : std_logic +" +) +) +*72 (PortIoOut +uid 271,0 +shape (CompositeShape +uid 272,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 273,0 +sl 0 +ro 270 +xt "19500,27625,21000,28375" +) +(Line +uid 274,0 +sl 0 +ro 270 +xt "19000,28000,19500,28000" +pts [ +"19000,28000" +"19500,28000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 275,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 276,0 +va (VaSet +font "courier,8,0" +) +xt "22000,27500,25500,28400" +st "ipb_out" +blo "22000,28200" +tm "WireNameMgr" +) +) +) +*73 (Net +uid 277,0 +decl (Decl +n "ipb_out" +t "ipb_wbus" +o 60 +suid 60,0 +) +declText (MLText +uid 278,0 +va (VaSet +font "courier,8,0" +) +xt "20000,79600,34000,80500" +st "ipb_out : ipb_wbus +" +) +) +*74 (PortIoIn +uid 285,0 +shape (CompositeShape +uid 286,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 287,0 +sl 0 +ro 270 +xt "4000,27625,5500,28375" +) +(Line +uid 288,0 +sl 0 +ro 270 +xt "5500,28000,6000,28000" +pts [ +"5500,28000" +"6000,28000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 289,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 290,0 +va (VaSet +font "courier,8,0" +) +xt "0,27500,3000,28400" +st "ipb_in" +ju 2 +blo "3000,28200" +tm "WireNameMgr" +) +) +) +*75 (Net +uid 291,0 +decl (Decl +n "ipb_in" +t "ipb_rbus" +o 61 +suid 61,0 +) +declText (MLText +uid 292,0 +va (VaSet +font "courier,8,0" +) +xt "20000,80500,34000,81400" +st "ipb_in : ipb_rbus +" +) +) +*76 (PortIoIn +uid 299,0 +shape (CompositeShape +uid 300,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 301,0 +sl 0 +ro 270 +xt "107000,12625,108500,13375" +) +(Line +uid 302,0 +sl 0 +ro 270 +xt "108500,13000,109000,13000" +pts [ +"108500,13000" +"109000,13000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 303,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 304,0 +va (VaSet +font "courier,8,0" +) +xt "102000,12500,106000,13400" +st "mac_addr" +ju 2 +blo "106000,13200" +tm "WireNameMgr" +) +) +) +*77 (Net +uid 305,0 +decl (Decl +n "mac_addr" +t "std_logic_vector" +b "(47 downto 0)" +o 62 +suid 62,0 +) +declText (MLText +uid 306,0 +va (VaSet +font "courier,8,0" +) +xt "20000,81400,44500,82300" +st "mac_addr : std_logic_vector(47 downto 0) +" +) +) +*78 (PortIoIn +uid 313,0 +shape (CompositeShape +uid 314,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 315,0 +sl 0 +ro 270 +xt "-4000,36625,-2500,37375" +) +(Line +uid 316,0 +sl 0 +ro 270 +xt "-2500,37000,-2000,37000" +pts [ +"-2500,37000" +"-2000,37000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 317,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 318,0 +va (VaSet +font "courier,8,0" +) +xt "-8500,36500,-5000,37400" +st "ip_addr" +ju 2 +blo "-5000,37200" +tm "WireNameMgr" +) +) +) +*79 (Net +uid 319,0 +decl (Decl +n "ip_addr" +t "std_logic_vector" +b "(31 downto 0)" +o 63 +suid 63,0 +) +declText (MLText +uid 320,0 +va (VaSet +font "courier,8,0" +) +xt "20000,82300,44500,83200" +st "ip_addr : std_logic_vector(31 downto 0) +" +) +) +*80 (SaComponent +uid 367,0 +optionalChildren [ +*81 (CptPort +uid 377,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 378,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "112250,29625,113000,30375" +) +tg (CPTG +uid 379,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 380,0 +va (VaSet +font "courier,8,0" +) +xt "114000,29550,117500,30450" +st "mac_clk" +blo "114000,30250" +) +) +thePort (LogicalPort +lang 5 +decl (Decl +n "mac_clk" +t "wire" +preAdd 0 +posAdd 0 +o 1 +) +) +) +*82 (CptPort +uid 381,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 382,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "125000,23625,125750,24375" +) +tg (CPTG +uid 383,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 384,0 +va (VaSet +font "courier,8,0" +) +xt "120500,23550,124000,24450" +st "mac_txd" +ju 2 +blo "124000,24250" +) +) +thePort (LogicalPort +lang 5 +m 1 +decl (Decl +n "mac_txd" +t "reg" +b "[7:0]" +preAdd 0 +posAdd 0 +o 2 +) +) +) +*83 (CptPort +uid 385,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 386,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "125000,24625,125750,25375" +) +tg (CPTG +uid 387,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 388,0 +va (VaSet +font "courier,8,0" +) +xt "120000,24550,124000,25450" +st "mac_txdv" +ju 2 +blo "124000,25250" +) +) +thePort (LogicalPort +lang 5 +m 1 +decl (Decl +n "mac_txdv" +t "reg" +preAdd 0 +posAdd 0 +o 3 +) +) +) +*84 (CptPort +uid 389,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 390,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "112250,23625,113000,24375" +) +tg (CPTG +uid 391,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 392,0 +va (VaSet +font "courier,8,0" +) +xt "114000,23550,118500,24450" +st "mac_txack" +blo "114000,24250" +) +) +thePort (LogicalPort +lang 5 +decl (Decl +n "mac_txack" +t "wire" +preAdd 0 +posAdd 0 +o 4 +) +) +) +*85 (CptPort +uid 393,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 394,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "112250,24625,113000,25375" +) +tg (CPTG +uid 395,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 396,0 +va (VaSet +font "courier,8,0" +) +xt "114000,24550,119500,25450" +st "packet_txd" +blo "114000,25250" +) +) +thePort (LogicalPort +lang 5 +decl (Decl +n "packet_txd" +t "wire" +b "[7:0]" +preAdd 0 +posAdd 0 +o 5 +) +) +) +*86 (CptPort +uid 397,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 398,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "112250,25625,113000,26375" +) +tg (CPTG +uid 399,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 400,0 +va (VaSet +font "courier,8,0" +) +xt "114000,25550,120000,26450" +st "packet_addr" +blo "114000,26250" +) +) +thePort (LogicalPort +lang 5 +decl (Decl +n "packet_addr" +t "wire" +b "[`pbuf_awidth - 1:0]" +preAdd 0 +posAdd 0 +o 6 +) +) +) +*87 (CptPort +uid 401,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 402,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "112250,26625,113000,27375" +) +tg (CPTG +uid 403,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 404,0 +va (VaSet +font "courier,8,0" +) +xt "114000,26550,119500,27450" +st "packet_len" +blo "114000,27250" +) +) +thePort (LogicalPort +lang 5 +decl (Decl +n "packet_len" +t "wire" +b "[`pbuf_awidth - 1:0]" +preAdd 0 +posAdd 0 +o 7 +) +) +) +*88 (CptPort +uid 405,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 406,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "112250,27625,113000,28375" +) +tg (CPTG +uid 407,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 408,0 +va (VaSet +font "courier,8,0" +) +xt "114000,27550,118500,28450" +st "packet_we" +blo "114000,28250" +) +) +thePort (LogicalPort +lang 5 +decl (Decl +n "packet_we" +t "wire" +preAdd 0 +posAdd 0 +o 8 +) +) +) +*89 (CptPort +uid 409,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 410,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "112250,28625,113000,29375" +) +tg (CPTG +uid 411,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 412,0 +va (VaSet +font "courier,8,0" +) +xt "114000,28550,120000,29450" +st "packet_done" +blo "114000,29250" +) +) +thePort (LogicalPort +lang 5 +decl (Decl +n "packet_done" +t "wire" +preAdd 0 +posAdd 0 +o 9 +) +) +) +*90 (CptPort +uid 413,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 414,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "112250,28625,113000,29375" +) +tg (CPTG +uid 415,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 416,0 +va (VaSet +font "courier,8,0" +) +xt "114000,28550,116500,29450" +st "reset" +blo "114000,29250" +) +) +thePort (LogicalPort +lang 5 +decl (Decl +n "reset" +t "wire" +preAdd 0 +posAdd 0 +o 10 +) +) +) +*91 (PortMapFrame +uid 2408,0 +ps "PortMapFrameStrategy" +shape (RectFrame +uid 2409,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "111000,21000,127000,34000" +) +portMapText (BiTextGroup +uid 2410,0 +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +uid 2411,0 +va (VaSet +font "courier,8,0" +) +xt "127000,34000,139000,38500" +st "mac_clk => mac_txclk, +mac_txack => mac_txack, +reset => rst_macclk, +mac_txd => mac_txd, +mac_txdv => mac_txdvld," +) +second (MLText +uid 2412,0 +va (VaSet +font "courier,8,0" +) +xt "127000,38500,141500,43000" +st "packet_txd => packet_txd, +packet_addr => packet_txa, +packet_len => packet_txlen, +packet_we => packet_txwe, +packet_done => packet_txdone" +tm "PortMapTextMgr" +) +) +) +] +shape (Rectangle +uid 368,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "113000,23000,125000,32000" +) +ttg (MlTextGroup +uid 369,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*92 (Text +uid 370,0 +va (VaSet +font "courier,8,1" +) +xt "114250,32000,120750,32900" +st "fmc_mTLU_lib" +blo "114250,32700" +tm "BdLibraryNameMgr" +) +*93 (Text +uid 371,0 +va (VaSet +font "courier,8,1" +) +xt "114250,32900,123750,33800" +st "gbe_txpacketbuffer" +blo "114250,33600" +tm "CptNameMgr" +) +*94 (Text +uid 372,0 +va (VaSet +font "courier,8,1" +) +xt "114250,33800,116750,34700" +st "txbuf" +blo "114250,34500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 373,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 374,0 +text (MLText +uid 375,0 +va (VaSet +font "courier,8,0" +) +xt "111250,19400,122350,23000" +st "ST_IDLE = 2'h0 +ST_FIRSTBYTE = 2'h1 +ST_WAITSTART = 2'h2 +ST_BYTES = 2'h3 +" +) +header "" +) +elements [ +(GiElement +name "ST_IDLE" +value "2'h0" +) +(GiElement +name "ST_FIRSTBYTE" +value "2'h1" +) +(GiElement +name "ST_WAITSTART" +value "2'h2" +) +(GiElement +name "ST_BYTES" +value "2'h3" +) +] +includeGenericsInHDL 0 +) +viewicon (ZoomableIcon +uid 376,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "113250,30250,114750,31750" +iconName "VerilogFileViewIcon.png" +iconMaskName "VerilogFileViewIcon.msk" +ftype 11 +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*95 (SaComponent +uid 525,0 +optionalChildren [ +*96 (CptPort +uid 535,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 536,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,46625,34000,47375" +) +tg (CPTG +uid 537,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 538,0 +va (VaSet +font "courier,8,0" +) +xt "35000,46550,38500,47450" +st "mac_rxd" +blo "35000,47250" +) +) +thePort (LogicalPort +lang 5 +decl (Decl +n "mac_rxd" +t "wire" +b "[7:0]" +preAdd 0 +posAdd 0 +o 1 +) +) +) +*97 (CptPort +uid 539,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 540,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,47625,34000,48375" +) +tg (CPTG +uid 541,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 542,0 +va (VaSet +font "courier,8,0" +) +xt "35000,47550,39000,48450" +st "mac_rxdv" +blo "35000,48250" +) +) +thePort (LogicalPort +lang 5 +decl (Decl +n "mac_rxdv" +t "wire" +preAdd 0 +posAdd 0 +o 2 +) +) +) +*98 (CptPort +uid 543,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 544,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,51625,34000,52375" +) +tg (CPTG +uid 545,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 546,0 +va (VaSet +font "courier,8,0" +) +xt "35000,51550,37500,52450" +st "reset" +blo "35000,52250" +) +) +thePort (LogicalPort +lang 5 +decl (Decl +n "reset" +t "wire" +preAdd 0 +posAdd 0 +o 3 +) +) +) +*99 (CptPort +uid 547,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 548,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,48625,34000,49375" +) +tg (CPTG +uid 549,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 550,0 +va (VaSet +font "courier,8,0" +) +xt "35000,48550,42500,49450" +st "mac_rxpacketok" +blo "35000,49250" +) +) +thePort (LogicalPort +lang 5 +decl (Decl +n "mac_rxpacketok" +t "wire" +preAdd 0 +posAdd 0 +o 4 +) +) +) +*100 (CptPort +uid 551,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 552,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,49625,34000,50375" +) +tg (CPTG +uid 553,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 554,0 +va (VaSet +font "courier,8,0" +) +xt "35000,49550,43000,50450" +st "mac_rxpacketbad" +blo "35000,50250" +) +) +thePort (LogicalPort +lang 5 +decl (Decl +n "mac_rxpacketbad" +t "wire" +preAdd 0 +posAdd 0 +o 5 +) +) +) +*101 (CptPort +uid 555,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 556,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,45625,34000,46375" +) +tg (CPTG +uid 557,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 558,0 +va (VaSet +font "courier,8,0" +) +xt "35000,45550,38500,46450" +st "mac_clk" +blo "35000,46250" +) +) +thePort (LogicalPort +lang 5 +decl (Decl +n "mac_clk" +t "wire" +preAdd 0 +posAdd 0 +o 6 +) +) +) +*102 (CptPort +uid 559,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 560,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,52625,34000,53375" +) +tg (CPTG +uid 561,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 562,0 +va (VaSet +font "courier,8,0" +) +xt "35000,52550,36500,53450" +st "clk" +blo "35000,53250" +) +) +thePort (LogicalPort +lang 5 +decl (Decl +n "clk" +t "wire" +preAdd 0 +posAdd 0 +o 7 +) +) +) +*103 (CptPort +uid 563,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 564,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52000,47625,52750,48375" +) +tg (CPTG +uid 565,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 566,0 +va (VaSet +font "courier,8,0" +) +xt "45500,47550,51000,48450" +st "packet_rxd" +ju 2 +blo "51000,48250" +) +) +thePort (LogicalPort +lang 5 +m 1 +decl (Decl +n "packet_rxd" +t "wire" +b "[7:0]" +preAdd 0 +posAdd 0 +o 8 +) +) +) +*104 (CptPort +uid 567,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 568,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,50625,34000,51375" +) +tg (CPTG +uid 569,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 570,0 +va (VaSet +font "courier,8,0" +) +xt "35000,50550,40500,51450" +st "packet_rxa" +blo "35000,51250" +) +) +thePort (LogicalPort +lang 5 +decl (Decl +n "packet_rxa" +t "wire" +b "[`pbuf_awidth - 1:0]" +preAdd 0 +posAdd 0 +o 9 +) +) +) +*105 (CptPort +uid 571,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 572,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52000,48625,52750,49375" +) +tg (CPTG +uid 573,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 574,0 +va (VaSet +font "courier,8,0" +) +xt "45500,48550,51000,49450" +st "packet_len" +ju 2 +blo "51000,49250" +) +) +thePort (LogicalPort +lang 5 +m 1 +decl (Decl +n "packet_len" +t "reg" +b "[`pbuf_awidth - 1:0]" +preAdd 0 +posAdd 0 +o 10 +) +) +) +*106 (CptPort +uid 575,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 576,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52000,49625,52750,50375" +) +tg (CPTG +uid 577,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 578,0 +va (VaSet +font "courier,8,0" +) +xt "43500,49550,51000,50450" +st "packet_rxready" +ju 2 +blo "51000,50250" +) +) +thePort (LogicalPort +lang 5 +m 1 +decl (Decl +n "packet_rxready" +t "reg" +preAdd 0 +posAdd 0 +o 11 +) +) +) +*107 (CptPort +uid 579,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 580,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,51625,34000,52375" +) +tg (CPTG +uid 581,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 582,0 +va (VaSet +font "courier,8,0" +) +xt "35000,51550,42000,52450" +st "packet_rxdone" +blo "35000,52250" +) +) +thePort (LogicalPort +lang 5 +decl (Decl +n "packet_rxdone" +t "wire" +preAdd 0 +posAdd 0 +o 12 +) +) +) +*108 (PortMapFrame +uid 2363,0 +ps "PortMapFrameStrategy" +shape (RectFrame +uid 2364,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "32000,43000,54000,57000" +) +portMapText (BiTextGroup +uid 2365,0 +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +uid 2366,0 +va (VaSet +font "courier,8,0" +) +xt "54000,57000,71500,63300" +st "clk => mac_txclk, +mac_clk => mac_rxclk, +mac_rxd => mac_rxd, +mac_rxdv => mac_rxdvld, +mac_rxpacketbad => mac_rxbadframe, +mac_rxpacketok => mac_rxgoodframe, +reset => rst_macclk," +) +second (MLText +uid 2367,0 +va (VaSet +font "courier,8,0" +) +xt "54000,63300,71000,67800" +st "packet_rxa => packet_rxa, +packet_rxdone => packet_rxdone, +packet_rxd => packet_rxd, +packet_rxready => packet_rxready, +packet_len => packet_rxl" +tm "PortMapTextMgr" +) +) +) +] +shape (Rectangle +uid 526,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "34000,45000,52000,55000" +) +ttg (MlTextGroup +uid 527,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*109 (Text +uid 528,0 +va (VaSet +font "courier,8,1" +) +xt "38250,55000,44750,55900" +st "fmc_mTLU_lib" +blo "38250,55700" +tm "BdLibraryNameMgr" +) +*110 (Text +uid 529,0 +va (VaSet +font "courier,8,1" +) +xt "38250,55900,47750,56800" +st "gbe_rxpacketbuffer" +blo "38250,56600" +tm "CptNameMgr" +) +*111 (Text +uid 530,0 +va (VaSet +font "courier,8,1" +) +xt "38250,56800,40750,57700" +st "rxbuf" +blo "38250,57500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 531,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 532,0 +text (MLText +uid 533,0 +va (VaSet +font "courier,8,0" +) +xt "32250,45000,32250,45000" +) +header "" +) +elements [ +] +includeGenericsInHDL 0 +) +viewicon (ZoomableIcon +uid 534,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "34250,53250,35750,54750" +iconName "VerilogFileViewIcon.png" +iconMaskName "VerilogFileViewIcon.msk" +ftype 11 +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*112 (SaComponent +uid 811,0 +optionalChildren [ +*113 (CptPort +uid 821,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 822,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "144250,30625,145000,31375" +) +tg (CPTG +uid 823,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 824,0 +va (VaSet +font "courier,8,0" +) +xt "146000,30550,147500,31450" +st "clk" +blo "146000,31250" +) +) +thePort (LogicalPort +lang 5 +decl (Decl +n "clk" +t "wire" +preAdd 0 +posAdd 0 +o 1 +) +) +) +*114 (CptPort +uid 825,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 826,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "144250,29625,145000,30375" +) +tg (CPTG +uid 827,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 828,0 +va (VaSet +font "courier,8,0" +) +xt "146000,29550,148500,30450" +st "reset" +blo "146000,30250" +) +) +thePort (LogicalPort +lang 5 +decl (Decl +n "reset" +t "wire" +preAdd 0 +posAdd 0 +o 2 +) +) +) +*115 (CptPort +uid 829,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 830,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "144250,5625,145000,6375" +) +tg (CPTG +uid 831,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 832,0 +va (VaSet +font "courier,8,0" +) +xt "146000,5550,150000,6450" +st "rx_ready" +blo "146000,6250" +) +) +thePort (LogicalPort +lang 5 +decl (Decl +n "rx_ready" +t "wire" +preAdd 0 +posAdd 0 +o 3 +) +) +) +*116 (CptPort +uid 833,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 834,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "160000,3625,160750,4375" +) +tg (CPTG +uid 835,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 836,0 +va (VaSet +font "courier,8,0" +) +xt "155500,3550,159000,4450" +st "rx_done" +ju 2 +blo "159000,4250" +) +) +thePort (LogicalPort +lang 5 +m 1 +decl (Decl +n "rx_done" +t "reg" +preAdd 0 +posAdd 0 +o 4 +) +) +) +*117 (CptPort +uid 837,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 838,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "144250,6625,145000,7375" +) +tg (CPTG +uid 839,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 840,0 +va (VaSet +font "courier,8,0" +) +xt "146000,6550,147500,7450" +st "rxd" +blo "146000,7250" +) +) +thePort (LogicalPort +lang 5 +decl (Decl +n "rxd" +t "wire" +b "[7:0]" +preAdd 0 +posAdd 0 +o 5 +) +) +) +*118 (CptPort +uid 841,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 842,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "160000,4625,160750,5375" +) +tg (CPTG +uid 843,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 844,0 +va (VaSet +font "courier,8,0" +) +xt "157500,4550,159000,5450" +st "rxa" +ju 2 +blo "159000,5250" +) +) +thePort (LogicalPort +lang 5 +m 1 +decl (Decl +n "rxa" +t "wire" +b "[`pbuf_awidth - 1:0]" +preAdd 0 +posAdd 0 +o 6 +) +) +) +*119 (CptPort +uid 845,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 846,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "160000,5625,160750,6375" +) +tg (CPTG +uid 847,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 848,0 +va (VaSet +font "courier,8,0" +) +xt "157500,5550,159000,6450" +st "txd" +ju 2 +blo "159000,6250" +) +) +thePort (LogicalPort +lang 5 +m 1 +decl (Decl +n "txd" +t "wire" +b "[7:0]" +preAdd 0 +posAdd 0 +o 7 +) +) +) +*120 (CptPort +uid 849,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 850,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "160000,6625,160750,7375" +) +tg (CPTG +uid 851,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 852,0 +va (VaSet +font "courier,8,0" +) +xt "157500,6550,159000,7450" +st "txa" +ju 2 +blo "159000,7250" +) +) +thePort (LogicalPort +lang 5 +m 1 +decl (Decl +n "txa" +t "wire" +b "[`pbuf_awidth - 1:0]" +preAdd 0 +posAdd 0 +o 8 +) +) +) +*121 (CptPort +uid 853,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 854,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "160000,7625,160750,8375" +) +tg (CPTG +uid 855,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 856,0 +va (VaSet +font "courier,8,0" +) +xt "156000,7550,159000,8450" +st "tx_len" +ju 2 +blo "159000,8250" +) +) +thePort (LogicalPort +lang 5 +m 1 +decl (Decl +n "tx_len" +t "wire" +b "[`pbuf_awidth - 1:0]" +preAdd 0 +posAdd 0 +o 9 +) +) +) +*122 (CptPort +uid 857,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 858,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "160000,8625,160750,9375" +) +tg (CPTG +uid 859,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 860,0 +va (VaSet +font "courier,8,0" +) +xt "156500,8550,159000,9450" +st "tx_we" +ju 2 +blo "159000,9250" +) +) +thePort (LogicalPort +lang 5 +m 1 +decl (Decl +n "tx_we" +t "wire" +preAdd 0 +posAdd 0 +o 10 +) +) +) +*123 (CptPort +uid 861,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 862,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "160000,9625,160750,10375" +) +tg (CPTG +uid 863,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 864,0 +va (VaSet +font "courier,8,0" +) +xt "155500,9550,159000,10450" +st "tx_done" +ju 2 +blo "159000,10250" +) +) +thePort (LogicalPort +lang 5 +m 1 +decl (Decl +n "tx_done" +t "wire" +preAdd 0 +posAdd 0 +o 11 +) +) +) +*124 (CptPort +uid 865,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 866,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "144250,28625,145000,29375" +) +tg (CPTG +uid 867,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 868,0 +va (VaSet +font "courier,8,0" +) +xt "146000,28550,147000,29450" +st "ip" +blo "146000,29250" +) +) +thePort (LogicalPort +lang 5 +decl (Decl +n "ip" +t "wire" +b "[31:0]" +preAdd 0 +posAdd 0 +o 12 +) +) +) +*125 (CptPort +uid 869,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 870,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "144250,7625,145000,8375" +) +tg (CPTG +uid 871,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 872,0 +va (VaSet +font "courier,8,0" +) +xt "146000,7550,149500,8450" +st "arp_rxa" +blo "146000,8250" +) +) +thePort (LogicalPort +lang 5 +decl (Decl +n "arp_rxa" +t "wire" +b "[5:0]" +preAdd 0 +posAdd 0 +o 13 +) +) +) +*126 (CptPort +uid 873,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 874,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "144250,8625,145000,9375" +) +tg (CPTG +uid 875,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 876,0 +va (VaSet +font "courier,8,0" +) +xt "146000,8550,149500,9450" +st "arp_txa" +blo "146000,9250" +) +) +thePort (LogicalPort +lang 5 +decl (Decl +n "arp_txa" +t "wire" +b "[5:0]" +preAdd 0 +posAdd 0 +o 14 +) +) +) +*127 (CptPort +uid 877,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 878,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "144250,9625,145000,10375" +) +tg (CPTG +uid 879,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 880,0 +va (VaSet +font "courier,8,0" +) +xt "146000,9550,149500,10450" +st "arp_len" +blo "146000,10250" +) +) +thePort (LogicalPort +lang 5 +decl (Decl +n "arp_len" +t "wire" +b "[5:0]" +preAdd 0 +posAdd 0 +o 15 +) +) +) +*128 (CptPort +uid 881,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 882,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "144250,10625,145000,11375" +) +tg (CPTG +uid 883,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 884,0 +va (VaSet +font "courier,8,0" +) +xt "146000,10550,149500,11450" +st "arp_txd" +blo "146000,11250" +) +) +thePort (LogicalPort +lang 5 +decl (Decl +n "arp_txd" +t "wire" +b "[7:0]" +preAdd 0 +posAdd 0 +o 16 +) +) +) +*129 (CptPort +uid 885,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 886,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "144250,11625,145000,12375" +) +tg (CPTG +uid 887,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 888,0 +va (VaSet +font "courier,8,0" +) +xt "146000,11550,149000,12450" +st "arp_we" +blo "146000,12250" +) +) +thePort (LogicalPort +lang 5 +decl (Decl +n "arp_we" +t "wire" +preAdd 0 +posAdd 0 +o 17 +) +) +) +*130 (CptPort +uid 889,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 890,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "144250,12625,145000,13375" +) +tg (CPTG +uid 891,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 892,0 +va (VaSet +font "courier,8,0" +) +xt "146000,12550,150000,13450" +st "arp_xmit" +blo "146000,13250" +) +) +thePort (LogicalPort +lang 5 +decl (Decl +n "arp_xmit" +t "wire" +preAdd 0 +posAdd 0 +o 18 +) +) +) +*131 (CptPort +uid 893,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 894,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "144250,13625,145000,14375" +) +tg (CPTG +uid 895,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 896,0 +va (VaSet +font "courier,8,0" +) +xt "146000,13550,150000,14450" +st "arp_done" +blo "146000,14250" +) +) +thePort (LogicalPort +lang 5 +decl (Decl +n "arp_done" +t "wire" +preAdd 0 +posAdd 0 +o 19 +) +) +) +*132 (CptPort +uid 897,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 898,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "160000,29625,160750,30375" +) +tg (CPTG +uid 899,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 900,0 +va (VaSet +font "courier,8,0" +) +xt "154500,29550,159000,30450" +st "arp_ready" +ju 2 +blo "159000,30250" +) +) +thePort (LogicalPort +lang 5 +m 1 +decl (Decl +n "arp_ready" +t "reg" +preAdd 0 +posAdd 0 +o 20 +) +) +) +*133 (CptPort +uid 901,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 902,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "144250,14625,145000,15375" +) +tg (CPTG +uid 903,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 904,0 +va (VaSet +font "courier,8,0" +) +xt "146000,14550,150000,15450" +st "icmp_rxa" +blo "146000,15250" +) +) +thePort (LogicalPort +lang 5 +decl (Decl +n "icmp_rxa" +t "wire" +b "[9:0]" +preAdd 0 +posAdd 0 +o 21 +) +) +) +*134 (CptPort +uid 905,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 906,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "144250,15625,145000,16375" +) +tg (CPTG +uid 907,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 908,0 +va (VaSet +font "courier,8,0" +) +xt "146000,15550,150000,16450" +st "icmp_txa" +blo "146000,16250" +) +) +thePort (LogicalPort +lang 5 +decl (Decl +n "icmp_txa" +t "wire" +b "[9:0]" +preAdd 0 +posAdd 0 +o 22 +) +) +) +*135 (CptPort +uid 909,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 910,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "144250,16625,145000,17375" +) +tg (CPTG +uid 911,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 912,0 +va (VaSet +font "courier,8,0" +) +xt "146000,16550,150000,17450" +st "icmp_len" +blo "146000,17250" +) +) +thePort (LogicalPort +lang 5 +decl (Decl +n "icmp_len" +t "wire" +b "[9:0]" +preAdd 0 +posAdd 0 +o 23 +) +) +) +*136 (CptPort +uid 913,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 914,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "144250,17625,145000,18375" +) +tg (CPTG +uid 915,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 916,0 +va (VaSet +font "courier,8,0" +) +xt "146000,17550,150000,18450" +st "icmp_txd" +blo "146000,18250" +) +) +thePort (LogicalPort +lang 5 +decl (Decl +n "icmp_txd" +t "wire" +b "[7:0]" +preAdd 0 +posAdd 0 +o 24 +) +) +) +*137 (CptPort +uid 917,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 918,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "144250,18625,145000,19375" +) +tg (CPTG +uid 919,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 920,0 +va (VaSet +font "courier,8,0" +) +xt "146000,18550,149500,19450" +st "icmp_we" +blo "146000,19250" +) +) +thePort (LogicalPort +lang 5 +decl (Decl +n "icmp_we" +t "wire" +preAdd 0 +posAdd 0 +o 25 +) +) +) +*138 (CptPort +uid 921,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 922,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "144250,19625,145000,20375" +) +tg (CPTG +uid 923,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 924,0 +va (VaSet +font "courier,8,0" +) +xt "146000,19550,150500,20450" +st "icmp_xmit" +blo "146000,20250" +) +) +thePort (LogicalPort +lang 5 +decl (Decl +n "icmp_xmit" +t "wire" +preAdd 0 +posAdd 0 +o 26 +) +) +) +*139 (CptPort +uid 925,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 926,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "144250,20625,145000,21375" +) +tg (CPTG +uid 927,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 928,0 +va (VaSet +font "courier,8,0" +) +xt "146000,20550,150500,21450" +st "icmp_done" +blo "146000,21250" +) +) +thePort (LogicalPort +lang 5 +decl (Decl +n "icmp_done" +t "wire" +preAdd 0 +posAdd 0 +o 27 +) +) +) +*140 (CptPort +uid 929,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 930,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "160000,28625,160750,29375" +) +tg (CPTG +uid 931,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 932,0 +va (VaSet +font "courier,8,0" +) +xt "153500,28550,159000,29450" +st "icmp_ready" +ju 2 +blo "159000,29250" +) +) +thePort (LogicalPort +lang 5 +m 1 +decl (Decl +n "icmp_ready" +t "reg" +preAdd 0 +posAdd 0 +o 28 +) +) +) +*141 (CptPort +uid 933,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 934,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "144250,21625,145000,22375" +) +tg (CPTG +uid 935,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 936,0 +va (VaSet +font "courier,8,0" +) +xt "146000,21550,149500,22450" +st "udp_rxa" +blo "146000,22250" +) +) +thePort (LogicalPort +lang 5 +decl (Decl +n "udp_rxa" +t "wire" +b "[`pbuf_awidth - 1:0]" +preAdd 0 +posAdd 0 +o 29 +) +) +) +*142 (CptPort +uid 937,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 938,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "144250,22625,145000,23375" +) +tg (CPTG +uid 939,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 940,0 +va (VaSet +font "courier,8,0" +) +xt "146000,22550,149500,23450" +st "udp_txa" +blo "146000,23250" +) +) +thePort (LogicalPort +lang 5 +decl (Decl +n "udp_txa" +t "wire" +b "[`pbuf_awidth - 1:0]" +preAdd 0 +posAdd 0 +o 30 +) +) +) +*143 (CptPort +uid 941,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 942,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "144250,23625,145000,24375" +) +tg (CPTG +uid 943,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 944,0 +va (VaSet +font "courier,8,0" +) +xt "146000,23550,149500,24450" +st "udp_len" +blo "146000,24250" +) +) +thePort (LogicalPort +lang 5 +decl (Decl +n "udp_len" +t "wire" +b "[`pbuf_awidth - 1:0]" +preAdd 0 +posAdd 0 +o 31 +) +) +) +*144 (CptPort +uid 945,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 946,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "144250,24625,145000,25375" +) +tg (CPTG +uid 947,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 948,0 +va (VaSet +font "courier,8,0" +) +xt "146000,24550,149500,25450" +st "udp_txd" +blo "146000,25250" +) +) +thePort (LogicalPort +lang 5 +decl (Decl +n "udp_txd" +t "wire" +b "[7:0]" +preAdd 0 +posAdd 0 +o 32 +) +) +) +*145 (CptPort +uid 949,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 950,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "144250,25625,145000,26375" +) +tg (CPTG +uid 951,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 952,0 +va (VaSet +font "courier,8,0" +) +xt "146000,25550,149000,26450" +st "udp_we" +blo "146000,26250" +) +) +thePort (LogicalPort +lang 5 +decl (Decl +n "udp_we" +t "wire" +preAdd 0 +posAdd 0 +o 33 +) +) +) +*146 (CptPort +uid 953,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 954,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "144250,26625,145000,27375" +) +tg (CPTG +uid 955,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 956,0 +va (VaSet +font "courier,8,0" +) +xt "146000,26550,150000,27450" +st "udp_xmit" +blo "146000,27250" +) +) +thePort (LogicalPort +lang 5 +decl (Decl +n "udp_xmit" +t "wire" +preAdd 0 +posAdd 0 +o 34 +) +) +) +*147 (CptPort +uid 957,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 958,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "144250,27625,145000,28375" +) +tg (CPTG +uid 959,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 960,0 +va (VaSet +font "courier,8,0" +) +xt "146000,27550,150000,28450" +st "udp_done" +blo "146000,28250" +) +) +thePort (LogicalPort +lang 5 +decl (Decl +n "udp_done" +t "wire" +preAdd 0 +posAdd 0 +o 35 +) +) +) +*148 (CptPort +uid 961,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 962,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "144250,28625,145000,29375" +) +tg (CPTG +uid 963,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 964,0 +va (VaSet +font "courier,8,0" +) +xt "146000,28550,152500,29450" +st "udp_xmit_req" +blo "146000,29250" +) +) +thePort (LogicalPort +lang 5 +decl (Decl +n "udp_xmit_req" +t "wire" +preAdd 0 +posAdd 0 +o 36 +) +) +) +*149 (CptPort +uid 965,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 966,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "144250,29625,145000,30375" +) +tg (CPTG +uid 967,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 968,0 +va (VaSet +font "courier,8,0" +) +xt "146000,29550,150500,30450" +st "udp_space" +blo "146000,30250" +) +) +thePort (LogicalPort +lang 5 +decl (Decl +n "udp_space" +t "wire" +preAdd 0 +posAdd 0 +o 37 +) +) +) +*150 (CptPort +uid 969,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 970,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "160000,10625,160750,11375" +) +tg (CPTG +uid 971,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 972,0 +va (VaSet +font "courier,8,0" +) +xt "154500,10550,159000,11450" +st "udp_ready" +ju 2 +blo "159000,11250" +) +) +thePort (LogicalPort +lang 5 +m 1 +decl (Decl +n "udp_ready" +t "reg" +preAdd 0 +posAdd 0 +o 38 +) +) +) +*151 (CptPort +uid 973,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 974,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "160000,11625,160750,12375" +) +tg (CPTG +uid 975,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 976,0 +va (VaSet +font "courier,8,0" +) +xt "153000,11550,159000,12450" +st "udp_xmit_ok" +ju 2 +blo "159000,12250" +) +) +thePort (LogicalPort +lang 5 +m 1 +decl (Decl +n "udp_xmit_ok" +t "reg" +preAdd 0 +posAdd 0 +o 39 +) +) +) +*152 (PortMapFrame +uid 977,0 +ps "PortMapFrameStrategy" +shape (RectFrame +uid 978,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "143000,1000,162000,34000" +) +portMapText (BiTextGroup +uid 979,0 +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +uid 980,0 +va (VaSet +font "courier,8,0" +) +xt "162000,34000,175000,38500" +st "clk => mac_txclk, +ip => ip_addr, +reset => rst_macclk, +arp_ready => arp_ready, +icmp_ready => icmp_ready," +) +second (MLText +uid 981,0 +va (VaSet +font "courier,8,0" +) +xt "162000,38500,177000,69100" +st "arp_len => \"101010\", +icmp_txd => icmp_txd, +icmp_done => icmp_done, +icmp_rxa => icmp_rxa, +icmp_txa => icmp_txa, +icmp_len => icmp_len, +icmp_we => icmp_we, +icmp_xmit => icmp_xmit, +arp_rxa => arp_rxa, +arp_txa => arp_txa, +arp_xmit => arp_xmit, +arp_done => arp_done, +arp_txd => arp_txd, +arp_we => arp_we, +udp_ready => udp_ready, +udp_xmit_ok => udp_xmit_ok, +rxa => packet_rxa, +rx_done => packet_rxdone, +txd => packet_txd, +tx_len => packet_txlen, +txa => packet_txa, +tx_we => packet_txwe, +tx_done => packet_txdone, +udp_space => udp_space, +udp_xmit_req => udp_xmit_req, +udp_rxa => udp_rxa, +udp_xmit => udp_xmit, +udp_done => udp_done, +udp_txa => udp_txa, +udp_len => udp_len, +udp_txd => udp_txd, +udp_we => udp_we, +rxd => packet_rxd, +rx_ready => packet_rxready" +tm "PortMapTextMgr" +) +) +) +] +shape (Rectangle +uid 812,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "145000,3000,160000,32000" +) +ttg (MlTextGroup +uid 813,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*153 (Text +uid 814,0 +va (VaSet +font "courier,8,1" +) +xt "148750,32000,155250,32900" +st "fmc_mTLU_lib" +blo "148750,32700" +tm "BdLibraryNameMgr" +) +*154 (Text +uid 815,0 +va (VaSet +font "courier,8,1" +) +xt "148750,32900,156250,33800" +st "packet_handler" +blo "148750,33600" +tm "CptNameMgr" +) +*155 (Text +uid 816,0 +va (VaSet +font "courier,8,1" +) +xt "148750,33800,152250,34700" +st "handler" +blo "148750,34500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 817,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 818,0 +text (MLText +uid 819,0 +va (VaSet +font "courier,8,0" +) +xt "144250,-16800,173850,3000" +st "SRC_SELF = 2'h0 +SRC_ARP = 2'h1 +SRC_ICMP = 2'h2 +SRC_UDP = 2'h3 +ST_IDLE = 5'h00 +ST_WAITETH0 = 5'h01 +ST_ETH0 = 5'h02 +ST_ETH1 = 5'h03 +ST_ARP = 5'h04 +ST_IP0 = 5'h05 +ST_IP1 = 5'h06 +ST_IP2 = 5'h07 +ST_IP3 = 5'h08 +ST_IP = 5'h09 +ST_ICMP = 5'h0a +ST_UDP = 5'h0b +ST_UDP0 = 5'h0c +ST_UDP1 = 5'h0d +ST_PREDONE = 5'h1d +ST_DONE = 5'h1e +ST_UDP_XMIT = 5'h1f +UDP_PORT_CTL = 16'hc351 --// Original JM definition d791; +" +) +header "" +) +elements [ +(GiElement +name "SRC_SELF" +value "2'h0" +) +(GiElement +name "SRC_ARP" +value "2'h1" +) +(GiElement +name "SRC_ICMP" +value "2'h2" +) +(GiElement +name "SRC_UDP" +value "2'h3" +) +(GiElement +name "ST_IDLE" +value "5'h00" +) +(GiElement +name "ST_WAITETH0" +value "5'h01" +) +(GiElement +name "ST_ETH0" +value "5'h02" +) +(GiElement +name "ST_ETH1" +value "5'h03" +) +(GiElement +name "ST_ARP" +value "5'h04" +) +(GiElement +name "ST_IP0" +value "5'h05" +) +(GiElement +name "ST_IP1" +value "5'h06" +) +(GiElement +name "ST_IP2" +value "5'h07" +) +(GiElement +name "ST_IP3" +value "5'h08" +) +(GiElement +name "ST_IP" +value "5'h09" +) +(GiElement +name "ST_ICMP" +value "5'h0a" +) +(GiElement +name "ST_UDP" +value "5'h0b" +) +(GiElement +name "ST_UDP0" +value "5'h0c" +) +(GiElement +name "ST_UDP1" +value "5'h0d" +) +(GiElement +name "ST_PREDONE" +value "5'h1d" +) +(GiElement +name "ST_DONE" +value "5'h1e" +) +(GiElement +name "ST_UDP_XMIT" +value "5'h1f" +) +(GiElement +name "UDP_PORT_CTL" +value "16'hc351" +e "// Original JM definition d791;" +) +] +includeGenericsInHDL 0 +) +viewicon (ZoomableIcon +uid 820,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "145250,30250,146750,31750" +iconName "VerilogFileViewIcon.png" +iconMaskName "VerilogFileViewIcon.msk" +ftype 11 +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*156 (SaComponent +uid 1258,0 +optionalChildren [ +*157 (CptPort +uid 1268,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1269,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "110250,16625,111000,17375" +) +tg (CPTG +uid 1270,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1271,0 +va (VaSet +font "courier,8,0" +) +xt "112000,16550,115500,17450" +st "mac_clk" +blo "112000,17250" +) +) +thePort (LogicalPort +lang 5 +decl (Decl +n "mac_clk" +t "wire" +preAdd 0 +posAdd 0 +o 1 +) +) +) +*158 (CptPort +uid 1272,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1273,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "110250,15625,111000,16375" +) +tg (CPTG +uid 1274,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1275,0 +va (VaSet +font "courier,8,0" +) +xt "112000,15550,114500,16450" +st "reset" +blo "112000,16250" +) +) +thePort (LogicalPort +lang 5 +decl (Decl +n "reset" +t "wire" +preAdd 0 +posAdd 0 +o 2 +) +) +) +*159 (CptPort +uid 1276,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1277,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "110250,17625,111000,18375" +) +tg (CPTG +uid 1278,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1279,0 +va (VaSet +font "courier,8,0" +) +xt "112000,17550,118500,18450" +st "packet_ready" +blo "112000,18250" +) +) +thePort (LogicalPort +lang 5 +decl (Decl +n "packet_ready" +t "wire" +preAdd 0 +posAdd 0 +o 3 +) +) +) +*160 (CptPort +uid 1280,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1281,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "128000,12625,128750,13375" +) +tg (CPTG +uid 1282,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1283,0 +va (VaSet +font "courier,8,0" +) +xt "118500,12550,127000,13450" +st "done_with_packet" +ju 2 +blo "127000,13250" +) +) +thePort (LogicalPort +lang 5 +m 1 +decl (Decl +n "done_with_packet" +t "reg" +preAdd 0 +posAdd 0 +o 4 +) +) +) +*161 (CptPort +uid 1284,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1285,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "110250,13625,111000,14375" +) +tg (CPTG +uid 1286,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1287,0 +va (VaSet +font "courier,8,0" +) +xt "112000,13550,118000,14450" +st "packet_data" +blo "112000,14250" +) +) +thePort (LogicalPort +lang 5 +decl (Decl +n "packet_data" +t "wire" +b "[7:0]" +preAdd 0 +posAdd 0 +o 5 +) +) +) +*162 (CptPort +uid 1288,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1289,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "128000,13625,128750,14375" +) +tg (CPTG +uid 1290,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1291,0 +va (VaSet +font "courier,8,0" +) +xt "118500,13550,127000,14450" +st "packet_read_addr" +ju 2 +blo "127000,14250" +) +) +thePort (LogicalPort +lang 5 +m 1 +decl (Decl +n "packet_read_addr" +t "reg" +b "[5:0]" +preAdd 0 +posAdd 0 +o 6 +) +) +) +*163 (CptPort +uid 1292,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1293,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "110250,12625,111000,13375" +) +tg (CPTG +uid 1294,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1295,0 +va (VaSet +font "courier,8,0" +) +xt "112000,12550,114500,13450" +st "myMAC" +blo "112000,13250" +) +) +thePort (LogicalPort +lang 5 +decl (Decl +n "myMAC" +t "wire" +b "[47:0]" +preAdd 0 +posAdd 0 +o 7 +) +) +) +*164 (CptPort +uid 1296,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1297,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "110250,14625,111000,15375" +) +tg (CPTG +uid 1298,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1299,0 +va (VaSet +font "courier,8,0" +) +xt "112000,14550,114000,15450" +st "myIP" +blo "112000,15250" +) +) +thePort (LogicalPort +lang 5 +decl (Decl +n "myIP" +t "wire" +b "[31:0]" +preAdd 0 +posAdd 0 +o 8 +) +) +) +*165 (CptPort +uid 1300,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1301,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "128000,14625,128750,15375" +) +tg (CPTG +uid 1302,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1303,0 +va (VaSet +font "courier,8,0" +) +xt "121500,14550,127000,15450" +st "packet_out" +ju 2 +blo "127000,15250" +) +) +thePort (LogicalPort +lang 5 +m 1 +decl (Decl +n "packet_out" +t "reg" +b "[7:0]" +preAdd 0 +posAdd 0 +o 9 +) +) +) +*166 (CptPort +uid 1304,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1305,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "128000,15625,128750,16375" +) +tg (CPTG +uid 1306,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1307,0 +va (VaSet +font "courier,8,0" +) +xt "119000,15550,127000,16450" +st "packet_out_addr" +ju 2 +blo "127000,16250" +) +) +thePort (LogicalPort +lang 5 +m 1 +decl (Decl +n "packet_out_addr" +t "reg" +b "[5:0]" +preAdd 0 +posAdd 0 +o 10 +) +) +) +*167 (CptPort +uid 1308,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1309,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "128000,16625,128750,17375" +) +tg (CPTG +uid 1310,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1311,0 +va (VaSet +font "courier,8,0" +) +xt "120000,16550,127000,17450" +st "packet_out_we" +ju 2 +blo "127000,17250" +) +) +thePort (LogicalPort +lang 5 +m 1 +decl (Decl +n "packet_out_we" +t "reg" +preAdd 0 +posAdd 0 +o 11 +) +) +) +*168 (CptPort +uid 1312,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1313,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "128000,17625,128750,18375" +) +tg (CPTG +uid 1314,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1315,0 +va (VaSet +font "courier,8,0" +) +xt "121000,17550,127000,18450" +st "packet_xmit" +ju 2 +blo "127000,18250" +) +) +thePort (LogicalPort +lang 5 +m 1 +decl (Decl +n "packet_xmit" +t "reg" +preAdd 0 +posAdd 0 +o 12 +) +) +) +*169 (PortMapFrame +uid 2309,0 +ps "PortMapFrameStrategy" +shape (RectFrame +uid 2310,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "109000,10000,130000,21000" +) +portMapText (BiTextGroup +uid 2311,0 +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +uid 2312,0 +va (VaSet +font "courier,8,0" +) +xt "130000,21000,143500,26400" +st "mac_clk => mac_txclk, +myIP => ip_addr, +myMAC => mac_addr, +packet_data => packet_rxd, +packet_ready => arp_ready, +reset => rst_macclk," +) +second (MLText +uid 2313,0 +va (VaSet +font "courier,8,0" +) +xt "130000,26400,145000,31800" +st "done_with_packet => arp_done, +packet_out => arp_txd, +packet_out_addr => arp_txa, +packet_out_we => arp_we, +packet_read_addr => arp_rxa, +packet_xmit => arp_xmit" +tm "PortMapTextMgr" +) +) +) +] +shape (Rectangle +uid 1259,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "111000,12000,128000,19000" +) +ttg (MlTextGroup +uid 1260,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*170 (Text +uid 1261,0 +va (VaSet +font "courier,8,1" +) +xt "116250,19000,122750,19900" +st "fmc_mTLU_lib" +blo "116250,19700" +tm "BdLibraryNameMgr" +) +*171 (Text +uid 1262,0 +va (VaSet +font "courier,8,1" +) +xt "116250,19900,117750,20800" +st "arp" +blo "116250,20600" +tm "CptNameMgr" +) +*172 (Text +uid 1263,0 +va (VaSet +font "courier,8,1" +) +xt "116250,20800,120750,21700" +st "arp_block" +blo "116250,21500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1264,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1265,0 +text (MLText +uid 1266,0 +va (VaSet +font "courier,8,0" +) +xt "110750,300,124350,12000" +st "ST_IDLE = 4'h0 +ST_CHECKCONSTWAIT = 4'h1 +ST_CHECKCONST = 4'h2 +ST_CHECKIP_WAIT = 4'h3 +ST_CHECKIP = 4'h4 +ST_RESP_READSET = 4'h5 +ST_RESP_READWAIT = 4'h6 +ST_RESP_WE = 4'h7 +ST_RESP_NEXT = 4'h8 +ST_RESP_READWAIT2 = 4'h9 +ST_PREIDLE = 4'hd +ST_DONEOK = 4'he +ST_DONEFAIL = 4'hf +" +) +header "" +) +elements [ +(GiElement +name "ST_IDLE" +value "4'h0" +) +(GiElement +name "ST_CHECKCONSTWAIT" +value "4'h1" +) +(GiElement +name "ST_CHECKCONST" +value "4'h2" +) +(GiElement +name "ST_CHECKIP_WAIT" +value "4'h3" +) +(GiElement +name "ST_CHECKIP" +value "4'h4" +) +(GiElement +name "ST_RESP_READSET" +value "4'h5" +) +(GiElement +name "ST_RESP_READWAIT" +value "4'h6" +) +(GiElement +name "ST_RESP_WE" +value "4'h7" +) +(GiElement +name "ST_RESP_NEXT" +value "4'h8" +) +(GiElement +name "ST_RESP_READWAIT2" +value "4'h9" +) +(GiElement +name "ST_PREIDLE" +value "4'hd" +) +(GiElement +name "ST_DONEOK" +value "4'he" +) +(GiElement +name "ST_DONEFAIL" +value "4'hf" +) +] +includeGenericsInHDL 0 +) +viewicon (ZoomableIcon +uid 1267,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "111250,17250,112750,18750" +iconName "VerilogFileViewIcon.png" +iconMaskName "VerilogFileViewIcon.msk" +ftype 11 +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*173 (SaComponent +uid 1436,0 +optionalChildren [ +*174 (CptPort +uid 1446,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1447,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "110250,5625,111000,6375" +) +tg (CPTG +uid 1448,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1449,0 +va (VaSet +font "courier,8,0" +) +xt "112000,5550,115500,6450" +st "mac_clk" +blo "112000,6250" +) +) +thePort (LogicalPort +lang 5 +decl (Decl +n "mac_clk" +t "wire" +preAdd 0 +posAdd 0 +o 1 +) +) +) +*175 (CptPort +uid 1450,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1451,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "110250,4625,111000,5375" +) +tg (CPTG +uid 1452,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1453,0 +va (VaSet +font "courier,8,0" +) +xt "112000,4550,114500,5450" +st "reset" +blo "112000,5250" +) +) +thePort (LogicalPort +lang 5 +decl (Decl +n "reset" +t "wire" +preAdd 0 +posAdd 0 +o 2 +) +) +) +*176 (CptPort +uid 1454,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1455,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "110250,6625,111000,7375" +) +tg (CPTG +uid 1456,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1457,0 +va (VaSet +font "courier,8,0" +) +xt "112000,6550,118500,7450" +st "packet_ready" +blo "112000,7250" +) +) +thePort (LogicalPort +lang 5 +decl (Decl +n "packet_ready" +t "wire" +preAdd 0 +posAdd 0 +o 3 +) +) +) +*177 (CptPort +uid 1458,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1459,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "128000,-375,128750,375" +) +tg (CPTG +uid 1460,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1461,0 +va (VaSet +font "courier,8,0" +) +xt "118500,-450,127000,450" +st "done_with_packet" +ju 2 +blo "127000,250" +) +) +thePort (LogicalPort +lang 5 +m 1 +decl (Decl +n "done_with_packet" +t "reg" +preAdd 0 +posAdd 0 +o 4 +) +) +) +*178 (CptPort +uid 1462,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1463,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "110250,-375,111000,375" +) +tg (CPTG +uid 1464,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1465,0 +va (VaSet +font "courier,8,0" +) +xt "112000,-450,118000,450" +st "packet_data" +blo "112000,250" +) +) +thePort (LogicalPort +lang 5 +decl (Decl +n "packet_data" +t "wire" +b "[7:0]" +preAdd 0 +posAdd 0 +o 5 +) +) +) +*179 (CptPort +uid 1466,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1467,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "128000,625,128750,1375" +) +tg (CPTG +uid 1468,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1469,0 +va (VaSet +font "courier,8,0" +) +xt "118500,550,127000,1450" +st "packet_read_addr" +ju 2 +blo "127000,1250" +) +) +thePort (LogicalPort +lang 5 +m 1 +decl (Decl +n "packet_read_addr" +t "reg" +b "[9:0]" +preAdd 0 +posAdd 0 +o 6 +) +) +) +*180 (CptPort +uid 1470,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1471,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "128000,1625,128750,2375" +) +tg (CPTG +uid 1472,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1473,0 +va (VaSet +font "courier,8,0" +) +xt "121500,1550,127000,2450" +st "packet_out" +ju 2 +blo "127000,2250" +) +) +thePort (LogicalPort +lang 5 +m 1 +decl (Decl +n "packet_out" +t "reg" +b "[7:0]" +preAdd 0 +posAdd 0 +o 7 +) +) +) +*181 (CptPort +uid 1474,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1475,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "128000,2625,128750,3375" +) +tg (CPTG +uid 1476,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1477,0 +va (VaSet +font "courier,8,0" +) +xt "119500,2550,127000,3450" +st "packet_out_len" +ju 2 +blo "127000,3250" +) +) +thePort (LogicalPort +lang 5 +m 1 +decl (Decl +n "packet_out_len" +t "reg" +b "[9:0]" +preAdd 0 +posAdd 0 +o 8 +) +) +) +*182 (CptPort +uid 1478,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1479,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "128000,3625,128750,4375" +) +tg (CPTG +uid 1480,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1481,0 +va (VaSet +font "courier,8,0" +) +xt "119000,3550,127000,4450" +st "packet_out_addr" +ju 2 +blo "127000,4250" +) +) +thePort (LogicalPort +lang 5 +m 1 +decl (Decl +n "packet_out_addr" +t "reg" +b "[9:0]" +preAdd 0 +posAdd 0 +o 9 +) +) +) +*183 (CptPort +uid 1482,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1483,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "128000,4625,128750,5375" +) +tg (CPTG +uid 1484,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1485,0 +va (VaSet +font "courier,8,0" +) +xt "120000,4550,127000,5450" +st "packet_out_we" +ju 2 +blo "127000,5250" +) +) +thePort (LogicalPort +lang 5 +m 1 +decl (Decl +n "packet_out_we" +t "reg" +preAdd 0 +posAdd 0 +o 10 +) +) +) +*184 (CptPort +uid 1486,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1487,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "128000,5625,128750,6375" +) +tg (CPTG +uid 1488,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1489,0 +va (VaSet +font "courier,8,0" +) +xt "121000,5550,127000,6450" +st "packet_xmit" +ju 2 +blo "127000,6250" +) +) +thePort (LogicalPort +lang 5 +m 1 +decl (Decl +n "packet_xmit" +t "reg" +preAdd 0 +posAdd 0 +o 11 +) +) +) +*185 (CptPort +uid 1490,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1491,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "128000,6625,128750,7375" +) +tg (CPTG +uid 1492,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1493,0 +va (VaSet +font "courier,8,0" +) +xt "120000,6550,127000,7450" +st "reset_reg_out" +ju 2 +blo "127000,7250" +) +) +thePort (LogicalPort +lang 5 +m 1 +decl (Decl +n "reset_reg_out" +t "reg" +b "[31:0]" +preAdd 0 +posAdd 0 +o 12 +) +) +) +*186 (PortMapFrame +uid 2258,0 +ps "PortMapFrameStrategy" +shape (RectFrame +uid 2259,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "109000,-3000,130000,10000" +) +portMapText (BiTextGroup +uid 2260,0 +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +uid 2261,0 +va (VaSet +font "courier,8,0" +) +xt "130000,10000,144000,13600" +st "mac_clk => mac_txclk, +packet_data => packet_rxd, +packet_ready => icmp_ready, +reset => rst_macclk," +) +second (MLText +uid 2262,0 +va (VaSet +font "courier,8,0" +) +xt "130000,13600,145500,19900" +st "packet_out_len => icmp_len, +packet_read_addr => icmp_rxa, +done_with_packet => icmp_done, +packet_out => icmp_txd, +packet_out_addr => icmp_txa, +packet_out_we => icmp_we, +packet_xmit => icmp_xmit" +tm "PortMapTextMgr" +) +) +) +] +shape (Rectangle +uid 1437,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "111000,-1000,128000,8000" +) +ttg (MlTextGroup +uid 1438,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*187 (Text +uid 1439,0 +va (VaSet +font "courier,8,1" +) +xt "116250,8000,122750,8900" +st "fmc_mTLU_lib" +blo "116250,8700" +tm "BdLibraryNameMgr" +) +*188 (Text +uid 1440,0 +va (VaSet +font "courier,8,1" +) +xt "116250,8900,118250,9800" +st "icmp" +blo "116250,9600" +tm "CptNameMgr" +) +*189 (Text +uid 1441,0 +va (VaSet +font "courier,8,1" +) +xt "116250,9800,121750,10700" +st "icmp_block" +blo "116250,10500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1442,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1443,0 +text (MLText +uid 1444,0 +va (VaSet +font "courier,8,0" +) +xt "110750,-13600,126350,-1000" +st "STATE_IDLE = 4'h0 +STATE_CHECK0WAIT = 4'h1 +STATE_CHECK0 = 4'h2 +STATE_RESP_READSET = 4'h3 +STATE_RESP_READWAIT = 4'h4 +STATE_RESP_READWAIT2 = 4'h5 +STATE_RESP_WE = 4'h6 +STATE_RESP_NEXT = 4'h7 +STATE_ADDCHECKSUM0 = 4'h8 +STATE_ADDCHECKSUM1 = 4'h9 +STATE_ADDCHECKSUMDONE = 4'ha +STATE_PREIDLE = 4'hd +STATE_DONEOK = 4'he +STATE_DONEFAIL = 4'hf +" +) +header "" +) +elements [ +(GiElement +name "STATE_IDLE" +value "4'h0" +) +(GiElement +name "STATE_CHECK0WAIT" +value "4'h1" +) +(GiElement +name "STATE_CHECK0" +value "4'h2" +) +(GiElement +name "STATE_RESP_READSET" +value "4'h3" +) +(GiElement +name "STATE_RESP_READWAIT" +value "4'h4" +) +(GiElement +name "STATE_RESP_READWAIT2" +value "4'h5" +) +(GiElement +name "STATE_RESP_WE" +value "4'h6" +) +(GiElement +name "STATE_RESP_NEXT" +value "4'h7" +) +(GiElement +name "STATE_ADDCHECKSUM0" +value "4'h8" +) +(GiElement +name "STATE_ADDCHECKSUM1" +value "4'h9" +) +(GiElement +name "STATE_ADDCHECKSUMDONE" +value "4'ha" +) +(GiElement +name "STATE_PREIDLE" +value "4'hd" +) +(GiElement +name "STATE_DONEOK" +value "4'he" +) +(GiElement +name "STATE_DONEFAIL" +value "4'hf" +) +] +includeGenericsInHDL 0 +) +viewicon (ZoomableIcon +uid 1445,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "111250,6250,112750,7750" +iconName "VerilogFileViewIcon.png" +iconMaskName "VerilogFileViewIcon.msk" +ftype 11 +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*190 (SaComponent +uid 1660,0 +optionalChildren [ +*191 (CptPort +uid 1670,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1671,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "69250,45625,70000,46375" +) +tg (CPTG +uid 1672,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1673,0 +va (VaSet +font "courier,8,0" +) +xt "71000,45550,74500,46450" +st "ipb_clk" +blo "71000,46250" +) +) +thePort (LogicalPort +lang 5 +decl (Decl +n "ipb_clk" +t "wire" +preAdd 0 +posAdd 0 +o 1 +) +) +) +*192 (CptPort +uid 1674,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1675,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "69250,56625,70000,57375" +) +tg (CPTG +uid 1676,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1677,0 +va (VaSet +font "courier,8,0" +) +xt "71000,56550,74500,57450" +st "mac_clk" +blo "71000,57250" +) +) +thePort (LogicalPort +lang 5 +decl (Decl +n "mac_clk" +t "wire" +preAdd 0 +posAdd 0 +o 2 +) +) +) +*193 (CptPort +uid 1678,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1679,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "69250,55625,70000,56375" +) +tg (CPTG +uid 1680,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1681,0 +va (VaSet +font "courier,8,0" +) +xt "71000,55550,73500,56450" +st "reset" +blo "71000,56250" +) +) +thePort (LogicalPort +lang 5 +decl (Decl +n "reset" +t "wire" +preAdd 0 +posAdd 0 +o 3 +) +) +) +*194 (CptPort +uid 1682,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1683,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "69250,47625,70000,48375" +) +tg (CPTG +uid 1684,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1685,0 +va (VaSet +font "courier,8,0" +) +xt "71000,47550,78500,48450" +st "incoming_ready" +blo "71000,48250" +) +) +thePort (LogicalPort +lang 5 +decl (Decl +n "incoming_ready" +t "wire" +preAdd 0 +posAdd 0 +o 4 +) +) +) +*195 (CptPort +uid 1686,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1687,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "89000,46625,89750,47375" +) +tg (CPTG +uid 1688,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1689,0 +va (VaSet +font "courier,8,0" +) +xt "78500,46550,88000,47450" +st "done_with_incoming" +ju 2 +blo "88000,47250" +) +) +thePort (LogicalPort +lang 5 +m 1 +decl (Decl +n "done_with_incoming" +t "wire" +preAdd 0 +posAdd 0 +o 5 +) +) +) +*196 (CptPort +uid 1690,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1691,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "89000,47625,89750,48375" +) +tg (CPTG +uid 1692,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1693,0 +va (VaSet +font "courier,8,0" +) +xt "86500,47550,88000,48450" +st "rxa" +ju 2 +blo "88000,48250" +) +) +thePort (LogicalPort +lang 5 +m 1 +decl (Decl +n "rxa" +t "wire" +b "[`pbuf_awidth - 1:0]" +preAdd 0 +posAdd 0 +o 6 +) +) +) +*197 (CptPort +uid 1694,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1695,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "69250,48625,70000,49375" +) +tg (CPTG +uid 1696,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1697,0 +va (VaSet +font "courier,8,0" +) +xt "71000,48550,72500,49450" +st "rxd" +blo "71000,49250" +) +) +thePort (LogicalPort +lang 5 +decl (Decl +n "rxd" +t "wire" +b "[7:0]" +preAdd 0 +posAdd 0 +o 7 +) +) +) +*198 (CptPort +uid 1698,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1699,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "69250,49625,70000,50375" +) +tg (CPTG +uid 1700,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1701,0 +va (VaSet +font "courier,8,0" +) +xt "71000,49550,72500,50450" +st "rxl" +blo "71000,50250" +) +) +thePort (LogicalPort +lang 5 +decl (Decl +n "rxl" +t "wire" +b "[`pbuf_awidth - 1:0]" +preAdd 0 +posAdd 0 +o 8 +) +) +) +*199 (CptPort +uid 1702,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1703,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "89000,48625,89750,49375" +) +tg (CPTG +uid 1704,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1705,0 +va (VaSet +font "courier,8,0" +) +xt "86500,48550,88000,49450" +st "txa" +ju 2 +blo "88000,49250" +) +) +thePort (LogicalPort +lang 5 +m 1 +decl (Decl +n "txa" +t "wire" +b "[`pbuf_awidth - 1:0]" +preAdd 0 +posAdd 0 +o 9 +) +) +) +*200 (CptPort +uid 1706,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1707,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "89000,49625,89750,50375" +) +tg (CPTG +uid 1708,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1709,0 +va (VaSet +font "courier,8,0" +) +xt "86500,49550,88000,50450" +st "txd" +ju 2 +blo "88000,50250" +) +) +thePort (LogicalPort +lang 5 +m 1 +decl (Decl +n "txd" +t "wire" +b "[7:0]" +preAdd 0 +posAdd 0 +o 10 +) +) +) +*201 (CptPort +uid 1710,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1711,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "89000,50625,89750,51375" +) +tg (CPTG +uid 1712,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1713,0 +va (VaSet +font "courier,8,0" +) +xt "85500,50550,88000,51450" +st "tx_we" +ju 2 +blo "88000,51250" +) +) +thePort (LogicalPort +lang 5 +m 1 +decl (Decl +n "tx_we" +t "wire" +preAdd 0 +posAdd 0 +o 11 +) +) +) +*202 (CptPort +uid 1714,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1715,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "89000,51625,89750,52375" +) +tg (CPTG +uid 1716,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1717,0 +va (VaSet +font "courier,8,0" +) +xt "85000,51550,88000,52450" +st "tx_req" +ju 2 +blo "88000,52250" +) +) +thePort (LogicalPort +lang 5 +m 1 +decl (Decl +n "tx_req" +t "wire" +preAdd 0 +posAdd 0 +o 12 +) +) +) +*203 (CptPort +uid 1718,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1719,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "89000,52625,89750,53375" +) +tg (CPTG +uid 1720,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1721,0 +va (VaSet +font "courier,8,0" +) +xt "84500,52550,88000,53450" +st "tx_send" +ju 2 +blo "88000,53250" +) +) +thePort (LogicalPort +lang 5 +m 1 +decl (Decl +n "tx_send" +t "wire" +preAdd 0 +posAdd 0 +o 13 +) +) +) +*204 (CptPort +uid 1722,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1723,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "69250,50625,70000,51375" +) +tg (CPTG +uid 1724,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1725,0 +va (VaSet +font "courier,8,0" +) +xt "71000,50550,73500,51450" +st "tx_ok" +blo "71000,51250" +) +) +thePort (LogicalPort +lang 5 +decl (Decl +n "tx_ok" +t "wire" +preAdd 0 +posAdd 0 +o 14 +) +) +) +*205 (CptPort +uid 1726,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1727,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "89000,53625,89750,54375" +) +tg (CPTG +uid 1728,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1729,0 +va (VaSet +font "courier,8,0" +) +xt "86500,53550,88000,54450" +st "txl" +ju 2 +blo "88000,54250" +) +) +thePort (LogicalPort +lang 5 +m 1 +decl (Decl +n "txl" +t "wire" +b "[`pbuf_awidth - 1:0]" +preAdd 0 +posAdd 0 +o 15 +) +) +) +*206 (CptPort +uid 1730,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1731,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "89000,54625,89750,55375" +) +tg (CPTG +uid 1732,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1733,0 +va (VaSet +font "courier,8,0" +) +xt "80500,54550,88000,55450" +st "incoming_space" +ju 2 +blo "88000,55250" +) +) +thePort (LogicalPort +lang 5 +m 1 +decl (Decl +n "incoming_space" +t "wire" +preAdd 0 +posAdd 0 +o 16 +) +) +) +*207 (CptPort +uid 1734,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1735,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "69250,51625,70000,52375" +) +tg (CPTG +uid 1736,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1737,0 +va (VaSet +font "courier,8,0" +) +xt "71000,51550,75000,52450" +st "req_addr" +blo "71000,52250" +) +) +thePort (LogicalPort +lang 5 +decl (Decl +n "req_addr" +t "wire" +b "[`pbuf_awidth - 3:0]" +preAdd 0 +posAdd 0 +o 17 +) +) +) +*208 (CptPort +uid 1738,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1739,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "89000,55625,89750,56375" +) +tg (CPTG +uid 1740,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1741,0 +va (VaSet +font "courier,8,0" +) +xt "84000,55550,88000,56450" +st "req_data" +ju 2 +blo "88000,56250" +) +) +thePort (LogicalPort +lang 5 +m 1 +decl (Decl +n "req_data" +t "wire" +b "[31:0]" +preAdd 0 +posAdd 0 +o 18 +) +) +) +*209 (CptPort +uid 1742,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1743,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "89000,56625,89750,57375" +) +tg (CPTG +uid 1744,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1745,0 +va (VaSet +font "courier,8,0" +) +xt "84500,56550,88000,57450" +st "req_len" +ju 2 +blo "88000,57250" +) +) +thePort (LogicalPort +lang 5 +m 1 +decl (Decl +n "req_len" +t "wire" +b "[`pbuf_awidth - 3:0]" +preAdd 0 +posAdd 0 +o 19 +) +) +) +*210 (CptPort +uid 1746,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1747,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "69250,52625,70000,53375" +) +tg (CPTG +uid 1748,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1749,0 +va (VaSet +font "courier,8,0" +) +xt "71000,52550,75500,53450" +st "resp_addr" +blo "71000,53250" +) +) +thePort (LogicalPort +lang 5 +decl (Decl +n "resp_addr" +t "wire" +b "[`pbuf_awidth - 3:0]" +preAdd 0 +posAdd 0 +o 20 +) +) +) +*211 (CptPort +uid 1750,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1751,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "69250,53625,70000,54375" +) +tg (CPTG +uid 1752,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1753,0 +va (VaSet +font "courier,8,0" +) +xt "71000,53550,75500,54450" +st "resp_data" +blo "71000,54250" +) +) +thePort (LogicalPort +lang 5 +decl (Decl +n "resp_data" +t "wire" +b "[31:0]" +preAdd 0 +posAdd 0 +o 21 +) +) +) +*212 (CptPort +uid 1754,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1755,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "69250,54625,70000,55375" +) +tg (CPTG +uid 1756,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1757,0 +va (VaSet +font "courier,8,0" +) +xt "71000,54550,75000,55450" +st "resp_len" +blo "71000,55250" +) +) +thePort (LogicalPort +lang 5 +decl (Decl +n "resp_len" +t "wire" +b "[`pbuf_awidth - 3:0]" +preAdd 0 +posAdd 0 +o 22 +) +) +) +*213 (CptPort +uid 1758,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1759,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "69250,55625,70000,56375" +) +tg (CPTG +uid 1760,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1761,0 +va (VaSet +font "courier,8,0" +) +xt "71000,55550,74500,56450" +st "resp_we" +blo "71000,56250" +) +) +thePort (LogicalPort +lang 5 +decl (Decl +n "resp_we" +t "wire" +preAdd 0 +posAdd 0 +o 23 +) +) +) +*214 (CptPort +uid 1762,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1763,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "89000,57625,89750,58375" +) +tg (CPTG +uid 1764,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1765,0 +va (VaSet +font "courier,8,0" +) +xt "83500,57550,88000,58450" +st "req_avail" +ju 2 +blo "88000,58250" +) +) +thePort (LogicalPort +lang 5 +m 1 +decl (Decl +n "req_avail" +t "reg" +preAdd 0 +posAdd 0 +o 24 +) +) +) +*215 (CptPort +uid 1766,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1767,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "69250,56625,70000,57375" +) +tg (CPTG +uid 1768,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1769,0 +va (VaSet +font "courier,8,0" +) +xt "71000,56550,75500,57450" +st "resp_done" +blo "71000,57250" +) +) +thePort (LogicalPort +lang 5 +decl (Decl +n "resp_done" +t "wire" +preAdd 0 +posAdd 0 +o 25 +) +) +) +*216 (PortMapFrame +uid 2336,0 +ps "PortMapFrameStrategy" +shape (RectFrame +uid 2337,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "68000,43000,91000,61000" +) +portMapText (BiTextGroup +uid 2338,0 +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +uid 2339,0 +va (VaSet +font "courier,8,0" +) +xt "91000,61000,102000,63700" +st "ipb_clk => ipb_clk, +mac_clk => mac_txclk, +reset => rst_macclk," +) +second (MLText +uid 2340,0 +va (VaSet +font "courier,8,0" +) +xt "91000,63700,107000,83500" +st "incoming_ready => udp_ready, +tx_ok => udp_xmit_ok, +done_with_incoming => udp_done, +incoming_space => udp_space, +rxa => udp_rxa, +txa => udp_txa, +txd => udp_txd, +tx_we => udp_we, +txl => udp_len, +tx_send => udp_xmit, +tx_req => udp_xmit_req, +req_len => packet_req_len, +req_avail => req_avail, +req_data => packet_req_data, +rxd => packet_rxd, +rxl => packet_rxl, +resp_addr => packet_resp_addr, +resp_data => packet_resp_data, +resp_len => packet_resp_len, +resp_we => resp_we, +resp_done => resp_done, +req_addr => packet_req_addr" +tm "PortMapTextMgr" +) +) +) +] +shape (Rectangle +uid 1661,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "70000,45000,89000,59000" +) +ttg (MlTextGroup +uid 1662,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*217 (Text +uid 1663,0 +va (VaSet +font "courier,8,1" +) +xt "75250,59000,81750,59900" +st "fmc_mTLU_lib" +blo "75250,59700" +tm "BdLibraryNameMgr" +) +*218 (Text +uid 1664,0 +va (VaSet +font "courier,8,1" +) +xt "75250,59900,83750,60800" +st "sub_packetbuffer" +blo "75250,60600" +tm "CptNameMgr" +) +*219 (Text +uid 1665,0 +va (VaSet +font "courier,8,1" +) +xt "75250,60800,82250,61700" +st "packet_buffer" +blo "75250,61500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1666,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1667,0 +text (MLText +uid 1668,0 +va (VaSet +font "courier,8,0" +) +xt "68750,39600,82850,45000" +st "ST_IDLE = 3'h0 +ST_COPYHEADER = 3'h1 +ST_COPYHEADER2 = 3'h2 +ST_WAIT_FOR_ENGINE = 3'h3 +ST_DONE = 3'h6 +ST_RESP = 3'h7 +" +) +header "" +) +elements [ +(GiElement +name "ST_IDLE" +value "3'h0" +) +(GiElement +name "ST_COPYHEADER" +value "3'h1" +) +(GiElement +name "ST_COPYHEADER2" +value "3'h2" +) +(GiElement +name "ST_WAIT_FOR_ENGINE" +value "3'h3" +) +(GiElement +name "ST_DONE" +value "3'h6" +) +(GiElement +name "ST_RESP" +value "3'h7" +) +] +includeGenericsInHDL 0 +) +viewicon (ZoomableIcon +uid 1669,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "70250,57250,71750,58750" +iconName "VerilogFileViewIcon.png" +iconMaskName "VerilogFileViewIcon.msk" +ftype 11 +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*220 (SaComponent +uid 1972,0 +optionalChildren [ +*221 (CptPort +uid 1982,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1983,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,28625,35000,29375" +) +tg (CPTG +uid 1984,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1985,0 +va (VaSet +font "courier,8,0" +) +xt "36000,28550,37500,29450" +st "clk" +blo "36000,29250" +) +) +thePort (LogicalPort +decl (Decl +n "clk" +t "std_logic" +preAdd 0 +posAdd 0 +o 1 +) +) +) +*222 (CptPort +uid 1986,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1987,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,29625,35000,30375" +) +tg (CPTG +uid 1988,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1989,0 +va (VaSet +font "courier,8,0" +) +xt "36000,29550,38500,30450" +st "reset" +blo "36000,30250" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_logic" +preAdd 0 +posAdd 0 +o 2 +) +) +) +*223 (CptPort +uid 1990,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1991,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "51000,30625,51750,31375" +) +tg (CPTG +uid 1992,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1993,0 +va (VaSet +font "courier,8,0" +) +xt "48000,30550,50000,31450" +st "moti" +ju 2 +blo "50000,31250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "moti" +t "trans_moti" +preAdd 0 +posAdd 0 +o 3 +) +) +) +*224 (CptPort +uid 1994,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1995,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,24625,35000,25375" +) +tg (CPTG +uid 1996,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1997,0 +va (VaSet +font "courier,8,0" +) +xt "36000,24550,38000,25450" +st "tomi" +blo "36000,25250" +) +) +thePort (LogicalPort +decl (Decl +n "tomi" +t "trans_tomi" +preAdd 0 +posAdd 0 +o 4 +) +) +) +*225 (CptPort +uid 1998,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1999,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,25625,35000,26375" +) +tg (CPTG +uid 2000,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2001,0 +va (VaSet +font "courier,8,0" +) +xt "36000,25550,43000,26450" +st "packet_data_i" +blo "36000,26250" +) +) +thePort (LogicalPort +decl (Decl +n "packet_data_i" +t "std_logic_vector" +b "(31 downto 0)" +preAdd 0 +posAdd 0 +o 5 +) +) +) +*226 (CptPort +uid 2002,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2003,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,26625,35000,27375" +) +tg (CPTG +uid 2004,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2005,0 +va (VaSet +font "courier,8,0" +) +xt "36000,26550,42500,27450" +st "packet_len_i" +blo "36000,27250" +) +) +thePort (LogicalPort +decl (Decl +n "packet_len_i" +t "rbuf_a" +preAdd 0 +posAdd 0 +o 6 +) +) +) +*227 (CptPort +uid 2006,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2007,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "51000,24625,51750,25375" +) +tg (CPTG +uid 2008,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2009,0 +va (VaSet +font "courier,8,0" +) +xt "43000,24550,50000,25450" +st "packet_addr_i" +ju 2 +blo "50000,25250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "packet_addr_i" +t "rbuf_a" +preAdd 0 +posAdd 0 +o 7 +) +) +) +*228 (CptPort +uid 2010,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2011,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "51000,25625,51750,26375" +) +tg (CPTG +uid 2012,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2013,0 +va (VaSet +font "courier,8,0" +) +xt "43000,25550,50000,26450" +st "packet_data_o" +ju 2 +blo "50000,26250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "packet_data_o" +t "std_logic_vector" +b "(31 downto 0)" +preAdd 0 +posAdd 0 +o 8 +) +) +) +*229 (CptPort +uid 2014,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2015,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "51000,26625,51750,27375" +) +tg (CPTG +uid 2016,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2017,0 +va (VaSet +font "courier,8,0" +) +xt "43000,26550,50000,27450" +st "packet_addr_o" +ju 2 +blo "50000,27250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "packet_addr_o" +t "wbuf_a" +preAdd 0 +posAdd 0 +o 9 +) +) +) +*230 (CptPort +uid 2018,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2019,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "51000,27625,51750,28375" +) +tg (CPTG +uid 2020,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2021,0 +va (VaSet +font "courier,8,0" +) +xt "43500,27550,50000,28450" +st "packet_len_o" +ju 2 +blo "50000,28250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "packet_len_o" +t "wbuf_a" +preAdd 0 +posAdd 0 +o 10 +) +) +) +*231 (CptPort +uid 2022,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2023,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "51000,28625,51750,29375" +) +tg (CPTG +uid 2024,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2025,0 +va (VaSet +font "courier,8,0" +) +xt "44000,28550,50000,29450" +st "packet_we_o" +ju 2 +blo "50000,29250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "packet_we_o" +t "std_logic" +preAdd 0 +posAdd 0 +o 11 +) +) +) +*232 (CptPort +uid 2026,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2027,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,27625,35000,28375" +) +tg (CPTG +uid 2028,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2029,0 +va (VaSet +font "courier,8,0" +) +xt "36000,27550,41500,28450" +st "new_packet" +blo "36000,28250" +) +) +thePort (LogicalPort +decl (Decl +n "new_packet" +t "std_logic" +preAdd 0 +posAdd 0 +o 12 +) +) +) +*233 (CptPort +uid 2030,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2031,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "51000,29625,51750,30375" +) +tg (CPTG +uid 2032,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2033,0 +va (VaSet +font "courier,8,0" +) +xt "48000,29550,50000,30450" +st "done" +ju 2 +blo "50000,30250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "done" +t "std_logic" +preAdd 0 +posAdd 0 +o 13 +) +) +) +*234 (PortMapFrame +uid 2505,0 +ps "PortMapFrameStrategy" +shape (RectFrame +uid 2506,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "33000,22000,53000,34000" +) +portMapText (BiTextGroup +uid 2507,0 +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +uid 2508,0 +va (VaSet +font "courier,8,0" +) +xt "53000,34000,62000,37600" +st "clk => ipb_clk, +reset => rst_ipb, +tomi => tomi, +moti => moti," +) +second (MLText +uid 2509,0 +va (VaSet +font "courier,8,0" +) +xt "53000,37600,70500,45700" +st "packet_data_i => packet_req_data, +packet_len_i => packet_req_len, +new_packet => req_avail, +done => resp_done, +packet_addr_i => packet_req_addr, +packet_data_o => packet_resp_data, +packet_addr_o => packet_resp_addr, +packet_len_o => packet_resp_len, +packet_we_o => resp_we" +tm "PortMapTextMgr" +) +) +) +] +shape (Rectangle +uid 1973,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "35000,24000,51000,32000" +) +ttg (MlTextGroup +uid 1974,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*235 (Text +uid 1975,0 +va (VaSet +font "courier,8,1" +) +xt "39750,32000,46250,32900" +st "fmc_mTLU_lib" +blo "39750,32700" +tm "BdLibraryNameMgr" +) +*236 (Text +uid 1976,0 +va (VaSet +font "courier,8,1" +) +xt "39750,32900,43750,33800" +st "udp_shim" +blo "39750,33600" +tm "CptNameMgr" +) +*237 (Text +uid 1977,0 +va (VaSet +font "courier,8,1" +) +xt "39750,33800,41750,34700" +st "shim" +blo "39750,34500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1978,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1979,0 +text (MLText +uid 1980,0 +va (VaSet +font "courier,8,0" +) +xt "34750,24000,34750,24000" +) +header "" +) +elements [ +] +includeGenericsInHDL 0 +) +viewicon (ZoomableIcon +uid 1981,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "35250,30250,36750,31750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*238 (SaComponent +uid 2136,0 +optionalChildren [ +*239 (CptPort +uid 2146,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2147,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "7250,28625,8000,29375" +) +tg (CPTG +uid 2148,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2149,0 +va (VaSet +font "courier,8,0" +) +xt "9000,28550,10500,29450" +st "clk" +blo "9000,29250" +) +) +thePort (LogicalPort +decl (Decl +n "clk" +t "std_logic" +preAdd 0 +posAdd 0 +o 1 +) +) +) +*240 (CptPort +uid 2150,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2151,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "7250,29625,8000,30375" +) +tg (CPTG +uid 2152,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2153,0 +va (VaSet +font "courier,8,0" +) +xt "9000,29550,11500,30450" +st "reset" +blo "9000,30250" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_logic" +preAdd 0 +posAdd 0 +o 2 +) +) +) +*241 (CptPort +uid 2154,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2155,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "17000,27625,17750,28375" +) +tg (CPTG +uid 2156,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2157,0 +va (VaSet +font "courier,8,0" +) +xt "12500,27550,16000,28450" +st "ipb_out" +ju 2 +blo "16000,28250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "ipb_out" +t "ipb_wbus" +preAdd 0 +posAdd 0 +o 3 +) +) +) +*242 (CptPort +uid 2158,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2159,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "7250,27625,8000,28375" +) +tg (CPTG +uid 2160,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2161,0 +va (VaSet +font "courier,8,0" +) +xt "9000,27550,12000,28450" +st "ipb_in" +blo "9000,28250" +) +) +thePort (LogicalPort +decl (Decl +n "ipb_in" +t "ipb_rbus" +preAdd 0 +posAdd 0 +o 4 +) +) +) +*243 (CptPort +uid 2162,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2163,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "7250,30625,8000,31375" +) +tg (CPTG +uid 2164,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2165,0 +va (VaSet +font "courier,8,0" +) +xt "9000,30550,11000,31450" +st "moti" +blo "9000,31250" +) +) +thePort (LogicalPort +decl (Decl +n "moti" +t "trans_moti" +preAdd 0 +posAdd 0 +o 5 +) +) +) +*244 (CptPort +uid 2166,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2167,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "17000,28625,17750,29375" +) +tg (CPTG +uid 2168,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2169,0 +va (VaSet +font "courier,8,0" +) +xt "14000,28550,16000,29450" +st "tomi" +ju 2 +blo "16000,29250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "tomi" +t "trans_tomi" +preAdd 0 +posAdd 0 +o 6 +) +) +) +] +shape (Rectangle +uid 2137,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "8000,27000,17000,32000" +) +ttg (MlTextGroup +uid 2138,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*245 (Text +uid 2139,0 +va (VaSet +font "courier,8,1" +) +xt "9250,32000,15750,32900" +st "fmc_mTLU_lib" +blo "9250,32700" +tm "BdLibraryNameMgr" +) +*246 (Text +uid 2140,0 +va (VaSet +font "courier,8,1" +) +xt "9250,32900,14750,33800" +st "transactor" +blo "9250,33600" +tm "CptNameMgr" +) +*247 (Text +uid 2141,0 +va (VaSet +font "courier,8,1" +) +xt "9250,33800,11750,34700" +st "trans" +blo "9250,34500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 2142,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 2143,0 +text (MLText +uid 2144,0 +va (VaSet +font "courier,8,0" +) +xt "7750,27000,7750,27000" +) +header "" +) +elements [ +] +includeGenericsInHDL 0 +) +viewicon (ZoomableIcon +uid 2145,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "8250,30250,9750,31750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*248 (BundleNet +uid 2206,0 +bundleNetName "bundle_icmp_block_handler_0" +bundleContents [ +*249 (Wire +uid 2216,0 +shape (OrthoPolyLine +uid 2217,0 +sl 0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "365750,5000,368000,9000" +pts [ +"365750,5000" +"368000,9000" +] +) +sat 32 +eat 16 +sty 1 +cm 0 +stc 0 +st 0 +si 0 +tg (WTG +uid 2220,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2221,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "357000,4100,361000,5000" +st "icmp_len" +blo "357000,4800" +tm "WireNameMgr" +) +) +on &20 +) +*250 (Wire +uid 2222,0 +shape (OrthoPolyLine +uid 2223,0 +sl 0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "365750,3000,368000,5000" +pts [ +"365750,3000" +"368000,5000" +] +) +sat 32 +eat 16 +sty 1 +cm 0 +stc 0 +st 0 +si 0 +tg (WTG +uid 2226,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2227,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "357000,2100,361000,3000" +st "icmp_rxa" +blo "357000,2800" +tm "WireNameMgr" +) +) +on &18 +) +*251 (Wire +uid 2228,0 +shape (OrthoPolyLine +uid 2229,0 +sl 0 +va (VaSet +vasetType 3 +) +xt "365750,2000,368000,3000" +pts [ +"365750,2000" +"368000,3000" +] +) +sat 32 +eat 16 +cm 0 +stc 0 +st 0 +si 0 +tg (WTG +uid 2232,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2233,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "361000,1100,365500,2000" +st "icmp_done" +blo "361000,1800" +tm "WireNameMgr" +) +) +on &24 +) +*252 (Wire +uid 2234,0 +shape (OrthoPolyLine +uid 2235,0 +sl 0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "365750,4000,368000,7000" +pts [ +"365750,4000" +"368000,7000" +] +) +sat 32 +eat 16 +sty 1 +cm 0 +stc 0 +st 0 +si 0 +tg (WTG +uid 2238,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2239,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "357000,3100,361000,4000" +st "icmp_txd" +blo "357000,3800" +tm "WireNameMgr" +) +) +on &21 +) +*253 (Wire +uid 2240,0 +shape (OrthoPolyLine +uid 2241,0 +sl 0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "365750,6000,368000,11000" +pts [ +"365750,6000" +"368000,11000" +] +) +sat 32 +eat 16 +sty 1 +cm 0 +stc 0 +st 0 +si 0 +tg (WTG +uid 2244,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2245,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "357000,5100,361000,6000" +st "icmp_txa" +blo "357000,5800" +tm "WireNameMgr" +) +) +on &19 +) +*254 (Wire +uid 2246,0 +shape (OrthoPolyLine +uid 2247,0 +sl 0 +va (VaSet +vasetType 3 +) +xt "359000,7000,365750,10750" +pts [ +"365750,7000" +"359000,10750" +] +) +sat 32 +eat 16 +cm 0 +stc 0 +st 0 +si 0 +tg (WTG +uid 2250,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2251,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "366000,6100,369500,7000" +st "icmp_we" +blo "366000,6800" +tm "WireNameMgr" +) +) +on &22 +) +*255 (Wire +uid 2252,0 +shape (OrthoPolyLine +uid 2253,0 +sl 0 +va (VaSet +vasetType 3 +) +xt "359000,8000,365750,10750" +pts [ +"365750,8000" +"359000,10750" +] +) +sat 32 +eat 16 +cm 0 +stc 0 +st 0 +si 0 +tg (WTG +uid 2256,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2257,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "366000,7100,370500,8000" +st "icmp_xmit" +blo "366000,7800" +tm "WireNameMgr" +) +) +on &23 +) +] +) +*256 (BundleNet +uid 2263,0 +bundleNetName "bundle_arp_block_handler_0" +bundleContents [ +*257 (Wire +uid 2273,0 +shape (OrthoPolyLine +uid 2274,0 +sl 0 +va (VaSet +vasetType 3 +) +xt "365750,15000,368000,16000" +pts [ +"365750,15000" +"368000,16000" +] +) +sat 32 +eat 16 +cm 0 +stc 0 +st 0 +si 0 +tg (WTG +uid 2277,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2278,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "362000,14100,366000,15000" +st "arp_done" +blo "362000,14800" +tm "WireNameMgr" +) +) +on &16 +) +*258 (Wire +uid 2279,0 +shape (OrthoPolyLine +uid 2280,0 +sl 0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "365750,17000,368000,20000" +pts [ +"365750,17000" +"368000,20000" +] +) +sat 32 +eat 16 +sty 1 +cm 0 +stc 0 +st 0 +si 0 +tg (WTG +uid 2283,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2284,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "358000,16100,361500,17000" +st "arp_txd" +blo "358000,16800" +tm "WireNameMgr" +) +) +on &13 +) +*259 (Wire +uid 2285,0 +shape (OrthoPolyLine +uid 2286,0 +sl 0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "365750,18000,368000,22000" +pts [ +"365750,18000" +"368000,22000" +] +) +sat 32 +eat 16 +sty 1 +cm 0 +stc 0 +st 0 +si 0 +tg (WTG +uid 2289,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2290,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "358000,17100,361500,18000" +st "arp_txa" +blo "358000,17800" +tm "WireNameMgr" +) +) +on &12 +) +*260 (Wire +uid 2291,0 +shape (OrthoPolyLine +uid 2292,0 +sl 0 +va (VaSet +vasetType 3 +) +xt "365750,19000,368000,24000" +pts [ +"365750,19000" +"368000,24000" +] +) +sat 32 +eat 16 +cm 0 +stc 0 +st 0 +si 0 +tg (WTG +uid 2295,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2296,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "363000,18100,366000,19000" +st "arp_we" +blo "363000,18800" +tm "WireNameMgr" +) +) +on &14 +) +*261 (Wire +uid 2297,0 +shape (OrthoPolyLine +uid 2298,0 +sl 0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "365750,16000,368000,18000" +pts [ +"365750,16000" +"368000,18000" +] +) +sat 32 +eat 16 +sty 1 +cm 0 +stc 0 +st 0 +si 0 +tg (WTG +uid 2301,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2302,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "358000,15100,361500,16000" +st "arp_rxa" +blo "358000,15800" +tm "WireNameMgr" +) +) +on &11 +) +*262 (Wire +uid 2303,0 +shape (OrthoPolyLine +uid 2304,0 +sl 0 +va (VaSet +vasetType 3 +) +xt "359000,20000,365750,21750" +pts [ +"365750,20000" +"359000,21750" +] +) +sat 32 +eat 16 +cm 0 +stc 0 +st 0 +si 0 +tg (WTG +uid 2307,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2308,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "366000,19100,370000,20000" +st "arp_xmit" +blo "366000,19800" +tm "WireNameMgr" +) +) +on &15 +) +] +) +*263 (BundleNet +uid 2314,0 +bundleNetName "bundle_handler_packet_buffer_0" +bundleContents [ +*264 (Wire +uid 2324,0 +shape (OrthoPolyLine +uid 2325,0 +sl 0 +va (VaSet +vasetType 3 +) +xt "512000,15000,516750,34750" +pts [ +"516750,15000" +"512000,34750" +] +) +sat 32 +eat 16 +cm 0 +stc 0 +st 0 +si 0 +tg (WTG +uid 2328,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2329,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "517000,14100,521500,15000" +st "udp_ready" +blo "517000,14800" +tm "WireNameMgr" +) +) +on &33 +) +*265 (Wire +uid 2330,0 +shape (OrthoPolyLine +uid 2331,0 +sl 0 +va (VaSet +vasetType 3 +) +xt "512000,16000,516750,34750" +pts [ +"516750,16000" +"512000,34750" +] +) +sat 32 +eat 16 +cm 0 +stc 0 +st 0 +si 0 +tg (WTG +uid 2334,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2335,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "517000,15100,523000,16000" +st "udp_xmit_ok" +blo "517000,15800" +tm "WireNameMgr" +) +) +on &36 +) +] +) +*266 (BundleNet +uid 2341,0 +bundleNetName "bundle_handler_rxbuf_0" +bundleContents [ +*267 (Wire +uid 2351,0 +shape (OrthoPolyLine +uid 2352,0 +sl 0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "516750,7000,519000,9000" +pts [ +"516750,7000" +"519000,9000" +] +) +sat 32 +eat 16 +sty 1 +cm 0 +stc 0 +st 0 +si 0 +tg (WTG +uid 2355,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2356,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "511000,6100,516500,7000" +st "packet_rxa" +blo "511000,6800" +tm "WireNameMgr" +) +) +on &5 +) +*268 (Wire +uid 2357,0 +shape (OrthoPolyLine +uid 2358,0 +sl 0 +va (VaSet +vasetType 3 +) +xt "516750,6000,519000,7000" +pts [ +"516750,6000" +"519000,7000" +] +) +sat 32 +eat 16 +cm 0 +stc 0 +st 0 +si 0 +tg (WTG +uid 2361,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2362,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "510000,5100,517000,6000" +st "packet_rxdone" +blo "510000,5800" +tm "WireNameMgr" +) +) +on &10 +) +] +) +*269 (BundleNet +uid 2368,0 +bundleNetName "bundle_handler_txbuf_0" +bundleContents [ +*270 (Wire +uid 2378,0 +shape (OrthoPolyLine +uid 2379,0 +sl 0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "516750,8000,519000,11000" +pts [ +"516750,8000" +"519000,11000" +] +) +sat 32 +eat 16 +sty 1 +cm 0 +stc 0 +st 0 +si 0 +tg (WTG +uid 2382,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2383,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "507000,7100,512500,8000" +st "packet_txd" +blo "507000,7800" +tm "WireNameMgr" +) +) +on &1 +) +*271 (Wire +uid 2384,0 +shape (OrthoPolyLine +uid 2385,0 +sl 0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "516750,10000,519000,15000" +pts [ +"516750,10000" +"519000,15000" +] +) +sat 32 +eat 16 +sty 1 +cm 0 +stc 0 +st 0 +si 0 +tg (WTG +uid 2388,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2389,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "510000,9100,516500,10000" +st "packet_txlen" +blo "510000,9800" +tm "WireNameMgr" +) +) +on &4 +) +*272 (Wire +uid 2390,0 +shape (OrthoPolyLine +uid 2391,0 +sl 0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "516750,9000,519000,13000" +pts [ +"516750,9000" +"519000,13000" +] +) +sat 32 +eat 16 +sty 1 +cm 0 +stc 0 +st 0 +si 0 +tg (WTG +uid 2394,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2395,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "511000,8100,516500,9000" +st "packet_txa" +blo "511000,8800" +tm "WireNameMgr" +) +) +on &3 +) +*273 (Wire +uid 2396,0 +shape (OrthoPolyLine +uid 2397,0 +sl 0 +va (VaSet +vasetType 3 +) +xt "512000,11000,516750,34750" +pts [ +"516750,11000" +"512000,34750" +] +) +sat 32 +eat 16 +cm 0 +stc 0 +st 0 +si 0 +tg (WTG +uid 2400,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2401,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "517000,10100,523000,11000" +st "packet_txwe" +blo "517000,10800" +tm "WireNameMgr" +) +) +on &7 +) +*274 (Wire +uid 2402,0 +shape (OrthoPolyLine +uid 2403,0 +sl 0 +va (VaSet +vasetType 3 +) +xt "512000,12000,516750,34750" +pts [ +"516750,12000" +"512000,34750" +] +) +sat 32 +eat 16 +cm 0 +stc 0 +st 0 +si 0 +tg (WTG +uid 2406,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2407,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "517000,11100,524000,12000" +st "packet_txdone" +blo "517000,11800" +tm "WireNameMgr" +) +) +on &8 +) +] +) +*275 (BundleNet +uid 2413,0 +bundleNetName "bundle_packet_buffer_handler_0" +bundleContents [ +*276 (Wire +uid 2423,0 +shape (OrthoPolyLine +uid 2424,0 +sl 0 +va (VaSet +vasetType 3 +) +xt "313750,96000,316000,97000" +pts [ +"313750,96000" +"316000,97000" +] +) +sat 32 +eat 16 +cm 0 +stc 0 +st 0 +si 0 +tg (WTG +uid 2427,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2428,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "310000,95100,314000,96000" +st "udp_done" +blo "310000,95800" +tm "WireNameMgr" +) +) +on &32 +) +*277 (Wire +uid 2429,0 +shape (OrthoPolyLine +uid 2430,0 +sl 0 +va (VaSet +vasetType 3 +) +xt "305000,104000,313750,109750" +pts [ +"313750,104000" +"305000,109750" +] +) +sat 32 +eat 16 +cm 0 +stc 0 +st 0 +si 0 +tg (WTG +uid 2433,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2434,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "314000,103100,318500,104000" +st "udp_space" +blo "314000,103800" +tm "WireNameMgr" +) +) +on &34 +) +*278 (Wire +uid 2435,0 +shape (OrthoPolyLine +uid 2436,0 +sl 0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "313750,97000,316000,99000" +pts [ +"313750,97000" +"316000,99000" +] +) +sat 32 +eat 16 +sty 1 +cm 0 +stc 0 +st 0 +si 0 +tg (WTG +uid 2439,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2440,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "310000,96100,313500,97000" +st "udp_rxa" +blo "310000,96800" +tm "WireNameMgr" +) +) +on &26 +) +*279 (Wire +uid 2441,0 +shape (OrthoPolyLine +uid 2442,0 +sl 0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "313750,98000,316000,101000" +pts [ +"313750,98000" +"316000,101000" +] +) +sat 32 +eat 16 +sty 1 +cm 0 +stc 0 +st 0 +si 0 +tg (WTG +uid 2445,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2446,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "310000,97100,313500,98000" +st "udp_txa" +blo "310000,97800" +tm "WireNameMgr" +) +) +on &27 +) +*280 (Wire +uid 2447,0 +shape (OrthoPolyLine +uid 2448,0 +sl 0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "313750,99000,316000,103000" +pts [ +"313750,99000" +"316000,103000" +] +) +sat 32 +eat 16 +sty 1 +cm 0 +stc 0 +st 0 +si 0 +tg (WTG +uid 2451,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2452,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "306000,98100,309500,99000" +st "udp_txd" +blo "306000,98800" +tm "WireNameMgr" +) +) +on &29 +) +*281 (Wire +uid 2453,0 +shape (OrthoPolyLine +uid 2454,0 +sl 0 +va (VaSet +vasetType 3 +) +xt "313750,100000,316000,105000" +pts [ +"313750,100000" +"316000,105000" +] +) +sat 32 +eat 16 +cm 0 +stc 0 +st 0 +si 0 +tg (WTG +uid 2457,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2458,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "311000,99100,314000,100000" +st "udp_we" +blo "311000,99800" +tm "WireNameMgr" +) +) +on &30 +) +*282 (Wire +uid 2459,0 +shape (OrthoPolyLine +uid 2460,0 +sl 0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "305000,103000,313750,109750" +pts [ +"313750,103000" +"305000,109750" +] +) +sat 32 +eat 16 +sty 1 +cm 0 +stc 0 +st 0 +si 0 +tg (WTG +uid 2463,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2464,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "314000,102100,317500,103000" +st "udp_len" +blo "314000,102800" +tm "WireNameMgr" +) +) +on &28 +) +*283 (Wire +uid 2465,0 +shape (OrthoPolyLine +uid 2466,0 +sl 0 +va (VaSet +vasetType 3 +) +xt "305000,102000,313750,109750" +pts [ +"313750,102000" +"305000,109750" +] +) +sat 32 +eat 16 +cm 0 +stc 0 +st 0 +si 0 +tg (WTG +uid 2469,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2470,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "314000,101100,318000,102000" +st "udp_xmit" +blo "314000,101800" +tm "WireNameMgr" +) +) +on &31 +) +*284 (Wire +uid 2471,0 +shape (OrthoPolyLine +uid 2472,0 +sl 0 +va (VaSet +vasetType 3 +) +xt "305000,101000,313750,109750" +pts [ +"313750,101000" +"305000,109750" +] +) +sat 32 +eat 16 +cm 0 +stc 0 +st 0 +si 0 +tg (WTG +uid 2475,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2476,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "314000,100100,320500,101000" +st "udp_xmit_req" +blo "314000,100800" +tm "WireNameMgr" +) +) +on &35 +) +] +) +*285 (BundleNet +uid 2477,0 +bundleNetName "bundle_packet_buffer_shim_0" +bundleContents [ +*286 (Wire +uid 2487,0 +shape (OrthoPolyLine +uid 2488,0 +sl 0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "305000,106000,313750,109750" +pts [ +"313750,106000" +"305000,109750" +] +) +sat 32 +eat 16 +sty 1 +cm 0 +stc 0 +st 0 +si 0 +tg (WTG +uid 2491,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2492,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "314000,105100,321500,106000" +st "packet_req_len" +blo "314000,105800" +tm "WireNameMgr" +) +) +on &38 +) +*287 (Wire +uid 2493,0 +shape (OrthoPolyLine +uid 2494,0 +sl 0 +va (VaSet +vasetType 3 +) +xt "305000,107000,313750,109750" +pts [ +"313750,107000" +"305000,109750" +] +) +sat 32 +eat 16 +cm 0 +stc 0 +st 0 +si 0 +tg (WTG +uid 2497,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2498,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "314000,106100,318500,107000" +st "req_avail" +blo "314000,106800" +tm "WireNameMgr" +) +) +on &44 +) +*288 (Wire +uid 2499,0 +shape (OrthoPolyLine +uid 2500,0 +sl 0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "305000,105000,313750,109750" +pts [ +"313750,105000" +"305000,109750" +] +) +sat 32 +eat 16 +sty 1 +cm 0 +stc 0 +st 0 +si 0 +tg (WTG +uid 2503,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2504,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "314000,104100,322000,105000" +st "packet_req_data" +blo "314000,104800" +tm "WireNameMgr" +) +) +on &41 +) +] +) +*289 (BundleNet +uid 2510,0 +bundleNetName "bundle_rxbuf_handler_0" +bundleContents [ +*290 (Wire +uid 2520,0 +shape (OrthoPolyLine +uid 2521,0 +sl 0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "209750,96000,212000,97000" +pts [ +"209750,96000" +"212000,97000" +] +) +sat 32 +eat 16 +sty 1 +cm 0 +stc 0 +st 0 +si 0 +tg (WTG +uid 2524,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2525,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "200000,95100,205500,96000" +st "packet_rxd" +blo "200000,95800" +tm "WireNameMgr" +) +) +on &2 +) +*291 (Wire +uid 2526,0 +shape (OrthoPolyLine +uid 2527,0 +sl 0 +va (VaSet +vasetType 3 +) +xt "209750,98000,212000,101000" +pts [ +"209750,98000" +"212000,101000" +] +) +sat 32 +eat 16 +cm 0 +stc 0 +st 0 +si 0 +tg (WTG +uid 2530,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2531,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "202000,97100,209500,98000" +st "packet_rxready" +blo "202000,97800" +tm "WireNameMgr" +) +) +on &9 +) +] +) +*292 (BundleNet +uid 2532,0 +bundleNetName "bundle_rxbuf_packet_buffer_0" +bundleContents [ +*293 (Wire +uid 2542,0 +shape (OrthoPolyLine +uid 2543,0 +sl 0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "209750,97000,212000,99000" +pts [ +"209750,97000" +"212000,99000" +] +) +sat 32 +eat 16 +sty 1 +cm 0 +stc 0 +st 0 +si 0 +tg (WTG +uid 2546,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2547,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "204000,96100,209500,97000" +st "packet_rxl" +blo "204000,96800" +tm "WireNameMgr" +) +) +on &6 +) +*294 (Wire +uid 2548,0 +shape (OrthoPolyLine +uid 2549,0 +sl 0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "209750,96000,212000,97000" +pts [ +"209750,96000" +"212000,97000" +] +) +sat 32 +eat 16 +sty 1 +cm 0 +stc 0 +st 0 +si 0 +tg (WTG +uid 2552,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2553,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "200000,95100,205500,96000" +st "packet_rxd" +blo "200000,95800" +tm "WireNameMgr" +) +) +on &2 +) +] +) +*295 (BundleNet +uid 2554,0 +bundleNetName "bundle_shim_packet_buffer_0" +bundleContents [ +*296 (Wire +uid 2564,0 +shape (OrthoPolyLine +uid 2565,0 +sl 0 +va (VaSet +vasetType 3 +) +xt "203000,33000,208750,34750" +pts [ +"208750,33000" +"203000,34750" +] +) +sat 32 +eat 16 +cm 0 +stc 0 +st 0 +si 0 +tg (WTG +uid 2568,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2569,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "209000,32100,213500,33000" +st "resp_done" +blo "209000,32800" +tm "WireNameMgr" +) +) +on &45 +) +*297 (Wire +uid 2570,0 +shape (OrthoPolyLine +uid 2571,0 +sl 0 +va (VaSet +vasetType 3 +) +xt "208750,28000,211000,30000" +pts [ +"208750,28000" +"211000,30000" +] +) +sat 32 +eat 16 +cm 0 +stc 0 +st 0 +si 0 +tg (WTG +uid 2574,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2575,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "201000,27100,209000,28000" +st "packet_req_addr" +blo "201000,27800" +tm "WireNameMgr" +) +) +on &37 +) +*298 (Wire +uid 2576,0 +shape (OrthoPolyLine +uid 2577,0 +sl 0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "208750,29000,211000,32000" +pts [ +"208750,29000" +"211000,32000" +] +) +sat 32 +eat 16 +sty 1 +cm 0 +stc 0 +st 0 +si 0 +tg (WTG +uid 2580,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2581,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "196000,28100,204500,29000" +st "packet_resp_data" +blo "196000,28800" +tm "WireNameMgr" +) +) +on &42 +) +*299 (Wire +uid 2582,0 +shape (OrthoPolyLine +uid 2583,0 +sl 0 +va (VaSet +vasetType 3 +) +xt "208750,30000,211000,34000" +pts [ +"208750,30000" +"211000,34000" +] +) +sat 32 +eat 16 +cm 0 +stc 0 +st 0 +si 0 +tg (WTG +uid 2586,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2587,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "200000,29100,208500,30000" +st "packet_resp_addr" +blo "200000,29800" +tm "WireNameMgr" +) +) +on &39 +) +*300 (Wire +uid 2588,0 +shape (OrthoPolyLine +uid 2589,0 +sl 0 +va (VaSet +vasetType 3 +) +xt "208750,31000,211000,36000" +pts [ +"208750,31000" +"211000,36000" +] +) +sat 32 +eat 16 +cm 0 +stc 0 +st 0 +si 0 +tg (WTG +uid 2592,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2593,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "201000,30100,209000,31000" +st "packet_resp_len" +blo "201000,30800" +tm "WireNameMgr" +) +) +on &40 +) +*301 (Wire +uid 2594,0 +shape (OrthoPolyLine +uid 2595,0 +sl 0 +va (VaSet +vasetType 3 +) +xt "203000,32000,208750,34750" +pts [ +"208750,32000" +"203000,34750" +] +) +sat 32 +eat 16 +cm 0 +stc 0 +st 0 +si 0 +tg (WTG +uid 2598,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2599,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "209000,31100,212500,32000" +st "resp_we" +blo "209000,31800" +tm "WireNameMgr" +) +) +on &43 +) +] +) +*302 (Property +uid 2628,0 +pclass "HDS" +pname "DocView" +pvalue "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/workspace/IPbusFirmware/firmware/ipbus/hdl/ipbus_ctrl_udponly.vhd" +ptn "String" +) +*303 (Property +uid 2629,0 +pclass "HDS" +pname "DocViewState" +pvalue "1352727141" +ptn "String" +) +*304 (Wire +uid 111,0 +optionalChildren [ +*305 (BdJunction +uid 2622,0 +ps "OnConnectorStrategy" +shape (Circle +uid 2623,0 +va (VaSet +vasetType 1 +) +xt "-400,37600,400,38400" +radius 400 +) +) +*306 (BdJunction +uid 2624,0 +ps "OnConnectorStrategy" +shape (Circle +uid 2625,0 +va (VaSet +vasetType 1 +) +xt "25600,37600,26400,38400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 112,0 +va (VaSet +vasetType 3 +) +xt "-2000,38000,69250,46000" +pts [ +"-2000,38000" +"64000,38000" +"64000,46000" +"69250,46000" +] +) +start &48 +end &191 +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 115,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 116,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "65000,45100,68500,46000" +st "ipb_clk" +blo "65000,45800" +tm "WireNameMgr" +) +) +on &49 +) +*307 (Wire +uid 125,0 +optionalChildren [ +*308 (BdJunction +uid 2620,0 +ps "OnConnectorStrategy" +shape (Circle +uid 2621,0 +va (VaSet +vasetType 1 +) +xt "600,40600,1400,41400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 126,0 +va (VaSet +vasetType 3 +) +xt "-2000,30000,34250,41000" +pts [ +"-2000,41000" +"28000,41000" +"28000,30000" +"34250,30000" +] +) +start &50 +end &222 +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 129,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 130,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "30000,29100,33500,30000" +st "rst_ipb" +blo "30000,29800" +tm "WireNameMgr" +) +) +on &51 +) +*309 (Wire +uid 139,0 +optionalChildren [ +*310 (BdJunction +uid 2610,0 +ps "OnConnectorStrategy" +shape (Circle +uid 2611,0 +va (VaSet +vasetType 1 +) +xt "24600,38600,25400,39400" +radius 400 +) +) +*311 (BdJunction +uid 2612,0 +ps "OnConnectorStrategy" +shape (Circle +uid 2613,0 +va (VaSet +vasetType 1 +) +xt "61600,38600,62400,39400" +radius 400 +) +) +*312 (BdJunction +uid 2614,0 +ps "OnConnectorStrategy" +shape (Circle +uid 2615,0 +va (VaSet +vasetType 1 +) +xt "99600,38600,100400,39400" +radius 400 +) +) +*313 (BdJunction +uid 2616,0 +ps "OnConnectorStrategy" +shape (Circle +uid 2617,0 +va (VaSet +vasetType 1 +) +xt "98600,38600,99400,39400" +radius 400 +) +) +*314 (BdJunction +uid 2618,0 +ps "OnConnectorStrategy" +shape (Circle +uid 2619,0 +va (VaSet +vasetType 1 +) +xt "97600,38600,98400,39400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 140,0 +va (VaSet +vasetType 3 +) +xt "-2000,30000,144250,39000" +pts [ +"-2000,39000" +"138000,39000" +"138000,30000" +"144250,30000" +] +) +start &52 +end &114 +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 143,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 144,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "138000,29100,143500,30000" +st "rst_macclk" +blo "138000,29800" +tm "WireNameMgr" +) +) +on &53 +) +*315 (Wire +uid 153,0 +optionalChildren [ +*316 (BdJunction +uid 2600,0 +ps "OnConnectorStrategy" +shape (Circle +uid 2601,0 +va (VaSet +vasetType 1 +) +xt "25600,39600,26400,40400" +radius 400 +) +) +*317 (BdJunction +uid 2602,0 +ps "OnConnectorStrategy" +shape (Circle +uid 2603,0 +va (VaSet +vasetType 1 +) +xt "62600,39600,63400,40400" +radius 400 +) +) +*318 (BdJunction +uid 2604,0 +ps "OnConnectorStrategy" +shape (Circle +uid 2605,0 +va (VaSet +vasetType 1 +) +xt "101600,39600,102400,40400" +radius 400 +) +) +*319 (BdJunction +uid 2606,0 +ps "OnConnectorStrategy" +shape (Circle +uid 2607,0 +va (VaSet +vasetType 1 +) +xt "100600,39600,101400,40400" +radius 400 +) +) +*320 (BdJunction +uid 2608,0 +ps "OnConnectorStrategy" +shape (Circle +uid 2609,0 +va (VaSet +vasetType 1 +) +xt "105600,39600,106400,40400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 154,0 +va (VaSet +vasetType 3 +) +xt "-2000,31000,144250,40000" +pts [ +"-2000,40000" +"139000,40000" +"139000,31000" +"144250,31000" +] +) +start &54 +end &113 +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 157,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 158,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "139000,30100,143500,31000" +st "mac_txclk" +blo "139000,30800" +tm "WireNameMgr" +) +) +on &55 +) +*321 (Wire +uid 167,0 +shape (OrthoPolyLine +uid 168,0 +va (VaSet +vasetType 3 +) +xt "32000,46000,33250,46000" +pts [ +"32000,46000" +"33250,46000" +] +) +start &56 +end &101 +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 171,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 172,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "30000,45100,34500,46000" +st "mac_rxclk" +blo "30000,45800" +tm "WireNameMgr" +) +) +on &57 +) +*322 (Wire +uid 181,0 +shape (OrthoPolyLine +uid 182,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "32000,47000,33250,47000" +pts [ +"32000,47000" +"33250,47000" +] +) +start &58 +end &96 +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 185,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 186,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "29000,46100,32500,47000" +st "mac_rxd" +blo "29000,46800" +tm "WireNameMgr" +) +) +on &59 +) +*323 (Wire +uid 195,0 +shape (OrthoPolyLine +uid 196,0 +va (VaSet +vasetType 3 +) +xt "32000,48000,33250,48000" +pts [ +"32000,48000" +"33250,48000" +] +) +start &60 +end &97 +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 199,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 200,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "30000,47100,35500,48000" +st "mac_rxdvld" +blo "30000,47800" +tm "WireNameMgr" +) +) +on &61 +) +*324 (Wire +uid 209,0 +shape (OrthoPolyLine +uid 210,0 +va (VaSet +vasetType 3 +) +xt "32000,49000,33250,49000" +pts [ +"32000,49000" +"33250,49000" +] +) +start &62 +end &99 +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 213,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 214,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "29000,48100,37000,49000" +st "mac_rxgoodframe" +blo "29000,48800" +tm "WireNameMgr" +) +) +on &63 +) +*325 (Wire +uid 223,0 +shape (OrthoPolyLine +uid 224,0 +va (VaSet +vasetType 3 +) +xt "32000,50000,33250,50000" +pts [ +"32000,50000" +"33250,50000" +] +) +start &64 +end &100 +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 227,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 228,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "29000,49100,36500,50000" +st "mac_rxbadframe" +blo "29000,49800" +tm "WireNameMgr" +) +) +on &65 +) +*326 (Wire +uid 265,0 +shape (OrthoPolyLine +uid 266,0 +va (VaSet +vasetType 3 +) +xt "111000,24000,112250,24000" +pts [ +"111000,24000" +"112250,24000" +] +) +start &70 +end &84 +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 269,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 270,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "109000,23100,113500,24000" +st "mac_txack" +blo "109000,23800" +tm "WireNameMgr" +) +) +on &71 +) +*327 (Wire +uid 293,0 +shape (OrthoPolyLine +uid 294,0 +va (VaSet +vasetType 3 +) +xt "6000,28000,7250,28000" +pts [ +"6000,28000" +"7250,28000" +] +) +start &74 +end &242 +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 297,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 298,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "5000,27100,8000,28000" +st "ipb_in" +blo "5000,27800" +tm "WireNameMgr" +) +) +on &75 +) +*328 (Wire +uid 307,0 +shape (OrthoPolyLine +uid 308,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "109000,13000,110250,13000" +pts [ +"109000,13000" +"110250,13000" +] +) +start &76 +end &163 +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 311,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 312,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "105000,12100,109000,13000" +st "mac_addr" +blo "105000,12800" +tm "WireNameMgr" +) +) +on &77 +) +*329 (Wire +uid 321,0 +optionalChildren [ +*330 (BdJunction +uid 2626,0 +ps "OnConnectorStrategy" +shape (Circle +uid 2627,0 +va (VaSet +vasetType 1 +) +xt "96600,36600,97400,37400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 322,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "-2000,29000,144250,37000" +pts [ +"-2000,37000" +"137000,37000" +"137000,29000" +"144250,29000" +] +) +start &78 +end &124 +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 325,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 326,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "136000,28100,139500,29000" +st "ip_addr" +blo "136000,28800" +tm "WireNameMgr" +) +) +on &79 +) +*331 (Wire +uid 417,0 +shape (OrthoPolyLine +uid 418,0 +va (VaSet +vasetType 3 +) +xt "106000,30000,112250,40000" +pts [ +"106000,40000" +"106000,30000" +"112250,30000" +] +) +start &320 +end &81 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 421,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 422,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "107000,29100,111500,30000" +st "mac_txclk" +blo "107000,29800" +tm "WireNameMgr" +) +) +on &55 +) +*332 (Wire +uid 423,0 +shape (OrthoPolyLine +uid 424,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "125750,24000,127000,24000" +pts [ +"125750,24000" +"127000,24000" +] +) +start &82 +end &66 +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 427,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 428,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "122000,23100,125500,24000" +st "mac_txd" +blo "122000,23800" +tm "WireNameMgr" +) +) +on &67 +) +*333 (Wire +uid 429,0 +shape (OrthoPolyLine +uid 430,0 +va (VaSet +vasetType 3 +) +xt "125750,25000,127000,25000" +pts [ +"125750,25000" +"127000,25000" +] +) +start &83 +end &68 +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 433,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 434,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "124000,24100,129500,25000" +st "mac_txdvld" +blo "124000,24800" +tm "WireNameMgr" +) +) +on &69 +) +*334 (Wire +uid 441,0 +shape (OrthoPolyLine +uid 442,0 +va (VaSet +vasetType 3 +) +xt "98000,29000,112250,39000" +pts [ +"98000,39000" +"98000,29000" +"112250,29000" +] +) +start &314 +end &90 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 445,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 446,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "106000,28100,111500,29000" +st "rst_macclk" +blo "106000,28800" +tm "WireNameMgr" +) +) +on &53 +) +*335 (Wire +uid 583,0 +shape (OrthoPolyLine +uid 584,0 +va (VaSet +vasetType 3 +) +xt "26000,40000,33250,53000" +pts [ +"26000,40000" +"26000,53000" +"33250,53000" +] +) +start &316 +end &102 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 587,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 588,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "28000,52100,32500,53000" +st "mac_txclk" +blo "28000,52800" +tm "WireNameMgr" +) +) +on &55 +) +*336 (Wire +uid 619,0 +shape (OrthoPolyLine +uid 620,0 +va (VaSet +vasetType 3 +) +xt "25000,39000,33250,52000" +pts [ +"25000,39000" +"25000,52000" +"33250,52000" +] +) +start &310 +end &98 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 623,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 624,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "27000,51100,32500,52000" +st "rst_macclk" +blo "27000,51800" +tm "WireNameMgr" +) +) +on &53 +) +*337 (Wire +uid 1090,0 +shape (OrthoPolyLine +uid 1091,0 +va (VaSet +vasetType 3 +) +xt "104000,18000,166000,34000" +pts [ +"160750,30000" +"166000,30000" +"166000,34000" +"104000,34000" +"104000,18000" +"110250,18000" +] +) +start &132 +end &159 +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 1094,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1095,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "162000,29100,166500,30000" +st "arp_ready" +blo "162000,29800" +tm "WireNameMgr" +) +) +on &17 +) +*338 (Wire +uid 1138,0 +shape (OrthoPolyLine +uid 1139,0 +va (VaSet +vasetType 3 +) +xt "103000,7000,168000,35000" +pts [ +"160750,29000" +"168000,29000" +"168000,35000" +"103000,35000" +"103000,7000" +"110250,7000" +] +) +start &140 +end &176 +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 1142,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1143,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "162000,28100,167500,29000" +st "icmp_ready" +blo "162000,28800" +tm "WireNameMgr" +) +) +on &25 +) +*339 (Wire +uid 1316,0 +shape (OrthoPolyLine +uid 1317,0 +va (VaSet +vasetType 3 +) +xt "101000,17000,110250,40000" +pts [ +"101000,40000" +"101000,17000" +"110250,17000" +] +) +start &319 +end &157 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 1320,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1321,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "105000,16100,109500,17000" +st "mac_txclk" +blo "105000,16800" +tm "WireNameMgr" +) +) +on &55 +) +*340 (Wire +uid 1322,0 +shape (OrthoPolyLine +uid 1323,0 +va (VaSet +vasetType 3 +) +xt "99000,16000,110250,39000" +pts [ +"99000,39000" +"99000,16000" +"110250,16000" +] +) +start &313 +end &158 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 1326,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1327,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "104000,15100,109500,16000" +st "rst_macclk" +blo "104000,15800" +tm "WireNameMgr" +) +) +on &53 +) +*341 (Wire +uid 1340,0 +shape (OrthoPolyLine +uid 1341,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "97000,15000,110250,37000" +pts [ +"97000,37000" +"97000,15000" +"110250,15000" +] +) +start &330 +end &164 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 1344,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1345,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "102000,14100,105500,15000" +st "ip_addr" +blo "102000,14800" +tm "WireNameMgr" +) +) +on &79 +) +*342 (Wire +uid 1346,0 +shape (OrthoPolyLine +uid 1347,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "108000,14000,110250,14000" +pts [ +"108000,14000" +"110250,14000" +] +) +end &161 +sat 16 +eat 32 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 1350,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1351,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "99000,13100,104500,14000" +st "packet_rxd" +blo "99000,13800" +tm "WireNameMgr" +) +) +on &2 +) +*343 (Wire +uid 1494,0 +shape (OrthoPolyLine +uid 1495,0 +va (VaSet +vasetType 3 +) +xt "102000,6000,110250,40000" +pts [ +"102000,40000" +"102000,6000" +"110250,6000" +] +) +start &318 +end &174 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 1498,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1499,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "105000,5100,109500,6000" +st "mac_txclk" +blo "105000,5800" +tm "WireNameMgr" +) +) +on &55 +) +*344 (Wire +uid 1500,0 +shape (OrthoPolyLine +uid 1501,0 +va (VaSet +vasetType 3 +) +xt "100000,5000,110250,39000" +pts [ +"100000,39000" +"100000,5000" +"110250,5000" +] +) +start &312 +end &175 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 1504,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1505,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "104000,4100,109500,5000" +st "rst_macclk" +blo "104000,4800" +tm "WireNameMgr" +) +) +on &53 +) +*345 (Wire +uid 1512,0 +shape (OrthoPolyLine +uid 1513,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "108000,0,110250,0" +pts [ +"108000,0" +"110250,0" +] +) +end &178 +sat 16 +eat 32 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 1516,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1517,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "99000,-900,104500,0" +st "packet_rxd" +blo "99000,-200" +tm "WireNameMgr" +) +) +on &2 +) +*346 (Wire +uid 1776,0 +shape (OrthoPolyLine +uid 1777,0 +va (VaSet +vasetType 3 +) +xt "63000,40000,69250,57000" +pts [ +"63000,40000" +"63000,57000" +"69250,57000" +] +) +start &317 +end &192 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 1780,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1781,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "64000,56100,68500,57000" +st "mac_txclk" +blo "64000,56800" +tm "WireNameMgr" +) +) +on &55 +) +*347 (Wire +uid 1782,0 +shape (OrthoPolyLine +uid 1783,0 +va (VaSet +vasetType 3 +) +xt "62000,39000,69250,56000" +pts [ +"62000,39000" +"62000,56000" +"69250,56000" +] +) +start &311 +end &193 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 1786,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1787,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "63000,55100,68500,56000" +st "rst_macclk" +blo "63000,55800" +tm "WireNameMgr" +) +) +on &53 +) +*348 (Wire +uid 2034,0 +shape (OrthoPolyLine +uid 2035,0 +va (VaSet +vasetType 3 +) +xt "26000,29000,34250,38000" +pts [ +"26000,38000" +"26000,29000" +"34250,29000" +] +) +start &306 +end &221 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 2038,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2039,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "30000,28100,33500,29000" +st "ipb_clk" +blo "30000,28800" +tm "WireNameMgr" +) +) +on &49 +) +*349 (Wire +uid 2100,0 +shape (OrthoPolyLine +uid 2101,0 +va (VaSet +vasetType 3 +) +xt "2000,31000,58000,33000" +pts [ +"51750,31000" +"58000,31000" +"58000,33000" +"2000,33000" +"2000,31000" +"7250,31000" +] +) +start &223 +end &243 +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 2104,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2105,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "53000,30100,55000,31000" +st "moti" +blo "53000,30800" +tm "WireNameMgr" +) +) +on &46 +) +*350 (Wire +uid 2170,0 +shape (OrthoPolyLine +uid 2171,0 +va (VaSet +vasetType 3 +) +xt "0,29000,7250,38000" +pts [ +"0,38000" +"0,29000" +"7250,29000" +] +) +start &305 +end &239 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 2174,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2175,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "3000,28100,6500,29000" +st "ipb_clk" +blo "3000,28800" +tm "WireNameMgr" +) +) +on &49 +) +*351 (Wire +uid 2176,0 +shape (OrthoPolyLine +uid 2177,0 +va (VaSet +vasetType 3 +) +xt "1000,30000,7250,41000" +pts [ +"1000,41000" +"1000,30000" +"7250,30000" +] +) +start &308 +end &240 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 2180,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2181,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "3000,29100,6500,30000" +st "rst_ipb" +blo "3000,29800" +tm "WireNameMgr" +) +) +on &51 +) +*352 (Wire +uid 2182,0 +shape (OrthoPolyLine +uid 2183,0 +va (VaSet +vasetType 3 +) +xt "17750,28000,19000,28000" +pts [ +"17750,28000" +"19000,28000" +] +) +start &241 +end &72 +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 2186,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2187,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "17000,27100,20500,28000" +st "ipb_out" +blo "17000,27800" +tm "WireNameMgr" +) +) +on &73 +) +*353 (Wire +uid 2200,0 +shape (OrthoPolyLine +uid 2201,0 +va (VaSet +vasetType 3 +) +xt "17750,25000,34250,29000" +pts [ +"17750,29000" +"23000,29000" +"23000,25000" +"34250,25000" +] +) +start &244 +end &224 +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 2204,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2205,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "18000,28100,20000,29000" +st "tomi" +blo "18000,28800" +tm "WireNameMgr" +) +) +on &47 +) +*354 (Bundle +uid 2207,0 +shape (OrthoPolyLine +uid 2208,0 +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +xt "129996,6999,143004,28000" +pts [ +"129996,6999" +"134000,7000" +"134000,28000" +"143004,27996" +] +) +start &186 +end &152 +sat 2 +eat 1 +textGroup (BiTextGroup +uid 2213,0 +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 2214,0 +va (VaSet +font "courier,8,0" +) +xt "131000,6100,145000,7000" +st "bundle_icmp_block_handler_0" +blo "131000,6800" +tm "BundleNameMgr" +) +second (MLText +uid 2215,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "131000,7000,164000,7900" +st "(icmp_done,icmp_len,icmp_rxa,icmp_txa,icmp_txd,icmp_we,icmp_xmit)" +tm "BundleContentsMgr" +) +) +bundleNet &248 +) +&249 +&250 +&251 +&252 +&253 +&254 +&255 +*355 (Bundle +uid 2264,0 +shape (OrthoPolyLine +uid 2265,0 +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +xt "129996,17999,143004,27000" +pts [ +"129996,17999" +"135000,18000" +"135000,27000" +"143004,26996" +] +) +start &169 +end &152 +sat 2 +eat 1 +textGroup (BiTextGroup +uid 2270,0 +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 2271,0 +va (VaSet +font "courier,8,0" +) +xt "131000,17100,144500,18000" +st "bundle_arp_block_handler_0" +blo "131000,17800" +tm "BundleNameMgr" +) +second (MLText +uid 2272,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "131000,18000,156500,18900" +st "(arp_done,arp_rxa,arp_txa,arp_txd,arp_we,arp_xmit)" +tm "BundleContentsMgr" +) +) +bundleNet &256 +) +&257 +&258 +&259 +&260 +&261 +&262 +*356 (Bundle +uid 2315,0 +shape (OrthoPolyLine +uid 2316,0 +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +xt "63000,27996,169000,61000" +pts [ +"161996,27996" +"169000,28000" +"169000,61000" +"63000,61000" +"63000,58000" +"68004,57998" +] +) +start &152 +end &216 +sat 2 +eat 1 +textGroup (BiTextGroup +uid 2321,0 +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 2322,0 +va (VaSet +font "courier,8,0" +) +xt "163000,27100,178500,28000" +st "bundle_handler_packet_buffer_0" +blo "163000,27800" +tm "BundleNameMgr" +) +second (MLText +uid 2323,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "163000,28000,175000,28900" +st "(udp_ready,udp_xmit_ok)" +tm "BundleContentsMgr" +) +) +bundleNet &263 +) +&264 +&265 +*357 (Bundle +uid 2342,0 +shape (OrthoPolyLine +uid 2343,0 +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +xt "26000,26996,170000,62000" +pts [ +"161996,26996" +"170000,27000" +"170000,62000" +"26000,62000" +"26000,54000" +"32004,53999" +] +) +start &152 +end &108 +sat 2 +eat 1 +textGroup (BiTextGroup +uid 2348,0 +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 2349,0 +va (VaSet +font "courier,8,0" +) +xt "163000,26100,174500,27000" +st "bundle_handler_rxbuf_0" +blo "163000,26800" +tm "BundleNameMgr" +) +second (MLText +uid 2350,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "163000,27000,176500,27900" +st "(packet_rxa,packet_rxdone)" +tm "BundleContentsMgr" +) +) +bundleNet &266 +) +&267 +&268 +*358 (Bundle +uid 2369,0 +shape (OrthoPolyLine +uid 2370,0 +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +xt "105000,30994,167000,33000" +pts [ +"161996,30994" +"167000,31000" +"167000,33000" +"105000,33000" +"105000,31000" +"111004,30998" +] +) +start &152 +end &91 +sat 2 +eat 1 +textGroup (BiTextGroup +uid 2375,0 +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 2376,0 +va (VaSet +font "courier,8,0" +) +xt "163000,30100,174500,31000" +st "bundle_handler_txbuf_0" +blo "163000,30800" +tm "BundleNameMgr" +) +second (MLText +uid 2377,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "163000,31000,194500,31900" +st "(packet_txa,packet_txd,packet_txdone,packet_txlen,packet_txwe)" +tm "BundleContentsMgr" +) +) +bundleNet &269 +) +&270 +&271 +&272 +&273 +&274 +*359 (Bundle +uid 2414,0 +shape (OrthoPolyLine +uid 2415,0 +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +xt "90996,5000,143004,46002" +pts [ +"90996,46002" +"129000,46000" +"129000,5000" +"143004,5005" +] +) +start &216 +end &152 +sat 2 +eat 1 +textGroup (BiTextGroup +uid 2420,0 +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 2421,0 +va (VaSet +font "courier,8,0" +) +xt "91000,44100,106500,45000" +st "bundle_packet_buffer_handler_0" +blo "91000,44800" +tm "BundleNameMgr" +) +second (MLText +uid 2422,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "91000,45000,132000,45900" +st "(udp_done,udp_len,udp_rxa,udp_space,udp_txa,udp_txd,udp_we,udp_xmit,udp_xmit_req)" +tm "BundleContentsMgr" +) +) +bundleNet &275 +) +&276 +&277 +&278 +&279 +&280 +&281 +&282 +&283 +&284 +*360 (Bundle +uid 2478,0 +shape (OrthoPolyLine +uid 2479,0 +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +xt "27000,30999,95000,60000" +pts [ +"90996,57998" +"95000,58000" +"95000,60000" +"27000,60000" +"27000,31000" +"33004,30999" +] +) +start &216 +end &234 +sat 2 +eat 1 +textGroup (BiTextGroup +uid 2484,0 +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 2485,0 +va (VaSet +font "courier,8,0" +) +xt "92000,57100,106000,58000" +st "bundle_packet_buffer_shim_0" +blo "92000,57800" +tm "BundleNameMgr" +) +second (MLText +uid 2486,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "92000,58000,113500,58900" +st "(packet_req_data,packet_req_len,req_avail)" +tm "BundleContentsMgr" +) +) +bundleNet &285 +) +&286 +&287 +&288 +*361 (Bundle +uid 2511,0 +shape (OrthoPolyLine +uid 2512,0 +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +xt "53996,-2000,143004,46001" +pts [ +"53996,46001" +"60000,46000" +"60000,-2000" +"138000,-2000" +"138000,4000" +"143004,4006" +] +) +start &108 +end &152 +sat 2 +eat 1 +textGroup (BiTextGroup +uid 2517,0 +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 2518,0 +va (VaSet +font "courier,8,0" +) +xt "54000,44100,65500,45000" +st "bundle_rxbuf_handler_0" +blo "54000,44800" +tm "BundleNameMgr" +) +second (MLText +uid 2519,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "54000,45000,68000,45900" +st "(packet_rxd,packet_rxready)" +tm "BundleContentsMgr" +) +) +bundleNet &289 +) +&290 +&291 +*362 (Bundle +uid 2533,0 +shape (OrthoPolyLine +uid 2534,0 +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +xt "53996,47001,68004,47002" +pts [ +"53996,47001" +"68004,47002" +] +) +start &108 +end &216 +sat 2 +eat 1 +textGroup (BiTextGroup +uid 2539,0 +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 2540,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "69000,46100,83500,47000" +st "bundle_rxbuf_packet_buffer_0" +blo "69000,46800" +tm "BundleNameMgr" +) +second (MLText +uid 2541,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "69000,47000,81000,47900" +st "(packet_rxd,packet_rxl)" +tm "BundleContentsMgr" +) +) +bundleNet &292 +) +&293 +&294 +*363 (Bundle +uid 2555,0 +shape (OrthoPolyLine +uid 2556,0 +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +xt "52996,29999,68004,55000" +pts [ +"52996,29999" +"59000,30000" +"59000,55000" +"68004,54999" +] +) +start &234 +end &216 +sat 2 +eat 1 +textGroup (BiTextGroup +uid 2561,0 +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 2562,0 +va (VaSet +font "courier,8,0" +) +xt "54000,29100,68000,30000" +st "bundle_shim_packet_buffer_0" +blo "54000,29800" +tm "BundleNameMgr" +) +second (MLText +uid 2563,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "54000,30000,97000,30900" +st "(packet_req_addr,packet_resp_addr,packet_resp_data,packet_resp_len,resp_done,resp_we)" +tm "BundleContentsMgr" +) +) +bundleNet &295 +) +&296 +&297 +&298 +&299 +&300 +&301 +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *364 (PackageList +uid 2756,0 +stg "VerticalLayoutStrategy" +textVec [ +*365 (Text +uid 2757,0 +va (VaSet +font "courier,8,1" +) +xt "1000,67000,7500,67900" +st "Package List" +blo "1000,67700" +) +*366 (MLText +uid 2758,0 +va (VaSet +font "courier,8,0" +) +xt "1000,67900,15500,71500" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 2759,0 +stg "VerticalLayoutStrategy" +textVec [ +*367 (Text +uid 2760,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "21000,67000,31000,67900" +st "Compiler Directives" +blo "21000,67700" +) +*368 (Text +uid 2761,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "21000,67900,32500,68800" +st "Pre-module directives:" +blo "21000,68600" +) +*369 (MLText +uid 2762,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "21000,68800,31100,70600" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*370 (Text +uid 2763,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "21000,70600,33000,71500" +st "Post-module directives:" +blo "21000,71300" +) +*371 (MLText +uid 2764,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "21000,67000,21000,67000" +tm "BdCompilerDirectivesTextMgr" +) +*372 (Text +uid 2765,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "21000,71500,32500,72400" +st "End-module directives:" +blo "21000,72200" +) +*373 (MLText +uid 2766,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "21000,72400,21000,72400" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "0,0,1015,690" +viewArea "-10500,-16800,178500,128200" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +lastUid 2766,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,4000,1900" +st "Panel0" +blo "1000,1700" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*374 (Text +va (VaSet +font "courier,8,1" +) +xt "1750,3650,6250,4550" +st "<library>" +blo "1750,4350" +tm "BdLibraryNameMgr" +) +*375 (Text +va (VaSet +font "courier,8,1" +) +xt "1750,4550,5250,5450" +st "<block>" +blo "1750,5250" +tm "BlkNameMgr" +) +*376 (Text +va (VaSet +font "courier,8,1" +) +xt "1750,5450,2750,6350" +st "I0" +blo "1750,6150" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "1750,13650,1750,13650" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*377 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,3650,4500,4550" +st "Library" +blo "1000,4350" +) +*378 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,4550,7000,5450" +st "MWComponent" +blo "1000,5250" +) +*379 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,5450,2000,6350" +st "I0" +blo "1000,6150" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6000,1650,-6000,1650" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*380 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,3650,4500,4550" +st "Library" +blo "1000,4350" +tm "BdLibraryNameMgr" +) +*381 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,4550,7000,5450" +st "SaComponent" +blo "1000,5250" +tm "CptNameMgr" +) +*382 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,5450,2000,6350" +st "I0" +blo "1000,6150" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6000,1650,-6000,1650" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +portVis (PortSigDisplay +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*383 (Text +va (VaSet +font "courier,8,1" +) +xt "500,3650,4000,4550" +st "Library" +blo "500,4350" +) +*384 (Text +va (VaSet +font "courier,8,1" +) +xt "500,4550,7500,5450" +st "VhdlComponent" +blo "500,5250" +) +*385 (Text +va (VaSet +font "courier,8,1" +) +xt "500,5450,1500,6350" +st "I0" +blo "500,6150" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6500,1650,-6500,1650" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-750,0,8750,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*386 (Text +va (VaSet +font "courier,8,1" +) +xt "-250,3650,3250,4550" +st "Library" +blo "-250,4350" +) +*387 (Text +va (VaSet +font "courier,8,1" +) +xt "-250,4550,8250,5450" +st "VerilogComponent" +blo "-250,5250" +) +*388 (Text +va (VaSet +font "courier,8,1" +) +xt "-250,5450,750,6350" +st "I0" +blo "-250,6150" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-7250,1650,-7250,1650" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*389 (Text +va (VaSet +font "courier,8,1" +) +xt "3250,4100,4750,5000" +st "eb1" +blo "3250,4800" +tm "HdlTextNameMgr" +) +*390 (Text +va (VaSet +font "courier,8,1" +) +xt "3250,5000,3750,5900" +st "1" +blo "3250,5700" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +font "courier,8,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +font "courier,8,1" +) +xt "-250,-450,250,450" +st "G" +blo "-250,250" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,0,2000,900" +st "sig0" +blo "0,700" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,0,2500,900" +st "dbus0" +blo "0,700" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,0" +) +xt "0,0,3500,900" +st "bundle0" +blo "0,700" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +font "courier,8,0" +) +xt "0,900,1000,1800" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +font "courier,8,0" +) +) +second (MLText +va (VaSet +font "courier,8,0" +) +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,-1000,14500,-100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1350" +) +num (Text +va (VaSet +font "courier,8,0" +) +xt "300,250,800,1150" +st "1" +blo "300,950" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*391 (Text +va (VaSet +font "courier,8,1" +) +xt "12500,20000,22000,20900" +st "Frame Declarations" +blo "12500,20700" +) +*392 (MLText +va (VaSet +font "courier,8,0" +) +xt "12500,20900,12500,20900" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,-1000,9000,-100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1350" +) +num (Text +va (VaSet +font "courier,8,0" +) +xt "300,250,800,1150" +st "1" +blo "300,950" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*393 (Text +va (VaSet +font "courier,8,1" +) +xt "12500,20000,22000,20900" +st "Frame Declarations" +blo "12500,20700" +) +*394 (MLText +va (VaSet +font "courier,8,0" +) +xt "12500,20900,12500,20900" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,2000,1650" +st "Port" +blo "0,1450" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,2000,1650" +st "Port" +blo "0,1450" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "courier,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "18000,67000,24500,67900" +st "Declarations" +blo "18000,67700" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "18000,67900,21000,68800" +st "Ports:" +blo "18000,68600" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "18000,83200,22500,84100" +st "Pre User:" +blo "18000,83900" +) +preUserText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "18000,67000,18000,67000" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "courier,8,1" +) +xt "18000,84100,26500,85000" +st "Diagram Signals:" +blo "18000,84800" +) +postUserLabel (Text +uid 7,0 +va (VaSet +font "courier,8,1" +) +xt "18000,127300,23500,128200" +st "Post User:" +blo "18000,128000" +) +postUserText (MLText +uid 8,0 +va (VaSet +font "courier,8,0" +) +xt "18000,67000,18000,67000" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 63,0 +usingSuid 1 +emptyRow *395 (LEmptyRow +) +optionalChildren [ +*396 (RefLabelRowHdr +) +*397 (TitleRowHdr +) +*398 (FilterRowHdr +) +*399 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*400 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*401 (GroupColHdr +tm "GroupColHdrMgr" +) +*402 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*403 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*404 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*405 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*406 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*407 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*408 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "packet_txd" +t "std_logic_vector" +b "(7 downto 0)" +o 1 +suid 1,0 +) +) +uid 2630,0 +) +*409 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "packet_rxd" +t "std_logic_vector" +b "(7 downto 0)" +o 2 +suid 2,0 +) +) +uid 2632,0 +) +*410 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "packet_txa" +t "pbuf_a" +o 3 +suid 3,0 +) +) +uid 2634,0 +) +*411 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "packet_txlen" +t "pbuf_a" +o 4 +suid 4,0 +) +) +uid 2636,0 +) +*412 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "packet_rxa" +t "pbuf_a" +o 5 +suid 5,0 +) +) +uid 2638,0 +) +*413 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "packet_rxl" +t "pbuf_a" +o 6 +suid 6,0 +) +) +uid 2640,0 +) +*414 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "packet_txwe" +t "std_logic" +o 7 +suid 7,0 +) +) +uid 2642,0 +) +*415 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "packet_txdone" +t "std_logic" +o 8 +suid 8,0 +) +) +uid 2644,0 +) +*416 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "packet_rxready" +t "std_logic" +o 9 +suid 9,0 +) +) +uid 2646,0 +) +*417 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "packet_rxdone" +t "std_logic" +o 10 +suid 10,0 +) +) +uid 2648,0 +) +*418 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "arp_rxa" +t "std_logic_vector" +b "(5 downto 0)" +o 11 +suid 11,0 +) +) +uid 2650,0 +) +*419 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "arp_txa" +t "std_logic_vector" +b "(5 downto 0)" +o 12 +suid 12,0 +) +) +uid 2652,0 +) +*420 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "arp_txd" +t "std_logic_vector" +b "(7 downto 0)" +o 13 +suid 13,0 +) +) +uid 2654,0 +) +*421 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "arp_we" +t "std_logic" +o 14 +suid 14,0 +) +) +uid 2656,0 +) +*422 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "arp_xmit" +t "std_logic" +o 15 +suid 15,0 +) +) +uid 2658,0 +) +*423 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "arp_done" +t "std_logic" +o 16 +suid 16,0 +) +) +uid 2660,0 +) +*424 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "arp_ready" +t "std_logic" +o 17 +suid 17,0 +) +) +uid 2662,0 +) +*425 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "icmp_rxa" +t "std_logic_vector" +b "(9 downto 0)" +o 18 +suid 18,0 +) +) +uid 2664,0 +) +*426 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "icmp_txa" +t "std_logic_vector" +b "(9 downto 0)" +o 19 +suid 19,0 +) +) +uid 2666,0 +) +*427 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "icmp_len" +t "std_logic_vector" +b "(9 downto 0)" +o 20 +suid 20,0 +) +) +uid 2668,0 +) +*428 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "icmp_txd" +t "std_logic_vector" +b "(7 downto 0)" +o 21 +suid 21,0 +) +) +uid 2670,0 +) +*429 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "icmp_we" +t "std_logic" +o 22 +suid 22,0 +) +) +uid 2672,0 +) +*430 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "icmp_xmit" +t "std_logic" +o 23 +suid 23,0 +) +) +uid 2674,0 +) +*431 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "icmp_done" +t "std_logic" +o 24 +suid 24,0 +) +) +uid 2676,0 +) +*432 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "icmp_ready" +t "std_logic" +o 25 +suid 25,0 +) +) +uid 2678,0 +) +*433 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "udp_rxa" +t "pbuf_a" +o 26 +suid 26,0 +) +) +uid 2680,0 +) +*434 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "udp_txa" +t "pbuf_a" +o 27 +suid 27,0 +) +) +uid 2682,0 +) +*435 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "udp_len" +t "pbuf_a" +o 28 +suid 28,0 +) +) +uid 2684,0 +) +*436 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "udp_txd" +t "std_logic_vector" +b "(7 downto 0)" +o 29 +suid 29,0 +) +) +uid 2686,0 +) +*437 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "udp_we" +t "std_logic" +o 30 +suid 30,0 +) +) +uid 2688,0 +) +*438 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "udp_xmit" +t "std_logic" +o 31 +suid 31,0 +) +) +uid 2690,0 +) +*439 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "udp_done" +t "std_logic" +o 32 +suid 32,0 +) +) +uid 2692,0 +) +*440 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "udp_ready" +t "std_logic" +o 33 +suid 33,0 +) +) +uid 2694,0 +) +*441 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "udp_space" +t "std_logic" +o 34 +suid 34,0 +) +) +uid 2696,0 +) +*442 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "udp_xmit_req" +t "std_logic" +o 35 +suid 35,0 +) +) +uid 2698,0 +) +*443 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "udp_xmit_ok" +t "std_logic" +o 36 +suid 36,0 +) +) +uid 2700,0 +) +*444 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "packet_req_addr" +t "rbuf_a" +o 37 +suid 37,0 +) +) +uid 2702,0 +) +*445 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "packet_req_len" +t "rbuf_a" +o 38 +suid 38,0 +) +) +uid 2704,0 +) +*446 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "packet_resp_addr" +t "wbuf_a" +o 39 +suid 39,0 +) +) +uid 2706,0 +) +*447 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "packet_resp_len" +t "wbuf_a" +o 40 +suid 40,0 +) +) +uid 2708,0 +) +*448 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "packet_req_data" +t "std_logic_vector" +b "(31 downto 0)" +o 41 +suid 41,0 +) +) +uid 2710,0 +) +*449 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "packet_resp_data" +t "std_logic_vector" +b "(31 downto 0)" +o 42 +suid 42,0 +) +) +uid 2712,0 +) +*450 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "resp_we" +t "std_logic" +o 43 +suid 43,0 +) +) +uid 2714,0 +) +*451 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "req_avail" +t "std_logic" +o 44 +suid 44,0 +) +) +uid 2716,0 +) +*452 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "resp_done" +t "std_logic" +o 45 +suid 45,0 +) +) +uid 2718,0 +) +*453 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "moti" +t "trans_moti" +o 46 +suid 46,0 +) +) +uid 2720,0 +) +*454 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "tomi" +t "trans_tomi" +o 47 +suid 47,0 +) +) +uid 2722,0 +) +*455 (LeafLogPort +port (LogicalPort +decl (Decl +n "ipb_clk" +t "std_logic" +o 48 +suid 48,0 +) +) +uid 2724,0 +) +*456 (LeafLogPort +port (LogicalPort +decl (Decl +n "rst_ipb" +t "std_logic" +o 49 +suid 49,0 +) +) +uid 2726,0 +) +*457 (LeafLogPort +port (LogicalPort +decl (Decl +n "rst_macclk" +t "std_logic" +o 50 +suid 50,0 +) +) +uid 2728,0 +) +*458 (LeafLogPort +port (LogicalPort +decl (Decl +n "mac_txclk" +t "std_logic" +o 51 +suid 51,0 +) +) +uid 2730,0 +) +*459 (LeafLogPort +port (LogicalPort +decl (Decl +n "mac_rxclk" +t "std_logic" +o 52 +suid 52,0 +) +) +uid 2732,0 +) +*460 (LeafLogPort +port (LogicalPort +decl (Decl +n "mac_rxd" +t "std_logic_vector" +b "(7 downto 0)" +o 53 +suid 53,0 +) +) +uid 2734,0 +) +*461 (LeafLogPort +port (LogicalPort +decl (Decl +n "mac_rxdvld" +t "std_logic" +o 54 +suid 54,0 +) +) +uid 2736,0 +) +*462 (LeafLogPort +port (LogicalPort +decl (Decl +n "mac_rxgoodframe" +t "std_logic" +o 55 +suid 55,0 +) +) +uid 2738,0 +) +*463 (LeafLogPort +port (LogicalPort +decl (Decl +n "mac_rxbadframe" +t "std_logic" +o 56 +suid 56,0 +) +) +uid 2740,0 +) +*464 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "mac_txd" +t "std_logic_vector" +b "(7 downto 0)" +o 57 +suid 57,0 +) +) +uid 2742,0 +) +*465 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "mac_txdvld" +t "std_logic" +o 58 +suid 58,0 +) +) +uid 2744,0 +) +*466 (LeafLogPort +port (LogicalPort +decl (Decl +n "mac_txack" +t "std_logic" +o 59 +suid 59,0 +) +) +uid 2746,0 +) +*467 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "ipb_out" +t "ipb_wbus" +o 60 +suid 60,0 +) +) +uid 2748,0 +) +*468 (LeafLogPort +port (LogicalPort +decl (Decl +n "ipb_in" +t "ipb_rbus" +o 61 +suid 61,0 +) +) +uid 2750,0 +) +*469 (LeafLogPort +port (LogicalPort +decl (Decl +n "mac_addr" +t "std_logic_vector" +b "(47 downto 0)" +o 62 +suid 62,0 +) +) +uid 2752,0 +) +*470 (LeafLogPort +port (LogicalPort +decl (Decl +n "ip_addr" +t "std_logic_vector" +b "(31 downto 0)" +o 63 +suid 63,0 +) +) +uid 2754,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +optionalChildren [ +*471 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *472 (MRCItem +litem &395 +pos 3 +dimension 20 +) +optionalChildren [ +*473 (MRCItem +litem &396 +pos 0 +dimension 20 +) +*474 (MRCItem +litem &397 +pos 1 +dimension 23 +) +*475 (MRCItem +litem &398 +pos 2 +hidden 1 +dimension 20 +) +*476 (MRCItem +litem &408 +pos 0 +dimension 20 +uid 2631,0 +) +*477 (MRCItem +litem &409 +pos 1 +dimension 20 +uid 2633,0 +) +*478 (MRCItem +litem &410 +pos 2 +dimension 20 +uid 2635,0 +) +*479 (MRCItem +litem &411 +pos 3 +dimension 20 +uid 2637,0 +) +*480 (MRCItem +litem &412 +pos 4 +dimension 20 +uid 2639,0 +) +*481 (MRCItem +litem &413 +pos 5 +dimension 20 +uid 2641,0 +) +*482 (MRCItem +litem &414 +pos 6 +dimension 20 +uid 2643,0 +) +*483 (MRCItem +litem &415 +pos 7 +dimension 20 +uid 2645,0 +) +*484 (MRCItem +litem &416 +pos 8 +dimension 20 +uid 2647,0 +) +*485 (MRCItem +litem &417 +pos 9 +dimension 20 +uid 2649,0 +) +*486 (MRCItem +litem &418 +pos 10 +dimension 20 +uid 2651,0 +) +*487 (MRCItem +litem &419 +pos 11 +dimension 20 +uid 2653,0 +) +*488 (MRCItem +litem &420 +pos 12 +dimension 20 +uid 2655,0 +) +*489 (MRCItem +litem &421 +pos 13 +dimension 20 +uid 2657,0 +) +*490 (MRCItem +litem &422 +pos 14 +dimension 20 +uid 2659,0 +) +*491 (MRCItem +litem &423 +pos 15 +dimension 20 +uid 2661,0 +) +*492 (MRCItem +litem &424 +pos 16 +dimension 20 +uid 2663,0 +) +*493 (MRCItem +litem &425 +pos 17 +dimension 20 +uid 2665,0 +) +*494 (MRCItem +litem &426 +pos 18 +dimension 20 +uid 2667,0 +) +*495 (MRCItem +litem &427 +pos 19 +dimension 20 +uid 2669,0 +) +*496 (MRCItem +litem &428 +pos 20 +dimension 20 +uid 2671,0 +) +*497 (MRCItem +litem &429 +pos 21 +dimension 20 +uid 2673,0 +) +*498 (MRCItem +litem &430 +pos 22 +dimension 20 +uid 2675,0 +) +*499 (MRCItem +litem &431 +pos 23 +dimension 20 +uid 2677,0 +) +*500 (MRCItem +litem &432 +pos 24 +dimension 20 +uid 2679,0 +) +*501 (MRCItem +litem &433 +pos 25 +dimension 20 +uid 2681,0 +) +*502 (MRCItem +litem &434 +pos 26 +dimension 20 +uid 2683,0 +) +*503 (MRCItem +litem &435 +pos 27 +dimension 20 +uid 2685,0 +) +*504 (MRCItem +litem &436 +pos 28 +dimension 20 +uid 2687,0 +) +*505 (MRCItem +litem &437 +pos 29 +dimension 20 +uid 2689,0 +) +*506 (MRCItem +litem &438 +pos 30 +dimension 20 +uid 2691,0 +) +*507 (MRCItem +litem &439 +pos 31 +dimension 20 +uid 2693,0 +) +*508 (MRCItem +litem &440 +pos 32 +dimension 20 +uid 2695,0 +) +*509 (MRCItem +litem &441 +pos 33 +dimension 20 +uid 2697,0 +) +*510 (MRCItem +litem &442 +pos 34 +dimension 20 +uid 2699,0 +) +*511 (MRCItem +litem &443 +pos 35 +dimension 20 +uid 2701,0 +) +*512 (MRCItem +litem &444 +pos 36 +dimension 20 +uid 2703,0 +) +*513 (MRCItem +litem &445 +pos 37 +dimension 20 +uid 2705,0 +) +*514 (MRCItem +litem &446 +pos 38 +dimension 20 +uid 2707,0 +) +*515 (MRCItem +litem &447 +pos 39 +dimension 20 +uid 2709,0 +) +*516 (MRCItem +litem &448 +pos 40 +dimension 20 +uid 2711,0 +) +*517 (MRCItem +litem &449 +pos 41 +dimension 20 +uid 2713,0 +) +*518 (MRCItem +litem &450 +pos 42 +dimension 20 +uid 2715,0 +) +*519 (MRCItem +litem &451 +pos 43 +dimension 20 +uid 2717,0 +) +*520 (MRCItem +litem &452 +pos 44 +dimension 20 +uid 2719,0 +) +*521 (MRCItem +litem &453 +pos 45 +dimension 20 +uid 2721,0 +) +*522 (MRCItem +litem &454 +pos 46 +dimension 20 +uid 2723,0 +) +*523 (MRCItem +litem &455 +pos 47 +dimension 20 +uid 2725,0 +) +*524 (MRCItem +litem &456 +pos 48 +dimension 20 +uid 2727,0 +) +*525 (MRCItem +litem &457 +pos 49 +dimension 20 +uid 2729,0 +) +*526 (MRCItem +litem &458 +pos 50 +dimension 20 +uid 2731,0 +) +*527 (MRCItem +litem &459 +pos 51 +dimension 20 +uid 2733,0 +) +*528 (MRCItem +litem &460 +pos 52 +dimension 20 +uid 2735,0 +) +*529 (MRCItem +litem &461 +pos 53 +dimension 20 +uid 2737,0 +) +*530 (MRCItem +litem &462 +pos 54 +dimension 20 +uid 2739,0 +) +*531 (MRCItem +litem &463 +pos 55 +dimension 20 +uid 2741,0 +) +*532 (MRCItem +litem &464 +pos 56 +dimension 20 +uid 2743,0 +) +*533 (MRCItem +litem &465 +pos 57 +dimension 20 +uid 2745,0 +) +*534 (MRCItem +litem &466 +pos 58 +dimension 20 +uid 2747,0 +) +*535 (MRCItem +litem &467 +pos 59 +dimension 20 +uid 2749,0 +) +*536 (MRCItem +litem &468 +pos 60 +dimension 20 +uid 2751,0 +) +*537 (MRCItem +litem &469 +pos 61 +dimension 20 +uid 2753,0 +) +*538 (MRCItem +litem &470 +pos 62 +dimension 20 +uid 2755,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +optionalChildren [ +*539 (MRCItem +litem &399 +pos 0 +dimension 20 +) +*540 (MRCItem +litem &401 +pos 1 +dimension 50 +) +*541 (MRCItem +litem &402 +pos 2 +dimension 100 +) +*542 (MRCItem +litem &403 +pos 3 +dimension 50 +) +*543 (MRCItem +litem &404 +pos 4 +dimension 100 +) +*544 (MRCItem +litem &405 +pos 5 +dimension 100 +) +*545 (MRCItem +litem &406 +pos 6 +dimension 50 +) +*546 (MRCItem +litem &407 +pos 7 +dimension 80 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +vaOverrides [ +] +) +] +) +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *547 (LEmptyRow +) +optionalChildren [ +*548 (RefLabelRowHdr +) +*549 (TitleRowHdr +) +*550 (FilterRowHdr +) +*551 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*552 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*553 (GroupColHdr +tm "GroupColHdrMgr" +) +*554 (NameColHdr +tm "GenericNameColHdrMgr" +) +*555 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*556 (InitColHdr +tm "GenericValueColHdrMgr" +) +*557 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*558 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +optionalChildren [ +*559 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *560 (MRCItem +litem &547 +pos 3 +dimension 20 +) +optionalChildren [ +*561 (MRCItem +litem &548 +pos 0 +dimension 20 +) +*562 (MRCItem +litem &549 +pos 1 +dimension 23 +) +*563 (MRCItem +litem &550 +pos 2 +hidden 1 +dimension 20 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +optionalChildren [ +*564 (MRCItem +litem &551 +pos 0 +dimension 20 +) +*565 (MRCItem +litem &553 +pos 1 +dimension 50 +) +*566 (MRCItem +litem &554 +pos 2 +dimension 100 +) +*567 (MRCItem +litem &555 +pos 3 +dimension 100 +) +*568 (MRCItem +litem &556 +pos 4 +dimension 50 +) +*569 (MRCItem +litem &557 +pos 5 +dimension 50 +) +*570 (MRCItem +litem &558 +pos 6 +dimension 80 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +vaOverrides [ +] +) +] +) +type 1 +) +) diff --git a/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/ipbus_emac_hostbus/rtl.bd b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/ipbus_emac_hostbus/rtl.bd new file mode 100644 index 00000000..ffa9ff20 --- /dev/null +++ b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/ipbus_emac_hostbus/rtl.bd @@ -0,0 +1,5793 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dmPackageRefs [ +] +embeddedInstances [ +(EmbeddedInstance +name "eb1" +number "1" +) +(EmbeddedInstance +name "eb2" +number "2" +) +(EmbeddedInstance +name "eb3" +number "3" +) +(EmbeddedInstance +name "eb4" +number "4" +) +(EmbeddedInstance +name "eb5" +number "5" +) +(EmbeddedInstance +name "eb6" +number "6" +) +(EmbeddedInstance +name "eb7" +number "7" +) +(EmbeddedInstance +name "eb8" +number "8" +) +(EmbeddedInstance +name "eb9" +number "9" +) +(EmbeddedInstance +name "eb10" +number "10" +) +(EmbeddedInstance +name "eb11" +number "11" +) +(EmbeddedInstance +name "eb12" +number "12" +) +(EmbeddedInstance +name "eb13" +number "13" +) +(EmbeddedInstance +name "eb14" +number "14" +) +] +properties [ +(HdrProperty +class "HDS" +name "DocView" +value "ipbus_emac_hostbus.vhd" +) +(HdrProperty +class "HDS" +name "DocViewState" +value "1352809723" +) +] +) +version "30.1" +appVersion "2010.3 (Build 21)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl" +) +(vvPair +variable "HDSDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/ipbus_emac_hostbus/rtl.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/ipbus_emac_hostbus/rtl.bd.user" +) +(vvPair +variable "SourceDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "rtl" +) +(vvPair +variable "config" +value "%(unit)_config" +) +(vvPair +variable "d" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/ipbus_emac_hostbus" +) +(vvPair +variable "d_logical" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/ipbus_emac_hostbus" +) +(vvPair +variable "date" +value "11/13/12" +) +(vvPair +variable "day" +value "Tue" +) +(vvPair +variable "day_long" +value "Tuesday" +) +(vvPair +variable "dd" +value "13" +) +(vvPair +variable "entity_name" +value "ipbus_emac_hostbus" +) +(vvPair +variable "ext" +value "<TBD>" +) +(vvPair +variable "f" +value "rtl.bd" +) +(vvPair +variable "f_logical" +value "rtl.bd" +) +(vvPair +variable "f_noext" +value "rtl" +) +(vvPair +variable "group" +value "HEP_Instrumentation" +) +(vvPair +variable "host" +value "kipper.phy.bris.ac.uk" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "fmc_mTLU_lib" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/designcheck" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "ipbus_emac_hostbus" +) +(vvPair +variable "month" +value "Nov" +) +(vvPair +variable "month_long" +value "November" +) +(vvPair +variable "p" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/ipbus_emac_hostbus/rtl.bd" +) +(vvPair +variable "p_logical" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/ipbus_emac_hostbus/rtl.bd" +) +(vvPair +variable "package_name" +value "<Undefined Variable>" +) +(vvPair +variable "project_name" +value "fmc_mTLU" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "<TBD>" +) +(vvPair +variable "task_DesignCompilerPath" +value "<TBD>" +) +(vvPair +variable "task_LeonardoPath" +value "/opt/CAD/Mentor/LeonardoSpectrum_2008a/bin" +) +(vvPair +variable "task_ModelSimPath" +value "/opt/CAD/Mentor/Modelsim/6.4a/modeltech/bin" +) +(vvPair +variable "task_NC-SimPath" +value "<TBD>" +) +(vvPair +variable "task_PrecisionRTLPath" +value "/opt/CAD/Mentor/Precis_Synth_2009a_update2/Mgc_home/bin" +) +(vvPair +variable "task_QuestaSimPath" +value "/opt/CAD/Mentor/HDS2008.1b/questasim/bin" +) +(vvPair +variable "task_VCSPath" +value "<TBD>" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "rtl" +) +(vvPair +variable "this_file_logical" +value "rtl" +) +(vvPair +variable "time" +value "16:59:32" +) +(vvPair +variable "unit" +value "ipbus_emac_hostbus" +) +(vvPair +variable "user" +value "phdgc" +) +(vvPair +variable "version" +value "2010.3 (Build 21)" +) +(vvPair +variable "view" +value "rtl" +) +(vvPair +variable "year" +value "2012" +) +(vvPair +variable "yy" +value "12" +) +] +) +LanguageMgr "VhdlLangMgr" +optionalChildren [ +*1 (Net +uid 9,0 +decl (Decl +n "emac1sel" +t "std_logic" +o 1 +suid 1,0 +) +declText (MLText +uid 10,0 +va (VaSet +font "courier,8,0" +) +xt "20000,40000,35500,40900" +st "SIGNAL emac1sel : std_logic +" +) +) +*2 (Net +uid 11,0 +decl (Decl +n "mdiocyc" +t "std_logic" +o 2 +suid 2,0 +) +declText (MLText +uid 12,0 +va (VaSet +font "courier,8,0" +) +xt "20000,40900,35500,41800" +st "SIGNAL mdiocyc : std_logic +" +) +) +*3 (Net +uid 13,0 +decl (Decl +n "acyc" +t "std_logic" +o 3 +suid 3,0 +) +declText (MLText +uid 14,0 +va (VaSet +font "courier,8,0" +) +xt "20000,41800,35500,42700" +st "SIGNAL acyc : std_logic +" +) +) +*4 (Net +uid 15,0 +decl (Decl +n "dcyc" +t "std_logic" +o 4 +suid 4,0 +) +declText (MLText +uid 16,0 +va (VaSet +font "courier,8,0" +) +xt "20000,42700,35500,43600" +st "SIGNAL dcyc : std_logic +" +) +) +*5 (Net +uid 17,0 +decl (Decl +n "dcyc_d" +t "std_logic" +o 5 +suid 5,0 +) +declText (MLText +uid 18,0 +va (VaSet +font "courier,8,0" +) +xt "20000,43600,35500,44500" +st "SIGNAL dcyc_d : std_logic +" +) +) +*6 (Net +uid 19,0 +decl (Decl +n "addr" +t "std_logic_vector" +b "(9 downto 0)" +o 6 +suid 6,0 +) +declText (MLText +uid 20,0 +va (VaSet +font "courier,8,0" +) +xt "20000,44500,45000,45400" +st "SIGNAL addr : std_logic_vector(9 downto 0) +" +) +) +*7 (PortIoIn +uid 21,0 +shape (CompositeShape +uid 22,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 23,0 +sl 0 +ro 270 +xt "-4000,13625,-2500,14375" +) +(Line +uid 24,0 +sl 0 +ro 270 +xt "-2500,14000,-2000,14000" +pts [ +"-2500,14000" +"-2000,14000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 25,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 26,0 +va (VaSet +font "courier,8,0" +) +xt "-6500,13500,-5000,14400" +st "clk" +ju 2 +blo "-5000,14200" +tm "WireNameMgr" +) +) +) +*8 (Net +uid 27,0 +decl (Decl +n "clk" +t "std_logic" +o 7 +suid 7,0 +) +declText (MLText +uid 28,0 +va (VaSet +font "courier,8,0" +) +xt "20000,32800,32000,33700" +st "clk : std_logic +" +) +) +*9 (PortIoIn +uid 35,0 +shape (CompositeShape +uid 36,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 37,0 +sl 0 +ro 270 +xt "-4000,2625,-2500,3375" +) +(Line +uid 38,0 +sl 0 +ro 270 +xt "-2500,3000,-2000,3000" +pts [ +"-2500,3000" +"-2000,3000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 39,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 40,0 +va (VaSet +font "courier,8,0" +) +xt "-7500,2500,-5000,3400" +st "reset" +ju 2 +blo "-5000,3200" +tm "WireNameMgr" +) +) +) +*10 (Net +uid 41,0 +decl (Decl +n "reset" +t "std_logic" +o 8 +suid 8,0 +) +declText (MLText +uid 42,0 +va (VaSet +font "courier,8,0" +) +xt "20000,33700,32000,34600" +st "reset : std_logic +" +) +) +*11 (PortIoIn +uid 49,0 +shape (CompositeShape +uid 50,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 51,0 +sl 0 +ro 270 +xt "-4000,12625,-2500,13375" +) +(Line +uid 52,0 +sl 0 +ro 270 +xt "-2500,13000,-2000,13000" +pts [ +"-2500,13000" +"-2000,13000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 53,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 54,0 +va (VaSet +font "courier,8,0" +) +xt "-9000,12500,-5000,13400" +st "ipbus_in" +ju 2 +blo "-5000,13200" +tm "WireNameMgr" +) +) +) +*12 (Net +uid 55,0 +decl (Decl +n "ipbus_in" +t "ipb_wbus" +o 9 +suid 9,0 +) +declText (MLText +uid 56,0 +va (VaSet +font "courier,8,0" +) +xt "20000,34600,31500,35500" +st "ipbus_in : ipb_wbus +" +) +) +*13 (PortIoOut +uid 63,0 +shape (CompositeShape +uid 64,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 65,0 +sl 0 +ro 270 +xt "148500,13625,150000,14375" +) +(Line +uid 66,0 +sl 0 +ro 270 +xt "148000,14000,148500,14000" +pts [ +"148000,14000" +"148500,14000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 67,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 68,0 +va (VaSet +font "courier,8,0" +) +xt "151000,13500,155500,14400" +st "ipbus_out" +blo "151000,14200" +tm "WireNameMgr" +) +) +) +*14 (Net +uid 69,0 +decl (Decl +n "ipbus_out" +t "ipb_rbus" +o 10 +suid 10,0 +) +declText (MLText +uid 70,0 +va (VaSet +font "courier,8,0" +) +xt "20000,35500,31500,36400" +st "ipbus_out : ipb_rbus +" +) +) +*15 (PortIoOut +uid 77,0 +shape (CompositeShape +uid 78,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 79,0 +sl 0 +ro 270 +xt "148500,12625,150000,13375" +) +(Line +uid 80,0 +sl 0 +ro 270 +xt "148000,13000,148500,13000" +pts [ +"148000,13000" +"148500,13000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 81,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 82,0 +va (VaSet +font "courier,8,0" +) +xt "151000,12500,157000,13400" +st "hostbus_out" +blo "151000,13200" +tm "WireNameMgr" +) +) +) +*16 (Net +uid 83,0 +decl (Decl +n "hostbus_out" +t "emac_hostbus_in" +o 11 +suid 11,0 +) +declText (MLText +uid 84,0 +va (VaSet +font "courier,8,0" +) +xt "20000,36400,35000,37300" +st "hostbus_out : emac_hostbus_in +" +) +) +*17 (PortIoIn +uid 91,0 +shape (CompositeShape +uid 92,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 93,0 +sl 0 +ro 270 +xt "-4000,14625,-2500,15375" +) +(Line +uid 94,0 +sl 0 +ro 270 +xt "-2500,15000,-2000,15000" +pts [ +"-2500,15000" +"-2000,15000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 95,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 96,0 +va (VaSet +font "courier,8,0" +) +xt "-10500,14500,-5000,15400" +st "hostbus_in" +ju 2 +blo "-5000,15200" +tm "WireNameMgr" +) +) +) +*18 (Net +uid 97,0 +decl (Decl +n "hostbus_in" +t "emac_hostbus_out" +o 12 +suid 12,0 +) +declText (MLText +uid 98,0 +va (VaSet +font "courier,8,0" +) +xt "20000,37300,35500,38200" +st "hostbus_in : emac_hostbus_out +" +) +) +*19 (HdlText +uid 105,0 +optionalChildren [ +*20 (EmbeddedText +uid 111,0 +commentText (CommentText +uid 112,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 113,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "70000,0,88000,5000" +) +text (MLText +uid 114,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "70200,200,87700,4700" +st " +ipbus_out.ipb_rdata <= hostbus_in.hostrddata when ipbus_in.ipb_addr(0) = '0' + else X\"0000\" & \"000\" & emac1sel & mdiocyc & '0' & addr; + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +] +shape (Rectangle +uid 106,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "62000,0,65000,6000" +) +ttg (MlTextGroup +uid 107,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*21 (Text +uid 108,0 +va (VaSet +font "courier,8,1" +) +xt "62750,2100,64250,3000" +st "eb1" +blo "62750,2800" +tm "HdlTextNameMgr" +) +*22 (Text +uid 109,0 +va (VaSet +font "courier,8,1" +) +xt "62750,3000,63250,3900" +st "1" +blo "62750,3700" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 110,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "62250,4250,63750,5750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*23 (HdlText +uid 163,0 +optionalChildren [ +*24 (EmbeddedText +uid 169,0 +commentText (CommentText +uid 170,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 171,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "15000,19000,33000,24000" +) +text (MLText +uid 172,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "15200,19200,31700,21000" +st " +acyc <= ipbus_in.ipb_strobe and ipbus_in.ipb_addr(0); + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +] +shape (Rectangle +uid 164,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "7000,19000,10000,22000" +) +ttg (MlTextGroup +uid 165,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*25 (Text +uid 166,0 +va (VaSet +font "courier,8,1" +) +xt "7750,19600,9250,20500" +st "eb2" +blo "7750,20300" +tm "HdlTextNameMgr" +) +*26 (Text +uid 167,0 +va (VaSet +font "courier,8,1" +) +xt "7750,20500,8250,21400" +st "2" +blo "7750,21200" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 168,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "7250,20250,8750,21750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*27 (HdlText +uid 189,0 +optionalChildren [ +*28 (EmbeddedText +uid 195,0 +commentText (CommentText +uid 196,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 197,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "15000,-2000,33000,3000" +) +text (MLText +uid 198,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "15200,-1800,31700,0" +st " +dcyc <= ipbus_in.ipb_strobe and not ipbus_in.ipb_addr(0); + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +] +shape (Rectangle +uid 190,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "7000,-2000,10000,1000" +) +ttg (MlTextGroup +uid 191,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*29 (Text +uid 192,0 +va (VaSet +font "courier,8,1" +) +xt "7750,-1400,9250,-500" +st "eb3" +blo "7750,-700" +tm "HdlTextNameMgr" +) +*30 (Text +uid 193,0 +va (VaSet +font "courier,8,1" +) +xt "7750,-500,8250,400" +st "3" +blo "7750,200" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 194,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "7250,-750,8750,750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*31 (HdlText +uid 215,0 +optionalChildren [ +*32 (EmbeddedText +uid 221,0 +commentText (CommentText +uid 222,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 223,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "50000,-2000,68000,3000" +) +text (MLText +uid 224,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "50200,-1800,65700,2700" +st " +process(clk) + begin + if rising_edge(clk) then + if acyc = '1' and ipbus_in.ipb_write = '1' then + emac1sel <= ipbus_in.ipb_wdata(12); + mdiocyc <= ipbus_in.ipb_wdata(11); + addr <= ipbus_in.ipb_wdata(9 downto 0); + end if; + dcyc_d <= dcyc; + end if; + end process; + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +] +shape (Rectangle +uid 216,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "42000,-2000,45000,3000" +) +ttg (MlTextGroup +uid 217,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*33 (Text +uid 218,0 +va (VaSet +font "courier,8,1" +) +xt "42750,-400,44250,500" +st "eb4" +blo "42750,300" +tm "HdlTextNameMgr" +) +*34 (Text +uid 219,0 +va (VaSet +font "courier,8,1" +) +xt "42750,500,43250,1400" +st "4" +blo "42750,1200" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 220,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "42250,1250,43750,2750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*35 (HdlText +uid 289,0 +optionalChildren [ +*36 (EmbeddedText +uid 295,0 +commentText (CommentText +uid 296,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 297,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "91000,5000,109000,10000" +) +text (MLText +uid 298,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "91200,5200,104700,7000" +st " +hostbus_out.hostwrdata <= ipbus_in.ipb_wdata; + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +] +shape (Rectangle +uid 290,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "83000,5000,86000,8000" +) +ttg (MlTextGroup +uid 291,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*37 (Text +uid 292,0 +va (VaSet +font "courier,8,1" +) +xt "83750,5600,85250,6500" +st "eb5" +blo "83750,6300" +tm "HdlTextNameMgr" +) +*38 (Text +uid 293,0 +va (VaSet +font "courier,8,1" +) +xt "83750,6500,84250,7400" +st "5" +blo "83750,7200" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 294,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "83250,6250,84750,7750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*39 (HdlText +uid 315,0 +optionalChildren [ +*40 (EmbeddedText +uid 321,0 +commentText (CommentText +uid 322,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 323,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "143000,2000,161000,7000" +) +text (MLText +uid 324,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "143200,2200,158200,3100" +st " +hostbus_out.hostaddr <= addr; + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +] +shape (Rectangle +uid 316,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "135000,2000,138000,5000" +) +ttg (MlTextGroup +uid 317,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*41 (Text +uid 318,0 +va (VaSet +font "courier,8,1" +) +xt "135750,2600,137250,3500" +st "eb6" +blo "135750,3300" +tm "HdlTextNameMgr" +) +*42 (Text +uid 319,0 +va (VaSet +font "courier,8,1" +) +xt "135750,3500,136250,4400" +st "6" +blo "135750,4200" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 320,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "135250,3250,136750,4750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*43 (HdlText +uid 341,0 +optionalChildren [ +*44 (EmbeddedText +uid 347,0 +commentText (CommentText +uid 348,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 349,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "110000,19000,128000,24000" +) +text (MLText +uid 350,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "110200,19200,124700,21000" +st " +hostbus_out.hostemac1sel <= emac1sel; + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +] +shape (Rectangle +uid 342,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "102000,19000,105000,22000" +) +ttg (MlTextGroup +uid 343,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*45 (Text +uid 344,0 +va (VaSet +font "courier,8,1" +) +xt "102750,19600,104250,20500" +st "eb7" +blo "102750,20300" +tm "HdlTextNameMgr" +) +*46 (Text +uid 345,0 +va (VaSet +font "courier,8,1" +) +xt "102750,20500,103250,21400" +st "7" +blo "102750,21200" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 346,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "102250,20250,103750,21750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*47 (HdlText +uid 367,0 +optionalChildren [ +*48 (EmbeddedText +uid 373,0 +commentText (CommentText +uid 374,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 375,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "50000,19000,68000,24000" +) +text (MLText +uid 376,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "50200,19200,64200,20100" +st " +hostbus_out.hostclk <= clk; + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +] +shape (Rectangle +uid 368,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "42000,19000,45000,22000" +) +ttg (MlTextGroup +uid 369,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*49 (Text +uid 370,0 +va (VaSet +font "courier,8,1" +) +xt "42750,19600,44250,20500" +st "eb8" +blo "42750,20300" +tm "HdlTextNameMgr" +) +*50 (Text +uid 371,0 +va (VaSet +font "courier,8,1" +) +xt "42750,20500,43250,21400" +st "8" +blo "42750,21200" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 372,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "42250,20250,43750,21750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*51 (HdlText +uid 393,0 +optionalChildren [ +*52 (EmbeddedText +uid 399,0 +commentText (CommentText +uid 400,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 401,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "91000,19000,109000,24000" +) +text (MLText +uid 402,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "91200,19200,107700,21900" +st " +hostbus_out.hostmiimsel <= not (dcyc or (dcyc_d and ipbus_in.ipb_write)) or mdiocyc; + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +] +shape (Rectangle +uid 394,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "83000,19000,86000,24000" +) +ttg (MlTextGroup +uid 395,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*53 (Text +uid 396,0 +va (VaSet +font "courier,8,1" +) +xt "83750,20600,85250,21500" +st "eb9" +blo "83750,21300" +tm "HdlTextNameMgr" +) +*54 (Text +uid 397,0 +va (VaSet +font "courier,8,1" +) +xt "83750,21500,84250,22400" +st "9" +blo "83750,22200" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 398,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "83250,22250,84750,23750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*55 (HdlText +uid 443,0 +optionalChildren [ +*56 (EmbeddedText +uid 449,0 +commentText (CommentText +uid 450,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 451,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "110000,5000,128000,10000" +) +text (MLText +uid 452,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "110200,5200,127200,7000" +st " +hostbus_out.hostopcode(1) <= not ipbus_in.ipb_write; + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +] +shape (Rectangle +uid 444,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "102000,5000,105000,8000" +) +ttg (MlTextGroup +uid 445,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*57 (Text +uid 446,0 +va (VaSet +font "courier,8,1" +) +xt "102500,5600,104500,6500" +st "eb10" +blo "102500,6300" +tm "HdlTextNameMgr" +) +*58 (Text +uid 447,0 +va (VaSet +font "courier,8,1" +) +xt "102500,6500,103500,7400" +st "10" +blo "102500,7200" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 448,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "102250,6250,103750,7750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*59 (HdlText +uid 469,0 +optionalChildren [ +*60 (EmbeddedText +uid 475,0 +commentText (CommentText +uid 476,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 477,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "128000,5000,146000,10000" +) +text (MLText +uid 478,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "128200,5200,143200,7000" +st " +hostbus_out.hostopcode(0) <= ipbus_in.ipb_write; + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +] +shape (Rectangle +uid 470,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "120000,5000,123000,8000" +) +ttg (MlTextGroup +uid 471,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*61 (Text +uid 472,0 +va (VaSet +font "courier,8,1" +) +xt "120500,5600,122500,6500" +st "eb11" +blo "120500,6300" +tm "HdlTextNameMgr" +) +*62 (Text +uid 473,0 +va (VaSet +font "courier,8,1" +) +xt "120500,6500,121500,7400" +st "11" +blo "120500,7200" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 474,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "120250,6250,121750,7750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*63 (HdlText +uid 495,0 +optionalChildren [ +*64 (EmbeddedText +uid 501,0 +commentText (CommentText +uid 502,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 503,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "70000,19000,88000,24000" +) +text (MLText +uid 504,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "70200,19200,86700,21900" +st " +hostbus_out.hostreq <= dcyc and mdiocyc and hostbus_in.hostmiimrdy; + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +] +shape (Rectangle +uid 496,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "62000,19000,65000,23000" +) +ttg (MlTextGroup +uid 497,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*65 (Text +uid 498,0 +va (VaSet +font "courier,8,1" +) +xt "62500,20100,64500,21000" +st "eb12" +blo "62500,20800" +tm "HdlTextNameMgr" +) +*66 (Text +uid 499,0 +va (VaSet +font "courier,8,1" +) +xt "62500,21000,63500,21900" +st "12" +blo "62500,21700" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 500,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "62250,21250,63750,22750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*67 (HdlText +uid 537,0 +optionalChildren [ +*68 (EmbeddedText +uid 543,0 +commentText (CommentText +uid 544,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 545,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "34000,19000,52000,24000" +) +text (MLText +uid 546,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "34200,19200,50700,21900" +st " +ipbus_out.ipb_ack <= acyc or (dcyc and dcyc_d and (hostbus_in.hostmiimrdy or not mdiocyc)); + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +] +shape (Rectangle +uid 538,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "26000,19000,29000,25000" +) +ttg (MlTextGroup +uid 539,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*69 (Text +uid 540,0 +va (VaSet +font "courier,8,1" +) +xt "26500,21100,28500,22000" +st "eb13" +blo "26500,21800" +tm "HdlTextNameMgr" +) +*70 (Text +uid 541,0 +va (VaSet +font "courier,8,1" +) +xt "26500,22000,27500,22900" +st "13" +blo "26500,22700" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 542,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "26250,23250,27750,24750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*71 (HdlText +uid 595,0 +optionalChildren [ +*72 (EmbeddedText +uid 601,0 +commentText (CommentText +uid 602,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 603,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "91000,-2000,109000,3000" +) +text (MLText +uid 604,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "91200,-1800,104400,-900" +st " +ipbus_out.ipb_err <= '0'; + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +] +shape (Rectangle +uid 596,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "83000,-2000,86000,1000" +) +ttg (MlTextGroup +uid 597,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*73 (Text +uid 598,0 +va (VaSet +font "courier,8,1" +) +xt "83500,-1400,85500,-500" +st "eb14" +blo "83500,-700" +tm "HdlTextNameMgr" +) +*74 (Text +uid 599,0 +va (VaSet +font "courier,8,1" +) +xt "83500,-500,84500,400" +st "14" +blo "83500,200" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 600,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "83250,-750,84750,750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*75 (BundleNet +uid 613,0 +bundleNetName "bundle_eb4_eb9_0" +bundleContents [ +*76 (Wire +uid 623,0 +shape (OrthoPolyLine +uid 624,0 +sl 0 +va (VaSet +vasetType 3 +) +xt "224000,4000,234000,4000" +pts [ +"224000,4000" +"234000,4000" +] +) +sat 2 +eat 16 +cm 0 +stc 0 +st 0 +si 0 +tg (WTG +uid 629,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 630,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "220000,3100,223500,4000" +st "mdiocyc" +blo "220000,3800" +tm "WireNameMgr" +) +) +on &2 +) +*77 (Wire +uid 631,0 +shape (OrthoPolyLine +uid 632,0 +sl 0 +va (VaSet +vasetType 3 +) +xt "224000,2000,234000,2000" +pts [ +"224000,2000" +"234000,2000" +] +) +sat 2 +eat 16 +cm 0 +stc 0 +st 0 +si 0 +tg (WTG +uid 637,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 638,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "220000,1100,223000,2000" +st "dcyc_d" +blo "220000,1800" +tm "WireNameMgr" +) +) +on &5 +) +] +) +*78 (BundleNet +uid 639,0 +bundleNetName "bundle_eb4_eb1_0" +bundleContents [ +*79 (Wire +uid 649,0 +shape (OrthoPolyLine +uid 650,0 +sl 0 +va (VaSet +vasetType 3 +) +xt "224000,3000,234000,3000" +pts [ +"224000,3000" +"234000,3000" +] +) +sat 2 +eat 16 +cm 0 +stc 0 +st 0 +si 0 +tg (WTG +uid 655,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 656,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "219000,2100,223000,3000" +st "emac1sel" +blo "219000,2800" +tm "WireNameMgr" +) +) +on &1 +) +*80 (Wire +uid 657,0 +shape (OrthoPolyLine +uid 658,0 +sl 0 +va (VaSet +vasetType 3 +) +xt "224000,4000,234000,4000" +pts [ +"224000,4000" +"234000,4000" +] +) +sat 2 +eat 16 +cm 0 +stc 0 +st 0 +si 0 +tg (WTG +uid 663,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 664,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "220000,3100,223500,4000" +st "mdiocyc" +blo "220000,3800" +tm "WireNameMgr" +) +) +on &2 +) +*81 (Wire +uid 665,0 +shape (OrthoPolyLine +uid 666,0 +sl 0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "224000,1000,234000,1000" +pts [ +"224000,1000" +"234000,1000" +] +) +sat 2 +eat 16 +sty 1 +cm 0 +stc 0 +st 0 +si 0 +tg (WTG +uid 671,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 672,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "218000,100,220000,1000" +st "addr" +blo "218000,800" +tm "WireNameMgr" +) +) +on &6 +) +] +) +*82 (BundleNet +uid 673,0 +bundleNetName "bundle_eb4_eb13_0" +bundleContents [ +*83 (Wire +uid 683,0 +shape (OrthoPolyLine +uid 684,0 +sl 0 +va (VaSet +vasetType 3 +) +xt "224000,4000,234000,4000" +pts [ +"224000,4000" +"234000,4000" +] +) +sat 2 +eat 16 +cm 0 +stc 0 +st 0 +si 0 +tg (WTG +uid 689,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 690,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "220000,3100,223500,4000" +st "mdiocyc" +blo "220000,3800" +tm "WireNameMgr" +) +) +on &2 +) +*84 (Wire +uid 691,0 +shape (OrthoPolyLine +uid 692,0 +sl 0 +va (VaSet +vasetType 3 +) +xt "224000,2000,234000,2000" +pts [ +"224000,2000" +"234000,2000" +] +) +sat 2 +eat 16 +cm 0 +stc 0 +st 0 +si 0 +tg (WTG +uid 697,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 698,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "220000,1100,223000,2000" +st "dcyc_d" +blo "220000,1800" +tm "WireNameMgr" +) +) +on &5 +) +] +) +*85 (Property +uid 745,0 +pclass "HDS" +pname "DocView" +pvalue "ipbus_emac_hostbus.vhd" +ptn "String" +) +*86 (Property +uid 746,0 +pclass "HDS" +pname "DocViewState" +pvalue "1352809723" +ptn "String" +) +*87 (Wire +uid 29,0 +optionalChildren [ +*88 (BdJunction +uid 703,0 +ps "OnConnectorStrategy" +shape (Circle +uid 704,0 +va (VaSet +vasetType 1 +) +xt "35600,13600,36400,14400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 30,0 +va (VaSet +vasetType 3 +) +xt "-2000,2000,42000,14000" +pts [ +"-2000,14000" +"36000,14000" +"36000,2000" +"42000,2000" +] +) +start &7 +end &31 +ss 0 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 33,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 34,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "40000,1100,41500,2000" +st "clk" +blo "40000,1800" +tm "WireNameMgr" +) +) +on &8 +) +*89 (Wire +uid 43,0 +shape (OrthoPolyLine +uid 44,0 +va (VaSet +vasetType 3 +) +xt "-2000,3000,0,3000" +pts [ +"-2000,3000" +"0,3000" +] +) +start &9 +sat 32 +eat 16 +stc 0 +st 0 +si 0 +tg (WTG +uid 47,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 48,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "1000,2100,3500,3000" +st "reset" +blo "1000,2800" +tm "WireNameMgr" +) +) +on &10 +) +*90 (Wire +uid 57,0 +optionalChildren [ +*91 (BdJunction +uid 705,0 +ps "OnConnectorStrategy" +shape (Circle +uid 706,0 +va (VaSet +vasetType 1 +) +xt "600,12600,1400,13400" +radius 400 +) +) +*92 (BdJunction +uid 707,0 +ps "OnConnectorStrategy" +shape (Circle +uid 708,0 +va (VaSet +vasetType 1 +) +xt "-400,12600,400,13400" +radius 400 +) +) +*93 (BdJunction +uid 709,0 +ps "OnConnectorStrategy" +shape (Circle +uid 710,0 +va (VaSet +vasetType 1 +) +xt "34600,12600,35400,13400" +radius 400 +) +) +*94 (BdJunction +uid 711,0 +ps "OnConnectorStrategy" +shape (Circle +uid 712,0 +va (VaSet +vasetType 1 +) +xt "54600,12600,55400,13400" +radius 400 +) +) +*95 (BdJunction +uid 713,0 +ps "OnConnectorStrategy" +shape (Circle +uid 714,0 +va (VaSet +vasetType 1 +) +xt "76600,12600,77400,13400" +radius 400 +) +) +*96 (BdJunction +uid 715,0 +ps "OnConnectorStrategy" +shape (Circle +uid 716,0 +va (VaSet +vasetType 1 +) +xt "75600,12600,76400,13400" +radius 400 +) +) +*97 (BdJunction +uid 717,0 +ps "OnConnectorStrategy" +shape (Circle +uid 718,0 +va (VaSet +vasetType 1 +) +xt "95600,12600,96400,13400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 58,0 +va (VaSet +vasetType 3 +) +xt "-2000,7000,120000,13000" +pts [ +"-2000,13000" +"114000,13000" +"114000,7000" +"120000,7000" +] +) +start &11 +end &59 +ss 0 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 61,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 62,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "115000,6100,119000,7000" +st "ipbus_in" +blo "115000,6800" +tm "WireNameMgr" +) +) +on &12 +) +*98 (Wire +uid 99,0 +optionalChildren [ +*99 (BdJunction +uid 699,0 +ps "OnConnectorStrategy" +shape (Circle +uid 700,0 +va (VaSet +vasetType 1 +) +xt "19600,14600,20400,15400" +radius 400 +) +) +*100 (BdJunction +uid 701,0 +ps "OnConnectorStrategy" +shape (Circle +uid 702,0 +va (VaSet +vasetType 1 +) +xt "55600,14600,56400,15400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 100,0 +va (VaSet +vasetType 3 +) +xt "-2000,5000,62000,15000" +pts [ +"-2000,15000" +"56000,15000" +"56000,5000" +"62000,5000" +] +) +start &17 +end &19 +ss 0 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 103,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 104,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "56000,4100,61500,5000" +st "hostbus_in" +blo "56000,4800" +tm "WireNameMgr" +) +) +on &18 +) +*101 (Wire +uid 139,0 +shape (OrthoPolyLine +uid 140,0 +va (VaSet +vasetType 3 +) +xt "55000,4000,62000,13000" +pts [ +"55000,13000" +"55000,4000" +"62000,4000" +] +) +start &94 +end &19 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 145,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 146,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "57000,3100,61000,4000" +st "ipbus_in" +blo "57000,3800" +tm "WireNameMgr" +) +) +on &12 +) +*102 (Wire +uid 155,0 +shape (OrthoPolyLine +uid 156,0 +va (VaSet +vasetType 3 +) +xt "65000,1000,72000,14000" +pts [ +"65000,1000" +"72000,1000" +"72000,14000" +] +) +start &19 +end *103 (BdJunction +uid 735,0 +ps "OnConnectorStrategy" +shape (Circle +uid 736,0 +va (VaSet +vasetType 1 +) +xt "71600,13600,72400,14400" +radius 400 +) +) +sat 2 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 161,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 162,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "66000,100,70500,1000" +st "ipbus_out" +blo "66000,800" +tm "WireNameMgr" +) +) +on &14 +) +*104 (Wire +uid 173,0 +shape (OrthoPolyLine +uid 174,0 +va (VaSet +vasetType 3 +) +xt "0,13000,7000,21000" +pts [ +"0,13000" +"0,21000" +"7000,21000" +] +) +start &92 +end &23 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 179,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 180,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "2000,20100,6000,21000" +st "ipbus_in" +blo "2000,20800" +tm "WireNameMgr" +) +) +on &12 +) +*105 (Wire +uid 181,0 +optionalChildren [ +*106 (BdJunction +uid 737,0 +ps "OnConnectorStrategy" +shape (Circle +uid 738,0 +va (VaSet +vasetType 1 +) +xt "16600,19600,17400,20400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 182,0 +va (VaSet +vasetType 3 +) +xt "10000,-1000,42000,20000" +pts [ +"10000,20000" +"17000,20000" +"17000,-1000" +"42000,-1000" +] +) +start &23 +end &31 +sat 2 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 187,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 188,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "11000,19100,13000,20000" +st "acyc" +blo "11000,19800" +tm "WireNameMgr" +) +) +on &3 +) +*107 (Wire +uid 199,0 +shape (OrthoPolyLine +uid 200,0 +va (VaSet +vasetType 3 +) +xt "1000,0,7000,13000" +pts [ +"1000,13000" +"1000,0" +"7000,0" +] +) +start &91 +end &27 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 205,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 206,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "2000,-900,6000,0" +st "ipbus_in" +blo "2000,-200" +tm "WireNameMgr" +) +) +on &12 +) +*108 (Wire +uid 207,0 +optionalChildren [ +*109 (BdJunction +uid 739,0 +ps "OnConnectorStrategy" +shape (Circle +uid 740,0 +va (VaSet +vasetType 1 +) +xt "18600,-3400,19400,-2600" +radius 400 +) +) +*110 (BdJunction +uid 741,0 +ps "OnConnectorStrategy" +shape (Circle +uid 742,0 +va (VaSet +vasetType 1 +) +xt "15600,-400,16400,400" +radius 400 +) +) +*111 (BdJunction +uid 743,0 +ps "OnConnectorStrategy" +shape (Circle +uid 744,0 +va (VaSet +vasetType 1 +) +xt "53600,-3400,54400,-2600" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 208,0 +va (VaSet +vasetType 3 +) +xt "10000,-3000,83000,22000" +pts [ +"10000,0" +"16000,0" +"16000,-3000" +"75000,-3000" +"75000,22000" +"83000,22000" +] +) +start &27 +end &51 +sat 2 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 213,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 214,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "11000,-900,13000,0" +st "dcyc" +blo "11000,-200" +tm "WireNameMgr" +) +) +on &4 +) +*112 (Wire +uid 241,0 +shape (OrthoPolyLine +uid 242,0 +va (VaSet +vasetType 3 +) +xt "16000,0,42000,0" +pts [ +"16000,0" +"42000,0" +] +) +start &110 +end &31 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 247,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 248,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "28000,-900,30000,0" +st "dcyc" +blo "28000,-200" +tm "WireNameMgr" +) +) +on &4 +) +*113 (Wire +uid 249,0 +shape (OrthoPolyLine +uid 250,0 +va (VaSet +vasetType 3 +) +xt "35000,1000,42000,13000" +pts [ +"35000,13000" +"35000,1000" +"42000,1000" +] +) +start &93 +end &31 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 255,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 256,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "37000,100,41000,1000" +st "ipbus_in" +blo "37000,800" +tm "WireNameMgr" +) +) +on &12 +) +*114 (Wire +uid 299,0 +shape (OrthoPolyLine +uid 300,0 +va (VaSet +vasetType 3 +) +xt "77000,7000,83000,13000" +pts [ +"77000,13000" +"77000,7000" +"83000,7000" +] +) +start &95 +end &35 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 305,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 306,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "78000,6100,82000,7000" +st "ipbus_in" +blo "78000,6800" +tm "WireNameMgr" +) +) +on &12 +) +*115 (Wire +uid 307,0 +shape (OrthoPolyLine +uid 308,0 +va (VaSet +vasetType 3 +) +xt "86000,6000,93000,12000" +pts [ +"86000,6000" +"93000,6000" +"93000,12000" +] +) +start &35 +end *116 (BdJunction +uid 729,0 +ps "OnConnectorStrategy" +shape (Circle +uid 730,0 +va (VaSet +vasetType 1 +) +xt "92600,11600,93400,12400" +radius 400 +) +) +sat 2 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 313,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 314,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "87000,5100,93000,6000" +st "hostbus_out" +blo "87000,5800" +tm "WireNameMgr" +) +) +on &16 +) +*117 (Wire +uid 325,0 +shape (OrthoPolyLine +uid 326,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "133000,3000,135000,3000" +pts [ +"133000,3000" +"135000,3000" +] +) +end &39 +sat 16 +eat 1 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 331,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 332,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "127000,2100,129000,3000" +st "addr" +blo "127000,2800" +tm "WireNameMgr" +) +) +on &6 +) +*118 (Wire +uid 333,0 +shape (OrthoPolyLine +uid 334,0 +va (VaSet +vasetType 3 +) +xt "138000,3000,144000,12000" +pts [ +"138000,3000" +"144000,3000" +"144000,12000" +] +) +start &39 +end *119 (BdJunction +uid 719,0 +ps "OnConnectorStrategy" +shape (Circle +uid 720,0 +va (VaSet +vasetType 1 +) +xt "143600,11600,144400,12400" +radius 400 +) +) +sat 2 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 339,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 340,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "139000,2100,145000,3000" +st "hostbus_out" +blo "139000,2800" +tm "WireNameMgr" +) +) +on &16 +) +*120 (Wire +uid 351,0 +shape (OrthoPolyLine +uid 352,0 +va (VaSet +vasetType 3 +) +xt "100000,20000,102000,20000" +pts [ +"100000,20000" +"102000,20000" +] +) +end &43 +sat 16 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 357,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 358,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "95000,19100,99000,20000" +st "emac1sel" +blo "95000,19800" +tm "WireNameMgr" +) +) +on &1 +) +*121 (Wire +uid 359,0 +shape (OrthoPolyLine +uid 360,0 +va (VaSet +vasetType 3 +) +xt "105000,12000,111000,20000" +pts [ +"105000,20000" +"111000,20000" +"111000,12000" +] +) +start &43 +end *122 (BdJunction +uid 723,0 +ps "OnConnectorStrategy" +shape (Circle +uid 724,0 +va (VaSet +vasetType 1 +) +xt "110600,11600,111400,12400" +radius 400 +) +) +sat 2 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 365,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 366,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "106000,19100,112000,20000" +st "hostbus_out" +blo "106000,19800" +tm "WireNameMgr" +) +) +on &16 +) +*123 (Wire +uid 377,0 +shape (OrthoPolyLine +uid 378,0 +va (VaSet +vasetType 3 +) +xt "36000,14000,42000,21000" +pts [ +"36000,14000" +"36000,21000" +"42000,21000" +] +) +start &88 +end &47 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 383,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 384,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "40000,20100,41500,21000" +st "clk" +blo "40000,20800" +tm "WireNameMgr" +) +) +on &8 +) +*124 (Wire +uid 385,0 +optionalChildren [ +&119 +*125 (BdJunction +uid 721,0 +ps "OnConnectorStrategy" +shape (Circle +uid 722,0 +va (VaSet +vasetType 1 +) +xt "128600,11600,129400,12400" +radius 400 +) +) +&122 +*126 (BdJunction +uid 725,0 +ps "OnConnectorStrategy" +shape (Circle +uid 726,0 +va (VaSet +vasetType 1 +) +xt "111600,11600,112400,12400" +radius 400 +) +) +*127 (BdJunction +uid 727,0 +ps "OnConnectorStrategy" +shape (Circle +uid 728,0 +va (VaSet +vasetType 1 +) +xt "91600,11600,92400,12400" +radius 400 +) +) +&116 +*128 (BdJunction +uid 731,0 +ps "OnConnectorStrategy" +shape (Circle +uid 732,0 +va (VaSet +vasetType 1 +) +xt "70600,11600,71400,12400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 386,0 +va (VaSet +vasetType 3 +) +xt "45000,12000,148000,20000" +pts [ +"45000,20000" +"52000,20000" +"52000,12000" +"146000,12000" +"146000,13000" +"148000,13000" +] +) +start &47 +end &15 +es 0 +sat 2 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 391,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 392,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "46000,19100,52000,20000" +st "hostbus_out" +blo "46000,19800" +tm "WireNameMgr" +) +) +on &16 +) +*129 (Wire +uid 419,0 +shape (OrthoPolyLine +uid 420,0 +va (VaSet +vasetType 3 +) +xt "76000,13000,83000,23000" +pts [ +"76000,13000" +"76000,23000" +"83000,23000" +] +) +start &96 +end &51 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 425,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 426,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "78000,22100,82000,23000" +st "ipbus_in" +blo "78000,22800" +tm "WireNameMgr" +) +) +on &12 +) +*130 (Wire +uid 435,0 +shape (OrthoPolyLine +uid 436,0 +va (VaSet +vasetType 3 +) +xt "86000,12000,92000,20000" +pts [ +"86000,20000" +"92000,20000" +"92000,12000" +] +) +start &51 +end &127 +sat 2 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 441,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 442,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "87000,19100,93000,20000" +st "hostbus_out" +blo "87000,19800" +tm "WireNameMgr" +) +) +on &16 +) +*131 (Wire +uid 453,0 +shape (OrthoPolyLine +uid 454,0 +va (VaSet +vasetType 3 +) +xt "96000,7000,102000,13000" +pts [ +"96000,13000" +"96000,7000" +"102000,7000" +] +) +start &97 +end &55 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 459,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 460,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "97000,6100,101000,7000" +st "ipbus_in" +blo "97000,6800" +tm "WireNameMgr" +) +) +on &12 +) +*132 (Wire +uid 461,0 +shape (OrthoPolyLine +uid 462,0 +va (VaSet +vasetType 3 +) +xt "105000,6000,112000,12000" +pts [ +"105000,6000" +"112000,6000" +"112000,12000" +] +) +start &55 +end &126 +sat 2 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 467,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 468,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "106000,5100,112000,6000" +st "hostbus_out" +blo "106000,5800" +tm "WireNameMgr" +) +) +on &16 +) +*133 (Wire +uid 487,0 +shape (OrthoPolyLine +uid 488,0 +va (VaSet +vasetType 3 +) +xt "123000,6000,129000,12000" +pts [ +"123000,6000" +"129000,6000" +"129000,12000" +] +) +start &59 +end &125 +sat 2 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 493,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 494,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "124000,5100,130000,6000" +st "hostbus_out" +blo "124000,5800" +tm "WireNameMgr" +) +) +on &16 +) +*134 (Wire +uid 505,0 +shape (OrthoPolyLine +uid 506,0 +va (VaSet +vasetType 3 +) +xt "54000,-3000,62000,20000" +pts [ +"54000,-3000" +"54000,20000" +"62000,20000" +] +) +start &111 +end &63 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 511,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 512,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "59000,19100,61000,20000" +st "dcyc" +blo "59000,19800" +tm "WireNameMgr" +) +) +on &4 +) +*135 (Wire +uid 513,0 +shape (OrthoPolyLine +uid 514,0 +va (VaSet +vasetType 3 +) +xt "56000,15000,62000,22000" +pts [ +"56000,15000" +"56000,22000" +"62000,22000" +] +) +start &100 +end &63 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 519,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 520,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "56000,21100,61500,22000" +st "hostbus_in" +blo "56000,21800" +tm "WireNameMgr" +) +) +on &18 +) +*136 (Wire +uid 521,0 +shape (OrthoPolyLine +uid 522,0 +va (VaSet +vasetType 3 +) +xt "60000,21000,62000,21000" +pts [ +"60000,21000" +"62000,21000" +] +) +end &63 +sat 16 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 527,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 528,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "56000,20100,59500,21000" +st "mdiocyc" +blo "56000,20800" +tm "WireNameMgr" +) +) +on &2 +) +*137 (Wire +uid 529,0 +shape (OrthoPolyLine +uid 530,0 +va (VaSet +vasetType 3 +) +xt "65000,12000,71000,20000" +pts [ +"65000,20000" +"71000,20000" +"71000,12000" +] +) +start &63 +end &128 +sat 2 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 535,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 536,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "66000,19100,72000,20000" +st "hostbus_out" +blo "66000,19800" +tm "WireNameMgr" +) +) +on &16 +) +*138 (Wire +uid 547,0 +shape (OrthoPolyLine +uid 548,0 +va (VaSet +vasetType 3 +) +xt "17000,20000,26000,20000" +pts [ +"17000,20000" +"26000,20000" +] +) +start &106 +end &67 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 553,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 554,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "21000,19100,23000,20000" +st "acyc" +blo "21000,19800" +tm "WireNameMgr" +) +) +on &3 +) +*139 (Wire +uid 555,0 +shape (OrthoPolyLine +uid 556,0 +va (VaSet +vasetType 3 +) +xt "19000,-3000,26000,21000" +pts [ +"19000,-3000" +"19000,21000" +"26000,21000" +] +) +start &109 +end &67 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 561,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 562,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "23000,20100,25000,21000" +st "dcyc" +blo "23000,20800" +tm "WireNameMgr" +) +) +on &4 +) +*140 (Wire +uid 571,0 +shape (OrthoPolyLine +uid 572,0 +va (VaSet +vasetType 3 +) +xt "20000,15000,26000,23000" +pts [ +"20000,15000" +"20000,23000" +"26000,23000" +] +) +start &99 +end &67 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 577,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 578,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "20000,22100,25500,23000" +st "hostbus_in" +blo "20000,22800" +tm "WireNameMgr" +) +) +on &18 +) +*141 (Wire +uid 587,0 +optionalChildren [ +*142 (BdJunction +uid 733,0 +ps "OnConnectorStrategy" +shape (Circle +uid 734,0 +va (VaSet +vasetType 1 +) +xt "93600,13600,94400,14400" +radius 400 +) +) +&103 +] +shape (OrthoPolyLine +uid 588,0 +va (VaSet +vasetType 3 +) +xt "29000,14000,148000,20000" +pts [ +"29000,20000" +"37000,20000" +"37000,14000" +"148000,14000" +] +) +start &67 +end &13 +es 0 +sat 2 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 593,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 594,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "30000,19100,34500,20000" +st "ipbus_out" +blo "30000,19800" +tm "WireNameMgr" +) +) +on &14 +) +*143 (Wire +uid 605,0 +shape (OrthoPolyLine +uid 606,0 +va (VaSet +vasetType 3 +) +xt "86000,-1000,94000,14000" +pts [ +"86000,-1000" +"94000,-1000" +"94000,14000" +] +) +start &71 +end &142 +sat 2 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 611,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 612,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "87000,-1900,91500,-1000" +st "ipbus_out" +blo "87000,-1200" +tm "WireNameMgr" +) +) +on &14 +) +*144 (Bundle +uid 614,0 +shape (OrthoPolyLine +uid 615,0 +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +xt "45000,-1000,83000,21000" +pts [ +"45000,1000" +"51000,1000" +"51000,-1000" +"74000,-1000" +"74000,21000" +"83000,21000" +] +) +start &31 +end &51 +sat 2 +eat 1 +textGroup (BiTextGroup +uid 620,0 +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 621,0 +va (VaSet +font "courier,8,0" +) +xt "46000,100,54500,1000" +st "bundle_eb4_eb9_0" +blo "46000,800" +tm "BundleNameMgr" +) +second (MLText +uid 622,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "46000,1000,54500,1900" +st "(dcyc_d,mdiocyc)" +tm "BundleContentsMgr" +) +) +bundleNet &75 +) +&76 +&77 +*145 (Bundle +uid 640,0 +shape (OrthoPolyLine +uid 641,0 +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +xt "45000,0,62000,3000" +pts [ +"45000,0" +"52000,0" +"52000,3000" +"62000,3000" +] +) +start &31 +end &19 +sat 2 +eat 1 +textGroup (BiTextGroup +uid 646,0 +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 647,0 +va (VaSet +font "courier,8,0" +) +xt "46000,-900,54500,0" +st "bundle_eb4_eb1_0" +blo "46000,-200" +tm "BundleNameMgr" +) +second (MLText +uid 648,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "46000,0,58000,900" +st "(addr,emac1sel,mdiocyc)" +tm "BundleContentsMgr" +) +) +bundleNet &78 +) +&79 +&80 +&81 +*146 (Bundle +uid 674,0 +shape (OrthoPolyLine +uid 675,0 +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +xt "20000,2000,51000,26000" +pts [ +"45000,2000" +"51000,2000" +"51000,26000" +"20000,26000" +"20000,24000" +"26000,24000" +] +) +start &31 +end &67 +sat 2 +eat 1 +textGroup (BiTextGroup +uid 680,0 +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 681,0 +va (VaSet +font "courier,8,0" +) +xt "46000,1100,55000,2000" +st "bundle_eb4_eb13_0" +blo "46000,1800" +tm "BundleNameMgr" +) +second (MLText +uid 682,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "46000,2000,54500,2900" +st "(dcyc_d,mdiocyc)" +tm "BundleContentsMgr" +) +) +bundleNet &82 +) +&83 +&84 +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *147 (PackageList +uid 771,0 +stg "VerticalLayoutStrategy" +textVec [ +*148 (Text +uid 772,0 +va (VaSet +font "courier,8,1" +) +xt "1000,31000,7500,31900" +st "Package List" +blo "1000,31700" +) +*149 (MLText +uid 773,0 +va (VaSet +font "courier,8,0" +) +xt "1000,31900,15500,35500" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 774,0 +stg "VerticalLayoutStrategy" +textVec [ +*150 (Text +uid 775,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "21000,31000,31000,31900" +st "Compiler Directives" +blo "21000,31700" +) +*151 (Text +uid 776,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "21000,31900,32500,32800" +st "Pre-module directives:" +blo "21000,32600" +) +*152 (MLText +uid 777,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "21000,32800,31100,34600" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*153 (Text +uid 778,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "21000,34600,33000,35500" +st "Post-module directives:" +blo "21000,35300" +) +*154 (MLText +uid 779,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "21000,31000,21000,31000" +tm "BdCompilerDirectivesTextMgr" +) +*155 (Text +uid 780,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "21000,35500,32500,36400" +st "End-module directives:" +blo "21000,36200" +) +*156 (MLText +uid 781,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "21000,36400,21000,36400" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "0,0,1015,690" +viewArea "-10500,-3400,157000,46300" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +lastUid 781,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,4000,1900" +st "Panel0" +blo "1000,1700" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*157 (Text +va (VaSet +font "courier,8,1" +) +xt "1750,3650,6250,4550" +st "<library>" +blo "1750,4350" +tm "BdLibraryNameMgr" +) +*158 (Text +va (VaSet +font "courier,8,1" +) +xt "1750,4550,5250,5450" +st "<block>" +blo "1750,5250" +tm "BlkNameMgr" +) +*159 (Text +va (VaSet +font "courier,8,1" +) +xt "1750,5450,2750,6350" +st "I0" +blo "1750,6150" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "1750,13650,1750,13650" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*160 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,3650,4500,4550" +st "Library" +blo "1000,4350" +) +*161 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,4550,7000,5450" +st "MWComponent" +blo "1000,5250" +) +*162 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,5450,2000,6350" +st "I0" +blo "1000,6150" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6000,1650,-6000,1650" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*163 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,3650,4500,4550" +st "Library" +blo "1000,4350" +tm "BdLibraryNameMgr" +) +*164 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,4550,7000,5450" +st "SaComponent" +blo "1000,5250" +tm "CptNameMgr" +) +*165 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,5450,2000,6350" +st "I0" +blo "1000,6150" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6000,1650,-6000,1650" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +portVis (PortSigDisplay +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*166 (Text +va (VaSet +font "courier,8,1" +) +xt "500,3650,4000,4550" +st "Library" +blo "500,4350" +) +*167 (Text +va (VaSet +font "courier,8,1" +) +xt "500,4550,7500,5450" +st "VhdlComponent" +blo "500,5250" +) +*168 (Text +va (VaSet +font "courier,8,1" +) +xt "500,5450,1500,6350" +st "I0" +blo "500,6150" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6500,1650,-6500,1650" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-750,0,8750,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*169 (Text +va (VaSet +font "courier,8,1" +) +xt "-250,3650,3250,4550" +st "Library" +blo "-250,4350" +) +*170 (Text +va (VaSet +font "courier,8,1" +) +xt "-250,4550,8250,5450" +st "VerilogComponent" +blo "-250,5250" +) +*171 (Text +va (VaSet +font "courier,8,1" +) +xt "-250,5450,750,6350" +st "I0" +blo "-250,6150" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-7250,1650,-7250,1650" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*172 (Text +va (VaSet +font "courier,8,1" +) +xt "3250,4100,4750,5000" +st "eb1" +blo "3250,4800" +tm "HdlTextNameMgr" +) +*173 (Text +va (VaSet +font "courier,8,1" +) +xt "3250,5000,3750,5900" +st "1" +blo "3250,5700" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +font "courier,8,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +font "courier,8,1" +) +xt "-250,-450,250,450" +st "G" +blo "-250,250" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,0,2000,900" +st "sig0" +blo "0,700" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,0,2500,900" +st "dbus0" +blo "0,700" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,0" +) +xt "0,0,3500,900" +st "bundle0" +blo "0,700" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +font "courier,8,0" +) +xt "0,900,1000,1800" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +font "courier,8,0" +) +) +second (MLText +va (VaSet +font "courier,8,0" +) +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,-1000,14500,-100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1350" +) +num (Text +va (VaSet +font "courier,8,0" +) +xt "300,250,800,1150" +st "1" +blo "300,950" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*174 (Text +va (VaSet +font "courier,8,1" +) +xt "12500,20000,22000,20900" +st "Frame Declarations" +blo "12500,20700" +) +*175 (MLText +va (VaSet +font "courier,8,0" +) +xt "12500,20900,12500,20900" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,-1000,9000,-100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1350" +) +num (Text +va (VaSet +font "courier,8,0" +) +xt "300,250,800,1150" +st "1" +blo "300,950" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*176 (Text +va (VaSet +font "courier,8,1" +) +xt "12500,20000,22000,20900" +st "Frame Declarations" +blo "12500,20700" +) +*177 (MLText +va (VaSet +font "courier,8,0" +) +xt "12500,20900,12500,20900" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,2000,1650" +st "Port" +blo "0,1450" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,2000,1650" +st "Port" +blo "0,1450" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "courier,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "18000,31000,24500,31900" +st "Declarations" +blo "18000,31700" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "18000,31900,21000,32800" +st "Ports:" +blo "18000,32600" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "18000,38200,22500,39100" +st "Pre User:" +blo "18000,38900" +) +preUserText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "18000,31000,18000,31000" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "courier,8,1" +) +xt "18000,39100,26500,40000" +st "Diagram Signals:" +blo "18000,39800" +) +postUserLabel (Text +uid 7,0 +va (VaSet +font "courier,8,1" +) +xt "18000,45400,23500,46300" +st "Post User:" +blo "18000,46100" +) +postUserText (MLText +uid 8,0 +va (VaSet +font "courier,8,0" +) +xt "18000,31000,18000,31000" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 12,0 +usingSuid 1 +emptyRow *178 (LEmptyRow +) +optionalChildren [ +*179 (RefLabelRowHdr +) +*180 (TitleRowHdr +) +*181 (FilterRowHdr +) +*182 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*183 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*184 (GroupColHdr +tm "GroupColHdrMgr" +) +*185 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*186 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*187 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*188 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*189 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*190 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*191 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "emac1sel" +t "std_logic" +o 1 +suid 1,0 +) +) +uid 747,0 +) +*192 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "mdiocyc" +t "std_logic" +o 2 +suid 2,0 +) +) +uid 749,0 +) +*193 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "acyc" +t "std_logic" +o 3 +suid 3,0 +) +) +uid 751,0 +) +*194 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "dcyc" +t "std_logic" +o 4 +suid 4,0 +) +) +uid 753,0 +) +*195 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "dcyc_d" +t "std_logic" +o 5 +suid 5,0 +) +) +uid 755,0 +) +*196 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "addr" +t "std_logic_vector" +b "(9 downto 0)" +o 6 +suid 6,0 +) +) +uid 757,0 +) +*197 (LeafLogPort +port (LogicalPort +decl (Decl +n "clk" +t "std_logic" +o 7 +suid 7,0 +) +) +uid 759,0 +) +*198 (LeafLogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_logic" +o 8 +suid 8,0 +) +) +uid 761,0 +) +*199 (LeafLogPort +port (LogicalPort +decl (Decl +n "ipbus_in" +t "ipb_wbus" +o 9 +suid 9,0 +) +) +uid 763,0 +) +*200 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "ipbus_out" +t "ipb_rbus" +o 10 +suid 10,0 +) +) +uid 765,0 +) +*201 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "hostbus_out" +t "emac_hostbus_in" +o 11 +suid 11,0 +) +) +uid 767,0 +) +*202 (LeafLogPort +port (LogicalPort +decl (Decl +n "hostbus_in" +t "emac_hostbus_out" +o 12 +suid 12,0 +) +) +uid 769,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +optionalChildren [ +*203 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *204 (MRCItem +litem &178 +pos 3 +dimension 20 +) +optionalChildren [ +*205 (MRCItem +litem &179 +pos 0 +dimension 20 +) +*206 (MRCItem +litem &180 +pos 1 +dimension 23 +) +*207 (MRCItem +litem &181 +pos 2 +hidden 1 +dimension 20 +) +*208 (MRCItem +litem &191 +pos 0 +dimension 20 +uid 748,0 +) +*209 (MRCItem +litem &192 +pos 1 +dimension 20 +uid 750,0 +) +*210 (MRCItem +litem &193 +pos 2 +dimension 20 +uid 752,0 +) +*211 (MRCItem +litem &194 +pos 3 +dimension 20 +uid 754,0 +) +*212 (MRCItem +litem &195 +pos 4 +dimension 20 +uid 756,0 +) +*213 (MRCItem +litem &196 +pos 5 +dimension 20 +uid 758,0 +) +*214 (MRCItem +litem &197 +pos 6 +dimension 20 +uid 760,0 +) +*215 (MRCItem +litem &198 +pos 7 +dimension 20 +uid 762,0 +) +*216 (MRCItem +litem &199 +pos 8 +dimension 20 +uid 764,0 +) +*217 (MRCItem +litem &200 +pos 9 +dimension 20 +uid 766,0 +) +*218 (MRCItem +litem &201 +pos 10 +dimension 20 +uid 768,0 +) +*219 (MRCItem +litem &202 +pos 11 +dimension 20 +uid 770,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +optionalChildren [ +*220 (MRCItem +litem &182 +pos 0 +dimension 20 +) +*221 (MRCItem +litem &184 +pos 1 +dimension 50 +) +*222 (MRCItem +litem &185 +pos 2 +dimension 100 +) +*223 (MRCItem +litem &186 +pos 3 +dimension 50 +) +*224 (MRCItem +litem &187 +pos 4 +dimension 100 +) +*225 (MRCItem +litem &188 +pos 5 +dimension 100 +) +*226 (MRCItem +litem &189 +pos 6 +dimension 50 +) +*227 (MRCItem +litem &190 +pos 7 +dimension 80 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +vaOverrides [ +] +) +] +) +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *228 (LEmptyRow +) +optionalChildren [ +*229 (RefLabelRowHdr +) +*230 (TitleRowHdr +) +*231 (FilterRowHdr +) +*232 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*233 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*234 (GroupColHdr +tm "GroupColHdrMgr" +) +*235 (NameColHdr +tm "GenericNameColHdrMgr" +) +*236 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*237 (InitColHdr +tm "GenericValueColHdrMgr" +) +*238 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*239 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +optionalChildren [ +*240 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *241 (MRCItem +litem &228 +pos 3 +dimension 20 +) +optionalChildren [ +*242 (MRCItem +litem &229 +pos 0 +dimension 20 +) +*243 (MRCItem +litem &230 +pos 1 +dimension 23 +) +*244 (MRCItem +litem &231 +pos 2 +hidden 1 +dimension 20 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +optionalChildren [ +*245 (MRCItem +litem &232 +pos 0 +dimension 20 +) +*246 (MRCItem +litem &234 +pos 1 +dimension 50 +) +*247 (MRCItem +litem &235 +pos 2 +dimension 100 +) +*248 (MRCItem +litem &236 +pos 3 +dimension 100 +) +*249 (MRCItem +litem &237 +pos 4 +dimension 50 +) +*250 (MRCItem +litem &238 +pos 5 +dimension 50 +) +*251 (MRCItem +litem &239 +pos 6 +dimension 80 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +vaOverrides [ +] +) +] +) +type 1 +) +) diff --git a/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/ipbus_emac_hostbus/rtl.fc b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/ipbus_emac_hostbus/rtl.fc new file mode 100644 index 00000000..69340622 --- /dev/null +++ b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/ipbus_emac_hostbus/rtl.fc @@ -0,0 +1,2161 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dmPackageRefs [ +] +machine (Machine +name "process0" +children [ +(Machine +name "process0" +children [ +] +) +] +) +properties [ +(HdrProperty +class "HDS" +name "DocView" +value "ipbus_emac_hostbus.vhd" +) +(HdrProperty +class "HDS" +name "DocViewState" +value "1352809723" +) +] +) +version "19.1" +appVersion "2010.3 (Build 21)" +model (FlowChart +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl" +) +(vvPair +variable "HDSDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/ipbus_emac_hostbus/rtl.fc.info" +) +(vvPair +variable "SideDataUserDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/ipbus_emac_hostbus/rtl.fc.user" +) +(vvPair +variable "SourceDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "rtl" +) +(vvPair +variable "config" +value "%(unit)_config" +) +(vvPair +variable "d" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/ipbus_emac_hostbus" +) +(vvPair +variable "d_logical" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/ipbus_emac_hostbus" +) +(vvPair +variable "date" +value "11/13/12" +) +(vvPair +variable "day" +value "Tue" +) +(vvPair +variable "day_long" +value "Tuesday" +) +(vvPair +variable "dd" +value "13" +) +(vvPair +variable "entity_name" +value "ipbus_emac_hostbus" +) +(vvPair +variable "ext" +value "<TBD>" +) +(vvPair +variable "f" +value "rtl.fc" +) +(vvPair +variable "f_logical" +value "rtl.fc" +) +(vvPair +variable "f_noext" +value "rtl" +) +(vvPair +variable "group" +value "HEP_Instrumentation" +) +(vvPair +variable "host" +value "kipper.phy.bris.ac.uk" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "fmc_mTLU_lib" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/designcheck" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "ipbus_emac_hostbus" +) +(vvPair +variable "month" +value "Nov" +) +(vvPair +variable "month_long" +value "November" +) +(vvPair +variable "p" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/ipbus_emac_hostbus/rtl.fc" +) +(vvPair +variable "p_logical" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/ipbus_emac_hostbus/rtl.fc" +) +(vvPair +variable "package_name" +value "<Undefined Variable>" +) +(vvPair +variable "project_name" +value "fmc_mTLU" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "<TBD>" +) +(vvPair +variable "task_DesignCompilerPath" +value "<TBD>" +) +(vvPair +variable "task_LeonardoPath" +value "/opt/CAD/Mentor/LeonardoSpectrum_2008a/bin" +) +(vvPair +variable "task_ModelSimPath" +value "/opt/CAD/Mentor/Modelsim/6.4a/modeltech/bin" +) +(vvPair +variable "task_NC-SimPath" +value "<TBD>" +) +(vvPair +variable "task_PrecisionRTLPath" +value "/opt/CAD/Mentor/Precis_Synth_2009a_update2/Mgc_home/bin" +) +(vvPair +variable "task_QuestaSimPath" +value "/opt/CAD/Mentor/HDS2008.1b/questasim/bin" +) +(vvPair +variable "task_VCSPath" +value "<TBD>" +) +(vvPair +variable "this_ext" +value "fc" +) +(vvPair +variable "this_file" +value "rtl" +) +(vvPair +variable "this_file_logical" +value "rtl" +) +(vvPair +variable "time" +value "16:59:32" +) +(vvPair +variable "unit" +value "ipbus_emac_hostbus" +) +(vvPair +variable "user" +value "phdgc" +) +(vvPair +variable "version" +value "2010.3 (Build 21)" +) +(vvPair +variable "view" +value "rtl" +) +(vvPair +variable "year" +value "2012" +) +(vvPair +variable "yy" +value "12" +) +] +) +optionalChildren [ +*1 (ConcurrentFC +uid 1,0 +topDiagram (FlowDiagram +LanguageMgr "None" +uid 2,0 +optionalChildren [ +*2 (StartPoint +uid 27,0 +shape (RoundedRectangle +uid 28,0 +va (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 2 +) +xt "7000,10000,13000,12000" +radius 400 +) +label (Text +uid 29,0 +va (VaSet +fg "65535,65535,65535" +font "courier,10,1" +) +xt "8500,10500,11500,11500" +st "Start" +ju 0 +blo "10000,11300" +) +outConnect (FlowConnect +uid 30,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 31,0 +ro 180 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +xt "9500,11500,10500,12500" +) +direction 1 +position 1 +) +) +*3 (DecisionBox +uid 32,0 +shape (Diamond +uid 33,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +xt "5000,16000,15000,20000" +) +name (TextAssociate +uid 34,0 +ps "EdgeToEdgeStrategy" +text (Text +uid 35,0 +va (VaSet +fg "0,32768,49152" +font "courier,8,0" +) +xt "5000,15050,6000,15950" +st "d0" +blo "5000,15750" +tm "FcNodeNameMgr" +) +) +condition (TextAssociate +uid 36,0 +ps "EdgeToEdgeStrategy" +text (MLText +uid 37,0 +va (VaSet +font "courier,8,0" +) +xt "5250,17550,14750,18450" +st " rising_edge(clk) " +tm "FcConditionMgr" +) +) +trueLabel (Text +uid 38,0 +va (VaSet +fg "32768,0,0" +font "courier,8,1" +) +xt "10500,20500,11000,21400" +st "T" +blo "10500,21200" +) +falseLabel (Text +uid 39,0 +va (VaSet +fg "32768,0,0" +font "courier,8,1" +) +xt "15500,16600,16000,17500" +st "F" +blo "15500,17300" +) +inConnect (FlowConnect +uid 40,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 41,0 +ro 180 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +xt "9500,15500,10500,16500" +) +direction 0 +) +trueConnect (FlowConnect +uid 42,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 43,0 +ro 180 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +xt "9500,19500,10500,20500" +) +direction 1 +position 1 +) +falseConnect (FlowConnect +uid 44,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 45,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +xt "14500,17500,15500,18500" +) +direction 1 +position 3 +) +elsif 1 +) +*4 (DecisionBox +uid 48,0 +shape (Diamond +uid 49,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +xt "5000,24000,15000,28000" +) +name (TextAssociate +uid 50,0 +ps "EdgeToEdgeStrategy" +text (Text +uid 51,0 +va (VaSet +fg "0,32768,49152" +font "courier,8,0" +) +xt "5000,23050,6000,23950" +st "d1" +blo "5000,23750" +tm "FcNodeNameMgr" +) +) +condition (TextAssociate +uid 52,0 +ps "EdgeToEdgeStrategy" +text (MLText +uid 53,0 +va (VaSet +font "courier,8,0" +) +xt "-700,25550,20700,26450" +st " acyc = '1' and ipbus_in.ipb_write = '1' " +tm "FcConditionMgr" +) +) +trueLabel (Text +uid 54,0 +va (VaSet +fg "32768,0,0" +font "courier,8,1" +) +xt "10500,28500,11000,29400" +st "T" +blo "10500,29200" +) +falseLabel (Text +uid 55,0 +va (VaSet +fg "32768,0,0" +font "courier,8,1" +) +xt "15500,24600,16000,25500" +st "F" +blo "15500,25300" +) +inConnect (FlowConnect +uid 56,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 57,0 +ro 180 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +xt "9500,23500,10500,24500" +) +direction 0 +) +trueConnect (FlowConnect +uid 58,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 59,0 +ro 180 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +xt "9500,27500,10500,28500" +) +direction 1 +position 1 +) +falseConnect (FlowConnect +uid 60,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 61,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +xt "14500,25500,15500,26500" +) +direction 1 +position 3 +) +elsif 1 +) +*5 (ActionBox +uid 64,0 +shape (Rectangle +uid 65,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "-500,32150,20500,35850" +) +name (TextAssociate +uid 66,0 +ps "CenterOffsetStrategy" +text (Text +uid 67,0 +va (VaSet +fg "0,32768,49152" +font "courier,8,0" +) +xt "-500,31200,500,32100" +st "a0" +blo "-500,31900" +tm "FcNodeNameMgr" +) +) +actions (TextAssociate +uid 68,0 +ps "CenterOffsetStrategy" +text (MLText +uid 69,0 +va (VaSet +font "courier,8,0" +) +xt "0,32650,20000,35350" +st "emac1sel <= ipbus_in.ipb_wdata(12); +mdiocyc <= ipbus_in.ipb_wdata(11); +addr <= ipbus_in.ipb_wdata(9 downto 0); +" +tm "FcActions" +) +) +inConnect (FlowConnect +uid 70,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 71,0 +ro 180 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +xt "9500,31650,10500,32650" +) +direction 0 +) +outConnect (FlowConnect +uid 72,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 73,0 +ro 180 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +xt "9500,35350,10500,36350" +) +direction 1 +position 1 +) +) +*6 (ActionBox +uid 92,0 +shape (Rectangle +uid 93,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "5500,43050,14500,44950" +) +name (TextAssociate +uid 94,0 +ps "CenterOffsetStrategy" +text (Text +uid 95,0 +va (VaSet +fg "0,32768,49152" +font "courier,8,0" +) +xt "5500,42100,6500,43000" +st "a1" +blo "5500,42800" +tm "FcNodeNameMgr" +) +) +actions (TextAssociate +uid 96,0 +ps "CenterOffsetStrategy" +text (MLText +uid 97,0 +va (VaSet +font "courier,8,0" +) +xt "6000,43550,14000,44450" +st "dcyc_d <= dcyc;" +tm "FcActions" +) +) +inConnect (FlowConnect +uid 98,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 99,0 +ro 180 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +xt "9500,42550,10500,43550" +) +direction 0 +) +outConnect (FlowConnect +uid 100,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 101,0 +ro 180 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +xt "9500,44450,10500,45450" +) +direction 1 +position 1 +) +) +*7 (EndPoint +uid 120,0 +shape (RoundedRectangle +uid 121,0 +va (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 2 +) +xt "7000,52000,13000,54000" +radius 400 +) +label (Text +uid 122,0 +va (VaSet +fg "65535,65535,65535" +font "courier,10,1" +) +xt "9100,52500,10900,53500" +st "End" +ju 0 +blo "10000,53300" +) +inConnect (FlowConnect +uid 123,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 124,0 +ro 180 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +xt "9500,51500,10500,52500" +) +direction 0 +) +) +*8 (Property +uid 127,0 +pclass "HDS" +pname "DocView" +pvalue "ipbus_emac_hostbus.vhd" +ptn "String" +) +*9 (Property +uid 128,0 +pclass "HDS" +pname "DocViewState" +pvalue "1352809723" +ptn "String" +) +*10 (Flow +uid 46,0 +shape (OrthoPolyLine +uid 47,0 +va (VaSet +vasetType 3 +) +xt "10000,12000,10000,16000" +pts [ +"10000,12000" +"10000,16000" +] +arrow 1 +) +start &2 +end &3 +) +*11 (Flow +uid 62,0 +shape (OrthoPolyLine +uid 63,0 +va (VaSet +vasetType 3 +) +xt "10000,20000,10000,24000" +pts [ +"10000,20000" +"10000,24000" +] +arrow 1 +) +start &3 +end &4 +) +*12 (Flow +uid 74,0 +shape (OrthoPolyLine +uid 75,0 +va (VaSet +vasetType 3 +) +xt "10000,28000,10000,32150" +pts [ +"10000,28000" +"10000,32150" +] +arrow 1 +) +start &4 +end &5 +) +*13 (Flow +uid 86,0 +optionalChildren [ +*14 (FlowJoin +uid 88,0 +ps "OnFlowStrategy" +shape (Circle +uid 89,0 +va (VaSet +vasetType 1 +) +xt "9700,40700,10300,41300" +radius 300 +) +) +] +shape (OrthoPolyLine +uid 87,0 +va (VaSet +vasetType 3 +) +xt "10000,35850,10000,43050" +pts [ +"10000,35850" +"10000,43050" +] +arrow 1 +) +start &5 +end &6 +) +*15 (Flow +uid 90,0 +shape (OrthoPolyLine +uid 91,0 +va (VaSet +vasetType 3 +) +xt "10000,26000,23000,41000" +pts [ +"15000,26000" +"23000,26000" +"23000,41000" +"10000,41000" +] +arrow 1 +) +start &4 +end &14 +) +*16 (Flow +uid 114,0 +optionalChildren [ +*17 (FlowJoin +uid 116,0 +ps "OnFlowStrategy" +shape (Circle +uid 117,0 +va (VaSet +vasetType 1 +) +xt "9700,49700,10300,50300" +radius 300 +) +) +] +shape (OrthoPolyLine +uid 115,0 +va (VaSet +vasetType 3 +) +xt "10000,44950,10000,52000" +pts [ +"10000,44950" +"10000,52000" +] +arrow 1 +) +start &6 +end &7 +) +*18 (Flow +uid 118,0 +shape (OrthoPolyLine +uid 119,0 +va (VaSet +vasetType 3 +) +xt "10000,18000,25000,50000" +pts [ +"15000,18000" +"25000,18000" +"25000,50000" +"10000,50000" +] +arrow 1 +) +start &3 +end &17 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *19 (PackageList +uid 15,0 +stg "VerticalLayoutStrategy" +textVec [ +*20 (Text +uid 16,0 +va (VaSet +font "courier,8,1" +) +xt "-44700,4050,-38200,4950" +st "Package List" +blo "-44700,4750" +) +*21 (MLText +uid 17,0 +va (VaSet +font "courier,8,0" +) +xt "-44700,4950,-30200,8550" +tm "FcPackageListTextMgr" +) +] +) +compDirBlock (MlTextGroup +uid 18,0 +stg "VerticalLayoutStrategy" +textVec [ +*22 (Text +uid 19,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-28700,4500,-18700,5400" +st "Compiler Directives" +blo "-28700,5200" +) +*23 (Text +uid 20,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-28700,5400,-17200,6300" +st "Pre-module directives:" +blo "-28700,6100" +) +*24 (MLText +uid 21,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-28700,6300,-18600,8100" +st "`resetall +`timescale 1ns/10ps" +tm "FcCompilerDirectivesTextMgr" +) +*25 (Text +uid 22,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-28700,8100,-16700,9000" +st "Post-module directives:" +blo "-28700,8800" +) +*26 (MLText +uid 23,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-28700,4500,-28700,4500" +tm "FcCompilerDirectivesTextMgr" +) +*27 (Text +uid 24,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-28700,9000,-17200,9900" +st "End-module directives:" +blo "-28700,9700" +) +*28 (MLText +uid 25,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-28700,9900,-28700,9900" +tm "FcCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "0,0,1015,690" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +isTopLevel 1 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,4000,1900" +st "Panel0" +blo "1000,1700" +tm "PanelText" +) +) +) +archDeclarativeBlock *29 (BiTextGroup +uid 3,0 +stg "VerticalLayoutStrategy" +first (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "-48700,10750,-35700,11650" +st "Architecture Declarations" +blo "-48700,11450" +) +second (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "-48700,11650,-20200,14350" +st " +signal emac1sel, mdiocyc, acyc, dcyc, dcyc_d: std_logic; +signal addr: std_logic_vector(9 downto 0); +" +tm "FcArchDeclTextMgr" +) +associable 1 +) +archConcurrentStatementBlock *30 (BiTextGroup +uid 6,0 +stg "VerticalLayoutStrategy" +first (Text +uid 7,0 +va (VaSet +font "courier,8,1" +) +xt "-48700,18350,-37700,19250" +st "Concurrent Statements" +blo "-48700,19050" +) +second (MLText +uid 8,0 +va (VaSet +font "courier,8,0" +) +xt "-48700,19250,-2700,38150" +st " + +ipbus_out.ipb_rdata <= hostbus_in.hostrddata when ipbus_in.ipb_addr(0) = '0' +else X\"0000\" & \"000\" & emac1sel & mdiocyc & '0' & addr; + +acyc <= ipbus_in.ipb_strobe and ipbus_in.ipb_addr(0); +dcyc <= ipbus_in.ipb_strobe and not ipbus_in.ipb_addr(0); + +hostbus_out.hostwrdata <= ipbus_in.ipb_wdata; +hostbus_out.hostaddr <= addr; +hostbus_out.hostemac1sel <= emac1sel; +hostbus_out.hostclk <= clk; + +hostbus_out.hostmiimsel <= not (dcyc or (dcyc_d and ipbus_in.ipb_write)) or mdiocyc; +hostbus_out.hostopcode(1) <= not ipbus_in.ipb_write; +hostbus_out.hostopcode(0) <= ipbus_in.ipb_write; +hostbus_out.hostreq <= dcyc and mdiocyc and hostbus_in.hostmiimrdy; + +ipbus_out.ipb_ack <= acyc or (dcyc and dcyc_d and (hostbus_in.hostmiimrdy or not mdiocyc)); +ipbus_out.ipb_err <= '0'; + +" +tm "FcArchConcStmtTextMgr" +) +associable 1 +) +processDeclarativeBlock *31 (BiTextGroup +uid 9,0 +stg "VerticalLayoutStrategy" +first (Text +uid 10,0 +va (VaSet +font "courier,8,1" +) +xt "-48700,47950,-38200,48850" +st "Process Declarations" +blo "-48700,48650" +) +second (MLText +uid 11,0 +va (VaSet +font "courier,8,0" +) +xt "-48700,48850,-48700,48850" +tm "FcProcDeclTextMgr" +) +associable 1 +) +sensitivityListBlock *32 (BiTextGroup +uid 12,0 +stg "VerticalLayoutStrategy" +first (Text +uid 13,0 +va (VaSet +font "courier,8,1" +) +xt "-48700,42150,-40200,43050" +st "Sensitivity List" +blo "-48700,42850" +) +second (MLText +uid 14,0 +va (VaSet +font "courier,8,0" +) +xt "-48700,43050,-47200,43950" +st "clk" +tm "FcSensListTextMgr" +) +) +) +genChar (FcGenChar +uid 26,0 +machineMode 1 +resetActions "" +resetMode 1 +resetName "" +resetCondition "" +vlogResetSensitivity "" +resetPolarity 0 +clockPolarity 0 +clockSigName "" +clockCondition "" +verilogProcessStatementType 0 +verilogBlockType 0 +instrumentForAnimation 0 +insertSetResetPragma 0 +setresetPragma 0 +) +name "process0" +) +] +lastUid 128,0 +LanguageMgr "VhdlLangMgr" +commonDM (CommonDM +ldm (LogicalDM +emptyRow *33 (LEmptyRow +) +optionalChildren [ +*34 (RefLabelRowHdr +) +*35 (TitleRowHdr +) +*36 (FilterRowHdr +) +*37 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*38 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*39 (GroupColHdr +tm "GroupColHdrMgr" +) +*40 (NameColHdr +tm "FcNameColHdrMgr" +) +*41 (ModeColHdr +tm "FcModeColHdrMgr" +) +*42 (TypeColHdr +tm "FcTypeColHdrMgr" +) +*43 (BoundsColHdr +tm "FcBoundsColHdrMgr" +) +*44 (InitColHdr +tm "FcInitColHdrMgr" +) +*45 (EolColHdr +tm "FcEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +optionalChildren [ +*46 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *47 (MRCItem +litem &33 +pos 3 +dimension 20 +) +optionalChildren [ +*48 (MRCItem +litem &34 +pos 0 +dimension 20 +) +*49 (MRCItem +litem &35 +pos 1 +dimension 23 +) +*50 (MRCItem +litem &36 +pos 2 +hidden 1 +dimension 20 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +optionalChildren [ +*51 (MRCItem +litem &37 +pos 0 +dimension 20 +) +*52 (MRCItem +litem &39 +pos 1 +dimension 50 +) +*53 (MRCItem +litem &40 +pos 2 +dimension 100 +) +*54 (MRCItem +litem &41 +pos 3 +dimension 50 +) +*55 (MRCItem +litem &42 +pos 4 +dimension 100 +) +*56 (MRCItem +litem &43 +pos 5 +dimension 100 +) +*57 (MRCItem +litem &44 +pos 6 +dimension 50 +) +*58 (MRCItem +litem &45 +pos 7 +dimension 80 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +vaOverrides [ +] +) +] +) +) +cdmCfc &1 +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *59 (LEmptyRow +) +optionalChildren [ +*60 (RefLabelRowHdr +) +*61 (TitleRowHdr +) +*62 (FilterRowHdr +) +*63 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*64 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*65 (GroupColHdr +tm "GroupColHdrMgr" +) +*66 (NameColHdr +tm "GenericNameColHdrMgr" +) +*67 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*68 (InitColHdr +tm "GenericValueColHdrMgr" +) +*69 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*70 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +optionalChildren [ +*71 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *72 (MRCItem +litem &59 +pos 3 +dimension 20 +) +optionalChildren [ +*73 (MRCItem +litem &60 +pos 0 +dimension 20 +) +*74 (MRCItem +litem &61 +pos 1 +dimension 23 +) +*75 (MRCItem +litem &62 +pos 2 +hidden 1 +dimension 20 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +optionalChildren [ +*76 (MRCItem +litem &63 +pos 0 +dimension 20 +) +*77 (MRCItem +litem &65 +pos 1 +dimension 50 +) +*78 (MRCItem +litem &66 +pos 2 +dimension 100 +) +*79 (MRCItem +litem &67 +pos 3 +dimension 100 +) +*80 (MRCItem +litem &68 +pos 4 +dimension 50 +) +*81 (MRCItem +litem &69 +pos 5 +dimension 50 +) +*82 (MRCItem +litem &70 +pos 6 +dimension 80 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +vaOverrides [ +] +) +] +) +type 1 +) +defaultActionBox (ActionBox +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "0,0,12000,4000" +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +fg "0,32768,49152" +font "courier,8,0" +) +xt "0,-950,1000,-50" +st "a0" +blo "0,-250" +tm "FcNodeNameMgr" +) +) +actions (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +font "courier,8,0" +) +xt "4000,1550,8000,2450" +st "actions;" +tm "FcActions" +) +) +inConnect (FlowConnect +ps "OnEdgeStrategy" +shape (Triangle +ro 180 +va (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +xt "5500,-500,6500,500" +) +direction 0 +) +outConnect (FlowConnect +ps "OnEdgeStrategy" +shape (Triangle +ro 180 +va (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +xt "5500,3500,6500,4500" +) +direction 1 +position 1 +) +) +defaultCompositeActionBox (CompositeActionBox +shape (DoubleRectangle +va (VaSet +vasetType 1 +fg "29952,39936,65280" +lineWidth 2 +) +xt "0,0,12000,4000" +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +font "courier,10,1" +) +xt "5400,1500,6600,2500" +st "a0" +ju 0 +blo "6000,2300" +tm "FcNodeNameMgr" +) +) +inConnect (FlowConnect +ps "OnEdgeStrategy" +shape (Triangle +ro 180 +va (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +xt "5500,-500,6500,500" +) +direction 0 +) +outConnect (FlowConnect +ps "OnEdgeStrategy" +shape (Triangle +ro 180 +va (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +xt "5500,3500,6500,4500" +) +direction 1 +position 1 +) +childDiagram &0 +) +defaultDecisionBox (DecisionBox +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +xt "0,0,10000,4000" +) +name (TextAssociate +ps "EdgeToEdgeStrategy" +text (Text +va (VaSet +fg "0,32768,49152" +font "courier,8,0" +) +xt "0,-950,1000,-50" +st "d0" +blo "0,-250" +tm "FcNodeNameMgr" +) +) +condition (TextAssociate +ps "EdgeToEdgeStrategy" +text (MLText +va (VaSet +font "courier,8,0" +) +xt "2750,1550,7250,2450" +st "condition" +tm "FcConditionMgr" +) +) +trueLabel (Text +va (VaSet +fg "32768,0,0" +font "courier,8,1" +) +xt "5500,4500,6000,5400" +st "T" +blo "5500,5200" +) +falseLabel (Text +va (VaSet +fg "32768,0,0" +font "courier,8,1" +) +xt "10500,600,11000,1500" +st "F" +blo "10500,1300" +) +inConnect (FlowConnect +ps "OnEdgeStrategy" +shape (Triangle +ro 180 +va (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +xt "4500,-500,5500,500" +) +direction 0 +) +trueConnect (FlowConnect +ps "OnEdgeStrategy" +shape (Triangle +ro 180 +va (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +xt "4500,3500,5500,4500" +) +direction 1 +position 1 +) +falseConnect (FlowConnect +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +xt "9500,1500,10500,2500" +) +direction 1 +position 3 +) +elsif 1 +) +defaultWaitBox (WaitBox +shape (Octagon +va (VaSet +vasetType 1 +fg "65535,0,0" +) +xt "0,0,2000,2000" +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +fg "0,32768,49152" +font "courier,8,0" +) +xt "0,-950,1000,-50" +st "w0" +blo "0,-250" +tm "FcNodeNameMgr" +) +) +condition (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +font "courier,8,0" +) +xt "2250,550,4750,1450" +st "wait;" +tm "FcWaitMgr" +) +) +inConnect (FlowConnect +ps "OnEdgeStrategy" +shape (Triangle +ro 180 +va (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +xt "500,-500,1500,500" +) +direction 0 +) +outConnect (FlowConnect +ps "OnEdgeStrategy" +shape (Triangle +ro 180 +va (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +xt "500,1500,1500,2500" +) +direction 1 +position 1 +) +) +defaultStartPoint (StartPoint +shape (RoundedRectangle +va (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 2 +) +xt "0,0,6000,2000" +radius 400 +) +label (Text +va (VaSet +fg "65535,65535,65535" +font "courier,10,1" +) +xt "1500,500,4500,1500" +st "Start" +ju 0 +blo "3000,1300" +) +outConnect (FlowConnect +ps "OnEdgeStrategy" +shape (Triangle +ro 180 +va (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +xt "2500,1500,3500,2500" +) +direction 1 +position 1 +) +) +defaultEndPoint (EndPoint +shape (RoundedRectangle +va (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 2 +) +xt "0,0,6000,2000" +radius 400 +) +label (Text +va (VaSet +fg "65535,65535,65535" +font "courier,10,1" +) +xt "2100,500,3900,1500" +st "End" +ju 0 +blo "3000,1300" +) +inConnect (FlowConnect +ps "OnEdgeStrategy" +shape (Triangle +ro 180 +va (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +xt "2500,-500,3500,500" +) +direction 0 +) +) +defaultStartLoop (StartLoop +shape (Ellipse +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,6000,2000" +) +label (Text +va (VaSet +font "courier,8,1" +) +xt "2000,550,4000,1450" +st "Loop" +ju 0 +blo "3000,1250" +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +fg "0,32768,49152" +font "courier,8,0" +) +xt "0,-950,1000,-50" +st "l0" +blo "0,-250" +tm "FcNodeNameMgr" +) +) +loopControlAssoc (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +font "courier,8,0" +) +xt "3000,1000,3000,1000" +tm "FcLoopTextMgr" +) +) +inConnect (FlowConnect +ps "OnEdgeStrategy" +shape (Triangle +ro 180 +va (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +xt "2500,-500,3500,500" +) +direction 0 +) +outConnect (FlowConnect +ps "OnEdgeStrategy" +shape (Triangle +ro 180 +va (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +xt "2500,1500,3500,2500" +) +direction 1 +position 1 +) +loopConnect (FlowConnect +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +xt "-500,500,500,1500" +) +direction 0 +position 2 +) +) +defaultEndLoop (EndLoop +shape (Ellipse +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,6000,2000" +) +label (Text +va (VaSet +font "courier,8,1" +) +xt "1000,550,5000,1450" +st "End Loop" +ju 0 +blo "3000,1250" +) +inConnect (FlowConnect +ps "OnEdgeStrategy" +shape (Triangle +ro 180 +va (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +xt "2500,-500,3500,500" +) +direction 0 +) +outConnect (FlowConnect +ps "OnEdgeStrategy" +shape (Triangle +ro 180 +va (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +xt "2500,1500,3500,2500" +) +direction 1 +position 1 +) +loopConnect (FlowConnect +ps "OnEdgeStrategy" +shape (Triangle +ro 270 +va (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +xt "-500,500,500,1500" +) +direction 1 +position 2 +) +) +defaultStartCase (StartCase +shape (Pentagon +ro 180 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +xt "0,0,28000,4000" +) +label (Text +va (VaSet +font "courier,8,1" +) +xt "13000,1550,15000,2450" +st "Case" +ju 0 +blo "14000,2250" +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +fg "0,32768,49152" +font "courier,8,0" +) +xt "0,-950,1000,-50" +st "c0" +blo "0,-250" +tm "FcCaseNameMgr" +) +) +caseExpression (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +font "courier,8,0" +) +xt "11250,2650,16750,3550" +st "expression" +ju 0 +tm "FcCaseExpressionMgr" +) +) +inConnect (FlowConnect +ps "OnEdgeStrategy" +shape (Triangle +ro 180 +va (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +xt "13500,-500,14500,500" +) +direction 0 +) +endCase *83 (EndCase +ps "CenterOffsetStrategy" +shape (Pentagon +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +xt "10000,24000,18000,28000" +) +label (Text +va (VaSet +font "courier,8,1" +) +xt "12000,25550,16000,26450" +st "End Case" +ju 0 +blo "14000,26250" +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +fg "0,32768,49152" +font "courier,8,0" +) +xt "10000,23050,11000,23950" +st "c0" +blo "10000,23750" +tm "FcCaseNameMgr" +) +) +inConnect (FlowConnect +ps "OnEdgeStrategy" +shape (Triangle +ro 180 +va (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +xt "13500,23500,14500,24500" +) +direction 0 +) +outConnect (FlowConnect +ps "OnEdgeStrategy" +shape (Triangle +ro 180 +va (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +xt "13500,27500,14500,28500" +) +direction 1 +position 1 +) +) +verilogCaseMode 0 +) +defaultCasePort (CasePort +ps "OnEdgeStrategy" +shape (Triangle +ro 180 +va (VaSet +vasetType 1 +fg "65535,0,0" +fillStyle 12 +) +xt "0,0,1000,1000" +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +font "courier,8,1" +) +xt "1000,500,4000,1400" +st "value0" +tm "FcCasePortNameMgr" +) +) +) +defaultFlow (Flow +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +arrow 1 +) +ss 0 +es 0 +) +defaultFlowJoin (FlowJoin +ps "OnFlowStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-300,-300,300,300" +radius 300 +) +) +) diff --git a/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/ipbus_fabric/rtl.bd b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/ipbus_fabric/rtl.bd new file mode 100644 index 00000000..111cc1b4 --- /dev/null +++ b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/ipbus_fabric/rtl.bd @@ -0,0 +1,4275 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dmPackageRefs [ +] +embeddedInstances [ +(EmbeddedInstance +name "eb1" +number "2" +) +(EmbeddedInstance +name "eb2" +number "3" +) +(EmbeddedInstance +name "eb3" +number "4" +) +(EmbeddedInstance +name "eb4" +number "5" +) +(EmbeddedInstance +name "eb5" +number "6" +) +(EmbeddedInstance +name "eb6" +number "7" +) +(EmbeddedInstance +name "eb7" +number "8" +) +(EmbeddedInstance +name "eb8" +number "9" +) +] +frameInstances [ +(FrameInstance +name "busgen" +lb "NSLV-1" +rb "0" +emInsts [ +(EmbeddedInstance +name "busgen" +number "1" +) +] +) +] +properties [ +(HdrProperty +class "HDS" +name "DocView" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/workspace/IPbusFirmware/firmware/ipbus/hdl/ipbus_fabric.vhd" +) +(HdrProperty +class "HDS" +name "DocViewState" +value "1352727141" +) +] +) +version "30.1" +appVersion "2010.3 (Build 21)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl" +) +(vvPair +variable "HDSDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/ipbus_fabric/rtl.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/ipbus_fabric/rtl.bd.user" +) +(vvPair +variable "SourceDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "rtl" +) +(vvPair +variable "config" +value "%(unit)_config" +) +(vvPair +variable "d" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/ipbus_fabric" +) +(vvPair +variable "d_logical" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/ipbus_fabric" +) +(vvPair +variable "date" +value "11/13/12" +) +(vvPair +variable "day" +value "Tue" +) +(vvPair +variable "day_long" +value "Tuesday" +) +(vvPair +variable "dd" +value "13" +) +(vvPair +variable "entity_name" +value "ipbus_fabric" +) +(vvPair +variable "ext" +value "<TBD>" +) +(vvPair +variable "f" +value "rtl.bd" +) +(vvPair +variable "f_logical" +value "rtl.bd" +) +(vvPair +variable "f_noext" +value "rtl" +) +(vvPair +variable "group" +value "HEP_Instrumentation" +) +(vvPair +variable "host" +value "kipper.phy.bris.ac.uk" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "fmc_mTLU_lib" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/designcheck" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "ipbus_fabric" +) +(vvPair +variable "month" +value "Nov" +) +(vvPair +variable "month_long" +value "November" +) +(vvPair +variable "p" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/ipbus_fabric/rtl.bd" +) +(vvPair +variable "p_logical" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/ipbus_fabric/rtl.bd" +) +(vvPair +variable "package_name" +value "<Undefined Variable>" +) +(vvPair +variable "project_name" +value "fmc_mTLU" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "<TBD>" +) +(vvPair +variable "task_DesignCompilerPath" +value "<TBD>" +) +(vvPair +variable "task_LeonardoPath" +value "/opt/CAD/Mentor/LeonardoSpectrum_2008a/bin" +) +(vvPair +variable "task_ModelSimPath" +value "/opt/CAD/Mentor/Modelsim/6.4a/modeltech/bin" +) +(vvPair +variable "task_NC-SimPath" +value "<TBD>" +) +(vvPair +variable "task_PrecisionRTLPath" +value "/opt/CAD/Mentor/Precis_Synth_2009a_update2/Mgc_home/bin" +) +(vvPair +variable "task_QuestaSimPath" +value "/opt/CAD/Mentor/HDS2008.1b/questasim/bin" +) +(vvPair +variable "task_VCSPath" +value "<TBD>" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "rtl" +) +(vvPair +variable "this_file_logical" +value "rtl" +) +(vvPair +variable "time" +value "16:59:31" +) +(vvPair +variable "unit" +value "ipbus_fabric" +) +(vvPair +variable "user" +value "phdgc" +) +(vvPair +variable "version" +value "2010.3 (Build 21)" +) +(vvPair +variable "view" +value "rtl" +) +(vvPair +variable "year" +value "2012" +) +(vvPair +variable "yy" +value "12" +) +] +) +LanguageMgr "VhdlLangMgr" +optionalChildren [ +*1 (Net +uid 9,0 +decl (Decl +n "sel" +t "integer" +o 1 +suid 1,0 +) +declText (MLText +uid 10,0 +va (VaSet +font "courier,8,0" +) +xt "20000,118000,36500,118900" +st "SIGNAL sel : integer +" +) +) +*2 (Net +uid 11,0 +decl (Decl +n "mux_rdata" +t "mux_rdata_t" +prec "type mux_rdata_t is array(NSLV downto 0) of std_logic_vector(31 downto 0);" +preAdd 0 +o 2 +suid 2,0 +) +declText (MLText +uid 12,0 +va (VaSet +font "courier,8,0" +) +xt "20000,118900,57500,120700" +st "type mux_rdata_t is array(NSLV downto 0) of std_logic_vector(31 downto 0); +SIGNAL mux_rdata : mux_rdata_t +" +) +) +*3 (Net +uid 13,0 +decl (Decl +n "ored_ack" +t "std_logic_vector" +b "(NSLV downto 0)" +o 3 +suid 3,0 +) +declText (MLText +uid 14,0 +va (VaSet +font "courier,8,0" +) +xt "20000,120700,48500,121600" +st "SIGNAL ored_ack : std_logic_vector(NSLV downto 0) +" +) +) +*4 (Net +uid 15,0 +decl (Decl +n "ored_err" +t "std_logic_vector" +b "(NSLV downto 0)" +o 4 +suid 4,0 +) +declText (MLText +uid 16,0 +va (VaSet +font "courier,8,0" +) +xt "20000,121600,48500,122500" +st "SIGNAL ored_err : std_logic_vector(NSLV downto 0) +" +) +) +*5 (Net +uid 17,0 +decl (Decl +n "qstrobe" +t "std_logic" +o 5 +suid 5,0 +) +declText (MLText +uid 18,0 +va (VaSet +font "courier,8,0" +) +xt "20000,122500,37500,123400" +st "SIGNAL qstrobe : std_logic +" +) +) +*6 (PortIoIn +uid 19,0 +shape (CompositeShape +uid 20,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 21,0 +sl 0 +ro 270 +xt "-4000,5625,-2500,6375" +) +(Line +uid 22,0 +sl 0 +ro 270 +xt "-2500,6000,-2000,6000" +pts [ +"-2500,6000" +"-2000,6000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 23,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 24,0 +va (VaSet +font "courier,8,0" +) +xt "-8500,5500,-5000,6400" +st "ipb_clk" +ju 2 +blo "-5000,6200" +tm "WireNameMgr" +) +) +) +*7 (Net +uid 25,0 +decl (Decl +n "ipb_clk" +t "STD_LOGIC" +o 6 +suid 6,0 +) +declText (MLText +uid 26,0 +va (VaSet +font "courier,8,0" +) +xt "20000,110800,34000,111700" +st "ipb_clk : STD_LOGIC +" +) +) +*8 (PortIoIn +uid 33,0 +shape (CompositeShape +uid 34,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 35,0 +sl 0 +ro 270 +xt "-4000,6625,-2500,7375" +) +(Line +uid 36,0 +sl 0 +ro 270 +xt "-2500,7000,-2000,7000" +pts [ +"-2500,7000" +"-2000,7000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 37,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 38,0 +va (VaSet +font "courier,8,0" +) +xt "-6500,6500,-5000,7400" +st "rst" +ju 2 +blo "-5000,7200" +tm "WireNameMgr" +) +) +) +*9 (Net +uid 39,0 +decl (Decl +n "rst" +t "STD_LOGIC" +o 7 +suid 7,0 +) +declText (MLText +uid 40,0 +va (VaSet +font "courier,8,0" +) +xt "20000,111700,34000,112600" +st "rst : STD_LOGIC +" +) +) +*10 (PortIoIn +uid 47,0 +shape (CompositeShape +uid 48,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 49,0 +sl 0 +ro 270 +xt "-4000,19625,-2500,20375" +) +(Line +uid 50,0 +sl 0 +ro 270 +xt "-2500,20000,-2000,20000" +pts [ +"-2500,20000" +"-2000,20000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 51,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 52,0 +va (VaSet +font "courier,8,0" +) +xt "-8000,19500,-5000,20400" +st "ipb_in" +ju 2 +blo "-5000,20200" +tm "WireNameMgr" +) +) +) +*11 (Net +uid 53,0 +decl (Decl +n "ipb_in" +t "ipb_wbus" +o 8 +suid 8,0 +) +declText (MLText +uid 54,0 +va (VaSet +font "courier,8,0" +) +xt "20000,112600,33500,113500" +st "ipb_in : ipb_wbus +" +) +) +*12 (PortIoOut +uid 61,0 +shape (CompositeShape +uid 62,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 63,0 +sl 0 +ro 270 +xt "34500,39625,36000,40375" +) +(Line +uid 64,0 +sl 0 +ro 270 +xt "34000,40000,34500,40000" +pts [ +"34000,40000" +"34500,40000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 65,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 66,0 +va (VaSet +font "courier,8,0" +) +xt "37000,39500,40500,40400" +st "ipb_out" +blo "37000,40200" +tm "WireNameMgr" +) +) +) +*13 (Net +uid 67,0 +decl (Decl +n "ipb_out" +t "ipb_rbus" +o 9 +suid 9,0 +) +declText (MLText +uid 68,0 +va (VaSet +font "courier,8,0" +) +xt "20000,113500,33500,114400" +st "ipb_out : ipb_rbus +" +) +) +*14 (PortIoOut +uid 75,0 +shape (CompositeShape +uid 76,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 77,0 +sl 0 +ro 270 +xt "34500,57625,36000,58375" +) +(Line +uid 78,0 +sl 0 +ro 270 +xt "34000,58000,34500,58000" +pts [ +"34000,58000" +"34500,58000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 79,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 80,0 +va (VaSet +font "courier,8,0" +) +xt "37000,57500,44000,58400" +st "ipb_to_slaves" +blo "37000,58200" +tm "WireNameMgr" +) +) +) +*15 (Net +uid 81,0 +decl (Decl +n "ipb_to_slaves" +t "ipb_wbus_array" +b "(NSLV-1 downto 0)" +o 10 +suid 10,0 +) +declText (MLText +uid 82,0 +va (VaSet +font "courier,8,0" +) +xt "20000,114400,45000,115300" +st "ipb_to_slaves : ipb_wbus_array(NSLV-1 downto 0) +" +) +) +*16 (PortIoIn +uid 89,0 +shape (CompositeShape +uid 90,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 91,0 +sl 0 +ro 270 +xt "-4000,57625,-2500,58375" +) +(Line +uid 92,0 +sl 0 +ro 270 +xt "-2500,58000,-2000,58000" +pts [ +"-2500,58000" +"-2000,58000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 93,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 94,0 +va (VaSet +font "courier,8,0" +) +xt "-13000,57500,-5000,58400" +st "ipb_from_slaves" +ju 2 +blo "-5000,58200" +tm "WireNameMgr" +) +) +) +*17 (Net +uid 95,0 +decl (Decl +n "ipb_from_slaves" +t "ipb_rbus_array" +b "(NSLV-1 downto 0)" +o 11 +suid 11,0 +) +declText (MLText +uid 96,0 +va (VaSet +font "courier,8,0" +) +xt "20000,115300,45000,116200" +st "ipb_from_slaves : ipb_rbus_array(NSLV-1 downto 0) +" +) +) +*18 (HdlText +uid 103,0 +optionalChildren [ +*19 (EmbeddedText +uid 109,0 +commentText (CommentText +uid 110,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 111,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "120000,2000,138000,7000" +) +text (MLText +uid 112,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "120200,2200,136200,6700" +st " +ipb_to_slaves(i).ipb_addr <= ipb_in.ipb_addr; +ipb_to_slaves(i).ipb_wdata <= ipb_in.ipb_wdata; +ipb_to_slaves(i).ipb_strobe <= qstrobe when sel=i else '0'; +ipb_to_slaves(i).ipb_write <= ipb_in.ipb_write; +qual_rdata <= ipb_from_slaves(i).ipb_rdata when sel=i else (others => '0'); +mux_rdata(i) <= qual_rdata or mux_rdata(i+1); +ored_ack(i) <= ored_ack(i+1) or ipb_from_slaves(i).ipb_ack; +ored_err(i) <= ored_err(i+1) or ipb_from_slaves(i).ipb_err; + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +] +shape (Rectangle +uid 104,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "112000,2000,122000,12000" +) +ttg (MlTextGroup +uid 105,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*20 (Text +uid 106,0 +va (VaSet +font "courier,8,1" +) +xt "115500,6100,118500,7000" +st "busgen" +blo "115500,6800" +tm "HdlTextNameMgr" +) +*21 (Text +uid 107,0 +va (VaSet +font "courier,8,1" +) +xt "115500,7000,116000,7900" +st "1" +blo "115500,7700" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 108,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "112250,10250,113750,11750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*22 (Frame +uid 177,0 +shape (RectFrame +uid 178,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +xt "107000,-2000,127000,16000" +) +title (TextAssociate +uid 179,0 +ps "TopLeftStrategy" +text (MLText +uid 180,0 +va (VaSet +font "courier,8,0" +) +xt "107500,-3450,128500,-2550" +st "busgen: for i in NSLV-1 downto 0 GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +uid 181,0 +ps "TopLeftStrategy" +shape (Rectangle +uid 182,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "107500,-1650,108500,-350" +) +num (Text +uid 183,0 +va (VaSet +font "courier,8,0" +) +xt "107750,-1450,108250,-550" +st "1" +blo "107750,-750" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +uid 184,0 +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*23 (Text +uid 185,0 +va (VaSet +font "courier,8,1" +) +xt "104000,16000,113500,16900" +st "Frame Declarations" +blo "104000,16700" +) +*24 (MLText +uid 186,0 +va (VaSet +font "courier,8,0" +) +xt "104000,16900,129000,17800" +st "signal qual_rdata: std_logic_vector(31 downto 0); +" +tm "BdFrameDeclTextMgr" +) +] +) +lb "NSLV-1" +rb "0" +) +*25 (HdlText +uid 187,0 +optionalChildren [ +*26 (EmbeddedText +uid 193,0 +commentText (CommentText +uid 194,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 195,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "33000,13000,51000,18000" +) +text (MLText +uid 196,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "33200,13200,47700,17700" +st " +process(ipb_in.ipb_addr) + begin + sel <= ipbus_addr_sel(ipb_in.ipb_addr); + end process; + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +] +shape (Rectangle +uid 188,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "25000,13000,28000,16000" +) +ttg (MlTextGroup +uid 189,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*27 (Text +uid 190,0 +va (VaSet +font "courier,8,1" +) +xt "25750,13600,27250,14500" +st "eb1" +blo "25750,14300" +tm "HdlTextNameMgr" +) +*28 (Text +uid 191,0 +va (VaSet +font "courier,8,1" +) +xt "25750,14500,26250,15400" +st "2" +blo "25750,15200" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 192,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "25250,14250,26750,15750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*29 (HdlText +uid 213,0 +optionalChildren [ +*30 (EmbeddedText +uid 219,0 +commentText (CommentText +uid 220,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 221,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "14000,44000,32000,49000" +) +text (MLText +uid 222,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "14200,44200,31400,46000" +st " +mux_rdata(NSLV) <= (others => '0'); + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +] +shape (Rectangle +uid 214,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "6000,44000,9000,47000" +) +ttg (MlTextGroup +uid 215,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*31 (Text +uid 216,0 +va (VaSet +font "courier,8,1" +) +xt "6750,44600,8250,45500" +st "eb2" +blo "6750,45300" +tm "HdlTextNameMgr" +) +*32 (Text +uid 217,0 +va (VaSet +font "courier,8,1" +) +xt "6750,45500,7250,46400" +st "3" +blo "6750,46200" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 218,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "6250,45250,7750,46750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*33 (HdlText +uid 231,0 +optionalChildren [ +*34 (EmbeddedText +uid 237,0 +commentText (CommentText +uid 238,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 239,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "14000,6000,32000,11000" +) +text (MLText +uid 240,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "14200,6200,25900,7100" +st " +ored_ack(NSLV) <= '0'; + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +] +shape (Rectangle +uid 232,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "6000,6000,9000,9000" +) +ttg (MlTextGroup +uid 233,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*35 (Text +uid 234,0 +va (VaSet +font "courier,8,1" +) +xt "6750,6600,8250,7500" +st "eb3" +blo "6750,7300" +tm "HdlTextNameMgr" +) +*36 (Text +uid 235,0 +va (VaSet +font "courier,8,1" +) +xt "6750,7500,7250,8400" +st "4" +blo "6750,8200" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 236,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "6250,7250,7750,8750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*37 (HdlText +uid 249,0 +optionalChildren [ +*38 (EmbeddedText +uid 255,0 +commentText (CommentText +uid 256,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 257,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "14000,13000,32000,18000" +) +text (MLText +uid 258,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "14200,13200,25900,14100" +st " +ored_err(NSLV) <= '0'; + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +] +shape (Rectangle +uid 250,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "6000,13000,9000,16000" +) +ttg (MlTextGroup +uid 251,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*39 (Text +uid 252,0 +va (VaSet +font "courier,8,1" +) +xt "6750,13600,8250,14500" +st "eb4" +blo "6750,14300" +tm "HdlTextNameMgr" +) +*40 (Text +uid 253,0 +va (VaSet +font "courier,8,1" +) +xt "6750,14500,7250,15400" +st "5" +blo "6750,15200" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 254,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "6250,14250,7750,15750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*41 (HdlText +uid 267,0 +optionalChildren [ +*42 (EmbeddedText +uid 273,0 +commentText (CommentText +uid 274,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 275,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "14000,-2000,32000,3000" +) +text (MLText +uid 276,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "14200,-1800,31700,1800" +st " +qstrobe <= ipb_in.ipb_strobe when STROBE_GAP = false else + ipb_in.ipb_strobe and not (ored_ack(0) or ored_err(0)); + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +] +shape (Rectangle +uid 268,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "6000,-2000,9000,2000" +) +ttg (MlTextGroup +uid 269,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*43 (Text +uid 270,0 +va (VaSet +font "courier,8,1" +) +xt "6750,-900,8250,0" +st "eb5" +blo "6750,-200" +tm "HdlTextNameMgr" +) +*44 (Text +uid 271,0 +va (VaSet +font "courier,8,1" +) +xt "6750,0,7250,900" +st "6" +blo "6750,700" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 272,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "6250,250,7750,1750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*45 (HdlText +uid 309,0 +optionalChildren [ +*46 (EmbeddedText +uid 315,0 +commentText (CommentText +uid 316,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 317,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "14000,51000,32000,56000" +) +text (MLText +uid 318,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "14200,51200,31700,52100" +st " +ipb_out.ipb_rdata <= mux_rdata(0); + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +] +shape (Rectangle +uid 310,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "6000,51000,9000,54000" +) +ttg (MlTextGroup +uid 311,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*47 (Text +uid 312,0 +va (VaSet +font "courier,8,1" +) +xt "6750,51600,8250,52500" +st "eb6" +blo "6750,52300" +tm "HdlTextNameMgr" +) +*48 (Text +uid 313,0 +va (VaSet +font "courier,8,1" +) +xt "6750,52500,7250,53400" +st "7" +blo "6750,53200" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 314,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "6250,52250,7750,53750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*49 (HdlText +uid 335,0 +optionalChildren [ +*50 (EmbeddedText +uid 341,0 +commentText (CommentText +uid 342,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 343,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "14000,33000,32000,38000" +) +text (MLText +uid 344,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "14200,33200,30200,34100" +st " +ipb_out.ipb_ack <= ored_ack(0); + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +] +shape (Rectangle +uid 336,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "6000,33000,9000,36000" +) +ttg (MlTextGroup +uid 337,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*51 (Text +uid 338,0 +va (VaSet +font "courier,8,1" +) +xt "6750,33600,8250,34500" +st "eb7" +blo "6750,34300" +tm "HdlTextNameMgr" +) +*52 (Text +uid 339,0 +va (VaSet +font "courier,8,1" +) +xt "6750,34500,7250,35400" +st "8" +blo "6750,35200" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 340,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "6250,34250,7750,35750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*53 (HdlText +uid 361,0 +optionalChildren [ +*54 (EmbeddedText +uid 367,0 +commentText (CommentText +uid 368,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 369,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "14000,24000,32000,29000" +) +text (MLText +uid 370,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "14200,24200,30200,25100" +st " +ipb_out.ipb_err <= ored_err(0); + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +] +shape (Rectangle +uid 362,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "6000,24000,9000,27000" +) +ttg (MlTextGroup +uid 363,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*55 (Text +uid 364,0 +va (VaSet +font "courier,8,1" +) +xt "6750,24600,8250,25500" +st "eb8" +blo "6750,25300" +tm "HdlTextNameMgr" +) +*56 (Text +uid 365,0 +va (VaSet +font "courier,8,1" +) +xt "6750,25500,7250,26400" +st "9" +blo "6750,26200" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 366,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "6250,25250,7750,26750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*57 (BundleNet +uid 387,0 +bundleNetName "bundle_busgen_eb5_0" +bundleContents [ +*58 (Wire +uid 397,0 +shape (OrthoPolyLine +uid 398,0 +sl 0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "59000,100000,62000,100000" +pts [ +"59000,100000" +"62000,100000" +] +) +sat 4 +eat 16 +sty 1 +cm 0 +stc 0 +st 0 +si 0 +tg (WTG +uid 403,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 404,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "49000,99100,53000,100000" +st "ored_ack" +blo "49000,99800" +tm "WireNameMgr" +) +) +on &3 +) +*59 (Wire +uid 405,0 +shape (OrthoPolyLine +uid 406,0 +sl 0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "59000,101000,62000,101000" +pts [ +"59000,101000" +"62000,101000" +] +) +sat 4 +eat 16 +sty 1 +cm 0 +stc 0 +st 0 +si 0 +tg (WTG +uid 411,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 412,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "49000,100100,53000,101000" +st "ored_err" +blo "49000,100800" +tm "WireNameMgr" +) +) +on &4 +) +] +) +*60 (Property +uid 419,0 +pclass "HDS" +pname "DocView" +pvalue "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/workspace/IPbusFirmware/firmware/ipbus/hdl/ipbus_fabric.vhd" +ptn "String" +) +*61 (Property +uid 420,0 +pclass "HDS" +pname "DocViewState" +pvalue "1352727141" +ptn "String" +) +*62 (Wire +uid 27,0 +shape (OrthoPolyLine +uid 28,0 +va (VaSet +vasetType 3 +) +xt "-2000,6000,0,6000" +pts [ +"-2000,6000" +"0,6000" +] +) +start &6 +sat 32 +eat 16 +stc 0 +st 0 +si 0 +tg (WTG +uid 31,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 32,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "1000,5100,4500,6000" +st "ipb_clk" +blo "1000,5800" +tm "WireNameMgr" +) +) +on &7 +) +*63 (Wire +uid 41,0 +shape (OrthoPolyLine +uid 42,0 +va (VaSet +vasetType 3 +) +xt "-2000,7000,0,7000" +pts [ +"-2000,7000" +"0,7000" +] +) +start &8 +sat 32 +eat 16 +stc 0 +st 0 +si 0 +tg (WTG +uid 45,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 46,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "1000,6100,2500,7000" +st "rst" +blo "1000,6800" +tm "WireNameMgr" +) +) +on &9 +) +*64 (Wire +uid 55,0 +optionalChildren [ +*65 (BdJunction +uid 413,0 +ps "OnConnectorStrategy" +shape (Circle +uid 414,0 +va (VaSet +vasetType 1 +) +xt "-400,19600,400,20400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 56,0 +va (VaSet +vasetType 3 +) +xt "-2000,15000,25000,20000" +pts [ +"-2000,20000" +"19000,20000" +"19000,15000" +"25000,15000" +] +) +start &10 +end &25 +ss 0 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 59,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 60,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "21000,14100,24000,15000" +st "ipb_in" +blo "21000,14800" +tm "WireNameMgr" +) +) +on &11 +) +*66 (Wire +uid 83,0 +shape (OrthoPolyLine +uid 84,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "32000,58000,34000,58000" +pts [ +"32000,58000" +"34000,58000" +] +) +end &14 +sat 16 +eat 32 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 87,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 88,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "19000,57100,26000,58000" +st "ipb_to_slaves" +blo "19000,57800" +tm "WireNameMgr" +) +) +on &15 +) +*67 (Wire +uid 97,0 +shape (OrthoPolyLine +uid 98,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "-2000,58000,0,58000" +pts [ +"-2000,58000" +"0,58000" +] +) +start &16 +sat 32 +eat 16 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 101,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 102,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "1000,57100,9000,58000" +st "ipb_from_slaves" +blo "1000,57800" +tm "WireNameMgr" +) +) +on &17 +) +*68 (Wire +uid 113,0 +shape (OrthoPolyLine +uid 114,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "110000,4000,112000,4000" +pts [ +"110000,4000" +"112000,4000" +] +) +end &18 +sat 16 +eat 1 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 119,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 120,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "96000,3100,104000,4000" +st "ipb_from_slaves" +blo "96000,3800" +tm "WireNameMgr" +) +) +on &17 +) +*69 (Wire +uid 121,0 +shape (OrthoPolyLine +uid 122,0 +va (VaSet +vasetType 3 +) +xt "110000,3000,112000,3000" +pts [ +"110000,3000" +"112000,3000" +] +) +end &18 +sat 16 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 127,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 128,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "106000,2100,109000,3000" +st "ipb_in" +blo "106000,2800" +tm "WireNameMgr" +) +) +on &11 +) +*70 (Wire +uid 129,0 +shape (OrthoPolyLine +uid 130,0 +va (VaSet +vasetType 3 +) +xt "110000,5000,112000,5000" +pts [ +"110000,5000" +"112000,5000" +] +) +end &18 +sat 16 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 135,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 136,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "106000,4100,109500,5000" +st "qstrobe" +blo "106000,4800" +tm "WireNameMgr" +) +) +on &5 +) +*71 (Wire +uid 137,0 +shape (OrthoPolyLine +uid 138,0 +va (VaSet +vasetType 3 +) +xt "110000,6000,112000,6000" +pts [ +"110000,6000" +"112000,6000" +] +) +end &18 +sat 16 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 143,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 144,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "108000,5100,109500,6000" +st "sel" +blo "108000,5800" +tm "WireNameMgr" +) +) +on &1 +) +*72 (Wire +uid 145,0 +shape (OrthoPolyLine +uid 146,0 +va (VaSet +vasetType 3 +) +xt "122000,3000,124000,3000" +pts [ +"122000,3000" +"124000,3000" +] +) +start &18 +sat 4 +eat 16 +stc 0 +st 0 +si 0 +tg (WTG +uid 151,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 152,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "125000,2100,129500,3000" +st "mux_rdata" +blo "125000,2800" +tm "WireNameMgr" +) +) +on &2 +) +*73 (Wire +uid 169,0 +shape (OrthoPolyLine +uid 170,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "122000,4000,124000,4000" +pts [ +"122000,4000" +"124000,4000" +] +) +start &18 +sat 2 +eat 16 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 175,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 176,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "125000,3100,132000,4000" +st "ipb_to_slaves" +blo "125000,3800" +tm "WireNameMgr" +) +) +on &15 +) +*74 (Wire +uid 205,0 +shape (OrthoPolyLine +uid 206,0 +va (VaSet +vasetType 3 +) +xt "28000,14000,30000,14000" +pts [ +"28000,14000" +"30000,14000" +] +) +start &25 +sat 2 +eat 16 +stc 0 +st 0 +si 0 +tg (WTG +uid 211,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 212,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "31000,13100,32500,14000" +st "sel" +blo "31000,13800" +tm "WireNameMgr" +) +) +on &1 +) +*75 (Wire +uid 223,0 +shape (OrthoPolyLine +uid 224,0 +va (VaSet +vasetType 3 +) +xt "0,46000,15000,55000" +pts [ +"9000,46000" +"15000,46000" +"15000,55000" +"0,55000" +"0,53000" +"6000,53000" +] +) +start &29 +end &45 +sat 2 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 229,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 230,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "10000,45100,14500,46000" +st "mux_rdata" +blo "10000,45800" +tm "WireNameMgr" +) +) +on &2 +) +*76 (Wire +uid 241,0 +shape (OrthoPolyLine +uid 242,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "0,8000,16000,37000" +pts [ +"9000,8000" +"16000,8000" +"16000,37000" +"0,37000" +"0,35000" +"6000,35000" +] +) +start &33 +end &49 +sat 2 +eat 1 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 247,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 248,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "10000,7100,14000,8000" +st "ored_ack" +blo "10000,7800" +tm "WireNameMgr" +) +) +on &3 +) +*77 (Wire +uid 259,0 +shape (OrthoPolyLine +uid 260,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "0,15000,15000,28000" +pts [ +"9000,15000" +"15000,15000" +"15000,28000" +"0,28000" +"0,26000" +"6000,26000" +] +) +start &37 +end &53 +sat 2 +eat 1 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 265,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 266,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "10000,14100,14000,15000" +st "ored_err" +blo "10000,14800" +tm "WireNameMgr" +) +) +on &4 +) +*78 (Wire +uid 277,0 +shape (OrthoPolyLine +uid 278,0 +va (VaSet +vasetType 3 +) +xt "0,1000,6000,20000" +pts [ +"0,20000" +"0,1000" +"6000,1000" +] +) +start &65 +end &41 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 283,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 284,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "2000,100,5000,1000" +st "ipb_in" +blo "2000,800" +tm "WireNameMgr" +) +) +on &11 +) +*79 (Wire +uid 301,0 +shape (OrthoPolyLine +uid 302,0 +va (VaSet +vasetType 3 +) +xt "9000,-1000,11000,-1000" +pts [ +"9000,-1000" +"11000,-1000" +] +) +start &41 +sat 2 +eat 16 +stc 0 +st 0 +si 0 +tg (WTG +uid 307,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 308,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "12000,-1900,15500,-1000" +st "qstrobe" +blo "12000,-1200" +tm "WireNameMgr" +) +) +on &5 +) +*80 (Wire +uid 327,0 +shape (OrthoPolyLine +uid 328,0 +va (VaSet +vasetType 3 +) +xt "9000,40000,17000,52000" +pts [ +"9000,52000" +"16000,52000" +"16000,40000" +"17000,40000" +] +) +start &45 +end *81 (BdJunction +uid 415,0 +ps "OnConnectorStrategy" +shape (Circle +uid 416,0 +va (VaSet +vasetType 1 +) +xt "16600,39600,17400,40400" +radius 400 +) +) +sat 2 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 333,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 334,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "10000,51100,13500,52000" +st "ipb_out" +blo "10000,51800" +tm "WireNameMgr" +) +) +on &13 +) +*82 (Wire +uid 353,0 +shape (OrthoPolyLine +uid 354,0 +va (VaSet +vasetType 3 +) +xt "9000,34000,17000,34000" +pts [ +"9000,34000" +"17000,34000" +] +) +start &49 +end *83 (BdJunction +uid 417,0 +ps "OnConnectorStrategy" +shape (Circle +uid 418,0 +va (VaSet +vasetType 1 +) +xt "16600,33600,17400,34400" +radius 400 +) +) +sat 2 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 359,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 360,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "11000,33100,14500,34000" +st "ipb_out" +blo "11000,33800" +tm "WireNameMgr" +) +) +on &13 +) +*84 (Wire +uid 379,0 +optionalChildren [ +&81 +&83 +] +shape (OrthoPolyLine +uid 380,0 +va (VaSet +vasetType 3 +) +xt "9000,26000,34000,40000" +pts [ +"9000,26000" +"17000,26000" +"17000,40000" +"34000,40000" +] +) +start &53 +end &12 +es 0 +sat 2 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 385,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 386,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "10000,25100,13500,26000" +st "ipb_out" +blo "10000,25800" +tm "WireNameMgr" +) +) +on &13 +) +*85 (Bundle +uid 388,0 +shape (OrthoPolyLine +uid 389,0 +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +xt "6000,0,112000,2000" +pts [ +"112000,2000" +"6000,0" +] +) +start &18 +end &41 +sat 2 +eat 1 +textGroup (BiTextGroup +uid 394,0 +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 395,0 +va (VaSet +font "courier,8,0" +) +xt "7000,-900,17000,0" +st "bundle_busgen_eb5_0" +blo "7000,-200" +tm "BundleNameMgr" +) +second (MLText +uid 396,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "7000,0,17000,900" +st "(ored_ack,ored_err)" +tm "BundleContentsMgr" +) +) +bundleNet &57 +) +&58 +&59 +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *86 (PackageList +uid 443,0 +stg "VerticalLayoutStrategy" +textVec [ +*87 (Text +uid 444,0 +va (VaSet +font "courier,8,1" +) +xt "1000,109000,7500,109900" +st "Package List" +blo "1000,109700" +) +*88 (MLText +uid 445,0 +va (VaSet +font "courier,8,0" +) +xt "1000,109900,15500,113500" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 446,0 +stg "VerticalLayoutStrategy" +textVec [ +*89 (Text +uid 447,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "21000,109000,31000,109900" +st "Compiler Directives" +blo "21000,109700" +) +*90 (Text +uid 448,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "21000,109900,32500,110800" +st "Pre-module directives:" +blo "21000,110600" +) +*91 (MLText +uid 449,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "21000,110800,31100,112600" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*92 (Text +uid 450,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "21000,112600,33000,113500" +st "Post-module directives:" +blo "21000,113300" +) +*93 (MLText +uid 451,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "21000,109000,21000,109000" +tm "BdCompilerDirectivesTextMgr" +) +*94 (Text +uid 452,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "21000,113500,32500,114400" +st "End-module directives:" +blo "21000,114200" +) +*95 (MLText +uid 453,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "21000,114400,21000,114400" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "0,0,1015,690" +viewArea "-13000,-3450,129000,124300" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +lastUid 453,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,4000,1900" +st "Panel0" +blo "1000,1700" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*96 (Text +va (VaSet +font "courier,8,1" +) +xt "1750,3650,6250,4550" +st "<library>" +blo "1750,4350" +tm "BdLibraryNameMgr" +) +*97 (Text +va (VaSet +font "courier,8,1" +) +xt "1750,4550,5250,5450" +st "<block>" +blo "1750,5250" +tm "BlkNameMgr" +) +*98 (Text +va (VaSet +font "courier,8,1" +) +xt "1750,5450,2750,6350" +st "I0" +blo "1750,6150" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "1750,13650,1750,13650" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*99 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,3650,4500,4550" +st "Library" +blo "1000,4350" +) +*100 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,4550,7000,5450" +st "MWComponent" +blo "1000,5250" +) +*101 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,5450,2000,6350" +st "I0" +blo "1000,6150" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6000,1650,-6000,1650" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*102 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,3650,4500,4550" +st "Library" +blo "1000,4350" +tm "BdLibraryNameMgr" +) +*103 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,4550,7000,5450" +st "SaComponent" +blo "1000,5250" +tm "CptNameMgr" +) +*104 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,5450,2000,6350" +st "I0" +blo "1000,6150" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6000,1650,-6000,1650" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +portVis (PortSigDisplay +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*105 (Text +va (VaSet +font "courier,8,1" +) +xt "500,3650,4000,4550" +st "Library" +blo "500,4350" +) +*106 (Text +va (VaSet +font "courier,8,1" +) +xt "500,4550,7500,5450" +st "VhdlComponent" +blo "500,5250" +) +*107 (Text +va (VaSet +font "courier,8,1" +) +xt "500,5450,1500,6350" +st "I0" +blo "500,6150" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6500,1650,-6500,1650" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-750,0,8750,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*108 (Text +va (VaSet +font "courier,8,1" +) +xt "-250,3650,3250,4550" +st "Library" +blo "-250,4350" +) +*109 (Text +va (VaSet +font "courier,8,1" +) +xt "-250,4550,8250,5450" +st "VerilogComponent" +blo "-250,5250" +) +*110 (Text +va (VaSet +font "courier,8,1" +) +xt "-250,5450,750,6350" +st "I0" +blo "-250,6150" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-7250,1650,-7250,1650" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*111 (Text +va (VaSet +font "courier,8,1" +) +xt "3250,4100,4750,5000" +st "eb1" +blo "3250,4800" +tm "HdlTextNameMgr" +) +*112 (Text +va (VaSet +font "courier,8,1" +) +xt "3250,5000,3750,5900" +st "1" +blo "3250,5700" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +font "courier,8,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +font "courier,8,1" +) +xt "-250,-450,250,450" +st "G" +blo "-250,250" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,0,2000,900" +st "sig0" +blo "0,700" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,0,2500,900" +st "dbus0" +blo "0,700" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,0" +) +xt "0,0,3500,900" +st "bundle0" +blo "0,700" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +font "courier,8,0" +) +xt "0,900,1000,1800" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +font "courier,8,0" +) +) +second (MLText +va (VaSet +font "courier,8,0" +) +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,-1000,14500,-100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1350" +) +num (Text +va (VaSet +font "courier,8,0" +) +xt "300,250,800,1150" +st "1" +blo "300,950" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*113 (Text +va (VaSet +font "courier,8,1" +) +xt "12500,20000,22000,20900" +st "Frame Declarations" +blo "12500,20700" +) +*114 (MLText +va (VaSet +font "courier,8,0" +) +xt "12500,20900,12500,20900" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,-1000,9000,-100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1350" +) +num (Text +va (VaSet +font "courier,8,0" +) +xt "300,250,800,1150" +st "1" +blo "300,950" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*115 (Text +va (VaSet +font "courier,8,1" +) +xt "12500,20000,22000,20900" +st "Frame Declarations" +blo "12500,20700" +) +*116 (MLText +va (VaSet +font "courier,8,0" +) +xt "12500,20900,12500,20900" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,2000,1650" +st "Port" +blo "0,1450" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,2000,1650" +st "Port" +blo "0,1450" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "courier,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "18000,109000,24500,109900" +st "Declarations" +blo "18000,109700" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "18000,109900,21000,110800" +st "Ports:" +blo "18000,110600" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "18000,116200,22500,117100" +st "Pre User:" +blo "18000,116900" +) +preUserText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "18000,109000,18000,109000" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "courier,8,1" +) +xt "18000,117100,26500,118000" +st "Diagram Signals:" +blo "18000,117800" +) +postUserLabel (Text +uid 7,0 +va (VaSet +font "courier,8,1" +) +xt "18000,123400,23500,124300" +st "Post User:" +blo "18000,124100" +) +postUserText (MLText +uid 8,0 +va (VaSet +font "courier,8,0" +) +xt "18000,109000,18000,109000" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 11,0 +usingSuid 1 +emptyRow *117 (LEmptyRow +) +optionalChildren [ +*118 (RefLabelRowHdr +) +*119 (TitleRowHdr +) +*120 (FilterRowHdr +) +*121 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*122 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*123 (GroupColHdr +tm "GroupColHdrMgr" +) +*124 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*125 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*126 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*127 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*128 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*129 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*130 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "sel" +t "integer" +o 1 +suid 1,0 +) +) +uid 421,0 +) +*131 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "mux_rdata" +t "mux_rdata_t" +prec "type mux_rdata_t is array(NSLV downto 0) of std_logic_vector(31 downto 0);" +preAdd 0 +o 2 +suid 2,0 +) +) +uid 423,0 +) +*132 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "ored_ack" +t "std_logic_vector" +b "(NSLV downto 0)" +o 3 +suid 3,0 +) +) +uid 425,0 +) +*133 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "ored_err" +t "std_logic_vector" +b "(NSLV downto 0)" +o 4 +suid 4,0 +) +) +uid 427,0 +) +*134 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "qstrobe" +t "std_logic" +o 5 +suid 5,0 +) +) +uid 429,0 +) +*135 (LeafLogPort +port (LogicalPort +decl (Decl +n "ipb_clk" +t "STD_LOGIC" +o 6 +suid 6,0 +) +) +uid 431,0 +) +*136 (LeafLogPort +port (LogicalPort +decl (Decl +n "rst" +t "STD_LOGIC" +o 7 +suid 7,0 +) +) +uid 433,0 +) +*137 (LeafLogPort +port (LogicalPort +decl (Decl +n "ipb_in" +t "ipb_wbus" +o 8 +suid 8,0 +) +) +uid 435,0 +) +*138 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "ipb_out" +t "ipb_rbus" +o 9 +suid 9,0 +) +) +uid 437,0 +) +*139 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "ipb_to_slaves" +t "ipb_wbus_array" +b "(NSLV-1 downto 0)" +o 10 +suid 10,0 +) +) +uid 439,0 +) +*140 (LeafLogPort +port (LogicalPort +decl (Decl +n "ipb_from_slaves" +t "ipb_rbus_array" +b "(NSLV-1 downto 0)" +o 11 +suid 11,0 +) +) +uid 441,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +optionalChildren [ +*141 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *142 (MRCItem +litem &117 +pos 3 +dimension 20 +) +optionalChildren [ +*143 (MRCItem +litem &118 +pos 0 +dimension 20 +) +*144 (MRCItem +litem &119 +pos 1 +dimension 23 +) +*145 (MRCItem +litem &120 +pos 2 +hidden 1 +dimension 20 +) +*146 (MRCItem +litem &130 +pos 0 +dimension 20 +uid 422,0 +) +*147 (MRCItem +litem &131 +pos 1 +dimension 20 +uid 424,0 +) +*148 (MRCItem +litem &132 +pos 2 +dimension 20 +uid 426,0 +) +*149 (MRCItem +litem &133 +pos 3 +dimension 20 +uid 428,0 +) +*150 (MRCItem +litem &134 +pos 4 +dimension 20 +uid 430,0 +) +*151 (MRCItem +litem &135 +pos 5 +dimension 20 +uid 432,0 +) +*152 (MRCItem +litem &136 +pos 6 +dimension 20 +uid 434,0 +) +*153 (MRCItem +litem &137 +pos 7 +dimension 20 +uid 436,0 +) +*154 (MRCItem +litem &138 +pos 8 +dimension 20 +uid 438,0 +) +*155 (MRCItem +litem &139 +pos 9 +dimension 20 +uid 440,0 +) +*156 (MRCItem +litem &140 +pos 10 +dimension 20 +uid 442,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +optionalChildren [ +*157 (MRCItem +litem &121 +pos 0 +dimension 20 +) +*158 (MRCItem +litem &123 +pos 1 +dimension 50 +) +*159 (MRCItem +litem &124 +pos 2 +dimension 100 +) +*160 (MRCItem +litem &125 +pos 3 +dimension 50 +) +*161 (MRCItem +litem &126 +pos 4 +dimension 100 +) +*162 (MRCItem +litem &127 +pos 5 +dimension 100 +) +*163 (MRCItem +litem &128 +pos 6 +dimension 50 +) +*164 (MRCItem +litem &129 +pos 7 +dimension 80 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +vaOverrides [ +] +) +] +) +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *165 (LEmptyRow +) +optionalChildren [ +*166 (RefLabelRowHdr +) +*167 (TitleRowHdr +) +*168 (FilterRowHdr +) +*169 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*170 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*171 (GroupColHdr +tm "GroupColHdrMgr" +) +*172 (NameColHdr +tm "GenericNameColHdrMgr" +) +*173 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*174 (InitColHdr +tm "GenericValueColHdrMgr" +) +*175 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*176 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +optionalChildren [ +*177 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *178 (MRCItem +litem &165 +pos 3 +dimension 20 +) +optionalChildren [ +*179 (MRCItem +litem &166 +pos 0 +dimension 20 +) +*180 (MRCItem +litem &167 +pos 1 +dimension 23 +) +*181 (MRCItem +litem &168 +pos 2 +hidden 1 +dimension 20 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +optionalChildren [ +*182 (MRCItem +litem &169 +pos 0 +dimension 20 +) +*183 (MRCItem +litem &171 +pos 1 +dimension 50 +) +*184 (MRCItem +litem &172 +pos 2 +dimension 100 +) +*185 (MRCItem +litem &173 +pos 3 +dimension 100 +) +*186 (MRCItem +litem &174 +pos 4 +dimension 50 +) +*187 (MRCItem +litem &175 +pos 5 +dimension 50 +) +*188 (MRCItem +litem &176 +pos 6 +dimension 80 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +vaOverrides [ +] +) +] +) +type 1 +) +frameCount 1 +) diff --git a/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/ipbus_fabric/rtl.fc b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/ipbus_fabric/rtl.fc new file mode 100644 index 00000000..96ba989f --- /dev/null +++ b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/ipbus_fabric/rtl.fc @@ -0,0 +1,1742 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dmPackageRefs [ +] +machine (Machine +name "process0" +children [ +(Machine +name "process0" +children [ +] +) +] +) +properties [ +(HdrProperty +class "HDS" +name "DocView" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/workspace/IPbusFirmware/firmware/ipbus/hdl/ipbus_fabric.vhd" +) +(HdrProperty +class "HDS" +name "DocViewState" +value "1352727141" +) +] +) +version "19.1" +appVersion "2010.3 (Build 21)" +model (FlowChart +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl" +) +(vvPair +variable "HDSDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/ipbus_fabric/rtl.fc.info" +) +(vvPair +variable "SideDataUserDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/ipbus_fabric/rtl.fc.user" +) +(vvPair +variable "SourceDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "rtl" +) +(vvPair +variable "config" +value "%(unit)_config" +) +(vvPair +variable "d" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/ipbus_fabric" +) +(vvPair +variable "d_logical" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/ipbus_fabric" +) +(vvPair +variable "date" +value "11/13/12" +) +(vvPair +variable "day" +value "Tue" +) +(vvPair +variable "day_long" +value "Tuesday" +) +(vvPair +variable "dd" +value "13" +) +(vvPair +variable "entity_name" +value "ipbus_fabric" +) +(vvPair +variable "ext" +value "<TBD>" +) +(vvPair +variable "f" +value "rtl.fc" +) +(vvPair +variable "f_logical" +value "rtl.fc" +) +(vvPair +variable "f_noext" +value "rtl" +) +(vvPair +variable "group" +value "HEP_Instrumentation" +) +(vvPair +variable "host" +value "kipper.phy.bris.ac.uk" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "fmc_mTLU_lib" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/designcheck" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "ipbus_fabric" +) +(vvPair +variable "month" +value "Nov" +) +(vvPair +variable "month_long" +value "November" +) +(vvPair +variable "p" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/ipbus_fabric/rtl.fc" +) +(vvPair +variable "p_logical" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/ipbus_fabric/rtl.fc" +) +(vvPair +variable "package_name" +value "<Undefined Variable>" +) +(vvPair +variable "project_name" +value "fmc_mTLU" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "<TBD>" +) +(vvPair +variable "task_DesignCompilerPath" +value "<TBD>" +) +(vvPair +variable "task_LeonardoPath" +value "/opt/CAD/Mentor/LeonardoSpectrum_2008a/bin" +) +(vvPair +variable "task_ModelSimPath" +value "/opt/CAD/Mentor/Modelsim/6.4a/modeltech/bin" +) +(vvPair +variable "task_NC-SimPath" +value "<TBD>" +) +(vvPair +variable "task_PrecisionRTLPath" +value "/opt/CAD/Mentor/Precis_Synth_2009a_update2/Mgc_home/bin" +) +(vvPair +variable "task_QuestaSimPath" +value "/opt/CAD/Mentor/HDS2008.1b/questasim/bin" +) +(vvPair +variable "task_VCSPath" +value "<TBD>" +) +(vvPair +variable "this_ext" +value "fc" +) +(vvPair +variable "this_file" +value "rtl" +) +(vvPair +variable "this_file_logical" +value "rtl" +) +(vvPair +variable "time" +value "16:59:31" +) +(vvPair +variable "unit" +value "ipbus_fabric" +) +(vvPair +variable "user" +value "phdgc" +) +(vvPair +variable "version" +value "2010.3 (Build 21)" +) +(vvPair +variable "view" +value "rtl" +) +(vvPair +variable "year" +value "2012" +) +(vvPair +variable "yy" +value "12" +) +] +) +optionalChildren [ +*1 (ConcurrentFC +uid 1,0 +topDiagram (FlowDiagram +LanguageMgr "None" +uid 2,0 +optionalChildren [ +*2 (StartPoint +uid 27,0 +shape (RoundedRectangle +uid 28,0 +va (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 2 +) +xt "7000,10000,13000,12000" +radius 400 +) +label (Text +uid 29,0 +va (VaSet +fg "65535,65535,65535" +font "courier,10,1" +) +xt "8500,10500,11500,11500" +st "Start" +ju 0 +blo "10000,11300" +) +outConnect (FlowConnect +uid 30,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 31,0 +ro 180 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +xt "9500,11500,10500,12500" +) +direction 1 +position 1 +) +) +*3 (ActionBox +uid 32,0 +shape (Rectangle +uid 33,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "-500,15050,20500,16950" +) +name (TextAssociate +uid 34,0 +ps "CenterOffsetStrategy" +text (Text +uid 35,0 +va (VaSet +fg "0,32768,49152" +font "courier,8,0" +) +xt "-500,14100,500,15000" +st "a0" +blo "-500,14800" +tm "FcNodeNameMgr" +) +) +actions (TextAssociate +uid 36,0 +ps "CenterOffsetStrategy" +text (MLText +uid 37,0 +va (VaSet +font "courier,8,0" +) +xt "0,15550,20000,16450" +st "sel <= ipbus_addr_sel(ipb_in.ipb_addr);" +tm "FcActions" +) +) +inConnect (FlowConnect +uid 38,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 39,0 +ro 180 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +xt "9500,14550,10500,15550" +) +direction 0 +) +outConnect (FlowConnect +uid 40,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 41,0 +ro 180 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +xt "9500,16450,10500,17450" +) +direction 1 +position 1 +) +) +*4 (EndPoint +uid 44,0 +shape (RoundedRectangle +uid 45,0 +va (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 2 +) +xt "7000,20000,13000,22000" +radius 400 +) +label (Text +uid 46,0 +va (VaSet +fg "65535,65535,65535" +font "courier,10,1" +) +xt "9100,20500,10900,21500" +st "End" +ju 0 +blo "10000,21300" +) +inConnect (FlowConnect +uid 47,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 48,0 +ro 180 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +xt "9500,19500,10500,20500" +) +direction 0 +) +) +*5 (Property +uid 51,0 +pclass "HDS" +pname "DocView" +pvalue "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/workspace/IPbusFirmware/firmware/ipbus/hdl/ipbus_fabric.vhd" +ptn "String" +) +*6 (Property +uid 52,0 +pclass "HDS" +pname "DocViewState" +pvalue "1352727141" +ptn "String" +) +*7 (Flow +uid 42,0 +shape (OrthoPolyLine +uid 43,0 +va (VaSet +vasetType 3 +) +xt "10000,12000,10000,15050" +pts [ +"10000,12000" +"10000,15050" +] +arrow 1 +) +start &2 +end &3 +) +*8 (Flow +uid 49,0 +shape (OrthoPolyLine +uid 50,0 +va (VaSet +vasetType 3 +) +xt "10000,16950,10000,20000" +pts [ +"10000,16950" +"10000,20000" +] +arrow 1 +) +start &3 +end &4 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *9 (PackageList +uid 15,0 +stg "VerticalLayoutStrategy" +textVec [ +*10 (Text +uid 16,0 +va (VaSet +font "courier,8,1" +) +xt "-36700,4050,-30200,4950" +st "Package List" +blo "-36700,4750" +) +*11 (MLText +uid 17,0 +va (VaSet +font "courier,8,0" +) +xt "-36700,4950,-22200,8550" +tm "FcPackageListTextMgr" +) +] +) +compDirBlock (MlTextGroup +uid 18,0 +stg "VerticalLayoutStrategy" +textVec [ +*12 (Text +uid 19,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-20700,4500,-10700,5400" +st "Compiler Directives" +blo "-20700,5200" +) +*13 (Text +uid 20,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-20700,5400,-9200,6300" +st "Pre-module directives:" +blo "-20700,6100" +) +*14 (MLText +uid 21,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-20700,6300,-10600,8100" +st "`resetall +`timescale 1ns/10ps" +tm "FcCompilerDirectivesTextMgr" +) +*15 (Text +uid 22,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-20700,8100,-8700,9000" +st "Post-module directives:" +blo "-20700,8800" +) +*16 (MLText +uid 23,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-20700,4500,-20700,4500" +tm "FcCompilerDirectivesTextMgr" +) +*17 (Text +uid 24,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-20700,9000,-9200,9900" +st "End-module directives:" +blo "-20700,9700" +) +*18 (MLText +uid 25,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-20700,9900,-20700,9900" +tm "FcCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "0,0,1015,690" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +isTopLevel 1 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,4000,1900" +st "Panel0" +blo "1000,1700" +tm "PanelText" +) +) +) +archDeclarativeBlock *19 (BiTextGroup +uid 3,0 +stg "VerticalLayoutStrategy" +first (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "-40700,10750,-27700,11650" +st "Architecture Declarations" +blo "-40700,11450" +) +second (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "-40700,11650,-3200,17050" +st " +signal sel: integer; +type mux_rdata_t is array(NSLV downto 0) of std_logic_vector(31 downto 0); +signal mux_rdata: mux_rdata_t; +signal ored_ack, ored_err: std_logic_vector(NSLV downto 0); +signal qstrobe: std_logic; +" +tm "FcArchDeclTextMgr" +) +associable 1 +) +archConcurrentStatementBlock *20 (BiTextGroup +uid 6,0 +stg "VerticalLayoutStrategy" +first (Text +uid 7,0 +va (VaSet +font "courier,8,1" +) +xt "-40700,21050,-29700,21950" +st "Concurrent Statements" +blo "-40700,21750" +) +second (MLText +uid 8,0 +va (VaSet +font "courier,8,0" +) +xt "-40700,21950,-2500,42650" +st " +mux_rdata(NSLV) <= (others => '0'); +ored_ack(NSLV) <= '0'; +ored_err(NSLV) <= '0'; + +qstrobe <= ipb_in.ipb_strobe when STROBE_GAP = false else +ipb_in.ipb_strobe and not (ored_ack(0) or ored_err(0)); +busgen: for i in NSLV-1 downto 0 generate +signal qual_rdata: std_logic_vector(31 downto 0); +begin +ipb_to_slaves(i).ipb_addr <= ipb_in.ipb_addr; +ipb_to_slaves(i).ipb_wdata <= ipb_in.ipb_wdata; +ipb_to_slaves(i).ipb_strobe <= qstrobe when sel=i else '0'; +ipb_to_slaves(i).ipb_write <= ipb_in.ipb_write; +qual_rdata <= ipb_from_slaves(i).ipb_rdata when sel=i else (others => '0'); +mux_rdata(i) <= qual_rdata or mux_rdata(i+1); +ored_ack(i) <= ored_ack(i+1) or ipb_from_slaves(i).ipb_ack; +ored_err(i) <= ored_err(i+1) or ipb_from_slaves(i).ipb_err; +end generate; +ipb_out.ipb_rdata <= mux_rdata(0); +ipb_out.ipb_ack <= ored_ack(0); +ipb_out.ipb_err <= ored_err(0); + +" +tm "FcArchConcStmtTextMgr" +) +associable 1 +) +processDeclarativeBlock *21 (BiTextGroup +uid 9,0 +stg "VerticalLayoutStrategy" +first (Text +uid 10,0 +va (VaSet +font "courier,8,1" +) +xt "-40700,52450,-30200,53350" +st "Process Declarations" +blo "-40700,53150" +) +second (MLText +uid 11,0 +va (VaSet +font "courier,8,0" +) +xt "-40700,53350,-40700,53350" +tm "FcProcDeclTextMgr" +) +associable 1 +) +sensitivityListBlock *22 (BiTextGroup +uid 12,0 +stg "VerticalLayoutStrategy" +first (Text +uid 13,0 +va (VaSet +font "courier,8,1" +) +xt "-40700,46650,-32200,47550" +st "Sensitivity List" +blo "-40700,47350" +) +second (MLText +uid 14,0 +va (VaSet +font "courier,8,0" +) +xt "-40700,47550,-32700,48450" +st "ipb_in.ipb_addr" +tm "FcSensListTextMgr" +) +) +) +genChar (FcGenChar +uid 26,0 +machineMode 1 +resetActions "" +resetMode 1 +resetName "" +resetCondition "" +vlogResetSensitivity "" +resetPolarity 0 +clockPolarity 0 +clockSigName "" +clockCondition "" +verilogProcessStatementType 0 +verilogBlockType 0 +instrumentForAnimation 0 +insertSetResetPragma 0 +setresetPragma 0 +) +name "process0" +) +] +lastUid 52,0 +LanguageMgr "VhdlLangMgr" +commonDM (CommonDM +ldm (LogicalDM +emptyRow *23 (LEmptyRow +) +optionalChildren [ +*24 (RefLabelRowHdr +) +*25 (TitleRowHdr +) +*26 (FilterRowHdr +) +*27 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*28 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*29 (GroupColHdr +tm "GroupColHdrMgr" +) +*30 (NameColHdr +tm "FcNameColHdrMgr" +) +*31 (ModeColHdr +tm "FcModeColHdrMgr" +) +*32 (TypeColHdr +tm "FcTypeColHdrMgr" +) +*33 (BoundsColHdr +tm "FcBoundsColHdrMgr" +) +*34 (InitColHdr +tm "FcInitColHdrMgr" +) +*35 (EolColHdr +tm "FcEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +optionalChildren [ +*36 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *37 (MRCItem +litem &23 +pos 3 +dimension 20 +) +optionalChildren [ +*38 (MRCItem +litem &24 +pos 0 +dimension 20 +) +*39 (MRCItem +litem &25 +pos 1 +dimension 23 +) +*40 (MRCItem +litem &26 +pos 2 +hidden 1 +dimension 20 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +optionalChildren [ +*41 (MRCItem +litem &27 +pos 0 +dimension 20 +) +*42 (MRCItem +litem &29 +pos 1 +dimension 50 +) +*43 (MRCItem +litem &30 +pos 2 +dimension 100 +) +*44 (MRCItem +litem &31 +pos 3 +dimension 50 +) +*45 (MRCItem +litem &32 +pos 4 +dimension 100 +) +*46 (MRCItem +litem &33 +pos 5 +dimension 100 +) +*47 (MRCItem +litem &34 +pos 6 +dimension 50 +) +*48 (MRCItem +litem &35 +pos 7 +dimension 80 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +vaOverrides [ +] +) +] +) +) +cdmCfc &1 +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *49 (LEmptyRow +) +optionalChildren [ +*50 (RefLabelRowHdr +) +*51 (TitleRowHdr +) +*52 (FilterRowHdr +) +*53 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*54 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*55 (GroupColHdr +tm "GroupColHdrMgr" +) +*56 (NameColHdr +tm "GenericNameColHdrMgr" +) +*57 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*58 (InitColHdr +tm "GenericValueColHdrMgr" +) +*59 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*60 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +optionalChildren [ +*61 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *62 (MRCItem +litem &49 +pos 3 +dimension 20 +) +optionalChildren [ +*63 (MRCItem +litem &50 +pos 0 +dimension 20 +) +*64 (MRCItem +litem &51 +pos 1 +dimension 23 +) +*65 (MRCItem +litem &52 +pos 2 +hidden 1 +dimension 20 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +optionalChildren [ +*66 (MRCItem +litem &53 +pos 0 +dimension 20 +) +*67 (MRCItem +litem &55 +pos 1 +dimension 50 +) +*68 (MRCItem +litem &56 +pos 2 +dimension 100 +) +*69 (MRCItem +litem &57 +pos 3 +dimension 100 +) +*70 (MRCItem +litem &58 +pos 4 +dimension 50 +) +*71 (MRCItem +litem &59 +pos 5 +dimension 50 +) +*72 (MRCItem +litem &60 +pos 6 +dimension 80 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +vaOverrides [ +] +) +] +) +type 1 +) +defaultActionBox (ActionBox +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "0,0,12000,4000" +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +fg "0,32768,49152" +font "courier,8,0" +) +xt "0,-950,1000,-50" +st "a0" +blo "0,-250" +tm "FcNodeNameMgr" +) +) +actions (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +font "courier,8,0" +) +xt "4000,1550,8000,2450" +st "actions;" +tm "FcActions" +) +) +inConnect (FlowConnect +ps "OnEdgeStrategy" +shape (Triangle +ro 180 +va (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +xt "5500,-500,6500,500" +) +direction 0 +) +outConnect (FlowConnect +ps "OnEdgeStrategy" +shape (Triangle +ro 180 +va (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +xt "5500,3500,6500,4500" +) +direction 1 +position 1 +) +) +defaultCompositeActionBox (CompositeActionBox +shape (DoubleRectangle +va (VaSet +vasetType 1 +fg "29952,39936,65280" +lineWidth 2 +) +xt "0,0,12000,4000" +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +font "courier,10,1" +) +xt "5400,1500,6600,2500" +st "a0" +ju 0 +blo "6000,2300" +tm "FcNodeNameMgr" +) +) +inConnect (FlowConnect +ps "OnEdgeStrategy" +shape (Triangle +ro 180 +va (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +xt "5500,-500,6500,500" +) +direction 0 +) +outConnect (FlowConnect +ps "OnEdgeStrategy" +shape (Triangle +ro 180 +va (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +xt "5500,3500,6500,4500" +) +direction 1 +position 1 +) +childDiagram &0 +) +defaultDecisionBox (DecisionBox +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +xt "0,0,10000,4000" +) +name (TextAssociate +ps "EdgeToEdgeStrategy" +text (Text +va (VaSet +fg "0,32768,49152" +font "courier,8,0" +) +xt "0,-950,1000,-50" +st "d0" +blo "0,-250" +tm "FcNodeNameMgr" +) +) +condition (TextAssociate +ps "EdgeToEdgeStrategy" +text (MLText +va (VaSet +font "courier,8,0" +) +xt "2750,1550,7250,2450" +st "condition" +tm "FcConditionMgr" +) +) +trueLabel (Text +va (VaSet +fg "32768,0,0" +font "courier,8,1" +) +xt "5500,4500,6000,5400" +st "T" +blo "5500,5200" +) +falseLabel (Text +va (VaSet +fg "32768,0,0" +font "courier,8,1" +) +xt "10500,600,11000,1500" +st "F" +blo "10500,1300" +) +inConnect (FlowConnect +ps "OnEdgeStrategy" +shape (Triangle +ro 180 +va (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +xt "4500,-500,5500,500" +) +direction 0 +) +trueConnect (FlowConnect +ps "OnEdgeStrategy" +shape (Triangle +ro 180 +va (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +xt "4500,3500,5500,4500" +) +direction 1 +position 1 +) +falseConnect (FlowConnect +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +xt "9500,1500,10500,2500" +) +direction 1 +position 3 +) +elsif 1 +) +defaultWaitBox (WaitBox +shape (Octagon +va (VaSet +vasetType 1 +fg "65535,0,0" +) +xt "0,0,2000,2000" +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +fg "0,32768,49152" +font "courier,8,0" +) +xt "0,-950,1000,-50" +st "w0" +blo "0,-250" +tm "FcNodeNameMgr" +) +) +condition (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +font "courier,8,0" +) +xt "2250,550,4750,1450" +st "wait;" +tm "FcWaitMgr" +) +) +inConnect (FlowConnect +ps "OnEdgeStrategy" +shape (Triangle +ro 180 +va (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +xt "500,-500,1500,500" +) +direction 0 +) +outConnect (FlowConnect +ps "OnEdgeStrategy" +shape (Triangle +ro 180 +va (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +xt "500,1500,1500,2500" +) +direction 1 +position 1 +) +) +defaultStartPoint (StartPoint +shape (RoundedRectangle +va (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 2 +) +xt "0,0,6000,2000" +radius 400 +) +label (Text +va (VaSet +fg "65535,65535,65535" +font "courier,10,1" +) +xt "1500,500,4500,1500" +st "Start" +ju 0 +blo "3000,1300" +) +outConnect (FlowConnect +ps "OnEdgeStrategy" +shape (Triangle +ro 180 +va (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +xt "2500,1500,3500,2500" +) +direction 1 +position 1 +) +) +defaultEndPoint (EndPoint +shape (RoundedRectangle +va (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 2 +) +xt "0,0,6000,2000" +radius 400 +) +label (Text +va (VaSet +fg "65535,65535,65535" +font "courier,10,1" +) +xt "2100,500,3900,1500" +st "End" +ju 0 +blo "3000,1300" +) +inConnect (FlowConnect +ps "OnEdgeStrategy" +shape (Triangle +ro 180 +va (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +xt "2500,-500,3500,500" +) +direction 0 +) +) +defaultStartLoop (StartLoop +shape (Ellipse +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,6000,2000" +) +label (Text +va (VaSet +font "courier,8,1" +) +xt "2000,550,4000,1450" +st "Loop" +ju 0 +blo "3000,1250" +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +fg "0,32768,49152" +font "courier,8,0" +) +xt "0,-950,1000,-50" +st "l0" +blo "0,-250" +tm "FcNodeNameMgr" +) +) +loopControlAssoc (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +font "courier,8,0" +) +xt "3000,1000,3000,1000" +tm "FcLoopTextMgr" +) +) +inConnect (FlowConnect +ps "OnEdgeStrategy" +shape (Triangle +ro 180 +va (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +xt "2500,-500,3500,500" +) +direction 0 +) +outConnect (FlowConnect +ps "OnEdgeStrategy" +shape (Triangle +ro 180 +va (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +xt "2500,1500,3500,2500" +) +direction 1 +position 1 +) +loopConnect (FlowConnect +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +xt "-500,500,500,1500" +) +direction 0 +position 2 +) +) +defaultEndLoop (EndLoop +shape (Ellipse +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,6000,2000" +) +label (Text +va (VaSet +font "courier,8,1" +) +xt "1000,550,5000,1450" +st "End Loop" +ju 0 +blo "3000,1250" +) +inConnect (FlowConnect +ps "OnEdgeStrategy" +shape (Triangle +ro 180 +va (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +xt "2500,-500,3500,500" +) +direction 0 +) +outConnect (FlowConnect +ps "OnEdgeStrategy" +shape (Triangle +ro 180 +va (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +xt "2500,1500,3500,2500" +) +direction 1 +position 1 +) +loopConnect (FlowConnect +ps "OnEdgeStrategy" +shape (Triangle +ro 270 +va (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +xt "-500,500,500,1500" +) +direction 1 +position 2 +) +) +defaultStartCase (StartCase +shape (Pentagon +ro 180 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +xt "0,0,28000,4000" +) +label (Text +va (VaSet +font "courier,8,1" +) +xt "13000,1550,15000,2450" +st "Case" +ju 0 +blo "14000,2250" +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +fg "0,32768,49152" +font "courier,8,0" +) +xt "0,-950,1000,-50" +st "c0" +blo "0,-250" +tm "FcCaseNameMgr" +) +) +caseExpression (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +font "courier,8,0" +) +xt "11250,2650,16750,3550" +st "expression" +ju 0 +tm "FcCaseExpressionMgr" +) +) +inConnect (FlowConnect +ps "OnEdgeStrategy" +shape (Triangle +ro 180 +va (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +xt "13500,-500,14500,500" +) +direction 0 +) +endCase *73 (EndCase +ps "CenterOffsetStrategy" +shape (Pentagon +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +xt "10000,24000,18000,28000" +) +label (Text +va (VaSet +font "courier,8,1" +) +xt "12000,25550,16000,26450" +st "End Case" +ju 0 +blo "14000,26250" +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +fg "0,32768,49152" +font "courier,8,0" +) +xt "10000,23050,11000,23950" +st "c0" +blo "10000,23750" +tm "FcCaseNameMgr" +) +) +inConnect (FlowConnect +ps "OnEdgeStrategy" +shape (Triangle +ro 180 +va (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +xt "13500,23500,14500,24500" +) +direction 0 +) +outConnect (FlowConnect +ps "OnEdgeStrategy" +shape (Triangle +ro 180 +va (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +xt "13500,27500,14500,28500" +) +direction 1 +position 1 +) +) +verilogCaseMode 0 +) +defaultCasePort (CasePort +ps "OnEdgeStrategy" +shape (Triangle +ro 180 +va (VaSet +vasetType 1 +fg "65535,0,0" +fillStyle 12 +) +xt "0,0,1000,1000" +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +font "courier,8,1" +) +xt "1000,500,4000,1400" +st "value0" +tm "FcCasePortNameMgr" +) +) +) +defaultFlow (Flow +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +arrow 1 +) +ss 0 +es 0 +) +defaultFlowJoin (FlowJoin +ps "OnFlowStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-300,-300,300,300" +radius 300 +) +) +) diff --git a/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/logic_clocks/symbol.sb b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/logic_clocks/symbol.sb new file mode 100644 index 00000000..560ca4eb --- /dev/null +++ b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/logic_clocks/symbol.sb @@ -0,0 +1,2299 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "work" +unitName "ipbus" +) +(DmPackageRef +library "unisim" +unitName "vcomponents" +) +] +libraryRefs [ +"ieee" +"unisim" +] +) +version "25.1" +appVersion "2012.1 (Build 6)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 16,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 52,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "clk_logic_xtal_i" +t "std_logic" +eolc "! 40MHz clock from onboard xtal" +o 5 +suid 1,0 +) +) +uid 80,0 +) +*15 (LogPort +port (LogicalPort +lang 11 +m 2 +decl (Decl +n "extclk_p_b" +t "std_logic" +eolc "either external clock in, or a clock being driven out" +preAdd 0 +o 11 +suid 2,0 +) +) +uid 82,0 +) +*16 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "clk_4x_logic_o" +t "std_logic" +eolc "160MHz clock" +preAdd 0 +o 7 +suid 3,0 +) +) +uid 84,0 +) +*17 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "strobe_4x_logic_o" +t "std_logic" +eolc "-- one pulse every 4 cycles of clk_4x" +preAdd 0 +posAdd 0 +o 10 +suid 4,0 +) +) +uid 86,0 +) +*18 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "clk_16x_logic_o" +t "std_logic" +eolc "-- 640MHz clock" +posAdd 0 +o 6 +suid 5,0 +) +) +uid 88,0 +) +*19 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "strobe_16x_logic_o" +t "std_logic" +eolc "-- strobes once every 4 cycles of clk_16x" +posAdd 0 +o 9 +suid 6,0 +) +) +uid 90,0 +) +*20 (LogPort +port (LogicalPort +decl (Decl +n "ipbus_clk_i" +t "std_logic" +o 1 +suid 7,0 +) +) +uid 122,0 +) +*21 (LogPort +port (LogicalPort +decl (Decl +n "ipbus_i" +t "ipb_wbus" +o 2 +suid 8,0 +) +) +uid 124,0 +) +*22 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "ipbus_o" +t "ipb_rbus" +o 8 +suid 9,0 +) +) +uid 126,0 +) +*23 (LogPort +port (LogicalPort +decl (Decl +n "ipbus_reset_i" +t "std_logic" +o 3 +suid 10,0 +) +) +uid 128,0 +) +*24 (LogPort +port (LogicalPort +lang 11 +m 2 +decl (Decl +n "extclk_n_b" +t "std_logic" +o 12 +suid 11,0 +) +) +uid 178,0 +) +*25 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "clk_logic_o" +t "std_logic" +o 13 +suid 13,0 +) +) +uid 192,0 +) +*26 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "logic_clocks_locked_o" +t "std_logic" +o 14 +suid 14,0 +) +) +uid 245,0 +) +*27 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "logic_reset_o" +t "std_logic" +eolc "Goes high to reset counters etc. Sync with clk_4x_logic" +o 15 +suid 15,0 +) +) +uid 275,0 +) +*28 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "Reset_i" +t "std_logic" +o 4 +suid 16,0 +) +) +uid 310,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 65,0 +optionalChildren [ +*29 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *30 (MRCItem +litem &1 +pos 14 +dimension 20 +) +uid 67,0 +optionalChildren [ +*31 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 68,0 +) +*32 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 69,0 +) +*33 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 70,0 +) +*34 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 81,0 +) +*35 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 83,0 +) +*36 (MRCItem +litem &16 +pos 3 +dimension 20 +uid 85,0 +) +*37 (MRCItem +litem &17 +pos 4 +dimension 20 +uid 87,0 +) +*38 (MRCItem +litem &18 +pos 5 +dimension 20 +uid 89,0 +) +*39 (MRCItem +litem &19 +pos 6 +dimension 20 +uid 91,0 +) +*40 (MRCItem +litem &20 +pos 7 +dimension 20 +uid 123,0 +) +*41 (MRCItem +litem &21 +pos 8 +dimension 20 +uid 125,0 +) +*42 (MRCItem +litem &22 +pos 9 +dimension 20 +uid 127,0 +) +*43 (MRCItem +litem &23 +pos 10 +dimension 20 +uid 129,0 +) +*44 (MRCItem +litem &24 +pos 2 +dimension 20 +uid 179,0 +) +*45 (MRCItem +litem &25 +pos 11 +dimension 20 +uid 193,0 +) +*46 (MRCItem +litem &26 +pos 12 +dimension 20 +uid 246,0 +) +*47 (MRCItem +litem &27 +pos 13 +dimension 20 +uid 276,0 +) +*48 (MRCItem +litem &28 +pos 14 +dimension 20 +uid 311,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 71,0 +optionalChildren [ +*49 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 72,0 +) +*50 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 73,0 +) +*51 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 74,0 +) +*52 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 75,0 +) +*53 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 76,0 +) +*54 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 77,0 +) +*55 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 78,0 +) +*56 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 79,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 66,0 +vaOverrides [ +] +) +] +) +uid 51,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *57 (LEmptyRow +) +uid 152,0 +optionalChildren [ +*58 (RefLabelRowHdr +) +*59 (TitleRowHdr +) +*60 (FilterRowHdr +) +*61 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*62 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*63 (GroupColHdr +tm "GroupColHdrMgr" +) +*64 (NameColHdr +tm "GenericNameColHdrMgr" +) +*65 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*66 (InitColHdr +tm "GenericValueColHdrMgr" +) +*67 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*68 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 164,0 +optionalChildren [ +*69 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *70 (MRCItem +litem &57 +pos 0 +dimension 20 +) +uid 166,0 +optionalChildren [ +*71 (MRCItem +litem &58 +pos 0 +dimension 20 +uid 167,0 +) +*72 (MRCItem +litem &59 +pos 1 +dimension 23 +uid 168,0 +) +*73 (MRCItem +litem &60 +pos 2 +hidden 1 +dimension 20 +uid 169,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 170,0 +optionalChildren [ +*74 (MRCItem +litem &61 +pos 0 +dimension 20 +uid 171,0 +) +*75 (MRCItem +litem &63 +pos 1 +dimension 50 +uid 172,0 +) +*76 (MRCItem +litem &64 +pos 2 +dimension 100 +uid 173,0 +) +*77 (MRCItem +litem &65 +pos 3 +dimension 100 +uid 174,0 +) +*78 (MRCItem +litem &66 +pos 4 +dimension 50 +uid 175,0 +) +*79 (MRCItem +litem &67 +pos 5 +dimension 50 +uid 176,0 +) +*80 (MRCItem +litem &68 +pos 6 +dimension 80 +uid 177,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 165,0 +vaOverrides [ +] +) +] +) +uid 151,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl" +) +(vvPair +variable "HDSDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/logic_clocks/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/logic_clocks/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "config" +value "%(unit)_config" +) +(vvPair +variable "d" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/logic_clocks" +) +(vvPair +variable "d_logical" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/logic_clocks" +) +(vvPair +variable "date" +value "07/23/13" +) +(vvPair +variable "day" +value "Tue" +) +(vvPair +variable "day_long" +value "Tuesday" +) +(vvPair +variable "dd" +value "23" +) +(vvPair +variable "entity_name" +value "logic_clocks" +) +(vvPair +variable "ext" +value "<TBD>" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "group" +value "users" +) +(vvPair +variable "host" +value "kipper.phy.bris.ac.uk" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "work" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/designcheck" +) +(vvPair +variable "library_downstream_ISEPARInvoke" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/ise" +) +(vvPair +variable "library_downstream_ImpactInvoke" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/ise" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/work" +) +(vvPair +variable "library_downstream_XSTDataPrep" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/ise" +) +(vvPair +variable "mm" +value "07" +) +(vvPair +variable "module_name" +value "logic_clocks" +) +(vvPair +variable "month" +value "Jul" +) +(vvPair +variable "month_long" +value "July" +) +(vvPair +variable "p" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/logic_clocks/symbol.sb" +) +(vvPair +variable "p_logical" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/logic_clocks/symbol.sb" +) +(vvPair +variable "package_name" +value "<Undefined Variable>" +) +(vvPair +variable "project_name" +value "fmc_mTLU" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "<TBD>" +) +(vvPair +variable "task_DesignCompilerPath" +value "<TBD>" +) +(vvPair +variable "task_LeonardoPath" +value "/opt/CAD/Mentor/LeonardoSpectrum_2008a/bin" +) +(vvPair +variable "task_ModelSimPath" +value "/opt/CAD/Mentor/Modelsim/6.4a/modeltech/bin" +) +(vvPair +variable "task_NC-SimPath" +value "<TBD>" +) +(vvPair +variable "task_PrecisionRTLPath" +value "/opt/CAD/Mentor/Precis_Synth_2009a_update2/Mgc_home/bin" +) +(vvPair +variable "task_QuestaSimPath" +value "/opt/CAD/Mentor/HDS2008.1b/questasim/bin" +) +(vvPair +variable "task_VCSPath" +value "<TBD>" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "18:09:40" +) +(vvPair +variable "unit" +value "logic_clocks" +) +(vvPair +variable "user" +value "phdgc" +) +(vvPair +variable "version" +value "2012.1 (Build 6)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2013" +) +(vvPair +variable "yy" +value "13" +) +] +) +LanguageMgr "VhdlLangMgr" +uid 150,0 +optionalChildren [ +*81 (SymbolBody +uid 8,0 +optionalChildren [ +*82 (CptPort +uid 92,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 93,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "10250,28625,11000,29375" +) +tg (CPTG +uid 94,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 95,0 +va (VaSet +font "courier,8,0" +) +xt "12000,28550,20500,29450" +st "clk_logic_xtal_i" +blo "12000,29250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 96,0 +va (VaSet +font "courier,8,0" +) +xt "27000,5700,66500,6600" +st "clk_logic_xtal_i : IN std_logic ; -- ! 40MHz clock from onboard xtal +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "clk_logic_xtal_i" +t "std_logic" +eolc "! 40MHz clock from onboard xtal" +o 5 +suid 1,0 +) +) +) +*83 (CptPort +uid 97,0 +ps "OnEdgeStrategy" +shape (Diamond +uid 98,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "10250,21625,11000,22375" +) +tg (CPTG +uid 99,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 100,0 +va (VaSet +font "courier,8,0" +) +xt "12000,21550,17500,22450" +st "extclk_p_b" +blo "12000,22250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 101,0 +va (VaSet +font "courier,8,0" +) +xt "27000,11100,77500,12000" +st "extclk_p_b : INOUT std_logic ; -- either external clock in, or a clock being driven out +" +) +thePort (LogicalPort +lang 11 +m 2 +decl (Decl +n "extclk_p_b" +t "std_logic" +eolc "either external clock in, or a clock being driven out" +preAdd 0 +o 11 +suid 2,0 +) +) +) +*84 (CptPort +uid 102,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 103,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "42000,20625,42750,21375" +) +tg (CPTG +uid 104,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 105,0 +va (VaSet +font "courier,8,0" +) +xt "33500,20550,41000,21450" +st "clk_4x_logic_o" +ju 2 +blo "41000,21250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 106,0 +va (VaSet +font "courier,8,0" +) +xt "27000,7500,57000,8400" +st "clk_4x_logic_o : OUT std_logic ; -- 160MHz clock +" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "clk_4x_logic_o" +t "std_logic" +eolc "160MHz clock" +preAdd 0 +o 7 +suid 3,0 +) +) +) +*85 (CptPort +uid 107,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 108,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "42000,23625,42750,24375" +) +tg (CPTG +uid 109,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 110,0 +va (VaSet +font "courier,8,0" +) +xt "32000,23550,41000,24450" +st "strobe_4x_logic_o" +ju 2 +blo "41000,24250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 111,0 +va (VaSet +font "courier,8,0" +) +xt "27000,10200,68000,11100" +st "strobe_4x_logic_o : OUT std_logic ; -- one pulse every 4 cycles of clk_4x +" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "strobe_4x_logic_o" +t "std_logic" +eolc "-- one pulse every 4 cycles of clk_4x" +preAdd 0 +posAdd 0 +o 10 +suid 4,0 +) +) +) +*86 (CptPort +uid 112,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 113,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "42000,26625,42750,27375" +) +tg (CPTG +uid 114,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 115,0 +va (VaSet +font "courier,8,0" +) +xt "33000,26550,41000,27450" +st "clk_16x_logic_o" +ju 2 +blo "41000,27250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 116,0 +va (VaSet +font "courier,8,0" +) +xt "27000,6600,57000,7500" +st "clk_16x_logic_o : OUT std_logic ; -- 640MHz clock +" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "clk_16x_logic_o" +t "std_logic" +eolc "-- 640MHz clock" +posAdd 0 +o 6 +suid 5,0 +) +) +) +*87 (CptPort +uid 117,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 118,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "42000,28625,42750,29375" +) +tg (CPTG +uid 119,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 120,0 +va (VaSet +font "courier,8,0" +) +xt "31500,28550,41000,29450" +st "strobe_16x_logic_o" +ju 2 +blo "41000,29250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 121,0 +va (VaSet +font "courier,8,0" +) +xt "27000,9300,70000,10200" +st "strobe_16x_logic_o : OUT std_logic ; -- strobes once every 4 cycles of clk_16x +" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "strobe_16x_logic_o" +t "std_logic" +eolc "-- strobes once every 4 cycles of clk_16x" +posAdd 0 +o 9 +suid 6,0 +) +) +) +*88 (CptPort +uid 130,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 131,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "10250,31625,11000,32375" +) +tg (CPTG +uid 132,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 133,0 +va (VaSet +font "courier,8,0" +) +xt "12000,31550,18000,32450" +st "ipbus_clk_i" +blo "12000,32250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 134,0 +va (VaSet +font "courier,8,0" +) +xt "27000,2100,49000,3000" +st "ipbus_clk_i : IN std_logic ; +" +) +thePort (LogicalPort +decl (Decl +n "ipbus_clk_i" +t "std_logic" +o 1 +suid 7,0 +) +) +) +*89 (CptPort +uid 135,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 136,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "10250,35625,11000,36375" +) +tg (CPTG +uid 137,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 138,0 +va (VaSet +font "courier,8,0" +) +xt "12000,35550,15500,36450" +st "ipbus_i" +blo "12000,36250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 139,0 +va (VaSet +font "courier,8,0" +) +xt "27000,3000,48500,3900" +st "ipbus_i : IN ipb_wbus ; +" +) +thePort (LogicalPort +decl (Decl +n "ipbus_i" +t "ipb_wbus" +o 2 +suid 8,0 +) +) +) +*90 (CptPort +uid 140,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 141,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "42000,31625,42750,32375" +) +tg (CPTG +uid 142,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 143,0 +va (VaSet +font "courier,8,0" +) +xt "37500,31550,41000,32450" +st "ipbus_o" +ju 2 +blo "41000,32250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 144,0 +va (VaSet +font "courier,8,0" +) +xt "27000,8400,48500,9300" +st "ipbus_o : OUT ipb_rbus ; +" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "ipbus_o" +t "ipb_rbus" +o 8 +suid 9,0 +) +) +) +*91 (CptPort +uid 145,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 146,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "10250,38625,11000,39375" +) +tg (CPTG +uid 147,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 148,0 +va (VaSet +font "courier,8,0" +) +xt "12000,38550,19000,39450" +st "ipbus_reset_i" +blo "12000,39250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 149,0 +va (VaSet +font "courier,8,0" +) +xt "27000,3900,49000,4800" +st "ipbus_reset_i : IN std_logic ; +" +) +thePort (LogicalPort +decl (Decl +n "ipbus_reset_i" +t "std_logic" +o 3 +suid 10,0 +) +) +) +*92 (CptPort +uid 180,0 +ps "OnEdgeStrategy" +shape (Diamond +uid 181,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "10250,24625,11000,25375" +) +tg (CPTG +uid 182,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 183,0 +va (VaSet +font "courier,8,0" +) +xt "12000,24550,17500,25450" +st "extclk_n_b" +blo "12000,25250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 184,0 +va (VaSet +font "courier,8,0" +) +xt "27000,12000,49000,12900" +st "extclk_n_b : INOUT std_logic ; +" +) +thePort (LogicalPort +lang 11 +m 2 +decl (Decl +n "extclk_n_b" +t "std_logic" +o 12 +suid 11,0 +) +) +) +*93 (CptPort +uid 194,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 195,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "42000,33625,42750,34375" +) +tg (CPTG +uid 196,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 197,0 +va (VaSet +font "courier,8,0" +) +xt "35000,33550,41000,34450" +st "clk_logic_o" +ju 2 +blo "41000,34250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 198,0 +va (VaSet +font "courier,8,0" +) +xt "27000,12900,49000,13800" +st "clk_logic_o : OUT std_logic ; +" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "clk_logic_o" +t "std_logic" +o 13 +suid 13,0 +) +) +) +*94 (CptPort +uid 247,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 248,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "42000,36625,42750,37375" +) +tg (CPTG +uid 249,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 250,0 +va (VaSet +font "courier,8,0" +) +xt "30000,36550,41000,37450" +st "logic_clocks_locked_o" +ju 2 +blo "41000,37250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 251,0 +va (VaSet +font "courier,8,0" +) +xt "27000,13800,49000,14700" +st "logic_clocks_locked_o : OUT std_logic ; +" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "logic_clocks_locked_o" +t "std_logic" +o 14 +suid 14,0 +) +) +) +*95 (CptPort +uid 277,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 278,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "42000,39625,42750,40375" +) +tg (CPTG +uid 279,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 280,0 +va (VaSet +font "courier,8,0" +) +xt "34000,39550,41000,40450" +st "logic_reset_o" +ju 2 +blo "41000,40250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 281,0 +va (VaSet +font "courier,8,0" +) +xt "27000,14700,77500,15600" +st "logic_reset_o : OUT std_logic -- Goes high to reset counters etc. Sync with clk_4x_logic +" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "logic_reset_o" +t "std_logic" +eolc "Goes high to reset counters etc. Sync with clk_4x_logic" +o 15 +suid 15,0 +) +) +) +*96 (CptPort +uid 305,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 306,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "10250,39625,11000,40375" +) +tg (CPTG +uid 307,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 308,0 +va (VaSet +font "courier,8,0" +) +xt "12000,39550,15500,40450" +st "Reset_i" +blo "12000,40250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 309,0 +va (VaSet +font "courier,8,0" +) +xt "27000,4800,49000,5700" +st "Reset_i : IN std_logic ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "Reset_i" +t "std_logic" +o 4 +suid 16,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "11000,20000,42000,41000" +) +oxt "15000,20000,39000,40000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "22750,22100,24750,23000" +st "work" +blo "22750,22800" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "22750,23000,29250,23900" +st "logic_clocks" +blo "22750,23700" +) +) +gi *97 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "21000,18100,31500,19000" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +*98 (Grouping +uid 16,0 +optionalChildren [ +*99 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,50000,49000,51000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "32200,50050,44200,50950" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*100 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "49000,46000,53000,47000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "49200,46050,53200,46950" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*101 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,49000,49000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "32200,48050,45700,48950" +st " +<enter diagram title here> +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*102 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "28000,48000,32000,49000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "28200,48050,31200,48950" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*103 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "49000,47000,69000,51000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "49200,47200,60200,48100" +st " +<enter comments here> +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*104 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,46000,69000,47000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "53200,46050,57200,46950" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*105 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "28000,46000,49000,48000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "34000,46500,43000,47500" +st " +<company name> +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*106 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "28000,49000,32000,50000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "28200,49050,30700,49950" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*107 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "28000,50000,32000,51000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "28200,50050,31700,50950" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*108 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,49000,49000,50000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "32200,49050,44700,49950" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "28000,46000,69000,51000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *109 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*110 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,6500,900" +st "Package List" +blo "0,700" +) +*111 (MLText +uid 50,0 +va (VaSet +font "courier,8,0" +) +xt "0,900,14500,8100" +st "LIBRARY ieee; +USE ieee.std_logic_1164.all; +USE ieee.numeric_std.all; + +USE work.ipbus.all; + +library unisim; +use unisim.vcomponents.all;" +tm "PackageList" +) +] +) +windowSize "632,121,1647,811" +viewArea "-500,16642,48604,47208" +cachedDiagramExtent "0,0,77500,51000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,4000,1900" +st "Panel0" +blo "1000,1700" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,39000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "24750,15100,29250,16000" +st "<library>" +blo "24750,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "24750,16000,27750,16900" +st "<cell>" +blo "24750,16700" +) +) +gi *112 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *113 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "25000,300,31500,1200" +st "Declarations" +blo "25000,1000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "25000,1200,28000,2100" +st "Ports:" +blo "25000,1900" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "25000,15600,27500,16500" +st "User:" +blo "25000,16300" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "25000,300,32500,1200" +st "Internal User:" +blo "25000,1000" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "27000,16500,27000,16500" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "25000,300,25000,300" +tm "SyDeclarativeTextMgr" +) +) +lastUid 311,0 +activeModelName "Symbol" +) diff --git a/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/packet_handler/fsm.sm b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/packet_handler/fsm.sm new file mode 100644 index 00000000..a1ac8615 --- /dev/null +++ b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/packet_handler/fsm.sm @@ -0,0 +1,7818 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +language 1 +dialect 5 +dmPackageRefs [ +] +machine (Machine +name "state" +children [ +(Machine +name "state" +children [ +] +stateSignalName "state" +) +] +) +properties [ +(HdrProperty +class "HDS" +name "DocView" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/workspace/IPbusFirmware/firmware/ipbus/hdl/packet_handler.v" +) +(HdrProperty +class "HDS" +name "DocViewState" +value "1352727141" +) +] +includeRefs [ +"ipbus_v_defs.v" +] +) +version "25.1" +appVersion "2010.3 (Build 21)" +model (StateMachine +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl" +) +(vvPair +variable "HDSDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/packet_handler/fsm.sm.info" +) +(vvPair +variable "SideDataUserDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/packet_handler/fsm.sm.user" +) +(vvPair +variable "SourceDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "fsm" +) +(vvPair +variable "config" +value "%(unit)_config" +) +(vvPair +variable "d" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/packet_handler" +) +(vvPair +variable "d_logical" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/packet_handler" +) +(vvPair +variable "date" +value "11/13/12" +) +(vvPair +variable "day" +value "Tue" +) +(vvPair +variable "day_long" +value "Tuesday" +) +(vvPair +variable "dd" +value "13" +) +(vvPair +variable "entity_name" +value "packet_handler" +) +(vvPair +variable "ext" +value "<TBD>" +) +(vvPair +variable "f" +value "fsm.sm" +) +(vvPair +variable "f_logical" +value "fsm.sm" +) +(vvPair +variable "f_noext" +value "fsm" +) +(vvPair +variable "group" +value "HEP_Instrumentation" +) +(vvPair +variable "host" +value "kipper.phy.bris.ac.uk" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "fmc_mTLU_lib" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/designcheck" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "packet_handler" +) +(vvPair +variable "month" +value "Nov" +) +(vvPair +variable "month_long" +value "November" +) +(vvPair +variable "p" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/packet_handler/fsm.sm" +) +(vvPair +variable "p_logical" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/packet_handler/fsm.sm" +) +(vvPair +variable "package_name" +value "<Undefined Variable>" +) +(vvPair +variable "project_name" +value "fmc_mTLU" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "<TBD>" +) +(vvPair +variable "task_DesignCompilerPath" +value "<TBD>" +) +(vvPair +variable "task_LeonardoPath" +value "/opt/CAD/Mentor/LeonardoSpectrum_2008a/bin" +) +(vvPair +variable "task_ModelSimPath" +value "/opt/CAD/Mentor/Modelsim/6.4a/modeltech/bin" +) +(vvPair +variable "task_NC-SimPath" +value "<TBD>" +) +(vvPair +variable "task_PrecisionRTLPath" +value "/opt/CAD/Mentor/Precis_Synth_2009a_update2/Mgc_home/bin" +) +(vvPair +variable "task_QuestaSimPath" +value "/opt/CAD/Mentor/HDS2008.1b/questasim/bin" +) +(vvPair +variable "task_VCSPath" +value "<TBD>" +) +(vvPair +variable "this_ext" +value "sm" +) +(vvPair +variable "this_file" +value "fsm" +) +(vvPair +variable "this_file_logical" +value "fsm" +) +(vvPair +variable "time" +value "16:59:32" +) +(vvPair +variable "unit" +value "packet_handler" +) +(vvPair +variable "user" +value "phdgc" +) +(vvPair +variable "version" +value "2010.3 (Build 21)" +) +(vvPair +variable "view" +value "fsm" +) +(vvPair +variable "year" +value "2012" +) +(vvPair +variable "yy" +value "12" +) +] +) +optionalChildren [ +*1 (ConcurrentSM +uid 1,0 +topDiagram (StateDiagram +LanguageMgr "None" +uid 2,0 +optionalChildren [ +*2 (State +uid 126,0 +shape (Circle +uid 127,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "110280,4000,116280,10000" +radius 3000 +) +name (Text +uid 128,0 +va (VaSet +font "courier,10,1" +) +xt "111180,5850,115380,6850" +st "ST_IDLE" +ju 0 +blo "113280,6650" +tm "ONodeName" +) +wait (TextAssociate +uid 129,0 +ps "CenterOffsetStrategy" +text (Text +uid 130,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "113680,6750,117280,7750" +st "wait 2" +blo "113680,7550" +tm "SmWaitText" +) +) +encoding (Text +uid 131,0 +va (VaSet +font "courier,8,1" +) +xt "111980,7250,114580,8150" +st "5'h00" +blo "111980,7950" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 134,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 135,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "117180,9900,117380,10100" +) +autoResize 1 +tline (Line +uid 136,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "113280,7000,113280,7000" +pts [ +"113280,7000" +"113280,7000" +] +) +bline (Line +uid 137,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "113280,7000,113280,7000" +pts [ +"113280,7000" +"113280,7000" +] +) +ttri (Triangle +uid 138,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "112830,6825,113180,7175" +) +btri (Triangle +uid 139,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "112830,6825,113180,7175" +) +entryActions (MLText +uid 140,0 +va (VaSet +font "courier,8,0" +) +xt "113280,7000,113280,7000" +tm "Actions" +) +inActions (MLText +uid 141,0 +va (VaSet +font "courier,8,0" +) +xt "113280,7000,123780,7900" +st "activeSrc<=SRC_SELF;" +tm "Actions" +) +exitActions (MLText +uid 142,0 +va (VaSet +font "courier,8,0" +) +xt "113280,7000,113280,7000" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 132,0 +ps "CenterOffsetStrategy" +text (MLText +uid 133,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "111130,7800,116630,8700" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*3 (State +uid 143,0 +shape (Circle +uid 144,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "148104,10032,156648,18576" +radius 4272 +) +name (Text +uid 145,0 +va (VaSet +font "courier,10,1" +) +xt "148776,13154,155976,14154" +st "ST_WAITETH0" +ju 0 +blo "152376,13954" +tm "ONodeName" +) +wait (TextAssociate +uid 146,0 +ps "CenterOffsetStrategy" +text (Text +uid 147,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "152776,14054,156376,15054" +st "wait 2" +blo "152776,14854" +tm "SmWaitText" +) +) +encoding (Text +uid 148,0 +va (VaSet +font "courier,8,1" +) +xt "151076,14554,153676,15454" +st "5'h01" +blo "151076,15254" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 151,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 152,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "156276,17204,156476,17404" +) +autoResize 1 +tline (Line +uid 153,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "152376,14304,152376,14304" +pts [ +"152376,14304" +"152376,14304" +] +) +bline (Line +uid 154,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "152376,14304,152376,14304" +pts [ +"152376,14304" +"152376,14304" +] +) +ttri (Triangle +uid 155,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "151926,14129,152276,14479" +) +btri (Triangle +uid 156,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "151926,14129,152276,14479" +) +entryActions (MLText +uid 157,0 +va (VaSet +font "courier,8,0" +) +xt "152376,14304,152376,14304" +tm "Actions" +) +inActions (MLText +uid 158,0 +va (VaSet +font "courier,8,0" +) +xt "152376,14304,174576,15204" +st "self_rxa<=`pbuf_awidth'd13; // get ethtype1" +tm "Actions" +) +exitActions (MLText +uid 159,0 +va (VaSet +font "courier,8,0" +) +xt "152376,14304,152376,14304" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 149,0 +ps "CenterOffsetStrategy" +text (MLText +uid 150,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "150226,15104,155726,16004" +st "CASE: expr" +tm "SmCaseExpr" +) +) +impLoop 0 +) +*4 (State +uid 160,0 +shape (Circle +uid 161,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "183197,32232,189197,38232" +radius 3000 +) +name (Text +uid 162,0 +va (VaSet +font "courier,10,1" +) +xt "184097,34082,188297,35082" +st "ST_ETH0" +ju 0 +blo "186197,34882" +tm "ONodeName" +) +wait (TextAssociate +uid 163,0 +ps "CenterOffsetStrategy" +text (Text +uid 164,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "186597,34982,190197,35982" +st "wait 2" +blo "186597,35782" +tm "SmWaitText" +) +) +encoding (Text +uid 165,0 +va (VaSet +font "courier,8,1" +) +xt "184897,35482,187497,36382" +st "5'h02" +blo "184897,36182" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 168,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 169,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "190097,38132,190297,38332" +) +autoResize 1 +tline (Line +uid 170,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "186197,35232,186197,35232" +pts [ +"186197,35232" +"186197,35232" +] +) +bline (Line +uid 171,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "186197,35232,186197,35232" +pts [ +"186197,35232" +"186197,35232" +] +) +ttri (Triangle +uid 172,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "185747,35057,186097,35407" +) +btri (Triangle +uid 173,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "185747,35057,186097,35407" +) +entryActions (MLText +uid 174,0 +va (VaSet +font "courier,8,0" +) +xt "186197,35232,186197,35232" +tm "Actions" +) +inActions (MLText +uid 175,0 +va (VaSet +font "courier,8,0" +) +xt "186197,35232,211397,37032" +st "eth0<=rxd; +self_rxa<=`pbuf_awidth'd30; // get dest ip addr 0" +tm "Actions" +) +exitActions (MLText +uid 176,0 +va (VaSet +font "courier,8,0" +) +xt "186197,35232,186197,35232" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 166,0 +ps "CenterOffsetStrategy" +text (MLText +uid 167,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "184047,36032,189547,36932" +st "CASE: expr" +tm "SmCaseExpr" +) +) +impLoop 0 +) +*5 (State +uid 177,0 +shape (Circle +uid 178,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "207181,63960,213181,69960" +radius 3000 +) +name (Text +uid 179,0 +va (VaSet +font "courier,10,1" +) +xt "208081,65810,212281,66810" +st "ST_ETH1" +ju 0 +blo "210181,66610" +tm "ONodeName" +) +wait (TextAssociate +uid 180,0 +ps "CenterOffsetStrategy" +text (Text +uid 181,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "210581,66710,214181,67710" +st "wait 2" +blo "210581,67510" +tm "SmWaitText" +) +) +encoding (Text +uid 182,0 +va (VaSet +font "courier,8,1" +) +xt "208881,67210,211481,68110" +st "5'h03" +blo "208881,67910" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 185,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 186,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "214081,69860,214281,70060" +) +autoResize 1 +tline (Line +uid 187,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "210181,66960,210181,66960" +pts [ +"210181,66960" +"210181,66960" +] +) +bline (Line +uid 188,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "210181,66960,210181,66960" +pts [ +"210181,66960" +"210181,66960" +] +) +ttri (Triangle +uid 189,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "209731,66785,210081,67135" +) +btri (Triangle +uid 190,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "209731,66785,210081,67135" +) +entryActions (MLText +uid 191,0 +va (VaSet +font "courier,8,0" +) +xt "210181,66960,210181,66960" +tm "Actions" +) +inActions (MLText +uid 192,0 +va (VaSet +font "courier,8,0" +) +xt "210181,66960,235381,67860" +st "self_rxa<=`pbuf_awidth'd31; // get dest ip addr 1" +tm "Actions" +) +exitActions (MLText +uid 193,0 +va (VaSet +font "courier,8,0" +) +xt "210181,66960,210181,66960" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 183,0 +ps "CenterOffsetStrategy" +text (MLText +uid 184,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "208031,67760,213531,68660" +st "CASE: expr" +tm "SmCaseExpr" +) +) +impLoop 0 +) +*6 (State +uid 194,0 +shape (Circle +uid 195,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "218090,102208,224090,108208" +radius 3000 +) +name (Text +uid 196,0 +va (VaSet +font "courier,10,1" +) +xt "219290,104058,222890,105058" +st "ST_IP0" +ju 0 +blo "221090,104858" +tm "ONodeName" +) +wait (TextAssociate +uid 197,0 +ps "CenterOffsetStrategy" +text (Text +uid 198,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "221490,104958,225090,105958" +st "wait 2" +blo "221490,105758" +tm "SmWaitText" +) +) +encoding (Text +uid 199,0 +va (VaSet +font "courier,8,1" +) +xt "219790,105458,222390,106358" +st "5'h05" +blo "219790,106158" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 202,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 203,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "224990,108108,225190,108308" +) +autoResize 1 +tline (Line +uid 204,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "221090,105208,221090,105208" +pts [ +"221090,105208" +"221090,105208" +] +) +bline (Line +uid 205,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "221090,105208,221090,105208" +pts [ +"221090,105208" +"221090,105208" +] +) +ttri (Triangle +uid 206,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "220640,105033,220990,105383" +) +btri (Triangle +uid 207,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "220640,105033,220990,105383" +) +entryActions (MLText +uid 208,0 +va (VaSet +font "courier,8,0" +) +xt "221090,105208,221090,105208" +tm "Actions" +) +inActions (MLText +uid 209,0 +va (VaSet +font "courier,8,0" +) +xt "221090,105208,246290,106108" +st "self_rxa<=`pbuf_awidth'd32; // get dest ip addr 2" +tm "Actions" +) +exitActions (MLText +uid 210,0 +va (VaSet +font "courier,8,0" +) +xt "221090,105208,221090,105208" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 200,0 +ps "CenterOffsetStrategy" +text (MLText +uid 201,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "218940,106008,224440,106908" +st "CASE: expr" +tm "SmCaseExpr" +) +) +impLoop 0 +) +*7 (State +uid 211,0 +shape (Circle +uid 212,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "214454,141814,220454,147814" +radius 3000 +) +name (Text +uid 213,0 +va (VaSet +font "courier,10,1" +) +xt "215654,143664,219254,144664" +st "ST_IP1" +ju 0 +blo "217454,144464" +tm "ONodeName" +) +wait (TextAssociate +uid 214,0 +ps "CenterOffsetStrategy" +text (Text +uid 215,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "217854,144564,221454,145564" +st "wait 2" +blo "217854,145364" +tm "SmWaitText" +) +) +encoding (Text +uid 216,0 +va (VaSet +font "courier,8,1" +) +xt "216154,145064,218754,145964" +st "5'h06" +blo "216154,145764" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 219,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 220,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "221354,147714,221554,147914" +) +autoResize 1 +tline (Line +uid 221,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "217454,144814,217454,144814" +pts [ +"217454,144814" +"217454,144814" +] +) +bline (Line +uid 222,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "217454,144814,217454,144814" +pts [ +"217454,144814" +"217454,144814" +] +) +ttri (Triangle +uid 223,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "217004,144639,217354,144989" +) +btri (Triangle +uid 224,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "217004,144639,217354,144989" +) +entryActions (MLText +uid 225,0 +va (VaSet +font "courier,8,0" +) +xt "217454,144814,217454,144814" +tm "Actions" +) +inActions (MLText +uid 226,0 +va (VaSet +font "courier,8,0" +) +xt "217454,144814,242654,145714" +st "self_rxa<=`pbuf_awidth'd33; // get dest ip addr 3" +tm "Actions" +) +exitActions (MLText +uid 227,0 +va (VaSet +font "courier,8,0" +) +xt "217454,144814,217454,144814" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 217,0 +ps "CenterOffsetStrategy" +text (MLText +uid 218,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "215304,145614,220804,146514" +st "CASE: expr" +tm "SmCaseExpr" +) +) +impLoop 0 +) +*8 (State +uid 228,0 +shape (Circle +uid 229,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "196762,177436,202762,183436" +radius 3000 +) +name (Text +uid 230,0 +va (VaSet +font "courier,10,1" +) +xt "197962,179286,201562,180286" +st "ST_IP2" +ju 0 +blo "199762,180086" +tm "ONodeName" +) +wait (TextAssociate +uid 231,0 +ps "CenterOffsetStrategy" +text (Text +uid 232,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "200162,180186,203762,181186" +st "wait 2" +blo "200162,180986" +tm "SmWaitText" +) +) +encoding (Text +uid 233,0 +va (VaSet +font "courier,8,1" +) +xt "198462,180686,201062,181586" +st "5'h07" +blo "198462,181386" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 236,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 237,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "203662,183336,203862,183536" +) +autoResize 1 +tline (Line +uid 238,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "199762,180436,199762,180436" +pts [ +"199762,180436" +"199762,180436" +] +) +bline (Line +uid 239,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "199762,180436,199762,180436" +pts [ +"199762,180436" +"199762,180436" +] +) +ttri (Triangle +uid 240,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "199312,180261,199662,180611" +) +btri (Triangle +uid 241,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "199312,180261,199662,180611" +) +entryActions (MLText +uid 242,0 +va (VaSet +font "courier,8,0" +) +xt "199762,180436,199762,180436" +tm "Actions" +) +inActions (MLText +uid 243,0 +va (VaSet +font "courier,8,0" +) +xt "199762,180436,228962,181336" +st "self_rxa<=`pbuf_awidth'd23; // get the ip type (if valid)" +tm "Actions" +) +exitActions (MLText +uid 244,0 +va (VaSet +font "courier,8,0" +) +xt "199762,180436,199762,180436" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 234,0 +ps "CenterOffsetStrategy" +text (MLText +uid 235,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "197612,181236,203112,182136" +st "CASE: expr" +tm "SmCaseExpr" +) +) +impLoop 0 +) +*9 (State +uid 245,0 +shape (Circle +uid 246,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "167402,204266,173402,210266" +radius 3000 +) +name (Text +uid 247,0 +va (VaSet +font "courier,10,1" +) +xt "168602,206116,172202,207116" +st "ST_IP3" +ju 0 +blo "170402,206916" +tm "ONodeName" +) +wait (TextAssociate +uid 248,0 +ps "CenterOffsetStrategy" +text (Text +uid 249,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "170802,207016,174402,208016" +st "wait 2" +blo "170802,207816" +tm "SmWaitText" +) +) +encoding (Text +uid 250,0 +va (VaSet +font "courier,8,1" +) +xt "169102,207516,171702,208416" +st "5'h08" +blo "169102,208216" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 253,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 254,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "174302,210166,174502,210366" +) +autoResize 1 +tline (Line +uid 255,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "170402,207266,170402,207266" +pts [ +"170402,207266" +"170402,207266" +] +) +bline (Line +uid 256,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "170402,207266,170402,207266" +pts [ +"170402,207266" +"170402,207266" +] +) +ttri (Triangle +uid 257,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "169952,207091,170302,207441" +) +btri (Triangle +uid 258,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "169952,207091,170302,207441" +) +entryActions (MLText +uid 259,0 +va (VaSet +font "courier,8,0" +) +xt "170402,207266,170402,207266" +tm "Actions" +) +inActions (MLText +uid 260,0 +va (VaSet +font "courier,8,0" +) +xt "170402,207266,203602,208166" +st "self_rxa<=`pbuf_awidth'h24; // get the udp port (MSB) (if needed)" +tm "Actions" +) +exitActions (MLText +uid 261,0 +va (VaSet +font "courier,8,0" +) +xt "170402,207266,170402,207266" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 251,0 +ps "CenterOffsetStrategy" +text (MLText +uid 252,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "168252,208066,173752,208966" +st "CASE: expr" +tm "SmCaseExpr" +) +) +impLoop 0 +) +*10 (State +uid 262,0 +shape (Circle +uid 263,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "130335,218686,136335,224686" +radius 3000 +) +name (Text +uid 264,0 +va (VaSet +font "courier,10,1" +) +xt "131835,220536,134835,221536" +st "ST_IP" +ju 0 +blo "133335,221336" +tm "ONodeName" +) +wait (TextAssociate +uid 265,0 +ps "CenterOffsetStrategy" +text (Text +uid 266,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "133735,221436,137335,222436" +st "wait 2" +blo "133735,222236" +tm "SmWaitText" +) +) +encoding (Text +uid 267,0 +va (VaSet +font "courier,8,1" +) +xt "132035,221936,134635,222836" +st "5'h09" +blo "132035,222636" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 270,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 271,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "137235,224586,137435,224786" +) +autoResize 1 +tline (Line +uid 272,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "133335,221686,133335,221686" +pts [ +"133335,221686" +"133335,221686" +] +) +bline (Line +uid 273,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "133335,221686,133335,221686" +pts [ +"133335,221686" +"133335,221686" +] +) +ttri (Triangle +uid 274,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "132885,221511,133235,221861" +) +btri (Triangle +uid 275,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "132885,221511,133235,221861" +) +entryActions (MLText +uid 276,0 +va (VaSet +font "courier,8,0" +) +xt "133335,221686,133335,221686" +tm "Actions" +) +inActions (MLText +uid 277,0 +va (VaSet +font "courier,8,0" +) +xt "133335,221686,166535,222586" +st "self_rxa<=`pbuf_awidth'h25; // get the udp port (LSB) (if needed)" +tm "Actions" +) +exitActions (MLText +uid 278,0 +va (VaSet +font "courier,8,0" +) +xt "133335,221686,133335,221686" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 268,0 +ps "CenterOffsetStrategy" +text (MLText +uid 269,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "131185,222486,136685,223386" +st "CASE: expr" +tm "SmCaseExpr" +) +) +impLoop 0 +) +*11 (State +uid 279,0 +shape (Circle +uid 280,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "6106,141814,12106,147814" +radius 3000 +) +name (Text +uid 281,0 +va (VaSet +font "courier,10,1" +) +xt "7306,143664,10906,144664" +st "ST_ARP" +ju 0 +blo "9106,144464" +tm "ONodeName" +) +wait (TextAssociate +uid 282,0 +ps "CenterOffsetStrategy" +text (Text +uid 283,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "9506,144564,13106,145564" +st "wait 2" +blo "9506,145364" +tm "SmWaitText" +) +) +encoding (Text +uid 284,0 +va (VaSet +font "courier,8,1" +) +xt "7806,145064,10406,145964" +st "5'h04" +blo "7806,145764" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 287,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 288,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "13006,147714,13206,147914" +) +autoResize 1 +tline (Line +uid 289,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "9106,144814,9106,144814" +pts [ +"9106,144814" +"9106,144814" +] +) +bline (Line +uid 290,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "9106,144814,9106,144814" +pts [ +"9106,144814" +"9106,144814" +] +) +ttri (Triangle +uid 291,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "8656,144639,9006,144989" +) +btri (Triangle +uid 292,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "8656,144639,9006,144989" +) +entryActions (MLText +uid 293,0 +va (VaSet +font "courier,8,0" +) +xt "9106,144814,9106,144814" +tm "Actions" +) +inActions (MLText +uid 294,0 +va (VaSet +font "courier,8,0" +) +xt "9106,144814,19106,145714" +st "activeSrc<=SRC_ARP;" +tm "Actions" +) +exitActions (MLText +uid 295,0 +va (VaSet +font "courier,8,0" +) +xt "9106,144814,9106,144814" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 285,0 +ps "CenterOffsetStrategy" +text (MLText +uid 286,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "6956,145614,12456,146514" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*12 (State +uid 296,0 +shape (Circle +uid 297,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "90225,218686,96225,224686" +radius 3000 +) +name (Text +uid 298,0 +va (VaSet +font "courier,10,1" +) +xt "91125,220536,95325,221536" +st "ST_ICMP" +ju 0 +blo "93225,221336" +tm "ONodeName" +) +wait (TextAssociate +uid 299,0 +ps "CenterOffsetStrategy" +text (Text +uid 300,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "93625,221436,97225,222436" +st "wait 2" +blo "93625,222236" +tm "SmWaitText" +) +) +encoding (Text +uid 301,0 +va (VaSet +font "courier,8,1" +) +xt "91925,221936,94525,222836" +st "5'h0a" +blo "91925,222636" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 304,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 305,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "97125,224586,97325,224786" +) +autoResize 1 +tline (Line +uid 306,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "93225,221686,93225,221686" +pts [ +"93225,221686" +"93225,221686" +] +) +bline (Line +uid 307,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "93225,221686,93225,221686" +pts [ +"93225,221686" +"93225,221686" +] +) +ttri (Triangle +uid 308,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "92775,221511,93125,221861" +) +btri (Triangle +uid 309,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "92775,221511,93125,221861" +) +entryActions (MLText +uid 310,0 +va (VaSet +font "courier,8,0" +) +xt "93225,221686,93225,221686" +tm "Actions" +) +inActions (MLText +uid 311,0 +va (VaSet +font "courier,8,0" +) +xt "93225,221686,103725,222586" +st "activeSrc<=SRC_ICMP;" +tm "Actions" +) +exitActions (MLText +uid 312,0 +va (VaSet +font "courier,8,0" +) +xt "93225,221686,93225,221686" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 302,0 +ps "CenterOffsetStrategy" +text (MLText +uid 303,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "91075,222486,96575,223386" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*13 (State +uid 313,0 +shape (Circle +uid 314,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "2470,102208,8470,108208" +radius 3000 +) +name (Text +uid 315,0 +va (VaSet +font "courier,10,1" +) +xt "3370,104058,7570,105058" +st "ST_UDP0" +ju 0 +blo "5470,104858" +tm "ONodeName" +) +wait (TextAssociate +uid 316,0 +ps "CenterOffsetStrategy" +text (Text +uid 317,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "5870,104958,9470,105958" +st "wait 2" +blo "5870,105758" +tm "SmWaitText" +) +) +encoding (Text +uid 318,0 +va (VaSet +font "courier,8,1" +) +xt "4170,105458,6770,106358" +st "5'h0c" +blo "4170,106158" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 321,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 322,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "9370,108108,9570,108308" +) +autoResize 1 +tline (Line +uid 323,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "5470,105208,5470,105208" +pts [ +"5470,105208" +"5470,105208" +] +) +bline (Line +uid 324,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "5470,105208,5470,105208" +pts [ +"5470,105208" +"5470,105208" +] +) +ttri (Triangle +uid 325,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "5020,105033,5370,105383" +) +btri (Triangle +uid 326,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "5020,105033,5370,105383" +) +entryActions (MLText +uid 327,0 +va (VaSet +font "courier,8,0" +) +xt "5470,105208,5470,105208" +tm "Actions" +) +inActions (MLText +uid 328,0 +va (VaSet +font "courier,8,0" +) +xt "5470,105208,5470,105208" +tm "Actions" +) +exitActions (MLText +uid 329,0 +va (VaSet +font "courier,8,0" +) +xt "5470,105208,5470,105208" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 319,0 +ps "CenterOffsetStrategy" +text (MLText +uid 320,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "3320,106008,8820,106908" +st "CASE: expr" +tm "SmCaseExpr" +) +) +impLoop 0 +) +*14 (State +uid 330,0 +shape (Circle +uid 331,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "13379,63960,19379,69960" +radius 3000 +) +name (Text +uid 332,0 +va (VaSet +font "courier,10,1" +) +xt "14279,65810,18479,66810" +st "ST_UDP1" +ju 0 +blo "16379,66610" +tm "ONodeName" +) +wait (TextAssociate +uid 333,0 +ps "CenterOffsetStrategy" +text (Text +uid 334,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "16779,66710,20379,67710" +st "wait 2" +blo "16779,67510" +tm "SmWaitText" +) +) +encoding (Text +uid 335,0 +va (VaSet +font "courier,8,1" +) +xt "15079,67210,17679,68110" +st "5'h0d" +blo "15079,67910" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 338,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 339,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "20279,69860,20479,70060" +) +autoResize 1 +tline (Line +uid 340,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "16379,66960,16379,66960" +pts [ +"16379,66960" +"16379,66960" +] +) +bline (Line +uid 341,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "16379,66960,16379,66960" +pts [ +"16379,66960" +"16379,66960" +] +) +ttri (Triangle +uid 342,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "15929,66785,16279,67135" +) +btri (Triangle +uid 343,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "15929,66785,16279,67135" +) +entryActions (MLText +uid 344,0 +va (VaSet +font "courier,8,0" +) +xt "16379,66960,16379,66960" +tm "Actions" +) +inActions (MLText +uid 345,0 +va (VaSet +font "courier,8,0" +) +xt "16379,66960,16379,66960" +tm "Actions" +) +exitActions (MLText +uid 346,0 +va (VaSet +font "courier,8,0" +) +xt "16379,66960,16379,66960" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 336,0 +ps "CenterOffsetStrategy" +text (MLText +uid 337,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "14229,67760,19729,68660" +st "CASE: expr" +tm "SmCaseExpr" +) +) +impLoop 0 +) +*15 (State +uid 347,0 +shape (Circle +uid 348,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "37363,32232,43363,38232" +radius 3000 +) +name (Text +uid 349,0 +va (VaSet +font "courier,10,1" +) +xt "38563,34082,42163,35082" +st "ST_UDP" +ju 0 +blo "40363,34882" +tm "ONodeName" +) +wait (TextAssociate +uid 350,0 +ps "CenterOffsetStrategy" +text (Text +uid 351,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "40763,34982,44363,35982" +st "wait 2" +blo "40763,35782" +tm "SmWaitText" +) +) +encoding (Text +uid 352,0 +va (VaSet +font "courier,8,1" +) +xt "39063,35482,41663,36382" +st "5'h0b" +blo "39063,36182" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 355,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 356,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "44263,38132,44463,38332" +) +autoResize 1 +tline (Line +uid 357,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "40363,35232,40363,35232" +pts [ +"40363,35232" +"40363,35232" +] +) +bline (Line +uid 358,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "40363,35232,40363,35232" +pts [ +"40363,35232" +"40363,35232" +] +) +ttri (Triangle +uid 359,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "39913,35057,40263,35407" +) +btri (Triangle +uid 360,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "39913,35057,40263,35407" +) +entryActions (MLText +uid 361,0 +va (VaSet +font "courier,8,0" +) +xt "40363,35232,40363,35232" +tm "Actions" +) +inActions (MLText +uid 362,0 +va (VaSet +font "courier,8,0" +) +xt "40363,35232,50363,36132" +st "activeSrc<=SRC_UDP;" +tm "Actions" +) +exitActions (MLText +uid 363,0 +va (VaSet +font "courier,8,0" +) +xt "40363,35232,40363,35232" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 353,0 +ps "CenterOffsetStrategy" +text (MLText +uid 354,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "38213,36032,43713,36932" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*16 (State +uid 364,0 +shape (Circle +uid 365,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "52174,203282,60142,211250" +radius 3984 +) +name (Text +uid 366,0 +va (VaSet +font "courier,10,1" +) +xt "52858,206116,59458,207116" +st "ST_PREDONE" +ju 0 +blo "56158,206916" +tm "ONodeName" +) +wait (TextAssociate +uid 367,0 +ps "CenterOffsetStrategy" +text (Text +uid 368,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "56558,207016,60158,208016" +st "wait 2" +blo "56558,207816" +tm "SmWaitText" +) +) +encoding (Text +uid 369,0 +va (VaSet +font "courier,8,1" +) +xt "54858,207516,57458,208416" +st "5'h1d" +blo "54858,208216" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 372,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 373,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "60058,210166,60258,210366" +) +autoResize 1 +tline (Line +uid 374,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "56158,207266,56158,207266" +pts [ +"56158,207266" +"56158,207266" +] +) +bline (Line +uid 375,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "56158,207266,56158,207266" +pts [ +"56158,207266" +"56158,207266" +] +) +ttri (Triangle +uid 376,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "55708,207091,56058,207441" +) +btri (Triangle +uid 377,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "55708,207091,56058,207441" +) +entryActions (MLText +uid 378,0 +va (VaSet +font "courier,8,0" +) +xt "56158,207266,56158,207266" +tm "Actions" +) +inActions (MLText +uid 379,0 +va (VaSet +font "courier,8,0" +) +xt "56158,207266,62158,208166" +st "rx_done<=1;" +tm "Actions" +) +exitActions (MLText +uid 380,0 +va (VaSet +font "courier,8,0" +) +xt "56158,207266,56158,207266" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 370,0 +ps "CenterOffsetStrategy" +text (MLText +uid 371,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "54008,208066,59508,208966" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*17 (State +uid 381,0 +shape (Circle +uid 382,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "23798,177436,29798,183436" +radius 3000 +) +name (Text +uid 383,0 +va (VaSet +font "courier,10,1" +) +xt "24698,179286,28898,180286" +st "ST_DONE" +ju 0 +blo "26798,180086" +tm "ONodeName" +) +wait (TextAssociate +uid 384,0 +ps "CenterOffsetStrategy" +text (Text +uid 385,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "27198,180186,30798,181186" +st "wait 2" +blo "27198,180986" +tm "SmWaitText" +) +) +encoding (Text +uid 386,0 +va (VaSet +font "courier,8,1" +) +xt "25498,180686,28098,181586" +st "5'h1e" +blo "25498,181386" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 389,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 390,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "30698,183336,30898,183536" +) +autoResize 1 +tline (Line +uid 391,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "26798,180436,26798,180436" +pts [ +"26798,180436" +"26798,180436" +] +) +bline (Line +uid 392,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "26798,180436,26798,180436" +pts [ +"26798,180436" +"26798,180436" +] +) +ttri (Triangle +uid 393,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "26348,180261,26698,180611" +) +btri (Triangle +uid 394,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "26348,180261,26698,180611" +) +entryActions (MLText +uid 395,0 +va (VaSet +font "courier,8,0" +) +xt "26798,180436,26798,180436" +tm "Actions" +) +inActions (MLText +uid 396,0 +va (VaSet +font "courier,8,0" +) +xt "26798,180436,37298,182236" +st "activeSrc<=SRC_SELF; +rx_done<=0;" +tm "Actions" +) +exitActions (MLText +uid 397,0 +va (VaSet +font "courier,8,0" +) +xt "26798,180436,26798,180436" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 387,0 +ps "CenterOffsetStrategy" +text (MLText +uid 388,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "24648,181236,30148,182136" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*18 (State +uid 398,0 +shape (Circle +uid 399,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "69912,10032,78456,18576" +radius 4272 +) +name (Text +uid 400,0 +va (VaSet +font "courier,10,1" +) +xt "70584,13154,77784,14154" +st "ST_UDP_XMIT" +ju 0 +blo "74184,13954" +tm "ONodeName" +) +wait (TextAssociate +uid 401,0 +ps "CenterOffsetStrategy" +text (Text +uid 402,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "74584,14054,78184,15054" +st "wait 2" +blo "74584,14854" +tm "SmWaitText" +) +) +encoding (Text +uid 403,0 +va (VaSet +font "courier,8,1" +) +xt "72884,14554,75484,15454" +st "5'h1f" +blo "72884,15254" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 406,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 407,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "78084,17204,78284,17404" +) +autoResize 1 +tline (Line +uid 408,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "74184,14304,74184,14304" +pts [ +"74184,14304" +"74184,14304" +] +) +bline (Line +uid 409,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "74184,14304,74184,14304" +pts [ +"74184,14304" +"74184,14304" +] +) +ttri (Triangle +uid 410,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "73734,14129,74084,14479" +) +btri (Triangle +uid 411,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "73734,14129,74084,14479" +) +entryActions (MLText +uid 412,0 +va (VaSet +font "courier,8,0" +) +xt "74184,14304,74184,14304" +tm "Actions" +) +inActions (MLText +uid 413,0 +va (VaSet +font "courier,8,0" +) +xt "74184,14304,74184,14304" +tm "Actions" +) +exitActions (MLText +uid 414,0 +va (VaSet +font "courier,8,0" +) +xt "74184,14304,74184,14304" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 404,0 +ps "CenterOffsetStrategy" +text (MLText +uid 405,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "72034,15104,77534,16004" +st "CASE: expr" +tm "SmCaseExpr" +) +) +impLoop 0 +) +*19 (SmResetPoint +uid 415,0 +shape (CompositeShape +uid 416,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +uid 417,0 +sl 0 +ro 270 +xt "-5375,-11375,-3125,-10375" +) +(OrthoPolyLine +uid 418,0 +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "-4475,-11175,-3876,-10575" +pts [ +"-3876,-10575" +"-4176,-10575" +"-4176,-11175" +"-4475,-11175" +] +) +(Line +uid 419,0 +sl 0 +ro 270 +xt "-5126,-11050,-5026,-11000" +pts [ +"-5126,-11000" +"-5026,-11050" +] +) +(Line +uid 420,0 +sl 0 +ro 270 +xt "-5126,-11050,-5126,-10700" +pts [ +"-5126,-10700" +"-5126,-11050" +] +) +(Circle +uid 421,0 +layer 10 +sl 0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,0" +) +xt "-4326,-11025,-4026,-10725" +radius 150 +) +] +) +cond (SmControlCondition +uid 427,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 428,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "-5875,-12875,-2675,-11775" +) +autoResize 1 +cond (MLText +uid 429,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-5775,-12775,-2775,-11875" +st "!reset" +tm "SmControlConditionMgr" +) +) +prio (TransitionPriority +uid 424,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 425,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "-3125,-11665,-1545,-10085" +radius 790 +) +pr (Text +uid 426,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-2585,-11325,-2085,-10425" +st "1" +ju 0 +blo "-2335,-10625" +tm "TransitionPriority" +) +padding "200,200" +) +name (TextAssociate +uid 422,0 +ps "CenterOffsetStrategy" +text (Text +uid 423,0 +va (VaSet +font "courier,8,0" +) +xt "-7875,-11325,-5375,-10425" +st "reset" +ju 2 +blo "-5375,-10625" +tm "SmControlSignalNameMgr" +) +) +actions (TextAssociate +uid 430,0 +ps "CenterOffsetStrategy" +text (MLText +uid 431,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "2500,-6750,9500,-5850" +st "< Automatic >" +tm "Actions" +) +) +) +*20 (SmClockPoint +uid 432,0 +shape (CompositeShape +uid 433,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +uid 434,0 +sl 0 +ro 270 +xt "-4250,-6375,-2000,-5375" +) +(OrthoPolyLine +uid 435,0 +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "-3350,-6175,-2751,-5575" +pts [ +"-3350,-5575" +"-3051,-5575" +"-3051,-6175" +"-2751,-6175" +] +) +(Arc2D +pts [ +"-3741,-5722" +"-3996,-6028" +"-3741,-6028" +] +uid 436,0 +sl 0 +ro 270 +va (VaSet +vasetType 1 +transparent 1 +) +xt "-3996,-6028,-3741,-5722" +) +] +) +name (TextAssociate +uid 437,0 +ps "CenterOffsetStrategy" +text (Text +uid 438,0 +va (VaSet +font "courier,8,0" +) +xt "-6250,-6375,-4750,-5475" +st "clk" +ju 2 +blo "-4750,-5675" +tm "SmControlSignalNameMgr" +) +) +cond (SmControlCondition +uid 439,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 440,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "-2000,-6425,4200,-5325" +) +autoResize 1 +cond (MLText +uid 441,0 +va (VaSet +font "courier,8,0" +) +xt "-1900,-6325,4100,-5425" +st "posedge clk" +tm "SmControlConditionMgr" +) +) +) +*21 (Link +uid 442,0 +shape (CompositeShape +uid 443,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +optionalChildren [ +(Pentagon +uid 444,0 +sl 0 +ro 270 +xt "3375,-11375,5625,-10375" +) +(Line +uid 445,0 +sl 0 +ro 270 +xt "2875,-10875,3375,-10875" +pts [ +"2875,-10875" +"3375,-10875" +] +) +] +) +name (TextAssociate +uid 446,0 +ps "CenterOffsetStrategy" +text (Text +uid 447,0 +va (VaSet +font "courier,8,1" +) +xt "6125,-11375,9625,-10475" +st "ST_IDLE" +blo "6125,-10675" +tm "LinkName" +) +) +) +*22 (Property +uid 748,0 +pclass "HDS" +pname "DocView" +pvalue "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/workspace/IPbusFirmware/firmware/ipbus/hdl/packet_handler.v" +ptn "String" +) +*23 (Property +uid 749,0 +pclass "HDS" +pname "DocViewState" +pvalue "1352727141" +ptn "String" +) +*24 (Transition +uid 448,0 +shape (Spline +uid 449,0 +va (VaSet +vasetType 3 +) +xt "-3125,-10875,2875,-10875" +pts [ +"-3125,-10875" +"2875,-10875" +] +) +start &19 +end &21 +ss 0 +es 0 +cond "!reset" +tb (TransitionBlock +uid 450,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 451,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "-2125,-11825,1875,-9925" +) +autoResize 1 +lineShape (Line +uid 452,0 +va (VaSet +vasetType 3 +) +xt "-1625,-10525,1375,-10525" +pts [ +"-1625,-10525" +"1375,-10525" +] +) +condition (MLText +uid 453,0 +va (VaSet +font "courier,8,0" +) +xt "-1625,-11825,1375,-10925" +st "!reset" +tm "Condition" +) +actions (MLText +uid 454,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-3625,-10125,3375,-9225" +st "< Automatic >" +tm "Actions" +) +) +tp (TransitionPriority +uid 455,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 456,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "-3314,-11665,-1734,-10085" +radius 790 +) +pr (Text +uid 457,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-2774,-11325,-2274,-10425" +st "1" +ju 0 +blo "-2524,-10625" +tm "TransitionPriority" +) +padding "200,200" +) +) +*25 (Transition +uid 458,0 +shape (Spline +uid 459,0 +va (VaSet +vasetType 3 +) +xt "116267,7276,148267,13136" +pts [ +"116267,7276" +"133166,8841" +"148267,13136" +] +arrow 1 +) +start &2 +end &3 +ss 0 +es 0 +cond "rx_ready" +tb (TransitionBlock +uid 460,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 461,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "123566,8341,146766,11541" +) +autoResize 1 +lineShape (Line +uid 462,0 +va (VaSet +vasetType 3 +) +xt "124066,9941,146266,9941" +pts [ +"124066,9941" +"146266,9941" +] +) +condition (MLText +uid 463,0 +va (VaSet +font "courier,8,0" +) +xt "133166,8841,137166,9741" +st "rx_ready" +tm "Condition" +) +actions (MLText +uid 464,0 +va (VaSet +font "courier,8,0" +) +xt "124066,10141,146266,11041" +st "self_rxa<=`pbuf_awidth'd12; // get ethtype0" +tm "Actions" +) +) +tp (TransitionPriority +uid 465,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 466,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "118736,6714,120316,8294" +radius 790 +) +pr (Text +uid 467,0 +va (VaSet +font "courier,8,0" +) +xt "119276,7054,119776,7954" +st "1" +ju 0 +blo "119526,7754" +tm "TransitionPriority" +) +padding "200,200" +) +) +*26 (Transition +uid 468,0 +shape (Spline +uid 469,0 +va (VaSet +vasetType 3 +) +xt "78362,8615,110752,18916" +pts [ +"110752,8615" +"94922,18729" +"78362,15195" +] +arrow 1 +) +start &2 +end &18 +ss 0 +es 0 +cond "udp_xmit_req" +tb (TransitionBlock +uid 470,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 471,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "94422,18229,101922,20129" +) +autoResize 1 +lineShape (Line +uid 472,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "97172,20029,97172,20029" +pts [ +"97172,20029" +"97172,20029" +] +) +condition (MLText +uid 473,0 +va (VaSet +font "courier,8,0" +) +xt "94922,18729,101422,19629" +st "udp_xmit_req" +tm "Condition" +) +actions (MLText +uid 474,0 +va (VaSet +font "courier,8,0" +) +xt "98172,20029,98172,20029" +tm "Actions" +) +) +tp (TransitionPriority +uid 475,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 476,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "107089,9997,108669,11577" +radius 790 +) +pr (Text +uid 477,0 +va (VaSet +font "courier,8,0" +) +xt "107629,10337,108129,11237" +st "2" +ju 0 +blo "107879,11037" +tm "TransitionPriority" +) +padding "200,200" +) +) +*27 (Transition +uid 478,0 +shape (Spline +uid 479,0 +va (VaSet +vasetType 3 +) +xt "156200,16207,183803,33425" +pts [ +"156200,16207" +"170256,23202" +"183803,33425" +] +arrow 1 +) +start &3 +end &4 +ss 0 +es 0 +tb (TransitionBlock +uid 480,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 481,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "169756,23147,175256,24157" +) +autoResize 1 +lineShape (Line +uid 482,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "172506,24502,172506,24502" +pts [ +"172506,24502" +"172506,24502" +] +) +condition (MLText +uid 483,0 +va (VaSet +font "courier,8,0" +) +xt "170256,23202,174756,24102" +tm "Condition" +) +actions (MLText +uid 484,0 +va (VaSet +font "courier,8,0" +) +xt "172506,24502,172506,24502" +tm "Actions" +) +) +tp (TransitionPriority +uid 485,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 486,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "158374,16792,159954,18372" +radius 790 +) +pr (Text +uid 487,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "158914,17132,159414,18032" +st "1" +ju 0 +blo "159164,17832" +tm "TransitionPriority" +) +padding "200,200" +) +) +*28 (Transition +uid 488,0 +shape (Spline +uid 489,0 +va (VaSet +vasetType 3 +) +xt "188219,37448,208601,64411" +pts [ +"188219,37448" +"199658,49986" +"208601,64411" +] +arrow 1 +) +start &4 +end &5 +ss 0 +es 0 +tb (TransitionBlock +uid 490,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 491,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "199158,49931,204658,50941" +) +autoResize 1 +lineShape (Line +uid 492,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "201908,51286,201908,51286" +pts [ +"201908,51286" +"201908,51286" +] +) +condition (MLText +uid 493,0 +va (VaSet +font "courier,8,0" +) +xt "199658,49986,204158,50886" +tm "Condition" +) +actions (MLText +uid 494,0 +va (VaSet +font "courier,8,0" +) +xt "201908,51286,201908,51286" +tm "Actions" +) +) +tp (TransitionPriority +uid 495,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 496,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "189779,39110,191359,40690" +radius 790 +) +pr (Text +uid 497,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "190319,39450,190819,40350" +st "1" +ju 0 +blo "190569,40150" +tm "TransitionPriority" +) +padding "200,200" +) +) +*29 (Transition +uid 498,0 +shape (Spline +uid 499,0 +va (VaSet +vasetType 3 +) +xt "11683,67562,207243,143280" +pts [ +"207243,67562" +"102985,88940" +"11683,143280" +] +arrow 1 +) +start &5 +end &11 +ss 0 +es 0 +cond "rxd==8'h06 && eth0==8'h08" +tb (TransitionBlock +uid 500,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 501,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "102485,88440,116685,90340" +) +autoResize 1 +lineShape (Line +uid 502,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "105235,90240,105235,90240" +pts [ +"105235,90240" +"105235,90240" +] +) +condition (MLText +uid 503,0 +va (VaSet +font "courier,8,0" +) +xt "102985,88940,116185,89840" +st "rxd==8'h06 && eth0==8'h08" +tm "Condition" +) +actions (MLText +uid 504,0 +va (VaSet +font "courier,8,0" +) +xt "109585,90240,109585,90240" +tm "Actions" +) +) +tp (TransitionPriority +uid 505,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 506,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "185417,70134,186997,71714" +radius 790 +) +pr (Text +uid 507,0 +va (VaSet +font "courier,8,0" +) +xt "185957,70474,186457,71374" +st "1" +ju 0 +blo "186207,71174" +tm "TransitionPriority" +) +padding "200,200" +) +) +*30 (Transition +uid 508,0 +shape (Spline +uid 509,0 +va (VaSet +vasetType 3 +) +xt "211266,69756,220537,102260" +pts [ +"211266,69756" +"217407,85579" +"220537,102260" +] +arrow 1 +) +start &5 +end &6 +ss 0 +es 0 +cond "rxd==8'h00 && eth0==8'h08" +tb (TransitionBlock +uid 510,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 511,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "216907,85079,231107,86979" +) +autoResize 1 +lineShape (Line +uid 512,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "219657,86879,219657,86879" +pts [ +"219657,86879" +"219657,86879" +] +) +condition (MLText +uid 513,0 +va (VaSet +font "courier,8,0" +) +xt "217407,85579,230607,86479" +st "rxd==8'h00 && eth0==8'h08" +tm "Condition" +) +actions (MLText +uid 514,0 +va (VaSet +font "courier,8,0" +) +xt "224007,86879,224007,86879" +tm "Actions" +) +) +tp (TransitionPriority +uid 515,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 516,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "211782,72101,213362,73681" +radius 790 +) +pr (Text +uid 517,0 +va (VaSet +font "courier,8,0" +) +xt "212322,72441,212822,73341" +st "2" +ju 0 +blo "212572,73141" +tm "TransitionPriority" +) +padding "200,200" +) +) +*31 (Transition +uid 518,0 +shape (Spline +uid 519,0 +va (VaSet +vasetType 3 +) +xt "59317,69158,208141,204839" +pts [ +"208141,69158" +"139103,143537" +"59317,204839" +] +arrow 1 +) +start &5 +end &16 +ss 0 +es 0 +tb (TransitionBlock +uid 520,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 521,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "138603,143482,144103,144492" +) +autoResize 1 +lineShape (Line +uid 522,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "141353,144837,141353,144837" +pts [ +"141353,144837" +"141353,144837" +] +) +condition (MLText +uid 523,0 +va (VaSet +font "courier,8,0" +) +xt "139103,143537,143603,144437" +tm "Condition" +) +actions (MLText +uid 524,0 +va (VaSet +font "courier,8,0" +) +xt "141353,144837,141353,144837" +tm "Actions" +) +) +tp (TransitionPriority +uid 525,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 526,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "193927,83487,195507,85067" +radius 790 +) +pr (Text +uid 527,0 +va (VaSet +font "courier,8,0" +) +xt "194467,83827,194967,84727" +st "3" +ju 0 +blo "194717,84527" +tm "TransitionPriority" +) +padding "200,200" +) +) +*32 (Transition +uid 528,0 +shape (Spline +uid 529,0 +va (VaSet +vasetType 3 +) +xt "218002,108208,221331,141865" +pts [ +"221092,108208" +"221106,125179" +"218002,141865" +] +arrow 1 +) +start &6 +end &7 +ss 0 +es 0 +cond "rxd==ip[31:24]" +tb (TransitionBlock +uid 530,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 531,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "220606,124679,229106,126579" +) +autoResize 1 +lineShape (Line +uid 532,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "223356,126479,223356,126479" +pts [ +"223356,126479" +"223356,126479" +] +) +condition (MLText +uid 533,0 +va (VaSet +font "courier,8,0" +) +xt "221106,125179,228606,126079" +st "rxd==ip[31:24]" +tm "Condition" +) +actions (MLText +uid 534,0 +va (VaSet +font "courier,8,0" +) +xt "224856,126479,224856,126479" +tm "Actions" +) +) +tp (TransitionPriority +uid 535,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 536,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "220388,110811,221968,112391" +radius 790 +) +pr (Text +uid 537,0 +va (VaSet +font "courier,8,0" +) +xt "220928,111151,221428,112051" +st "1" +ju 0 +blo "221178,111851" +tm "TransitionPriority" +) +padding "200,200" +) +) +*33 (Transition +uid 538,0 +shape (Spline +uid 539,0 +va (VaSet +vasetType 3 +) +xt "59548,106789,218541,205174" +pts [ +"218541,106789" +"138749,156295" +"59548,205174" +] +arrow 1 +) +start &6 +end &16 +ss 0 +es 0 +tb (TransitionBlock +uid 540,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 541,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "138249,156240,143749,157250" +) +autoResize 1 +lineShape (Line +uid 542,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "140999,157595,140999,157595" +pts [ +"140999,157595" +"140999,157595" +] +) +condition (MLText +uid 543,0 +va (VaSet +font "courier,8,0" +) +xt "138749,156295,143249,157195" +tm "Condition" +) +actions (MLText +uid 544,0 +va (VaSet +font "courier,8,0" +) +xt "140999,157595,140999,157595" +tm "Actions" +) +) +tp (TransitionPriority +uid 545,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 546,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "201865,115861,203445,117441" +radius 790 +) +pr (Text +uid 547,0 +va (VaSet +font "courier,8,0" +) +xt "202405,116201,202905,117101" +st "2" +ju 0 +blo "202655,116901" +tm "TransitionPriority" +) +padding "200,200" +) +) +*34 (Transition +uid 548,0 +shape (Spline +uid 549,0 +va (VaSet +vasetType 3 +) +xt "201338,147612,216373,177884" +pts [ +"216373,147612" +"210257,163444" +"201338,177884" +] +arrow 1 +) +start &7 +end &8 +ss 0 +es 0 +cond "rxd==ip[23:16]" +tb (TransitionBlock +uid 550,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 551,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "209757,162944,218257,164844" +) +autoResize 1 +lineShape (Line +uid 552,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "212507,164744,212507,164744" +pts [ +"212507,164744" +"212507,164744" +] +) +condition (MLText +uid 553,0 +va (VaSet +font "courier,8,0" +) +xt "210257,163444,217757,164344" +st "rxd==ip[23:16]" +tm "Condition" +) +actions (MLText +uid 554,0 +va (VaSet +font "courier,8,0" +) +xt "214007,164744,214007,164744" +tm "Actions" +) +) +tp (TransitionPriority +uid 555,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 556,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "214437,150019,216017,151599" +radius 790 +) +pr (Text +uid 557,0 +va (VaSet +font "courier,8,0" +) +xt "214977,150359,215477,151259" +st "1" +ju 0 +blo "215227,151059" +tm "TransitionPriority" +) +padding "200,200" +) +) +*35 (Transition +uid 558,0 +shape (Spline +uid 559,0 +va (VaSet +vasetType 3 +) +xt "59747,145671,214580,205538" +pts [ +"214580,145671" +"134409,169594" +"59747,205538" +] +arrow 1 +) +start &7 +end &16 +ss 0 +es 0 +tb (TransitionBlock +uid 560,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 561,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "133909,169539,139409,170549" +) +autoResize 1 +lineShape (Line +uid 562,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "136659,170894,136659,170894" +pts [ +"136659,170894" +"136659,170894" +] +) +condition (MLText +uid 563,0 +va (VaSet +font "courier,8,0" +) +xt "134409,169594,138909,170494" +tm "Condition" +) +actions (MLText +uid 564,0 +va (VaSet +font "courier,8,0" +) +xt "136659,170894,136659,170894" +tm "Actions" +) +) +tp (TransitionPriority +uid 565,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 566,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "197729,149307,199309,150887" +radius 790 +) +pr (Text +uid 567,0 +va (VaSet +font "courier,8,0" +) +xt "198269,149647,198769,150547" +st "2" +ju 0 +blo "198519,150347" +tm "TransitionPriority" +) +padding "200,200" +) +) +*36 (Transition +uid 568,0 +shape (Spline +uid 569,0 +va (VaSet +vasetType 3 +) +xt "172793,182655,197744,205456" +pts [ +"197744,182655" +"186325,195210" +"172793,205456" +] +arrow 1 +) +start &8 +end &9 +ss 0 +es 0 +cond "rxd==ip[15:8]" +tb (TransitionBlock +uid 570,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 571,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "185825,194710,193825,196610" +) +autoResize 1 +lineShape (Line +uid 572,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "188575,196510,188575,196510" +pts [ +"188575,196510" +"188575,196510" +] +) +condition (MLText +uid 573,0 +va (VaSet +font "courier,8,0" +) +xt "186325,195210,193325,196110" +st "rxd==ip[15:8]" +tm "Condition" +) +actions (MLText +uid 574,0 +va (VaSet +font "courier,8,0" +) +xt "189825,196510,189825,196510" +tm "Actions" +) +) +tp (TransitionPriority +uid 575,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 576,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "194731,184432,196311,186012" +radius 790 +) +pr (Text +uid 577,0 +va (VaSet +font "courier,8,0" +) +xt "195271,184772,195771,185672" +st "1" +ju 0 +blo "195521,185472" +tm "TransitionPriority" +) +padding "200,200" +) +) +*37 (Transition +uid 578,0 +shape (Spline +uid 579,0 +va (VaSet +vasetType 3 +) +xt "59367,182971,198159,271072" +pts [ +"198159,182971" +"142597,270821" +"59367,209626" +] +arrow 1 +) +start &8 +end &16 +ss 0 +es 0 +tb (TransitionBlock +uid 580,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 581,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "142097,270766,147597,271776" +) +autoResize 1 +lineShape (Line +uid 582,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "144847,272121,144847,272121" +pts [ +"144847,272121" +"144847,272121" +] +) +condition (MLText +uid 583,0 +va (VaSet +font "courier,8,0" +) +xt "142597,270821,147097,271721" +tm "Condition" +) +actions (MLText +uid 584,0 +va (VaSet +font "courier,8,0" +) +xt "144847,272121,144847,272121" +tm "Actions" +) +) +tp (TransitionPriority +uid 585,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 586,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "188145,201208,189725,202788" +radius 790 +) +pr (Text +uid 587,0 +va (VaSet +font "courier,8,0" +) +xt "188685,201548,189185,202448" +st "2" +ju 0 +blo "188935,202248" +tm "TransitionPriority" +) +padding "200,200" +) +) +*38 (Transition +uid 588,0 +shape (Spline +uid 589,0 +va (VaSet +vasetType 3 +) +xt "136219,208606,167719,220861" +pts [ +"167719,208606" +"152537,216193" +"136219,220861" +] +arrow 1 +) +start &9 +end &10 +ss 0 +es 0 +cond "rxd==ip[7:0]" +tb (TransitionBlock +uid 590,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 591,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "152037,215693,159537,217593" +) +autoResize 1 +lineShape (Line +uid 592,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "154787,217493,154787,217493" +pts [ +"154787,217493" +"154787,217493" +] +) +condition (MLText +uid 593,0 +va (VaSet +font "courier,8,0" +) +xt "152537,216193,159037,217093" +st "rxd==ip[7:0]" +tm "Condition" +) +actions (MLText +uid 594,0 +va (VaSet +font "courier,8,0" +) +xt "155787,217493,155787,217493" +tm "Actions" +) +) +tp (TransitionPriority +uid 595,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 596,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "163929,209407,165509,210987" +radius 790 +) +pr (Text +uid 597,0 +va (VaSet +font "courier,8,0" +) +xt "164469,209747,164969,210647" +st "1" +ju 0 +blo "164719,210447" +tm "TransitionPriority" +) +padding "200,200" +) +) +*39 (Transition +uid 598,0 +shape (Spline +uid 599,0 +va (VaSet +vasetType 3 +) +xt "59001,209376,168270,263559" +pts [ +"168270,209376" +"113516,263559" +"59001,210056" +] +arrow 1 +) +start &9 +end &16 +ss 0 +es 0 +tb (TransitionBlock +uid 600,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 601,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "113016,263504,118516,264514" +) +autoResize 1 +lineShape (Line +uid 602,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "115766,264859,115766,264859" +pts [ +"115766,264859" +"115766,264859" +] +) +condition (MLText +uid 603,0 +va (VaSet +font "courier,8,0" +) +xt "113516,263559,118016,264459" +tm "Condition" +) +actions (MLText +uid 604,0 +va (VaSet +font "courier,8,0" +) +xt "115766,264859,115766,264859" +tm "Actions" +) +) +tp (TransitionPriority +uid 605,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 606,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "157683,220781,159263,222361" +radius 790 +) +pr (Text +uid 607,0 +va (VaSet +font "courier,8,0" +) +xt "158223,221121,158723,222021" +st "2" +ju 0 +blo "158473,221821" +tm "TransitionPriority" +) +padding "200,200" +) +) +*40 (Transition +uid 608,0 +shape (Spline +uid 609,0 +va (VaSet +vasetType 3 +) +xt "96212,221962,130349,223559" +pts [ +"130349,221967" +"113452,223559" +"96212,221962" +] +arrow 1 +) +start &10 +end &12 +ss 0 +es 0 +cond "rxd==8'h01" +tb (TransitionBlock +uid 610,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 611,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "112952,223059,119552,224959" +) +autoResize 1 +lineShape (Line +uid 612,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "115702,224859,115702,224859" +pts [ +"115702,224859" +"115702,224859" +] +) +condition (MLText +uid 613,0 +va (VaSet +font "courier,8,0" +) +xt "113452,223559,119052,224459" +st "rxd==8'h01" +tm "Condition" +) +actions (MLText +uid 614,0 +va (VaSet +font "courier,8,0" +) +xt "116252,224859,116252,224859" +tm "Actions" +) +) +tp (TransitionPriority +uid 615,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 616,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "126151,221585,127731,223165" +radius 790 +) +pr (Text +uid 617,0 +va (VaSet +font "courier,8,0" +) +xt "126691,221925,127191,222825" +st "1" +ju 0 +blo "126941,222625" +tm "TransitionPriority" +) +padding "200,200" +) +) +*41 (Transition +uid 618,0 +shape (Spline +uid 619,0 +va (VaSet +vasetType 3 +) +xt "7840,107046,131285,219497" +pts [ +"131285,219497" +"74101,158426" +"7840,107046" +] +arrow 1 +) +start &10 +end &13 +ss 0 +es 0 +cond "rxd==8'd17 && udp_space" +tb (TransitionBlock +uid 620,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 621,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "73601,157926,86701,159826" +) +autoResize 1 +lineShape (Line +uid 622,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "76351,159726,76351,159726" +pts [ +"76351,159726" +"76351,159726" +] +) +condition (MLText +uid 623,0 +va (VaSet +font "courier,8,0" +) +xt "74101,158426,86201,159326" +st "rxd==8'd17 && udp_space" +tm "Condition" +) +actions (MLText +uid 624,0 +va (VaSet +font "courier,8,0" +) +xt "80151,159726,80151,159726" +tm "Actions" +) +) +tp (TransitionPriority +uid 625,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 626,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "119304,206233,120884,207813" +radius 790 +) +pr (Text +uid 627,0 +va (VaSet +font "courier,8,0" +) +xt "119844,206573,120344,207473" +st "2" +ju 0 +blo "120094,207273" +tm "TransitionPriority" +) +padding "200,200" +) +) +*42 (Transition +uid 628,0 +shape (Spline +uid 629,0 +va (VaSet +vasetType 3 +) +xt "58499,210489,130824,251375" +pts [ +"130824,223327" +"88100,251248" +"58499,210489" +] +arrow 1 +) +start &10 +end &16 +ss 0 +es 0 +tb (TransitionBlock +uid 630,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 631,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "87600,251193,93100,252203" +) +autoResize 1 +lineShape (Line +uid 632,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "90350,252548,90350,252548" +pts [ +"90350,252548" +"90350,252548" +] +) +condition (MLText +uid 633,0 +va (VaSet +font "courier,8,0" +) +xt "88100,251248,92600,252148" +tm "Condition" +) +actions (MLText +uid 634,0 +va (VaSet +font "courier,8,0" +) +xt "90350,252548,90350,252548" +tm "Actions" +) +) +tp (TransitionPriority +uid 635,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 636,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "122078,229137,123658,230717" +radius 790 +) +pr (Text +uid 637,0 +va (VaSet +font "courier,8,0" +) +xt "122618,229477,123118,230377" +st "3" +ju 0 +blo "122868,230177" +tm "TransitionPriority" +) +padding "200,200" +) +) +*43 (Transition +uid 638,0 +shape (Spline +uid 639,0 +va (VaSet +vasetType 3 +) +xt "11559,146540,54869,203497" +pts [ +"11559,146540" +"42940,168625" +"54869,203497" +] +arrow 1 +) +start &11 +end &16 +ss 0 +es 0 +cond "arp_done" +tb (TransitionBlock +uid 640,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 641,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "42440,168125,47440,170025" +) +autoResize 1 +lineShape (Line +uid 642,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "45190,169925,45190,169925" +pts [ +"45190,169925" +"45190,169925" +] +) +condition (MLText +uid 643,0 +va (VaSet +font "courier,8,0" +) +xt "42940,168625,46940,169525" +st "arp_done" +tm "Condition" +) +actions (MLText +uid 644,0 +va (VaSet +font "courier,8,0" +) +xt "44940,169925,44940,169925" +tm "Actions" +) +) +tp (TransitionPriority +uid 645,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 646,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "17255,149642,18835,151222" +radius 790 +) +pr (Text +uid 647,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "17795,149982,18295,150882" +st "1" +ju 0 +blo "18045,150682" +tm "TransitionPriority" +) +padding "200,200" +) +) +*44 (Transition +uid 648,0 +shape (Spline +uid 649,0 +va (VaSet +vasetType 3 +) +xt "59724,209041,90340,220866" +pts [ +"90340,220866" +"74344,216317" +"59724,209041" +] +arrow 1 +) +start &12 +end &16 +ss 0 +es 0 +cond "icmp_done" +tb (TransitionBlock +uid 650,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 651,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "73844,215817,79344,217717" +) +autoResize 1 +lineShape (Line +uid 652,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "76594,217617,76594,217617" +pts [ +"76594,217617" +"76594,217617" +] +) +condition (MLText +uid 653,0 +va (VaSet +font "courier,8,0" +) +xt "74344,216317,78844,217217" +st "icmp_done" +tm "Condition" +) +actions (MLText +uid 654,0 +va (VaSet +font "courier,8,0" +) +xt "76594,217617,76594,217617" +tm "Actions" +) +) +tp (TransitionPriority +uid 655,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 656,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "86356,219250,87936,220830" +radius 790 +) +pr (Text +uid 657,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "86896,219590,87396,220490" +st "1" +ju 0 +blo "87146,220290" +tm "TransitionPriority" +) +padding "200,200" +) +) +*45 (Transition +uid 658,0 +shape (Spline +uid 659,0 +va (VaSet +vasetType 3 +) +xt "6018,69758,15298,102259" +pts [ +"6018,102259" +"9058,85911" +"15298,69758" +] +arrow 1 +) +start &13 +end &14 +ss 0 +es 0 +cond "rxd==UDP_PORT_CTL[15:8]" +tb (TransitionBlock +uid 660,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 661,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "8558,85411,21558,87311" +) +autoResize 1 +lineShape (Line +uid 662,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "11308,87211,11308,87211" +pts [ +"11308,87211" +"11308,87211" +] +) +condition (MLText +uid 663,0 +va (VaSet +font "courier,8,0" +) +xt "9058,85911,21058,86811" +st "rxd==UDP_PORT_CTL[15:8]" +tm "Condition" +) +actions (MLText +uid 664,0 +va (VaSet +font "courier,8,0" +) +xt "15058,87211,15058,87211" +tm "Actions" +) +) +tp (TransitionPriority +uid 665,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 666,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "5761,98114,7341,99694" +radius 790 +) +pr (Text +uid 667,0 +va (VaSet +font "courier,8,0" +) +xt "6301,98454,6801,99354" +st "1" +ju 0 +blo "6551,99154" +tm "TransitionPriority" +) +padding "200,200" +) +) +*46 (Transition +uid 668,0 +shape (Spline +uid 669,0 +va (VaSet +vasetType 3 +) +xt "7397,107507,55280,203381" +pts [ +"7397,107507" +"43281,150323" +"55280,203381" +] +arrow 1 +) +start &13 +end &16 +ss 0 +es 0 +tb (TransitionBlock +uid 670,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 671,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "42781,150268,48281,151278" +) +autoResize 1 +lineShape (Line +uid 672,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "45531,151623,45531,151623" +pts [ +"45531,151623" +"45531,151623" +] +) +condition (MLText +uid 673,0 +va (VaSet +font "courier,8,0" +) +xt "43281,150323,47781,151223" +tm "Condition" +) +actions (MLText +uid 674,0 +va (VaSet +font "courier,8,0" +) +xt "45531,151623,45531,151623" +tm "Actions" +) +) +tp (TransitionPriority +uid 675,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 676,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "14195,114766,15775,116346" +radius 790 +) +pr (Text +uid 677,0 +va (VaSet +font "courier,8,0" +) +xt "14735,115106,15235,116006" +st "2" +ju 0 +blo "14985,115806" +tm "TransitionPriority" +) +padding "200,200" +) +) +*47 (Transition +uid 678,0 +shape (Spline +uid 679,0 +va (VaSet +vasetType 3 +) +xt "17955,37451,38345,64408" +pts [ +"17955,64408" +"26693,50261" +"38345,37451" +] +arrow 1 +) +start &14 +end &15 +ss 0 +es 0 +cond "rxd==UDP_PORT_CTL[7:0]" +tb (TransitionBlock +uid 680,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 681,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "26193,49761,38693,51661" +) +autoResize 1 +lineShape (Line +uid 682,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "28943,51561,28943,51561" +pts [ +"28943,51561" +"28943,51561" +] +) +condition (MLText +uid 683,0 +va (VaSet +font "courier,8,0" +) +xt "26693,50261,38193,51161" +st "rxd==UDP_PORT_CTL[7:0]" +tm "Condition" +) +actions (MLText +uid 684,0 +va (VaSet +font "courier,8,0" +) +xt "32443,51561,32443,51561" +tm "Actions" +) +) +tp (TransitionPriority +uid 685,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 686,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "18873,60682,20453,62262" +radius 790 +) +pr (Text +uid 687,0 +va (VaSet +font "courier,8,0" +) +xt "19413,61022,19913,61922" +st "1" +ju 0 +blo "19663,61722" +tm "TransitionPriority" +) +padding "200,200" +) +) +*48 (Transition +uid 688,0 +shape (Spline +uid 689,0 +va (VaSet +vasetType 3 +) +xt "17645,69679,55698,203309" +pts [ +"17645,69679" +"47648,134113" +"55698,203309" +] +arrow 1 +) +start &14 +end &16 +ss 0 +es 0 +tb (TransitionBlock +uid 690,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 691,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "47148,134058,52648,135068" +) +autoResize 1 +lineShape (Line +uid 692,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "49898,135413,49898,135413" +pts [ +"49898,135413" +"49898,135413" +] +) +condition (MLText +uid 693,0 +va (VaSet +font "courier,8,0" +) +xt "47648,134113,52148,135013" +tm "Condition" +) +actions (MLText +uid 694,0 +va (VaSet +font "courier,8,0" +) +xt "49898,135413,49898,135413" +tm "Actions" +) +) +tp (TransitionPriority +uid 695,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 696,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "23322,81415,24902,82995" +radius 790 +) +pr (Text +uid 697,0 +va (VaSet +font "courier,8,0" +) +xt "23862,81755,24362,82655" +st "2" +ju 0 +blo "24112,82455" +tm "TransitionPriority" +) +padding "200,200" +) +) +*49 (Transition +uid 698,0 +shape (Spline +uid 699,0 +va (VaSet +vasetType 3 +) +xt "40877,38187,56620,203283" +pts [ +"40877,38187" +"55261,120793" +"56117,203283" +] +arrow 1 +) +start &15 +end &16 +ss 0 +es 0 +cond "udp_done" +tb (TransitionBlock +uid 700,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 701,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "54761,120293,59761,122193" +) +autoResize 1 +lineShape (Line +uid 702,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "57511,122093,57511,122093" +pts [ +"57511,122093" +"57511,122093" +] +) +condition (MLText +uid 703,0 +va (VaSet +font "courier,8,0" +) +xt "55261,120793,59261,121693" +st "udp_done" +tm "Condition" +) +actions (MLText +uid 704,0 +va (VaSet +font "courier,8,0" +) +xt "57261,122093,57261,122093" +tm "Actions" +) +) +tp (TransitionPriority +uid 705,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 706,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "43288,53727,44868,55307" +radius 790 +) +pr (Text +uid 707,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "43828,54067,44328,54967" +st "1" +ju 0 +blo "44078,54767" +tm "TransitionPriority" +) +padding "200,200" +) +) +*50 (Transition +uid 708,0 +shape (Spline +uid 709,0 +va (VaSet +vasetType 3 +) +xt "28820,182652,52978,204867" +pts [ +"52978,204867" +"40489,195443" +"28820,182652" +] +arrow 1 +) +start &16 +end &17 +ss 0 +es 0 +cond "rx_done==1" +tb (TransitionBlock +uid 710,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 711,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "39989,194943,46489,196843" +) +autoResize 1 +lineShape (Line +uid 712,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "42739,196743,42739,196743" +pts [ +"42739,196743" +"42739,196743" +] +) +condition (MLText +uid 713,0 +va (VaSet +font "courier,8,0" +) +xt "40489,195443,45989,196343" +st "rx_done==1" +tm "Condition" +) +actions (MLText +uid 714,0 +va (VaSet +font "courier,8,0" +) +xt "43239,196743,43239,196743" +tm "Actions" +) +) +tp (TransitionPriority +uid 715,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 716,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "49501,202166,51081,203746" +radius 790 +) +pr (Text +uid 717,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "50041,202506,50541,203406" +st "1" +ju 0 +blo "50291,203206" +tm "TransitionPriority" +) +padding "200,200" +) +) +*51 (Transition +uid 718,0 +shape (Spline +uid 719,0 +va (VaSet +vasetType 3 +) +xt "28137,9685,111943,177752" +pts [ +"28137,177752" +"70005,93873" +"111943,9685" +] +arrow 1 +) +start &17 +end &2 +ss 0 +es 0 +cond "rx_done==0" +tb (TransitionBlock +uid 720,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 721,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "69505,93373,76005,95273" +) +autoResize 1 +lineShape (Line +uid 722,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "72255,95173,72255,95173" +pts [ +"72255,95173" +"72255,95173" +] +) +condition (MLText +uid 723,0 +va (VaSet +font "courier,8,0" +) +xt "70005,93873,75505,94773" +st "rx_done==0" +tm "Condition" +) +actions (MLText +uid 724,0 +va (VaSet +font "courier,8,0" +) +xt "72755,95173,72755,95173" +tm "Actions" +) +) +tp (TransitionPriority +uid 725,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 726,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "35735,160159,37315,161739" +radius 790 +) +pr (Text +uid 727,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "36275,160499,36775,161399" +st "1" +ju 0 +blo "36525,161199" +tm "TransitionPriority" +) +padding "200,200" +) +) +*52 (Transition +uid 728,0 +shape (Spline +uid 729,0 +va (VaSet +vasetType 3 +) +xt "78291,7281,110294,13129" +pts [ +"78291,13129" +"93054,8905" +"110294,7281" +] +arrow 1 +) +start &18 +end &2 +ss 0 +es 0 +cond "!udp_xmit_req" +tb (TransitionBlock +uid 730,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 731,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "90804,8405,102304,11605" +) +autoResize 1 +lineShape (Line +uid 732,0 +va (VaSet +vasetType 3 +) +xt "91304,10005,101804,10005" +pts [ +"91304,10005" +"101804,10005" +] +) +condition (MLText +uid 733,0 +va (VaSet +font "courier,8,0" +) +xt "93054,8905,100054,9805" +st "!udp_xmit_req" +tm "Condition" +) +actions (MLText +uid 734,0 +va (VaSet +font "courier,8,0" +) +xt "91304,10205,101804,11105" +st "activeSrc<=SRC_SELF;" +tm "Actions" +) +) +tp (TransitionPriority +uid 735,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 736,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "80616,11348,82196,12928" +radius 790 +) +pr (Text +uid 737,0 +va (VaSet +font "courier,8,0" +) +xt "81156,11688,81656,12588" +st "1" +ju 0 +blo "81406,12388" +tm "TransitionPriority" +) +padding "200,200" +) +) +*53 (Transition +uid 738,0 +shape (Spline +uid 739,0 +va (VaSet +vasetType 3 +) +xt "60652,-4653,78524,11998" +pts [ +"70588,11998" +"60715,5667" +"66903,-4323" +"78226,-1176" +"75263,10171" +] +arrow 1 +) +start &18 +end &18 +ss 0 +es 0 +tb (TransitionBlock +uid 740,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 741,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "63653,-3933,74653,-1623" +) +autoResize 1 +lineShape (Line +uid 742,0 +va (VaSet +vasetType 3 +) +xt "64153,-3223,74153,-3223" +pts [ +"64153,-3223" +"74153,-3223" +] +) +condition (MLText +uid 743,0 +va (VaSet +font "courier,8,0" +) +xt "66903,-4323,71403,-3423" +tm "Condition" +) +actions (MLText +uid 744,0 +va (VaSet +font "courier,8,0" +) +xt "64153,-3023,74153,-2123" +st "activeSrc<=SRC_UDP;" +tm "Actions" +) +) +tp (TransitionPriority +uid 745,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 746,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "65415,9128,66995,10708" +radius 790 +) +pr (Text +uid 747,0 +va (VaSet +font "courier,8,0" +) +xt "65955,9468,66455,10368" +st "2" +ju 0 +blo "66205,10168" +tm "TransitionPriority" +) +padding "200,200" +) +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 0 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *54 (PackageList +uid 33,0 +stg "VerticalLayoutStrategy" +textVec [ +*55 (Text +uid 34,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,3000,6500,3900" +st "Package List" +blo "0,3700" +) +*56 (MLText +uid 35,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,3900,14500,7500" +tm "SmPackageListTextMgr" +) +] +) +compDirBlock (MlTextGroup +uid 36,0 +stg "VerticalLayoutStrategy" +textVec [ +*57 (Text +uid 37,0 +va (VaSet +font "courier,8,1" +) +xt "-7875,273776,2125,274676" +st "Compiler Directives" +blo "-7875,274476" +) +*58 (Text +uid 38,0 +va (VaSet +font "courier,8,1" +) +xt "-7875,274676,3625,275576" +st "Pre-module directives:" +blo "-7875,275376" +) +*59 (MLText +uid 39,0 +va (VaSet +font "courier,8,0" +) +xt "-7875,275576,33625,294476" +st "`timescale 1ns / 1ps +`include \"ipbus_v_defs.v\" +////////////////////////////////////////////////////////////////////////////////// +// Company: +// Engineer: +// +// Create Date: 16:41:40 01/15/2010 +// Design Name: +// Module Name: packet_handler +// Project Name: +// Target Devices: +// Tool versions: +// Description: +// +// Dependencies: +// +// Revision: +// Revision 0.01 - File Created +// Additional Comments: +// +////////////////////////////////////////////////////////////////////////////////// +" +tm "SmCompilerDirectivesTextMgr" +) +*60 (Text +uid 40,0 +va (VaSet +font "courier,8,1" +) +xt "-7875,294476,4125,295376" +st "Post-module directives:" +blo "-7875,295176" +) +*61 (MLText +uid 41,0 +va (VaSet +font "courier,8,0" +) +xt "-7875,273776,-7875,273776" +tm "SmCompilerDirectivesTextMgr" +) +*62 (Text +uid 42,0 +va (VaSet +font "courier,8,1" +) +xt "-7875,295376,3625,296276" +st "End-module directives:" +blo "-7875,296076" +) +*63 (MLText +uid 43,0 +va (VaSet +font "courier,8,0" +) +xt "-7875,296276,-7875,296276" +tm "SmCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "0,0,1015,690" +viewArea "-7875,-11825,246290,296276" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +isTopLevel 1 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,4000,1900" +st "Panel0" +blo "1000,1700" +tm "PanelText" +) +) +) +localPreDecl *64 (SmLocalDecl +uid 3,0 +stg "VerticalLayoutStrategy" +first (Text +uid 4,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,0,13000,900" +st "Architecture Declarations" +blo "0,700" +) +second (MLText +uid 5,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,900,0,900" +tm "LocalDeclTextMgr" +) +declType 1 +) +localDecl *65 (SmLocalDecl +uid 6,0 +stg "VerticalLayoutStrategy" +first (Text +uid 7,0 +va (VaSet +font "courier,8,1" +) +xt "88125,273776,98125,274676" +st "Module Declarations" +blo "88125,274476" +) +second (MLText +uid 8,0 +va (VaSet +font "courier,8,0" +) +xt "88125,274676,88125,274676" +tm "LocalDeclTextMgr" +) +declType 2 +) +localPostDecl *66 (SmLocalDecl +uid 9,0 +stg "VerticalLayoutStrategy" +first (Text +uid 10,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,0,13000,900" +st "Architecture Declarations" +blo "0,700" +) +second (MLText +uid 11,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,900,0,900" +tm "LocalDeclTextMgr" +) +declType 3 +) +processDecl *67 (SmProcessDecl +uid 12,0 +stg "VerticalLayoutStrategy" +textVec [ +*68 (Text +uid 13,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "66500,-1000,77000,-100" +st "Process Declarations" +blo "66500,-300" +) +*69 (Text +uid 14,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "66500,-100,75000,800" +st "Clocked Process:" +blo "66500,600" +) +*70 (MLText +uid 15,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "66500,-1000,66500,-1000" +tm "ProcessDeclTextMgr" +) +*71 (Text +uid 16,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "66500,800,74500,1700" +st "Output Process:" +blo "66500,1500" +) +*72 (MLText +uid 17,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "66500,1700,66500,1700" +tm "ProcessDeclTextMgr" +) +] +associable 1 +) +defaultActions *73 (MlTextGroup +uid 18,0 +stg "VerticalLayoutStrategy" +textVec [ +*74 (Text +uid 19,0 +va (VaSet +font "courier,8,1" +) +xt "56375,273776,63875,274676" +st "Global Actions" +blo "56375,274476" +) +*75 (Text +uid 20,0 +va (VaSet +font "courier,8,1" +) +xt "56375,274676,62875,275576" +st "Pre Actions:" +blo "56375,275376" +) +*76 (MLText +uid 21,0 +va (VaSet +font "courier,8,0" +) +xt "56375,273776,56375,273776" +tm "Actions" +) +*77 (Text +uid 22,0 +va (VaSet +font "courier,8,1" +) +xt "56375,275576,63375,276476" +st "Post Actions:" +blo "56375,276276" +) +*78 (MLText +uid 23,0 +va (VaSet +font "courier,8,0" +) +xt "56375,276476,56375,276476" +tm "Actions" +) +] +associable 1 +) +archConcurrentStatementBlock *79 (BiTextGroup +uid 24,0 +stg "VerticalLayoutStrategy" +first (Text +uid 25,0 +va (VaSet +font "courier,8,1" +) +xt "69625,273776,80625,274676" +st "Concurrent Statements" +blo "69625,274476" +) +second (MLText +uid 26,0 +va (VaSet +font "courier,8,0" +) +xt "69625,274676,69625,274676" +tm "ArchConcStmtTextMgr" +) +associable 1 +) +signalsGenStatus *80 (SmSignalGenStatus +uid 30,0 +stg "VerticalLayoutStrategy" +first (Text +uid 31,0 +va (VaSet +font "courier,8,1" +) +xt "105125,273776,112125,274676" +st "Signal Status" +blo "105125,274476" +) +second (MLText +uid 32,0 +va (VaSet +font "courier,8,0" +) +xt "105125,274676,105125,274676" +tm "SmSignalsGenStatusTextMgr" +) +) +stateRegBlock *81 (BiTextGroup +uid 27,0 +stg "VerticalLayoutStrategy" +first (Text +uid 28,0 +va (VaSet +font "courier,8,1" +) +xt "117625,273776,130625,274676" +st "State Register Statements" +blo "117625,274476" +) +second (MLText +uid 29,0 +va (VaSet +font "courier,8,0" +) +xt "117625,274676,117625,274676" +tm "Actions" +) +associable 1 +) +) +genChar (SmGenChar +uid 44,0 +csName "state" +nextStateClocking 0 +numProcs 1 +) +encoding (Encoding +scheme 0 +encodingStyles [ +(pair +scheme 0 +style 6 +) +(pair +scheme 1 +style 1 +) +(pair +scheme 2 +style 0 +) +(pair +scheme 3 +style 0 +) +(pair +scheme 4 +style 0 +) +(pair +scheme 5 +style 0 +) +] +otherValues [ +(pair +scheme 0 +otherValue "" +) +(pair +scheme 1 +otherValue "" +) +(pair +scheme 2 +otherValue "" +) +(pair +scheme 3 +otherValue "" +) +(pair +scheme 4 +otherValue "" +) +(pair +scheme 5 +otherValue "" +) +] +attribute 1 +synSafe 0 +outputEncodedLocals 0 +useVerilogParameterRange 0 +radix 2 +) +stateOrder [ +&2 +&3 +&4 +&5 +&6 +&7 +&8 +&9 +&10 +&11 +&12 +&13 +&14 +&15 +&16 +&17 +&18 +] +name "state" +) +] +lastUid 753,0 +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +emptyRow *82 (LEmptyRow +) +optionalChildren [ +*83 (RefLabelRowHdr +) +*84 (TitleRowHdr +) +*85 (FilterRowHdr +) +*86 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*87 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*88 (GroupColHdr +tm "GroupColHdrMgr" +) +*89 (NameColHdr +tm "SmNameColHdrMgr" +) +*90 (ModeColHdr +tm "SmModeColHdrMgr" +) +*91 (TypeColHdr +tm "SmTypeColHdrMgr" +) +*92 (SignedColHdr +tm "SmSignedColHdrMgr" +) +*93 (BoundsColHdr +tm "SmBoundsColHdrMgr" +) +*94 (DelayColHdr +tm "SmDelayColHdrMgr" +) +*95 (InitColHdr +tm "SmInitColHdrMgr" +) +*96 (ColumnHdr +tm "SmCategoryColHdrMgr" +) +*97 (ColumnHdr +tm "SmAssignColHdrMgr" +) +*98 (ColumnHdr +tm "SmExprColHdrMgr" +) +*99 (ColumnHdr +tm "SmSchemeColHdrMgr" +) +*100 (ColumnHdr +tm "SmDefValColHdrMgr" +) +*101 (ColumnHdr +tm "SmRstValColHdrMgr" +) +*102 (EolColHdr +tm "SmEolColHdrMgr" +) +*103 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "clk" +t "wire" +o 1 +) +) +uid 750,0 +cat 1 +scheme 0 +expr "posedge clk" +) +*104 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "reset" +t "wire" +o 2 +) +) +uid 752,0 +cat 8 +scheme 0 +expr "!reset" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +optionalChildren [ +*105 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *106 (MRCItem +litem &82 +pos 3 +dimension 20 +) +optionalChildren [ +*107 (MRCItem +litem &83 +pos 0 +dimension 20 +) +*108 (MRCItem +litem &84 +pos 1 +dimension 23 +) +*109 (MRCItem +litem &85 +pos 2 +hidden 1 +dimension 20 +) +*110 (MRCItem +litem &103 +pos 0 +dimension 20 +uid 751,0 +) +*111 (MRCItem +litem &104 +pos 1 +dimension 20 +uid 753,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +optionalChildren [ +*112 (MRCItem +litem &86 +pos 0 +dimension 20 +) +*113 (MRCItem +litem &88 +pos 1 +dimension 50 +) +*114 (MRCItem +litem &89 +pos 2 +dimension 70 +) +*115 (MRCItem +litem &90 +pos 3 +dimension 50 +) +*116 (MRCItem +litem &91 +pos 4 +dimension 80 +) +*117 (MRCItem +litem &92 +pos 5 +dimension 60 +) +*118 (MRCItem +litem &93 +pos 6 +dimension 80 +) +*119 (MRCItem +litem &94 +pos 7 +dimension 40 +) +*120 (MRCItem +litem &95 +pos 8 +dimension 40 +) +*121 (MRCItem +litem &96 +pos 9 +dimension 100 +) +*122 (MRCItem +litem &97 +pos 10 +dimension 60 +) +*123 (MRCItem +litem &98 +pos 11 +dimension 130 +) +*124 (MRCItem +litem &99 +pos 12 +dimension 56 +) +*125 (MRCItem +litem &100 +pos 13 +dimension 50 +) +*126 (MRCItem +litem &101 +pos 14 +dimension 50 +) +*127 (MRCItem +litem &102 +pos 15 +dimension 80 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +vaOverrides [ +] +) +] +) +) +cdmCsm &1 +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *128 (LEmptyRow +) +optionalChildren [ +*129 (RefLabelRowHdr +) +*130 (TitleRowHdr +) +*131 (FilterRowHdr +) +*132 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*133 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*134 (GroupColHdr +tm "GroupColHdrMgr" +) +*135 (NameColHdr +tm "GenericNameColHdrMgr" +) +*136 (InitColHdr +tm "GenericValueColHdrMgr" +) +*137 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +optionalChildren [ +*138 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *139 (MRCItem +litem &128 +pos 3 +dimension 20 +) +optionalChildren [ +*140 (MRCItem +litem &129 +pos 0 +dimension 20 +) +*141 (MRCItem +litem &130 +pos 1 +dimension 23 +) +*142 (MRCItem +litem &131 +pos 2 +hidden 1 +dimension 20 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +optionalChildren [ +*143 (MRCItem +litem &132 +pos 0 +dimension 20 +) +*144 (MRCItem +litem &134 +pos 1 +dimension 50 +) +*145 (MRCItem +litem &135 +pos 2 +dimension 100 +) +*146 (MRCItem +litem &136 +pos 3 +dimension 50 +) +*147 (MRCItem +litem &137 +pos 4 +dimension 80 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +vaOverrides [ +] +) +] +) +type 1 +) +signalSuffix "_int" +clockSuffix "_cld" +defaultState (State +shape (Circle +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "-3000,-3000,3000,3000" +radius 3000 +) +name (Text +va (VaSet +font "courier,10,1" +) +xt "0,0,1200,1000" +st "s0" +ju 0 +blo "600,800" +tm "ONodeName" +) +wait (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "1000,900,4600,1900" +st "wait 2" +blo "1000,1700" +tm "SmWaitText" +) +) +encoding (Text +va (VaSet +font "courier,8,1" +) +blo "0,0" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "3900,2900,4100,3100" +) +autoResize 1 +tline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +pts [ +"0,0" +"0,0" +] +) +bline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +pts [ +"0,0" +"0,0" +] +) +ttri (Triangle +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "-450,-175,-100,175" +) +btri (Triangle +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "-450,-175,-100,175" +) +entryActions (MLText +va (VaSet +font "courier,8,0" +) +tm "Actions" +) +inActions (MLText +va (VaSet +font "courier,8,0" +) +tm "Actions" +) +exitActions (MLText +va (VaSet +font "courier,8,0" +) +tm "Actions" +) +) +caseExpr (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-2150,800,3350,1700" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +defaultWaitState (State +shape (CircleInOctagon +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "26368,26368,26368" +lineWidth 2 +) +xt "-529,-529,6529,6529" +) +name (Text +va (VaSet +font "courier,10,1" +) +xt "0,0,1200,1000" +st "s0" +ju 0 +blo "600,800" +tm "ONodeName" +) +wait (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "1000,900,4600,1900" +st "wait 2" +blo "1000,1700" +tm "SmWaitText" +) +) +encoding (Text +va (VaSet +font "courier,8,1" +) +blo "0,0" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "8900,5900,9100,6100" +) +autoResize 1 +tline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "5000,3000,5000,3000" +pts [ +"5000,3000" +"5000,3000" +] +) +bline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "5000,3000,5000,3000" +pts [ +"5000,3000" +"5000,3000" +] +) +ttri (Triangle +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "4550,2825,4900,3175" +) +btri (Triangle +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "4550,2825,4900,3175" +) +entryActions (MLText +va (VaSet +font "courier,8,0" +) +xt "5000,3000,5000,3000" +tm "Actions" +) +inActions (MLText +va (VaSet +font "courier,8,0" +) +xt "5000,3000,5000,3000" +tm "Actions" +) +exitActions (MLText +va (VaSet +font "courier,8,0" +) +xt "5000,3000,5000,3000" +tm "Actions" +) +) +caseExpr (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-2150,800,3350,1700" +st "CASE: expr" +tm "SmCaseExpr" +) +) +isWait 1 +) +defaultCompositeState (CompositeState +shape (TripleCircle +va (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "-3000,-3000,3000,3000" +radius 3000 +) +name (Text +va (VaSet +font "courier,10,1" +) +xt "-600,-500,600,500" +st "s0" +ju 0 +blo "0,300" +tm "ONodeName" +) +childDiagram &0 +) +defaultJunction (Junction +shape (Diamond +va (VaSet +vasetType 1 +fg "59904,39936,65280" +) +xt "-700,-700,1700,1700" +) +symbol (Text +va (VaSet +font "courier,10,1" +) +xt "200,0,800,1000" +st "&" +ju 0 +blo "500,800" +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "2000,1000,2000,1000" +blo "2000,1000" +tm "JunctionName" +) +) +caseExpr (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-2450,1800,3050,2700" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +defaultEntryPoint (EntryPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "29952,39936,65280" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-875,875,1375,1875" +) +(Line +sl 0 +ro 270 +xt "1375,1375,1875,1375" +pts [ +"1375,1375" +"1875,1375" +] +) +] +) +) +defaultInterruptPoint (InterruptPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,0,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-875,875,1375,1875" +) +(Line +sl 0 +ro 270 +xt "1375,1375,1875,1375" +pts [ +"1375,1375" +"1875,1375" +] +) +(CustomPolygon +pts [ +"-625,1600" +"-625,1300" +"25,1425" +"-75,1150" +"1025,1350" +"200,1350" +"375,1600" +] +sl 0 +ro 270 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "65535,0,0" +lineColor "65535,65535,0" +) +xt "-625,1150,1025,1600" +) +] +) +) +defaultLink (Link +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-375,875,1875,1875" +) +(Line +sl 0 +ro 270 +xt "-875,1375,-375,1375" +pts [ +"-875,1375" +"-375,1375" +] +) +] +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "2375,875,4375,1775" +st "Link" +blo "2375,1575" +tm "LinkName" +) +) +) +defaultExitPoint (ExitPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "29952,39936,65280" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-375,875,1875,1875" +) +(Line +sl 0 +ro 270 +xt "-875,1375,-375,1375" +pts [ +"-875,1375" +"-375,1375" +] +) +] +) +) +defaultTransition (Transition +shape (Spline +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +arrow 1 +) +ss 0 +es 0 +cond "condition" +tb (TransitionBlock +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "-500,-500,5000,1400" +) +autoResize 1 +lineShape (Line +va (VaSet +vasetType 3 +isHidden 1 +) +xt "2250,1300,2250,1300" +pts [ +"2250,1300" +"2250,1300" +] +) +condition (MLText +va (VaSet +font "courier,8,0" +) +xt "0,0,4500,900" +st "condition" +tm "Condition" +) +actions (MLText +va (VaSet +font "courier,8,0" +) +xt "2250,1700,2250,1700" +tm "Actions" +) +) +tp (TransitionPriority +ps "PercentageFromStartStrategy" +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "-790,-790,790,790" +radius 790 +) +pr (Text +va (VaSet +font "courier,8,0" +) +xt "-250,-450,250,450" +st "1" +ju 0 +blo "0,250" +tm "TransitionPriority" +) +padding "200,200" +) +) +defaultClk (SmClockPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-625,625,1625,1625" +) +(OrthoPolyLine +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "275,825,874,1425" +pts [ +"275,1425" +"574,1425" +"574,825" +"874,825" +] +) +(Arc2D +pts [ +"-116,1278" +"-371,972" +"-116,972" +] +sl 0 +ro 270 +va (VaSet +vasetType 1 +transparent 1 +) +xt "-371,972,-116,1278" +) +] +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +font "courier,8,0" +) +xt "-2625,625,-1125,1525" +st "clk" +ju 2 +blo "-1125,1325" +tm "SmControlSignalNameMgr" +) +) +cond (SmControlCondition +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "1625,575,3825,1675" +) +autoResize 1 +cond (MLText +va (VaSet +font "courier,8,0" +) +xt "1725,675,3725,1575" +st "cond" +tm "SmControlConditionMgr" +) +) +) +defaultEnable (SmEnablePoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-625,625,1625,1625" +) +(OrthoPolyLine +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "275,825,874,1425" +pts [ +"874,1425" +"574,1425" +"574,825" +"275,825" +] +) +(Arc2D +pts [ +"-130,1263" +"-415,1064" +"-76,1064" +] +layer 10 +sl 0 +ro 270 +va (VaSet +vasetType 1 +transparent 1 +) +xt "-415,1064,-76,1263" +) +(Line +sl 0 +ro 270 +xt "-415,1064,-106,1064" +pts [ +"-415,1064" +"-106,1064" +] +) +] +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +font "courier,8,0" +) +xt "-4125,625,-1125,1525" +st "enable" +ju 2 +blo "-1125,1325" +tm "SmControlSignalNameMgr" +) +) +cond (SmControlCondition +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "1625,575,3825,1675" +) +autoResize 1 +cond (MLText +va (VaSet +font "courier,8,0" +) +xt "1725,675,3725,1575" +st "cond" +tm "SmControlConditionMgr" +) +) +) +defaultRst (SmResetPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-625,625,1625,1625" +) +(OrthoPolyLine +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "275,825,874,1425" +pts [ +"874,1425" +"574,1425" +"574,825" +"275,825" +] +) +(Line +sl 0 +ro 270 +xt "-376,950,-276,1000" +pts [ +"-376,1000" +"-276,950" +] +) +(Line +sl 0 +ro 270 +xt "-376,950,-376,1300" +pts [ +"-376,1300" +"-376,950" +] +) +(Circle +layer 10 +sl 0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,0" +) +xt "424,975,724,1275" +radius 150 +) +] +) +cond (SmControlCondition +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "-625,-875,1575,225" +) +autoResize 1 +cond (MLText +va (VaSet +font "courier,8,0" +) +xt "-525,-775,1475,125" +st "cond" +tm "SmControlConditionMgr" +) +) +prio (TransitionPriority +ps "PercentageFromStartStrategy" +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "1625,335,3205,1915" +radius 790 +) +pr (Text +va (VaSet +font "courier,8,0" +) +xt "2165,675,2665,1575" +st "1" +ju 0 +blo "2415,1375" +tm "TransitionPriority" +) +padding "200,200" +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +font "courier,8,0" +) +xt "-2125,675,-625,1575" +st "rst" +ju 2 +blo "-625,1375" +tm "SmControlSignalNameMgr" +) +) +actions (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "4750,2625,11750,3525" +st "< Automatic >" +tm "Actions" +) +) +) +defaultRecStatePt (SmRecoveryStatePoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,0,0" +) +optionalChildren [ +(Circle +sl 0 +xt "-900,-900,900,900" +radius 900 +) +(Line +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "-425,-425,425,425" +pts [ +"-425,425" +"425,-425" +] +) +(Line +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "-425,-425,425,425" +pts [ +"425,425" +"-425,-425" +] +) +] +) +) +LanguageMgr "Verilog2001LangMgr" +) diff --git a/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/packet_handler/struct.bd b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/packet_handler/struct.bd new file mode 100644 index 00000000..42e1d269 --- /dev/null +++ b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/packet_handler/struct.bd @@ -0,0 +1,16592 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +language 1 +dialect 5 +dmPackageRefs [ +] +embeddedInstances [ +(EmbeddedInstance +name "SM" +number "1" +view 1 +machine (Machine +name "state" +children [ +(Machine +name "state" +children [ +] +stateSignalName "state" +) +] +) +) +(EmbeddedInstance +name "eb1" +number "2" +) +(EmbeddedInstance +name "eb2" +number "3" +) +(EmbeddedInstance +name "eb3" +number "4" +) +(EmbeddedInstance +name "eb4" +number "5" +) +(EmbeddedInstance +name "eb5" +number "6" +) +(EmbeddedInstance +name "eb6" +number "7" +) +(EmbeddedInstance +name "eb7" +number "8" +) +(EmbeddedInstance +name "eb8" +number "9" +) +(EmbeddedInstance +name "eb9" +number "10" +) +(EmbeddedInstance +name "eb10" +number "11" +) +] +properties [ +(HdrProperty +class "HDS" +name "DocView" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/workspace/IPbusFirmware/firmware/ipbus/hdl/packet_handler.v" +) +(HdrProperty +class "HDS" +name "DocViewState" +value "1352727141" +) +] +includeRefs [ +"ipbus_v_defs.v" +] +) +version "30.1" +appVersion "2010.3 (Build 21)" +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl" +) +(vvPair +variable "HDSDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/packet_handler/struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/packet_handler/struct.bd.user" +) +(vvPair +variable "SourceDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "config" +value "%(unit)_config" +) +(vvPair +variable "d" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/packet_handler" +) +(vvPair +variable "d_logical" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/packet_handler" +) +(vvPair +variable "date" +value "11/13/12" +) +(vvPair +variable "day" +value "Tue" +) +(vvPair +variable "day_long" +value "Tuesday" +) +(vvPair +variable "dd" +value "13" +) +(vvPair +variable "entity_name" +value "packet_handler" +) +(vvPair +variable "ext" +value "<TBD>" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "group" +value "HEP_Instrumentation" +) +(vvPair +variable "host" +value "kipper.phy.bris.ac.uk" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "fmc_mTLU_lib" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/designcheck" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "packet_handler" +) +(vvPair +variable "month" +value "Nov" +) +(vvPair +variable "month_long" +value "November" +) +(vvPair +variable "p" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/packet_handler/struct.bd" +) +(vvPair +variable "p_logical" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/packet_handler/struct.bd" +) +(vvPair +variable "package_name" +value "<Undefined Variable>" +) +(vvPair +variable "project_name" +value "fmc_mTLU" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "<TBD>" +) +(vvPair +variable "task_DesignCompilerPath" +value "<TBD>" +) +(vvPair +variable "task_LeonardoPath" +value "/opt/CAD/Mentor/LeonardoSpectrum_2008a/bin" +) +(vvPair +variable "task_ModelSimPath" +value "/opt/CAD/Mentor/Modelsim/6.4a/modeltech/bin" +) +(vvPair +variable "task_NC-SimPath" +value "<TBD>" +) +(vvPair +variable "task_PrecisionRTLPath" +value "/opt/CAD/Mentor/Precis_Synth_2009a_update2/Mgc_home/bin" +) +(vvPair +variable "task_QuestaSimPath" +value "/opt/CAD/Mentor/HDS2008.1b/questasim/bin" +) +(vvPair +variable "task_VCSPath" +value "<TBD>" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "16:59:32" +) +(vvPair +variable "unit" +value "packet_handler" +) +(vvPair +variable "user" +value "phdgc" +) +(vvPair +variable "version" +value "2010.3 (Build 21)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2012" +) +(vvPair +variable "yy" +value "12" +) +] +) +LanguageMgr "Verilog2001LangMgr" +optionalChildren [ +*1 (Net +uid 9,0 +lang 5 +decl (Decl +n "activeSrc" +t "reg" +b "[1:0]" +o 1 +suid 1,0 +) +declText (MLText +uid 10,0 +va (VaSet +font "courier,8,0" +) +xt "47000,112700,66000,113600" +st "reg [1:0] activeSrc; +" +) +) +*2 (Net +uid 13,0 +lang 5 +decl (Decl +n "self_rxa" +t "reg" +b "[`pbuf_awidth - 1:0]" +o 2 +suid 3,0 +iv "`pbuf_awidth'b0" +) +declText (MLText +uid 14,0 +va (VaSet +font "courier,8,0" +) +xt "47000,113600,74800,114500" +st "reg [`pbuf_awidth - 1:0] self_rxa = `pbuf_awidth'b0; +" +) +) +*3 (Net +uid 15,0 +lang 5 +decl (Decl +n "eth0" +t "reg" +b "[7:0]" +o 3 +suid 4,0 +) +declText (MLText +uid 16,0 +va (VaSet +font "courier,8,0" +) +xt "47000,114500,63500,115400" +st "reg [7:0] eth0; +" +) +) +*4 (PortIoIn +uid 17,0 +shape (CompositeShape +uid 18,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 19,0 +sl 0 +ro 270 +xt "-4000,37625,-2500,38375" +) +(Line +uid 20,0 +sl 0 +ro 270 +xt "-2500,38000,-2000,38000" +pts [ +"-2500,38000" +"-2000,38000" +] +) +] +) +stc 0 +tg (WTG +uid 21,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 22,0 +va (VaSet +font "courier,8,0" +) +xt "-6500,37500,-5000,38400" +st "clk" +ju 2 +blo "-5000,38200" +tm "WireNameMgr" +) +) +) +*5 (Net +uid 23,0 +lang 5 +decl (Decl +n "clk" +t "wire" +o 4 +suid 5,0 +) +declText (MLText +uid 24,0 +va (VaSet +font "courier,8,0" +) +xt "47000,75800,63000,76700" +st "wire clk; +" +) +) +*6 (PortIoIn +uid 31,0 +shape (CompositeShape +uid 32,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 33,0 +sl 0 +ro 270 +xt "3000,23625,4500,24375" +) +(Line +uid 34,0 +sl 0 +ro 270 +xt "4500,24000,5000,24000" +pts [ +"4500,24000" +"5000,24000" +] +) +] +) +stc 0 +tg (WTG +uid 35,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 36,0 +va (VaSet +font "courier,8,0" +) +xt "-500,23500,2000,24400" +st "reset" +ju 2 +blo "2000,24200" +tm "WireNameMgr" +) +) +) +*7 (Net +uid 37,0 +lang 5 +decl (Decl +n "reset" +t "wire" +o 5 +suid 6,0 +) +declText (MLText +uid 38,0 +va (VaSet +font "courier,8,0" +) +xt "47000,76700,64000,77600" +st "wire reset; +" +) +) +*8 (PortIoIn +uid 45,0 +shape (CompositeShape +uid 46,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 47,0 +sl 0 +ro 270 +xt "3000,24625,4500,25375" +) +(Line +uid 48,0 +sl 0 +ro 270 +xt "4500,25000,5000,25000" +pts [ +"4500,25000" +"5000,25000" +] +) +] +) +stc 0 +tg (WTG +uid 49,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 50,0 +va (VaSet +font "courier,8,0" +) +xt "-2000,24500,2000,25400" +st "rx_ready" +ju 2 +blo "2000,25200" +tm "WireNameMgr" +) +) +) +*9 (Net +uid 51,0 +lang 5 +decl (Decl +n "rx_ready" +t "wire" +o 6 +suid 7,0 +) +declText (MLText +uid 52,0 +va (VaSet +font "courier,8,0" +) +xt "47000,77600,65500,78500" +st "wire rx_ready; +" +) +) +*10 (PortIoOut +uid 59,0 +shape (CompositeShape +uid 60,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 61,0 +sl 0 +ro 270 +xt "10500,23625,12000,24375" +) +(Line +uid 62,0 +sl 0 +ro 270 +xt "10000,24000,10500,24000" +pts [ +"10000,24000" +"10500,24000" +] +) +] +) +stc 0 +tg (WTG +uid 63,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 64,0 +va (VaSet +font "courier,8,0" +) +xt "13000,23500,16500,24400" +st "rx_done" +blo "13000,24200" +tm "WireNameMgr" +) +) +) +*11 (Net +uid 65,0 +lang 5 +decl (Decl +n "rx_done" +t "reg" +o 7 +suid 8,0 +) +declText (MLText +uid 66,0 +va (VaSet +font "courier,8,0" +) +xt "47000,78500,65000,79400" +st "reg rx_done; +" +) +) +*12 (PortIoIn +uid 73,0 +shape (CompositeShape +uid 74,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 75,0 +sl 0 +ro 270 +xt "3000,25625,4500,26375" +) +(Line +uid 76,0 +sl 0 +ro 270 +xt "4500,26000,5000,26000" +pts [ +"4500,26000" +"5000,26000" +] +) +] +) +stc 0 +tg (WTG +uid 77,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 78,0 +va (VaSet +font "courier,8,0" +) +xt "500,25500,2000,26400" +st "rxd" +ju 2 +blo "2000,26200" +tm "WireNameMgr" +) +) +) +*13 (Net +uid 79,0 +lang 5 +decl (Decl +n "rxd" +t "wire" +b "[7:0]" +o 8 +suid 9,0 +) +declText (MLText +uid 80,0 +va (VaSet +font "courier,8,0" +) +xt "47000,79400,63000,80300" +st "wire [7:0] rxd; +" +) +) +*14 (PortIoOut +uid 87,0 +shape (CompositeShape +uid 88,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 89,0 +sl 0 +ro 270 +xt "27500,28625,29000,29375" +) +(Line +uid 90,0 +sl 0 +ro 270 +xt "27000,29000,27500,29000" +pts [ +"27000,29000" +"27500,29000" +] +) +] +) +stc 0 +tg (WTG +uid 91,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 92,0 +va (VaSet +font "courier,8,0" +) +xt "30000,28500,31500,29400" +st "rxa" +blo "30000,29200" +tm "WireNameMgr" +) +) +) +*15 (Net +uid 93,0 +lang 5 +decl (Decl +n "rxa" +t "wire" +b "[`pbuf_awidth - 1:0]" +o 9 +suid 10,0 +) +declText (MLText +uid 94,0 +va (VaSet +font "courier,8,0" +) +xt "47000,80300,63100,81200" +st "wire [`pbuf_awidth - 1:0] rxa; +" +) +) +*16 (PortIoOut +uid 101,0 +shape (CompositeShape +uid 102,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 103,0 +sl 0 +ro 270 +xt "27500,10625,29000,11375" +) +(Line +uid 104,0 +sl 0 +ro 270 +xt "27000,11000,27500,11000" +pts [ +"27000,11000" +"27500,11000" +] +) +] +) +stc 0 +tg (WTG +uid 105,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 106,0 +va (VaSet +font "courier,8,0" +) +xt "30000,10500,31500,11400" +st "txd" +blo "30000,11200" +tm "WireNameMgr" +) +) +) +*17 (Net +uid 107,0 +lang 5 +decl (Decl +n "txd" +t "wire" +b "[7:0]" +o 10 +suid 11,0 +) +declText (MLText +uid 108,0 +va (VaSet +font "courier,8,0" +) +xt "47000,81200,63000,82100" +st "wire [7:0] txd; +" +) +) +*18 (PortIoOut +uid 115,0 +shape (CompositeShape +uid 116,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 117,0 +sl 0 +ro 270 +xt "57500,-375,59000,375" +) +(Line +uid 118,0 +sl 0 +ro 270 +xt "57000,0,57500,0" +pts [ +"57000,0" +"57500,0" +] +) +] +) +stc 0 +tg (WTG +uid 119,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 120,0 +va (VaSet +font "courier,8,0" +) +xt "60000,-500,61500,400" +st "txa" +blo "60000,200" +tm "WireNameMgr" +) +) +) +*19 (Net +uid 121,0 +lang 5 +decl (Decl +n "txa" +t "wire" +b "[`pbuf_awidth - 1:0]" +o 11 +suid 12,0 +) +declText (MLText +uid 122,0 +va (VaSet +font "courier,8,0" +) +xt "47000,82100,63100,83000" +st "wire [`pbuf_awidth - 1:0] txa; +" +) +) +*20 (PortIoOut +uid 129,0 +shape (CompositeShape +uid 130,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 131,0 +sl 0 +ro 270 +xt "27500,64625,29000,65375" +) +(Line +uid 132,0 +sl 0 +ro 270 +xt "27000,65000,27500,65000" +pts [ +"27000,65000" +"27500,65000" +] +) +] +) +stc 0 +tg (WTG +uid 133,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 134,0 +va (VaSet +font "courier,8,0" +) +xt "30000,64500,33000,65400" +st "tx_len" +blo "30000,65200" +tm "WireNameMgr" +) +) +) +*21 (Net +uid 135,0 +lang 5 +decl (Decl +n "tx_len" +t "wire" +b "[`pbuf_awidth - 1:0]" +o 12 +suid 13,0 +) +declText (MLText +uid 136,0 +va (VaSet +font "courier,8,0" +) +xt "47000,83000,64600,83900" +st "wire [`pbuf_awidth - 1:0] tx_len; +" +) +) +*22 (PortIoOut +uid 143,0 +shape (CompositeShape +uid 144,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 145,0 +sl 0 +ro 270 +xt "27500,42625,29000,43375" +) +(Line +uid 146,0 +sl 0 +ro 270 +xt "27000,43000,27500,43000" +pts [ +"27000,43000" +"27500,43000" +] +) +] +) +stc 0 +tg (WTG +uid 147,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 148,0 +va (VaSet +font "courier,8,0" +) +xt "30000,42500,32500,43400" +st "tx_we" +blo "30000,43200" +tm "WireNameMgr" +) +) +) +*23 (Net +uid 149,0 +lang 5 +decl (Decl +n "tx_we" +t "wire" +o 13 +suid 14,0 +) +declText (MLText +uid 150,0 +va (VaSet +font "courier,8,0" +) +xt "47000,83900,64000,84800" +st "wire tx_we; +" +) +) +*24 (PortIoOut +uid 157,0 +shape (CompositeShape +uid 158,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 159,0 +sl 0 +ro 270 +xt "27500,53625,29000,54375" +) +(Line +uid 160,0 +sl 0 +ro 270 +xt "27000,54000,27500,54000" +pts [ +"27000,54000" +"27500,54000" +] +) +] +) +stc 0 +tg (WTG +uid 161,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 162,0 +va (VaSet +font "courier,8,0" +) +xt "30000,53500,33500,54400" +st "tx_done" +blo "30000,54200" +tm "WireNameMgr" +) +) +) +*25 (Net +uid 163,0 +lang 5 +decl (Decl +n "tx_done" +t "wire" +o 14 +suid 15,0 +) +declText (MLText +uid 164,0 +va (VaSet +font "courier,8,0" +) +xt "47000,84800,65000,85700" +st "wire tx_done; +" +) +) +*26 (PortIoIn +uid 171,0 +shape (CompositeShape +uid 172,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 173,0 +sl 0 +ro 270 +xt "3000,26625,4500,27375" +) +(Line +uid 174,0 +sl 0 +ro 270 +xt "4500,27000,5000,27000" +pts [ +"4500,27000" +"5000,27000" +] +) +] +) +stc 0 +tg (WTG +uid 175,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 176,0 +va (VaSet +font "courier,8,0" +) +xt "1000,26500,2000,27400" +st "ip" +ju 2 +blo "2000,27200" +tm "WireNameMgr" +) +) +) +*27 (Net +uid 177,0 +lang 5 +decl (Decl +n "ip" +t "wire" +b "[31:0]" +o 15 +suid 16,0 +) +declText (MLText +uid 178,0 +va (VaSet +font "courier,8,0" +) +xt "47000,85700,62500,86600" +st "wire [31:0] ip; +" +) +) +*28 (PortIoIn +uid 185,0 +shape (CompositeShape +uid 186,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 187,0 +sl 0 +ro 270 +xt "20000,28625,21500,29375" +) +(Line +uid 188,0 +sl 0 +ro 270 +xt "21500,29000,22000,29000" +pts [ +"21500,29000" +"22000,29000" +] +) +] +) +stc 0 +tg (WTG +uid 189,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 190,0 +va (VaSet +font "courier,8,0" +) +xt "15500,28500,19000,29400" +st "arp_rxa" +ju 2 +blo "19000,29200" +tm "WireNameMgr" +) +) +) +*29 (Net +uid 191,0 +lang 5 +decl (Decl +n "arp_rxa" +t "wire" +b "[5:0]" +o 16 +suid 17,0 +) +declText (MLText +uid 192,0 +va (VaSet +font "courier,8,0" +) +xt "47000,86600,65000,87500" +st "wire [5:0] arp_rxa; +" +) +) +*30 (PortIoIn +uid 199,0 +shape (CompositeShape +uid 200,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 201,0 +sl 0 +ro 270 +xt "50000,-375,51500,375" +) +(Line +uid 202,0 +sl 0 +ro 270 +xt "51500,0,52000,0" +pts [ +"51500,0" +"52000,0" +] +) +] +) +stc 0 +tg (WTG +uid 203,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 204,0 +va (VaSet +font "courier,8,0" +) +xt "45500,-500,49000,400" +st "arp_txa" +ju 2 +blo "49000,200" +tm "WireNameMgr" +) +) +) +*31 (Net +uid 205,0 +lang 5 +decl (Decl +n "arp_txa" +t "wire" +b "[5:0]" +o 17 +suid 18,0 +) +declText (MLText +uid 206,0 +va (VaSet +font "courier,8,0" +) +xt "47000,87500,65000,88400" +st "wire [5:0] arp_txa; +" +) +) +*32 (PortIoIn +uid 213,0 +shape (CompositeShape +uid 214,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 215,0 +sl 0 +ro 270 +xt "20000,64625,21500,65375" +) +(Line +uid 216,0 +sl 0 +ro 270 +xt "21500,65000,22000,65000" +pts [ +"21500,65000" +"22000,65000" +] +) +] +) +stc 0 +tg (WTG +uid 217,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 218,0 +va (VaSet +font "courier,8,0" +) +xt "15500,64500,19000,65400" +st "arp_len" +ju 2 +blo "19000,65200" +tm "WireNameMgr" +) +) +) +*33 (Net +uid 219,0 +lang 5 +decl (Decl +n "arp_len" +t "wire" +b "[5:0]" +o 18 +suid 19,0 +) +declText (MLText +uid 220,0 +va (VaSet +font "courier,8,0" +) +xt "47000,88400,65000,89300" +st "wire [5:0] arp_len; +" +) +) +*34 (PortIoIn +uid 227,0 +shape (CompositeShape +uid 228,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 229,0 +sl 0 +ro 270 +xt "20000,10625,21500,11375" +) +(Line +uid 230,0 +sl 0 +ro 270 +xt "21500,11000,22000,11000" +pts [ +"21500,11000" +"22000,11000" +] +) +] +) +stc 0 +tg (WTG +uid 231,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 232,0 +va (VaSet +font "courier,8,0" +) +xt "15500,10500,19000,11400" +st "arp_txd" +ju 2 +blo "19000,11200" +tm "WireNameMgr" +) +) +) +*35 (Net +uid 233,0 +lang 5 +decl (Decl +n "arp_txd" +t "wire" +b "[7:0]" +o 19 +suid 20,0 +) +declText (MLText +uid 234,0 +va (VaSet +font "courier,8,0" +) +xt "47000,89300,65000,90200" +st "wire [7:0] arp_txd; +" +) +) +*36 (PortIoIn +uid 241,0 +shape (CompositeShape +uid 242,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 243,0 +sl 0 +ro 270 +xt "20000,42625,21500,43375" +) +(Line +uid 244,0 +sl 0 +ro 270 +xt "21500,43000,22000,43000" +pts [ +"21500,43000" +"22000,43000" +] +) +] +) +stc 0 +tg (WTG +uid 245,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 246,0 +va (VaSet +font "courier,8,0" +) +xt "16000,42500,19000,43400" +st "arp_we" +ju 2 +blo "19000,43200" +tm "WireNameMgr" +) +) +) +*37 (Net +uid 247,0 +lang 5 +decl (Decl +n "arp_we" +t "wire" +o 20 +suid 21,0 +) +declText (MLText +uid 248,0 +va (VaSet +font "courier,8,0" +) +xt "47000,90200,64500,91100" +st "wire arp_we; +" +) +) +*38 (PortIoIn +uid 255,0 +shape (CompositeShape +uid 256,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 257,0 +sl 0 +ro 270 +xt "20000,53625,21500,54375" +) +(Line +uid 258,0 +sl 0 +ro 270 +xt "21500,54000,22000,54000" +pts [ +"21500,54000" +"22000,54000" +] +) +] +) +stc 0 +tg (WTG +uid 259,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 260,0 +va (VaSet +font "courier,8,0" +) +xt "15000,53500,19000,54400" +st "arp_xmit" +ju 2 +blo "19000,54200" +tm "WireNameMgr" +) +) +) +*39 (Net +uid 261,0 +lang 5 +decl (Decl +n "arp_xmit" +t "wire" +o 21 +suid 22,0 +) +declText (MLText +uid 262,0 +va (VaSet +font "courier,8,0" +) +xt "47000,91100,65500,92000" +st "wire arp_xmit; +" +) +) +*40 (PortIoIn +uid 269,0 +shape (CompositeShape +uid 270,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 271,0 +sl 0 +ro 270 +xt "3000,27625,4500,28375" +) +(Line +uid 272,0 +sl 0 +ro 270 +xt "4500,28000,5000,28000" +pts [ +"4500,28000" +"5000,28000" +] +) +] +) +stc 0 +tg (WTG +uid 273,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 274,0 +va (VaSet +font "courier,8,0" +) +xt "-2000,27500,2000,28400" +st "arp_done" +ju 2 +blo "2000,28200" +tm "WireNameMgr" +) +) +) +*41 (Net +uid 275,0 +lang 5 +decl (Decl +n "arp_done" +t "wire" +o 22 +suid 23,0 +) +declText (MLText +uid 276,0 +va (VaSet +font "courier,8,0" +) +xt "47000,92000,65500,92900" +st "wire arp_done; +" +) +) +*42 (PortIoOut +uid 283,0 +shape (CompositeShape +uid 284,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 285,0 +sl 0 +ro 270 +xt "42500,31625,44000,32375" +) +(Line +uid 286,0 +sl 0 +ro 270 +xt "42000,32000,42500,32000" +pts [ +"42000,32000" +"42500,32000" +] +) +] +) +stc 0 +tg (WTG +uid 287,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 288,0 +va (VaSet +font "courier,8,0" +) +xt "45000,31500,49500,32400" +st "arp_ready" +blo "45000,32200" +tm "WireNameMgr" +) +) +) +*43 (Net +uid 289,0 +lang 5 +decl (Decl +n "arp_ready" +t "reg" +o 23 +suid 24,0 +) +declText (MLText +uid 290,0 +va (VaSet +font "courier,8,0" +) +xt "47000,92900,66000,93800" +st "reg arp_ready; +" +) +) +*44 (PortIoIn +uid 297,0 +shape (CompositeShape +uid 298,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 299,0 +sl 0 +ro 270 +xt "20000,29625,21500,30375" +) +(Line +uid 300,0 +sl 0 +ro 270 +xt "21500,30000,22000,30000" +pts [ +"21500,30000" +"22000,30000" +] +) +] +) +stc 0 +tg (WTG +uid 301,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 302,0 +va (VaSet +font "courier,8,0" +) +xt "15000,29500,19000,30400" +st "icmp_rxa" +ju 2 +blo "19000,30200" +tm "WireNameMgr" +) +) +) +*45 (Net +uid 303,0 +lang 5 +decl (Decl +n "icmp_rxa" +t "wire" +b "[9:0]" +o 24 +suid 25,0 +) +declText (MLText +uid 304,0 +va (VaSet +font "courier,8,0" +) +xt "47000,93800,65500,94700" +st "wire [9:0] icmp_rxa; +" +) +) +*46 (PortIoIn +uid 311,0 +shape (CompositeShape +uid 312,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 313,0 +sl 0 +ro 270 +xt "50000,625,51500,1375" +) +(Line +uid 314,0 +sl 0 +ro 270 +xt "51500,1000,52000,1000" +pts [ +"51500,1000" +"52000,1000" +] +) +] +) +stc 0 +tg (WTG +uid 315,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 316,0 +va (VaSet +font "courier,8,0" +) +xt "45000,500,49000,1400" +st "icmp_txa" +ju 2 +blo "49000,1200" +tm "WireNameMgr" +) +) +) +*47 (Net +uid 317,0 +lang 5 +decl (Decl +n "icmp_txa" +t "wire" +b "[9:0]" +o 25 +suid 26,0 +) +declText (MLText +uid 318,0 +va (VaSet +font "courier,8,0" +) +xt "47000,94700,65500,95600" +st "wire [9:0] icmp_txa; +" +) +) +*48 (PortIoIn +uid 325,0 +shape (CompositeShape +uid 326,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 327,0 +sl 0 +ro 270 +xt "20000,65625,21500,66375" +) +(Line +uid 328,0 +sl 0 +ro 270 +xt "21500,66000,22000,66000" +pts [ +"21500,66000" +"22000,66000" +] +) +] +) +stc 0 +tg (WTG +uid 329,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 330,0 +va (VaSet +font "courier,8,0" +) +xt "15000,65500,19000,66400" +st "icmp_len" +ju 2 +blo "19000,66200" +tm "WireNameMgr" +) +) +) +*49 (Net +uid 331,0 +lang 5 +decl (Decl +n "icmp_len" +t "wire" +b "[9:0]" +o 26 +suid 27,0 +) +declText (MLText +uid 332,0 +va (VaSet +font "courier,8,0" +) +xt "47000,95600,65500,96500" +st "wire [9:0] icmp_len; +" +) +) +*50 (PortIoIn +uid 339,0 +shape (CompositeShape +uid 340,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 341,0 +sl 0 +ro 270 +xt "20000,11625,21500,12375" +) +(Line +uid 342,0 +sl 0 +ro 270 +xt "21500,12000,22000,12000" +pts [ +"21500,12000" +"22000,12000" +] +) +] +) +stc 0 +tg (WTG +uid 343,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 344,0 +va (VaSet +font "courier,8,0" +) +xt "15000,11500,19000,12400" +st "icmp_txd" +ju 2 +blo "19000,12200" +tm "WireNameMgr" +) +) +) +*51 (Net +uid 345,0 +lang 5 +decl (Decl +n "icmp_txd" +t "wire" +b "[7:0]" +o 27 +suid 28,0 +) +declText (MLText +uid 346,0 +va (VaSet +font "courier,8,0" +) +xt "47000,96500,65500,97400" +st "wire [7:0] icmp_txd; +" +) +) +*52 (PortIoIn +uid 353,0 +shape (CompositeShape +uid 354,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 355,0 +sl 0 +ro 270 +xt "20000,43625,21500,44375" +) +(Line +uid 356,0 +sl 0 +ro 270 +xt "21500,44000,22000,44000" +pts [ +"21500,44000" +"22000,44000" +] +) +] +) +stc 0 +tg (WTG +uid 357,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 358,0 +va (VaSet +font "courier,8,0" +) +xt "15500,43500,19000,44400" +st "icmp_we" +ju 2 +blo "19000,44200" +tm "WireNameMgr" +) +) +) +*53 (Net +uid 359,0 +lang 5 +decl (Decl +n "icmp_we" +t "wire" +o 28 +suid 29,0 +) +declText (MLText +uid 360,0 +va (VaSet +font "courier,8,0" +) +xt "47000,97400,65000,98300" +st "wire icmp_we; +" +) +) +*54 (PortIoIn +uid 367,0 +shape (CompositeShape +uid 368,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 369,0 +sl 0 +ro 270 +xt "20000,54625,21500,55375" +) +(Line +uid 370,0 +sl 0 +ro 270 +xt "21500,55000,22000,55000" +pts [ +"21500,55000" +"22000,55000" +] +) +] +) +stc 0 +tg (WTG +uid 371,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 372,0 +va (VaSet +font "courier,8,0" +) +xt "14500,54500,19000,55400" +st "icmp_xmit" +ju 2 +blo "19000,55200" +tm "WireNameMgr" +) +) +) +*55 (Net +uid 373,0 +lang 5 +decl (Decl +n "icmp_xmit" +t "wire" +o 29 +suid 30,0 +) +declText (MLText +uid 374,0 +va (VaSet +font "courier,8,0" +) +xt "47000,98300,66000,99200" +st "wire icmp_xmit; +" +) +) +*56 (PortIoIn +uid 381,0 +shape (CompositeShape +uid 382,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 383,0 +sl 0 +ro 270 +xt "3000,28625,4500,29375" +) +(Line +uid 384,0 +sl 0 +ro 270 +xt "4500,29000,5000,29000" +pts [ +"4500,29000" +"5000,29000" +] +) +] +) +stc 0 +tg (WTG +uid 385,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 386,0 +va (VaSet +font "courier,8,0" +) +xt "-2500,28500,2000,29400" +st "icmp_done" +ju 2 +blo "2000,29200" +tm "WireNameMgr" +) +) +) +*57 (Net +uid 387,0 +lang 5 +decl (Decl +n "icmp_done" +t "wire" +o 30 +suid 31,0 +) +declText (MLText +uid 388,0 +va (VaSet +font "courier,8,0" +) +xt "47000,99200,66000,100100" +st "wire icmp_done; +" +) +) +*58 (PortIoOut +uid 395,0 +shape (CompositeShape +uid 396,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 397,0 +sl 0 +ro 270 +xt "57500,31625,59000,32375" +) +(Line +uid 398,0 +sl 0 +ro 270 +xt "57000,32000,57500,32000" +pts [ +"57000,32000" +"57500,32000" +] +) +] +) +stc 0 +tg (WTG +uid 399,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 400,0 +va (VaSet +font "courier,8,0" +) +xt "60000,31500,65500,32400" +st "icmp_ready" +blo "60000,32200" +tm "WireNameMgr" +) +) +) +*59 (Net +uid 401,0 +lang 5 +decl (Decl +n "icmp_ready" +t "reg" +o 31 +suid 32,0 +) +declText (MLText +uid 402,0 +va (VaSet +font "courier,8,0" +) +xt "47000,100100,66500,101000" +st "reg icmp_ready; +" +) +) +*60 (PortIoIn +uid 409,0 +shape (CompositeShape +uid 410,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 411,0 +sl 0 +ro 270 +xt "20000,30625,21500,31375" +) +(Line +uid 412,0 +sl 0 +ro 270 +xt "21500,31000,22000,31000" +pts [ +"21500,31000" +"22000,31000" +] +) +] +) +stc 0 +tg (WTG +uid 413,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 414,0 +va (VaSet +font "courier,8,0" +) +xt "15500,30500,19000,31400" +st "udp_rxa" +ju 2 +blo "19000,31200" +tm "WireNameMgr" +) +) +) +*61 (Net +uid 415,0 +lang 5 +decl (Decl +n "udp_rxa" +t "wire" +b "[`pbuf_awidth - 1:0]" +o 32 +suid 33,0 +) +declText (MLText +uid 416,0 +va (VaSet +font "courier,8,0" +) +xt "47000,101000,65100,101900" +st "wire [`pbuf_awidth - 1:0] udp_rxa; +" +) +) +*62 (PortIoIn +uid 423,0 +shape (CompositeShape +uid 424,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 425,0 +sl 0 +ro 270 +xt "50000,1625,51500,2375" +) +(Line +uid 426,0 +sl 0 +ro 270 +xt "51500,2000,52000,2000" +pts [ +"51500,2000" +"52000,2000" +] +) +] +) +stc 0 +tg (WTG +uid 427,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 428,0 +va (VaSet +font "courier,8,0" +) +xt "45500,1500,49000,2400" +st "udp_txa" +ju 2 +blo "49000,2200" +tm "WireNameMgr" +) +) +) +*63 (Net +uid 429,0 +lang 5 +decl (Decl +n "udp_txa" +t "wire" +b "[`pbuf_awidth - 1:0]" +o 33 +suid 34,0 +) +declText (MLText +uid 430,0 +va (VaSet +font "courier,8,0" +) +xt "47000,101900,65100,102800" +st "wire [`pbuf_awidth - 1:0] udp_txa; +" +) +) +*64 (PortIoIn +uid 437,0 +shape (CompositeShape +uid 438,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 439,0 +sl 0 +ro 270 +xt "20000,66625,21500,67375" +) +(Line +uid 440,0 +sl 0 +ro 270 +xt "21500,67000,22000,67000" +pts [ +"21500,67000" +"22000,67000" +] +) +] +) +stc 0 +tg (WTG +uid 441,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 442,0 +va (VaSet +font "courier,8,0" +) +xt "15500,66500,19000,67400" +st "udp_len" +ju 2 +blo "19000,67200" +tm "WireNameMgr" +) +) +) +*65 (Net +uid 443,0 +lang 5 +decl (Decl +n "udp_len" +t "wire" +b "[`pbuf_awidth - 1:0]" +o 34 +suid 35,0 +) +declText (MLText +uid 444,0 +va (VaSet +font "courier,8,0" +) +xt "47000,102800,65100,103700" +st "wire [`pbuf_awidth - 1:0] udp_len; +" +) +) +*66 (PortIoIn +uid 451,0 +shape (CompositeShape +uid 452,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 453,0 +sl 0 +ro 270 +xt "20000,12625,21500,13375" +) +(Line +uid 454,0 +sl 0 +ro 270 +xt "21500,13000,22000,13000" +pts [ +"21500,13000" +"22000,13000" +] +) +] +) +stc 0 +tg (WTG +uid 455,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 456,0 +va (VaSet +font "courier,8,0" +) +xt "15500,12500,19000,13400" +st "udp_txd" +ju 2 +blo "19000,13200" +tm "WireNameMgr" +) +) +) +*67 (Net +uid 457,0 +lang 5 +decl (Decl +n "udp_txd" +t "wire" +b "[7:0]" +o 35 +suid 36,0 +) +declText (MLText +uid 458,0 +va (VaSet +font "courier,8,0" +) +xt "47000,103700,65000,104600" +st "wire [7:0] udp_txd; +" +) +) +*68 (PortIoIn +uid 465,0 +shape (CompositeShape +uid 466,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 467,0 +sl 0 +ro 270 +xt "20000,44625,21500,45375" +) +(Line +uid 468,0 +sl 0 +ro 270 +xt "21500,45000,22000,45000" +pts [ +"21500,45000" +"22000,45000" +] +) +] +) +stc 0 +tg (WTG +uid 469,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 470,0 +va (VaSet +font "courier,8,0" +) +xt "16000,44500,19000,45400" +st "udp_we" +ju 2 +blo "19000,45200" +tm "WireNameMgr" +) +) +) +*69 (Net +uid 471,0 +lang 5 +decl (Decl +n "udp_we" +t "wire" +o 36 +suid 37,0 +) +declText (MLText +uid 472,0 +va (VaSet +font "courier,8,0" +) +xt "47000,104600,64500,105500" +st "wire udp_we; +" +) +) +*70 (PortIoIn +uid 479,0 +shape (CompositeShape +uid 480,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 481,0 +sl 0 +ro 270 +xt "20000,55625,21500,56375" +) +(Line +uid 482,0 +sl 0 +ro 270 +xt "21500,56000,22000,56000" +pts [ +"21500,56000" +"22000,56000" +] +) +] +) +stc 0 +tg (WTG +uid 483,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 484,0 +va (VaSet +font "courier,8,0" +) +xt "15000,55500,19000,56400" +st "udp_xmit" +ju 2 +blo "19000,56200" +tm "WireNameMgr" +) +) +) +*71 (Net +uid 485,0 +lang 5 +decl (Decl +n "udp_xmit" +t "wire" +o 37 +suid 38,0 +) +declText (MLText +uid 486,0 +va (VaSet +font "courier,8,0" +) +xt "47000,105500,65500,106400" +st "wire udp_xmit; +" +) +) +*72 (PortIoIn +uid 493,0 +shape (CompositeShape +uid 494,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 495,0 +sl 0 +ro 270 +xt "3000,29625,4500,30375" +) +(Line +uid 496,0 +sl 0 +ro 270 +xt "4500,30000,5000,30000" +pts [ +"4500,30000" +"5000,30000" +] +) +] +) +stc 0 +tg (WTG +uid 497,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 498,0 +va (VaSet +font "courier,8,0" +) +xt "-2000,29500,2000,30400" +st "udp_done" +ju 2 +blo "2000,30200" +tm "WireNameMgr" +) +) +) +*73 (Net +uid 499,0 +lang 5 +decl (Decl +n "udp_done" +t "wire" +o 38 +suid 39,0 +) +declText (MLText +uid 500,0 +va (VaSet +font "courier,8,0" +) +xt "47000,106400,65500,107300" +st "wire udp_done; +" +) +) +*74 (PortIoIn +uid 507,0 +shape (CompositeShape +uid 508,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 509,0 +sl 0 +ro 270 +xt "3000,30625,4500,31375" +) +(Line +uid 510,0 +sl 0 +ro 270 +xt "4500,31000,5000,31000" +pts [ +"4500,31000" +"5000,31000" +] +) +] +) +stc 0 +tg (WTG +uid 511,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 512,0 +va (VaSet +font "courier,8,0" +) +xt "-4500,30500,2000,31400" +st "udp_xmit_req" +ju 2 +blo "2000,31200" +tm "WireNameMgr" +) +) +) +*75 (Net +uid 513,0 +lang 5 +decl (Decl +n "udp_xmit_req" +t "wire" +o 39 +suid 40,0 +) +declText (MLText +uid 514,0 +va (VaSet +font "courier,8,0" +) +xt "47000,107300,67500,108200" +st "wire udp_xmit_req; +" +) +) +*76 (PortIoIn +uid 521,0 +shape (CompositeShape +uid 522,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 523,0 +sl 0 +ro 270 +xt "3000,31625,4500,32375" +) +(Line +uid 524,0 +sl 0 +ro 270 +xt "4500,32000,5000,32000" +pts [ +"4500,32000" +"5000,32000" +] +) +] +) +stc 0 +tg (WTG +uid 525,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 526,0 +va (VaSet +font "courier,8,0" +) +xt "-2500,31500,2000,32400" +st "udp_space" +ju 2 +blo "2000,32200" +tm "WireNameMgr" +) +) +) +*77 (Net +uid 527,0 +lang 5 +decl (Decl +n "udp_space" +t "wire" +o 40 +suid 41,0 +) +declText (MLText +uid 528,0 +va (VaSet +font "courier,8,0" +) +xt "47000,108200,66000,109100" +st "wire udp_space; +" +) +) +*78 (PortIoOut +uid 535,0 +shape (CompositeShape +uid 536,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 537,0 +sl 0 +ro 270 +xt "72500,31625,74000,32375" +) +(Line +uid 538,0 +sl 0 +ro 270 +xt "72000,32000,72500,32000" +pts [ +"72000,32000" +"72500,32000" +] +) +] +) +stc 0 +tg (WTG +uid 539,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 540,0 +va (VaSet +font "courier,8,0" +) +xt "75000,31500,79500,32400" +st "udp_ready" +blo "75000,32200" +tm "WireNameMgr" +) +) +) +*79 (Net +uid 541,0 +lang 5 +decl (Decl +n "udp_ready" +t "reg" +o 41 +suid 42,0 +) +declText (MLText +uid 542,0 +va (VaSet +font "courier,8,0" +) +xt "47000,109100,66000,110000" +st "reg udp_ready; +" +) +) +*80 (PortIoOut +uid 549,0 +shape (CompositeShape +uid 550,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 551,0 +sl 0 +ro 270 +xt "27500,21625,29000,22375" +) +(Line +uid 552,0 +sl 0 +ro 270 +xt "27000,22000,27500,22000" +pts [ +"27000,22000" +"27500,22000" +] +) +] +) +stc 0 +tg (WTG +uid 553,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 554,0 +va (VaSet +font "courier,8,0" +) +xt "30000,21500,36000,22400" +st "udp_xmit_ok" +blo "30000,22200" +tm "WireNameMgr" +) +) +) +*81 (Net +uid 555,0 +lang 5 +decl (Decl +n "udp_xmit_ok" +t "reg" +o 42 +suid 43,0 +) +declText (MLText +uid 556,0 +va (VaSet +font "courier,8,0" +) +xt "47000,110000,67000,110900" +st "reg udp_xmit_ok; +" +) +) +*82 (HdlText +uid 563,0 +optionalChildren [ +*83 (SmEmbeddedModel +version "25.1" +model (StateMachine +uid 570,0 +optionalChildren [ +*84 (ConcurrentSM +uid 639,0 +topDiagram (StateDiagram +LanguageMgr "None" +uid 640,0 +optionalChildren [ +*85 (State +uid 682,0 +shape (Circle +uid 683,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "110280,4000,116280,10000" +radius 3000 +) +name (Text +uid 684,0 +va (VaSet +font "courier,10,1" +) +xt "111180,5850,115380,6850" +st "ST_IDLE" +ju 0 +blo "113280,6650" +tm "ONodeName" +) +wait (TextAssociate +uid 685,0 +ps "CenterOffsetStrategy" +text (Text +uid 686,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "113680,6750,117280,7750" +st "wait 2" +blo "113680,7550" +tm "SmWaitText" +) +) +encoding (Text +uid 687,0 +va (VaSet +font "courier,8,1" +) +xt "111980,7250,114580,8150" +st "5'h00" +blo "111980,7950" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 690,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 691,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "117180,9900,117380,10100" +) +autoResize 1 +tline (Line +uid 692,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "113280,7000,113280,7000" +pts [ +"113280,7000" +"113280,7000" +] +) +bline (Line +uid 693,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "113280,7000,113280,7000" +pts [ +"113280,7000" +"113280,7000" +] +) +ttri (Triangle +uid 694,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "112830,6825,113180,7175" +) +btri (Triangle +uid 695,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "112830,6825,113180,7175" +) +entryActions (MLText +uid 696,0 +va (VaSet +font "courier,8,0" +) +xt "113280,7000,113280,7000" +tm "Actions" +) +inActions (MLText +uid 697,0 +va (VaSet +font "courier,8,0" +) +xt "113280,7000,123780,7900" +st "activeSrc<=SRC_SELF;" +tm "Actions" +) +exitActions (MLText +uid 698,0 +va (VaSet +font "courier,8,0" +) +xt "113280,7000,113280,7000" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 688,0 +ps "CenterOffsetStrategy" +text (MLText +uid 689,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "111130,7800,116630,8700" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*86 (State +uid 699,0 +shape (Circle +uid 700,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "148104,10032,156648,18576" +radius 4272 +) +name (Text +uid 701,0 +va (VaSet +font "courier,10,1" +) +xt "148776,13154,155976,14154" +st "ST_WAITETH0" +ju 0 +blo "152376,13954" +tm "ONodeName" +) +wait (TextAssociate +uid 702,0 +ps "CenterOffsetStrategy" +text (Text +uid 703,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "152776,14054,156376,15054" +st "wait 2" +blo "152776,14854" +tm "SmWaitText" +) +) +encoding (Text +uid 704,0 +va (VaSet +font "courier,8,1" +) +xt "151076,14554,153676,15454" +st "5'h01" +blo "151076,15254" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 707,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 708,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "156276,17204,156476,17404" +) +autoResize 1 +tline (Line +uid 709,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "152376,14304,152376,14304" +pts [ +"152376,14304" +"152376,14304" +] +) +bline (Line +uid 710,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "152376,14304,152376,14304" +pts [ +"152376,14304" +"152376,14304" +] +) +ttri (Triangle +uid 711,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "151926,14129,152276,14479" +) +btri (Triangle +uid 712,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "151926,14129,152276,14479" +) +entryActions (MLText +uid 713,0 +va (VaSet +font "courier,8,0" +) +xt "152376,14304,152376,14304" +tm "Actions" +) +inActions (MLText +uid 714,0 +va (VaSet +font "courier,8,0" +) +xt "152376,14304,174576,15204" +st "self_rxa<=`pbuf_awidth'd13; // get ethtype1" +tm "Actions" +) +exitActions (MLText +uid 715,0 +va (VaSet +font "courier,8,0" +) +xt "152376,14304,152376,14304" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 705,0 +ps "CenterOffsetStrategy" +text (MLText +uid 706,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "150226,15104,155726,16004" +st "CASE: expr" +tm "SmCaseExpr" +) +) +impLoop 0 +) +*87 (State +uid 716,0 +shape (Circle +uid 717,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "183197,32232,189197,38232" +radius 3000 +) +name (Text +uid 718,0 +va (VaSet +font "courier,10,1" +) +xt "184097,34082,188297,35082" +st "ST_ETH0" +ju 0 +blo "186197,34882" +tm "ONodeName" +) +wait (TextAssociate +uid 719,0 +ps "CenterOffsetStrategy" +text (Text +uid 720,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "186597,34982,190197,35982" +st "wait 2" +blo "186597,35782" +tm "SmWaitText" +) +) +encoding (Text +uid 721,0 +va (VaSet +font "courier,8,1" +) +xt "184897,35482,187497,36382" +st "5'h02" +blo "184897,36182" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 724,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 725,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "190097,38132,190297,38332" +) +autoResize 1 +tline (Line +uid 726,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "186197,35232,186197,35232" +pts [ +"186197,35232" +"186197,35232" +] +) +bline (Line +uid 727,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "186197,35232,186197,35232" +pts [ +"186197,35232" +"186197,35232" +] +) +ttri (Triangle +uid 728,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "185747,35057,186097,35407" +) +btri (Triangle +uid 729,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "185747,35057,186097,35407" +) +entryActions (MLText +uid 730,0 +va (VaSet +font "courier,8,0" +) +xt "186197,35232,186197,35232" +tm "Actions" +) +inActions (MLText +uid 731,0 +va (VaSet +font "courier,8,0" +) +xt "186197,35232,211397,37032" +st "eth0<=rxd; +self_rxa<=`pbuf_awidth'd30; // get dest ip addr 0" +tm "Actions" +) +exitActions (MLText +uid 732,0 +va (VaSet +font "courier,8,0" +) +xt "186197,35232,186197,35232" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 722,0 +ps "CenterOffsetStrategy" +text (MLText +uid 723,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "184047,36032,189547,36932" +st "CASE: expr" +tm "SmCaseExpr" +) +) +impLoop 0 +) +*88 (State +uid 733,0 +shape (Circle +uid 734,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "207181,63960,213181,69960" +radius 3000 +) +name (Text +uid 735,0 +va (VaSet +font "courier,10,1" +) +xt "208081,65810,212281,66810" +st "ST_ETH1" +ju 0 +blo "210181,66610" +tm "ONodeName" +) +wait (TextAssociate +uid 736,0 +ps "CenterOffsetStrategy" +text (Text +uid 737,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "210581,66710,214181,67710" +st "wait 2" +blo "210581,67510" +tm "SmWaitText" +) +) +encoding (Text +uid 738,0 +va (VaSet +font "courier,8,1" +) +xt "208881,67210,211481,68110" +st "5'h03" +blo "208881,67910" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 741,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 742,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "214081,69860,214281,70060" +) +autoResize 1 +tline (Line +uid 743,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "210181,66960,210181,66960" +pts [ +"210181,66960" +"210181,66960" +] +) +bline (Line +uid 744,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "210181,66960,210181,66960" +pts [ +"210181,66960" +"210181,66960" +] +) +ttri (Triangle +uid 745,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "209731,66785,210081,67135" +) +btri (Triangle +uid 746,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "209731,66785,210081,67135" +) +entryActions (MLText +uid 747,0 +va (VaSet +font "courier,8,0" +) +xt "210181,66960,210181,66960" +tm "Actions" +) +inActions (MLText +uid 748,0 +va (VaSet +font "courier,8,0" +) +xt "210181,66960,235381,67860" +st "self_rxa<=`pbuf_awidth'd31; // get dest ip addr 1" +tm "Actions" +) +exitActions (MLText +uid 749,0 +va (VaSet +font "courier,8,0" +) +xt "210181,66960,210181,66960" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 739,0 +ps "CenterOffsetStrategy" +text (MLText +uid 740,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "208031,67760,213531,68660" +st "CASE: expr" +tm "SmCaseExpr" +) +) +impLoop 0 +) +*89 (State +uid 750,0 +shape (Circle +uid 751,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "218090,102208,224090,108208" +radius 3000 +) +name (Text +uid 752,0 +va (VaSet +font "courier,10,1" +) +xt "219290,104058,222890,105058" +st "ST_IP0" +ju 0 +blo "221090,104858" +tm "ONodeName" +) +wait (TextAssociate +uid 753,0 +ps "CenterOffsetStrategy" +text (Text +uid 754,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "221490,104958,225090,105958" +st "wait 2" +blo "221490,105758" +tm "SmWaitText" +) +) +encoding (Text +uid 755,0 +va (VaSet +font "courier,8,1" +) +xt "219790,105458,222390,106358" +st "5'h05" +blo "219790,106158" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 758,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 759,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "224990,108108,225190,108308" +) +autoResize 1 +tline (Line +uid 760,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "221090,105208,221090,105208" +pts [ +"221090,105208" +"221090,105208" +] +) +bline (Line +uid 761,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "221090,105208,221090,105208" +pts [ +"221090,105208" +"221090,105208" +] +) +ttri (Triangle +uid 762,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "220640,105033,220990,105383" +) +btri (Triangle +uid 763,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "220640,105033,220990,105383" +) +entryActions (MLText +uid 764,0 +va (VaSet +font "courier,8,0" +) +xt "221090,105208,221090,105208" +tm "Actions" +) +inActions (MLText +uid 765,0 +va (VaSet +font "courier,8,0" +) +xt "221090,105208,246290,106108" +st "self_rxa<=`pbuf_awidth'd32; // get dest ip addr 2" +tm "Actions" +) +exitActions (MLText +uid 766,0 +va (VaSet +font "courier,8,0" +) +xt "221090,105208,221090,105208" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 756,0 +ps "CenterOffsetStrategy" +text (MLText +uid 757,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "218940,106008,224440,106908" +st "CASE: expr" +tm "SmCaseExpr" +) +) +impLoop 0 +) +*90 (State +uid 767,0 +shape (Circle +uid 768,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "214454,141814,220454,147814" +radius 3000 +) +name (Text +uid 769,0 +va (VaSet +font "courier,10,1" +) +xt "215654,143664,219254,144664" +st "ST_IP1" +ju 0 +blo "217454,144464" +tm "ONodeName" +) +wait (TextAssociate +uid 770,0 +ps "CenterOffsetStrategy" +text (Text +uid 771,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "217854,144564,221454,145564" +st "wait 2" +blo "217854,145364" +tm "SmWaitText" +) +) +encoding (Text +uid 772,0 +va (VaSet +font "courier,8,1" +) +xt "216154,145064,218754,145964" +st "5'h06" +blo "216154,145764" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 775,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 776,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "221354,147714,221554,147914" +) +autoResize 1 +tline (Line +uid 777,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "217454,144814,217454,144814" +pts [ +"217454,144814" +"217454,144814" +] +) +bline (Line +uid 778,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "217454,144814,217454,144814" +pts [ +"217454,144814" +"217454,144814" +] +) +ttri (Triangle +uid 779,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "217004,144639,217354,144989" +) +btri (Triangle +uid 780,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "217004,144639,217354,144989" +) +entryActions (MLText +uid 781,0 +va (VaSet +font "courier,8,0" +) +xt "217454,144814,217454,144814" +tm "Actions" +) +inActions (MLText +uid 782,0 +va (VaSet +font "courier,8,0" +) +xt "217454,144814,242654,145714" +st "self_rxa<=`pbuf_awidth'd33; // get dest ip addr 3" +tm "Actions" +) +exitActions (MLText +uid 783,0 +va (VaSet +font "courier,8,0" +) +xt "217454,144814,217454,144814" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 773,0 +ps "CenterOffsetStrategy" +text (MLText +uid 774,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "215304,145614,220804,146514" +st "CASE: expr" +tm "SmCaseExpr" +) +) +impLoop 0 +) +*91 (State +uid 784,0 +shape (Circle +uid 785,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "196762,177436,202762,183436" +radius 3000 +) +name (Text +uid 786,0 +va (VaSet +font "courier,10,1" +) +xt "197962,179286,201562,180286" +st "ST_IP2" +ju 0 +blo "199762,180086" +tm "ONodeName" +) +wait (TextAssociate +uid 787,0 +ps "CenterOffsetStrategy" +text (Text +uid 788,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "200162,180186,203762,181186" +st "wait 2" +blo "200162,180986" +tm "SmWaitText" +) +) +encoding (Text +uid 789,0 +va (VaSet +font "courier,8,1" +) +xt "198462,180686,201062,181586" +st "5'h07" +blo "198462,181386" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 792,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 793,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "203662,183336,203862,183536" +) +autoResize 1 +tline (Line +uid 794,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "199762,180436,199762,180436" +pts [ +"199762,180436" +"199762,180436" +] +) +bline (Line +uid 795,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "199762,180436,199762,180436" +pts [ +"199762,180436" +"199762,180436" +] +) +ttri (Triangle +uid 796,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "199312,180261,199662,180611" +) +btri (Triangle +uid 797,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "199312,180261,199662,180611" +) +entryActions (MLText +uid 798,0 +va (VaSet +font "courier,8,0" +) +xt "199762,180436,199762,180436" +tm "Actions" +) +inActions (MLText +uid 799,0 +va (VaSet +font "courier,8,0" +) +xt "199762,180436,228962,181336" +st "self_rxa<=`pbuf_awidth'd23; // get the ip type (if valid)" +tm "Actions" +) +exitActions (MLText +uid 800,0 +va (VaSet +font "courier,8,0" +) +xt "199762,180436,199762,180436" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 790,0 +ps "CenterOffsetStrategy" +text (MLText +uid 791,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "197612,181236,203112,182136" +st "CASE: expr" +tm "SmCaseExpr" +) +) +impLoop 0 +) +*92 (State +uid 801,0 +shape (Circle +uid 802,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "167402,204266,173402,210266" +radius 3000 +) +name (Text +uid 803,0 +va (VaSet +font "courier,10,1" +) +xt "168602,206116,172202,207116" +st "ST_IP3" +ju 0 +blo "170402,206916" +tm "ONodeName" +) +wait (TextAssociate +uid 804,0 +ps "CenterOffsetStrategy" +text (Text +uid 805,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "170802,207016,174402,208016" +st "wait 2" +blo "170802,207816" +tm "SmWaitText" +) +) +encoding (Text +uid 806,0 +va (VaSet +font "courier,8,1" +) +xt "169102,207516,171702,208416" +st "5'h08" +blo "169102,208216" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 809,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 810,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "174302,210166,174502,210366" +) +autoResize 1 +tline (Line +uid 811,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "170402,207266,170402,207266" +pts [ +"170402,207266" +"170402,207266" +] +) +bline (Line +uid 812,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "170402,207266,170402,207266" +pts [ +"170402,207266" +"170402,207266" +] +) +ttri (Triangle +uid 813,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "169952,207091,170302,207441" +) +btri (Triangle +uid 814,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "169952,207091,170302,207441" +) +entryActions (MLText +uid 815,0 +va (VaSet +font "courier,8,0" +) +xt "170402,207266,170402,207266" +tm "Actions" +) +inActions (MLText +uid 816,0 +va (VaSet +font "courier,8,0" +) +xt "170402,207266,203602,208166" +st "self_rxa<=`pbuf_awidth'h24; // get the udp port (MSB) (if needed)" +tm "Actions" +) +exitActions (MLText +uid 817,0 +va (VaSet +font "courier,8,0" +) +xt "170402,207266,170402,207266" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 807,0 +ps "CenterOffsetStrategy" +text (MLText +uid 808,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "168252,208066,173752,208966" +st "CASE: expr" +tm "SmCaseExpr" +) +) +impLoop 0 +) +*93 (State +uid 818,0 +shape (Circle +uid 819,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "130335,218686,136335,224686" +radius 3000 +) +name (Text +uid 820,0 +va (VaSet +font "courier,10,1" +) +xt "131835,220536,134835,221536" +st "ST_IP" +ju 0 +blo "133335,221336" +tm "ONodeName" +) +wait (TextAssociate +uid 821,0 +ps "CenterOffsetStrategy" +text (Text +uid 822,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "133735,221436,137335,222436" +st "wait 2" +blo "133735,222236" +tm "SmWaitText" +) +) +encoding (Text +uid 823,0 +va (VaSet +font "courier,8,1" +) +xt "132035,221936,134635,222836" +st "5'h09" +blo "132035,222636" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 826,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 827,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "137235,224586,137435,224786" +) +autoResize 1 +tline (Line +uid 828,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "133335,221686,133335,221686" +pts [ +"133335,221686" +"133335,221686" +] +) +bline (Line +uid 829,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "133335,221686,133335,221686" +pts [ +"133335,221686" +"133335,221686" +] +) +ttri (Triangle +uid 830,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "132885,221511,133235,221861" +) +btri (Triangle +uid 831,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "132885,221511,133235,221861" +) +entryActions (MLText +uid 832,0 +va (VaSet +font "courier,8,0" +) +xt "133335,221686,133335,221686" +tm "Actions" +) +inActions (MLText +uid 833,0 +va (VaSet +font "courier,8,0" +) +xt "133335,221686,166535,222586" +st "self_rxa<=`pbuf_awidth'h25; // get the udp port (LSB) (if needed)" +tm "Actions" +) +exitActions (MLText +uid 834,0 +va (VaSet +font "courier,8,0" +) +xt "133335,221686,133335,221686" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 824,0 +ps "CenterOffsetStrategy" +text (MLText +uid 825,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "131185,222486,136685,223386" +st "CASE: expr" +tm "SmCaseExpr" +) +) +impLoop 0 +) +*94 (State +uid 835,0 +shape (Circle +uid 836,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "6106,141814,12106,147814" +radius 3000 +) +name (Text +uid 837,0 +va (VaSet +font "courier,10,1" +) +xt "7306,143664,10906,144664" +st "ST_ARP" +ju 0 +blo "9106,144464" +tm "ONodeName" +) +wait (TextAssociate +uid 838,0 +ps "CenterOffsetStrategy" +text (Text +uid 839,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "9506,144564,13106,145564" +st "wait 2" +blo "9506,145364" +tm "SmWaitText" +) +) +encoding (Text +uid 840,0 +va (VaSet +font "courier,8,1" +) +xt "7806,145064,10406,145964" +st "5'h04" +blo "7806,145764" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 843,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 844,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "13006,147714,13206,147914" +) +autoResize 1 +tline (Line +uid 845,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "9106,144814,9106,144814" +pts [ +"9106,144814" +"9106,144814" +] +) +bline (Line +uid 846,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "9106,144814,9106,144814" +pts [ +"9106,144814" +"9106,144814" +] +) +ttri (Triangle +uid 847,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "8656,144639,9006,144989" +) +btri (Triangle +uid 848,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "8656,144639,9006,144989" +) +entryActions (MLText +uid 849,0 +va (VaSet +font "courier,8,0" +) +xt "9106,144814,9106,144814" +tm "Actions" +) +inActions (MLText +uid 850,0 +va (VaSet +font "courier,8,0" +) +xt "9106,144814,19106,145714" +st "activeSrc<=SRC_ARP;" +tm "Actions" +) +exitActions (MLText +uid 851,0 +va (VaSet +font "courier,8,0" +) +xt "9106,144814,9106,144814" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 841,0 +ps "CenterOffsetStrategy" +text (MLText +uid 842,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "6956,145614,12456,146514" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*95 (State +uid 852,0 +shape (Circle +uid 853,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "90225,218686,96225,224686" +radius 3000 +) +name (Text +uid 854,0 +va (VaSet +font "courier,10,1" +) +xt "91125,220536,95325,221536" +st "ST_ICMP" +ju 0 +blo "93225,221336" +tm "ONodeName" +) +wait (TextAssociate +uid 855,0 +ps "CenterOffsetStrategy" +text (Text +uid 856,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "93625,221436,97225,222436" +st "wait 2" +blo "93625,222236" +tm "SmWaitText" +) +) +encoding (Text +uid 857,0 +va (VaSet +font "courier,8,1" +) +xt "91925,221936,94525,222836" +st "5'h0a" +blo "91925,222636" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 860,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 861,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "97125,224586,97325,224786" +) +autoResize 1 +tline (Line +uid 862,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "93225,221686,93225,221686" +pts [ +"93225,221686" +"93225,221686" +] +) +bline (Line +uid 863,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "93225,221686,93225,221686" +pts [ +"93225,221686" +"93225,221686" +] +) +ttri (Triangle +uid 864,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "92775,221511,93125,221861" +) +btri (Triangle +uid 865,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "92775,221511,93125,221861" +) +entryActions (MLText +uid 866,0 +va (VaSet +font "courier,8,0" +) +xt "93225,221686,93225,221686" +tm "Actions" +) +inActions (MLText +uid 867,0 +va (VaSet +font "courier,8,0" +) +xt "93225,221686,103725,222586" +st "activeSrc<=SRC_ICMP;" +tm "Actions" +) +exitActions (MLText +uid 868,0 +va (VaSet +font "courier,8,0" +) +xt "93225,221686,93225,221686" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 858,0 +ps "CenterOffsetStrategy" +text (MLText +uid 859,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "91075,222486,96575,223386" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*96 (State +uid 869,0 +shape (Circle +uid 870,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "2470,102208,8470,108208" +radius 3000 +) +name (Text +uid 871,0 +va (VaSet +font "courier,10,1" +) +xt "3370,104058,7570,105058" +st "ST_UDP0" +ju 0 +blo "5470,104858" +tm "ONodeName" +) +wait (TextAssociate +uid 872,0 +ps "CenterOffsetStrategy" +text (Text +uid 873,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "5870,104958,9470,105958" +st "wait 2" +blo "5870,105758" +tm "SmWaitText" +) +) +encoding (Text +uid 874,0 +va (VaSet +font "courier,8,1" +) +xt "4170,105458,6770,106358" +st "5'h0c" +blo "4170,106158" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 877,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 878,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "9370,108108,9570,108308" +) +autoResize 1 +tline (Line +uid 879,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "5470,105208,5470,105208" +pts [ +"5470,105208" +"5470,105208" +] +) +bline (Line +uid 880,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "5470,105208,5470,105208" +pts [ +"5470,105208" +"5470,105208" +] +) +ttri (Triangle +uid 881,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "5020,105033,5370,105383" +) +btri (Triangle +uid 882,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "5020,105033,5370,105383" +) +entryActions (MLText +uid 883,0 +va (VaSet +font "courier,8,0" +) +xt "5470,105208,5470,105208" +tm "Actions" +) +inActions (MLText +uid 884,0 +va (VaSet +font "courier,8,0" +) +xt "5470,105208,5470,105208" +tm "Actions" +) +exitActions (MLText +uid 885,0 +va (VaSet +font "courier,8,0" +) +xt "5470,105208,5470,105208" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 875,0 +ps "CenterOffsetStrategy" +text (MLText +uid 876,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "3320,106008,8820,106908" +st "CASE: expr" +tm "SmCaseExpr" +) +) +impLoop 0 +) +*97 (State +uid 886,0 +shape (Circle +uid 887,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "13379,63960,19379,69960" +radius 3000 +) +name (Text +uid 888,0 +va (VaSet +font "courier,10,1" +) +xt "14279,65810,18479,66810" +st "ST_UDP1" +ju 0 +blo "16379,66610" +tm "ONodeName" +) +wait (TextAssociate +uid 889,0 +ps "CenterOffsetStrategy" +text (Text +uid 890,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "16779,66710,20379,67710" +st "wait 2" +blo "16779,67510" +tm "SmWaitText" +) +) +encoding (Text +uid 891,0 +va (VaSet +font "courier,8,1" +) +xt "15079,67210,17679,68110" +st "5'h0d" +blo "15079,67910" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 894,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 895,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "20279,69860,20479,70060" +) +autoResize 1 +tline (Line +uid 896,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "16379,66960,16379,66960" +pts [ +"16379,66960" +"16379,66960" +] +) +bline (Line +uid 897,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "16379,66960,16379,66960" +pts [ +"16379,66960" +"16379,66960" +] +) +ttri (Triangle +uid 898,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "15929,66785,16279,67135" +) +btri (Triangle +uid 899,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "15929,66785,16279,67135" +) +entryActions (MLText +uid 900,0 +va (VaSet +font "courier,8,0" +) +xt "16379,66960,16379,66960" +tm "Actions" +) +inActions (MLText +uid 901,0 +va (VaSet +font "courier,8,0" +) +xt "16379,66960,16379,66960" +tm "Actions" +) +exitActions (MLText +uid 902,0 +va (VaSet +font "courier,8,0" +) +xt "16379,66960,16379,66960" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 892,0 +ps "CenterOffsetStrategy" +text (MLText +uid 893,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "14229,67760,19729,68660" +st "CASE: expr" +tm "SmCaseExpr" +) +) +impLoop 0 +) +*98 (State +uid 903,0 +shape (Circle +uid 904,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "37363,32232,43363,38232" +radius 3000 +) +name (Text +uid 905,0 +va (VaSet +font "courier,10,1" +) +xt "38563,34082,42163,35082" +st "ST_UDP" +ju 0 +blo "40363,34882" +tm "ONodeName" +) +wait (TextAssociate +uid 906,0 +ps "CenterOffsetStrategy" +text (Text +uid 907,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "40763,34982,44363,35982" +st "wait 2" +blo "40763,35782" +tm "SmWaitText" +) +) +encoding (Text +uid 908,0 +va (VaSet +font "courier,8,1" +) +xt "39063,35482,41663,36382" +st "5'h0b" +blo "39063,36182" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 911,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 912,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "44263,38132,44463,38332" +) +autoResize 1 +tline (Line +uid 913,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "40363,35232,40363,35232" +pts [ +"40363,35232" +"40363,35232" +] +) +bline (Line +uid 914,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "40363,35232,40363,35232" +pts [ +"40363,35232" +"40363,35232" +] +) +ttri (Triangle +uid 915,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "39913,35057,40263,35407" +) +btri (Triangle +uid 916,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "39913,35057,40263,35407" +) +entryActions (MLText +uid 917,0 +va (VaSet +font "courier,8,0" +) +xt "40363,35232,40363,35232" +tm "Actions" +) +inActions (MLText +uid 918,0 +va (VaSet +font "courier,8,0" +) +xt "40363,35232,50363,36132" +st "activeSrc<=SRC_UDP;" +tm "Actions" +) +exitActions (MLText +uid 919,0 +va (VaSet +font "courier,8,0" +) +xt "40363,35232,40363,35232" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 909,0 +ps "CenterOffsetStrategy" +text (MLText +uid 910,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "38213,36032,43713,36932" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*99 (State +uid 920,0 +shape (Circle +uid 921,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "52174,203282,60142,211250" +radius 3984 +) +name (Text +uid 922,0 +va (VaSet +font "courier,10,1" +) +xt "52858,206116,59458,207116" +st "ST_PREDONE" +ju 0 +blo "56158,206916" +tm "ONodeName" +) +wait (TextAssociate +uid 923,0 +ps "CenterOffsetStrategy" +text (Text +uid 924,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "56558,207016,60158,208016" +st "wait 2" +blo "56558,207816" +tm "SmWaitText" +) +) +encoding (Text +uid 925,0 +va (VaSet +font "courier,8,1" +) +xt "54858,207516,57458,208416" +st "5'h1d" +blo "54858,208216" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 928,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 929,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "60058,210166,60258,210366" +) +autoResize 1 +tline (Line +uid 930,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "56158,207266,56158,207266" +pts [ +"56158,207266" +"56158,207266" +] +) +bline (Line +uid 931,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "56158,207266,56158,207266" +pts [ +"56158,207266" +"56158,207266" +] +) +ttri (Triangle +uid 932,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "55708,207091,56058,207441" +) +btri (Triangle +uid 933,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "55708,207091,56058,207441" +) +entryActions (MLText +uid 934,0 +va (VaSet +font "courier,8,0" +) +xt "56158,207266,56158,207266" +tm "Actions" +) +inActions (MLText +uid 935,0 +va (VaSet +font "courier,8,0" +) +xt "56158,207266,62158,208166" +st "rx_done<=1;" +tm "Actions" +) +exitActions (MLText +uid 936,0 +va (VaSet +font "courier,8,0" +) +xt "56158,207266,56158,207266" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 926,0 +ps "CenterOffsetStrategy" +text (MLText +uid 927,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "54008,208066,59508,208966" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*100 (State +uid 937,0 +shape (Circle +uid 938,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "23798,177436,29798,183436" +radius 3000 +) +name (Text +uid 939,0 +va (VaSet +font "courier,10,1" +) +xt "24698,179286,28898,180286" +st "ST_DONE" +ju 0 +blo "26798,180086" +tm "ONodeName" +) +wait (TextAssociate +uid 940,0 +ps "CenterOffsetStrategy" +text (Text +uid 941,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "27198,180186,30798,181186" +st "wait 2" +blo "27198,180986" +tm "SmWaitText" +) +) +encoding (Text +uid 942,0 +va (VaSet +font "courier,8,1" +) +xt "25498,180686,28098,181586" +st "5'h1e" +blo "25498,181386" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 945,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 946,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "30698,183336,30898,183536" +) +autoResize 1 +tline (Line +uid 947,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "26798,180436,26798,180436" +pts [ +"26798,180436" +"26798,180436" +] +) +bline (Line +uid 948,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "26798,180436,26798,180436" +pts [ +"26798,180436" +"26798,180436" +] +) +ttri (Triangle +uid 949,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "26348,180261,26698,180611" +) +btri (Triangle +uid 950,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "26348,180261,26698,180611" +) +entryActions (MLText +uid 951,0 +va (VaSet +font "courier,8,0" +) +xt "26798,180436,26798,180436" +tm "Actions" +) +inActions (MLText +uid 952,0 +va (VaSet +font "courier,8,0" +) +xt "26798,180436,37298,182236" +st "activeSrc<=SRC_SELF; +rx_done<=0;" +tm "Actions" +) +exitActions (MLText +uid 953,0 +va (VaSet +font "courier,8,0" +) +xt "26798,180436,26798,180436" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 943,0 +ps "CenterOffsetStrategy" +text (MLText +uid 944,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "24648,181236,30148,182136" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*101 (State +uid 954,0 +shape (Circle +uid 955,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "69912,10032,78456,18576" +radius 4272 +) +name (Text +uid 956,0 +va (VaSet +font "courier,10,1" +) +xt "70584,13154,77784,14154" +st "ST_UDP_XMIT" +ju 0 +blo "74184,13954" +tm "ONodeName" +) +wait (TextAssociate +uid 957,0 +ps "CenterOffsetStrategy" +text (Text +uid 958,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "74584,14054,78184,15054" +st "wait 2" +blo "74584,14854" +tm "SmWaitText" +) +) +encoding (Text +uid 959,0 +va (VaSet +font "courier,8,1" +) +xt "72884,14554,75484,15454" +st "5'h1f" +blo "72884,15254" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 962,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 963,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "78084,17204,78284,17404" +) +autoResize 1 +tline (Line +uid 964,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "74184,14304,74184,14304" +pts [ +"74184,14304" +"74184,14304" +] +) +bline (Line +uid 965,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "74184,14304,74184,14304" +pts [ +"74184,14304" +"74184,14304" +] +) +ttri (Triangle +uid 966,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "73734,14129,74084,14479" +) +btri (Triangle +uid 967,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "73734,14129,74084,14479" +) +entryActions (MLText +uid 968,0 +va (VaSet +font "courier,8,0" +) +xt "74184,14304,74184,14304" +tm "Actions" +) +inActions (MLText +uid 969,0 +va (VaSet +font "courier,8,0" +) +xt "74184,14304,74184,14304" +tm "Actions" +) +exitActions (MLText +uid 970,0 +va (VaSet +font "courier,8,0" +) +xt "74184,14304,74184,14304" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 960,0 +ps "CenterOffsetStrategy" +text (MLText +uid 961,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "72034,15104,77534,16004" +st "CASE: expr" +tm "SmCaseExpr" +) +) +impLoop 0 +) +*102 (SmResetPoint +uid 971,0 +shape (CompositeShape +uid 972,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +uid 973,0 +sl 0 +ro 270 +xt "-5375,-11375,-3125,-10375" +) +(OrthoPolyLine +uid 974,0 +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "-4475,-11175,-3876,-10575" +pts [ +"-3876,-10575" +"-4176,-10575" +"-4176,-11175" +"-4475,-11175" +] +) +(Line +uid 975,0 +sl 0 +ro 270 +xt "-5126,-11050,-5026,-11000" +pts [ +"-5126,-11000" +"-5026,-11050" +] +) +(Line +uid 976,0 +sl 0 +ro 270 +xt "-5126,-11050,-5126,-10700" +pts [ +"-5126,-10700" +"-5126,-11050" +] +) +(Circle +uid 977,0 +layer 10 +sl 0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,0" +) +xt "-4326,-11025,-4026,-10725" +radius 150 +) +] +) +cond (SmControlCondition +uid 983,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 984,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "-5875,-12875,-2675,-11775" +) +autoResize 1 +cond (MLText +uid 985,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-5775,-12775,-2775,-11875" +st "!reset" +tm "SmControlConditionMgr" +) +) +prio (TransitionPriority +uid 980,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 981,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "-3125,-11665,-1545,-10085" +radius 790 +) +pr (Text +uid 982,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-2585,-11325,-2085,-10425" +st "1" +ju 0 +blo "-2335,-10625" +tm "TransitionPriority" +) +padding "200,200" +) +name (TextAssociate +uid 978,0 +ps "CenterOffsetStrategy" +text (Text +uid 979,0 +va (VaSet +font "courier,8,0" +) +xt "-7875,-11325,-5375,-10425" +st "reset" +ju 2 +blo "-5375,-10625" +tm "SmControlSignalNameMgr" +) +) +actions (TextAssociate +uid 986,0 +ps "CenterOffsetStrategy" +text (MLText +uid 987,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "2500,-6750,9500,-5850" +st "< Automatic >" +tm "Actions" +) +) +) +*103 (SmClockPoint +uid 988,0 +shape (CompositeShape +uid 989,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +uid 990,0 +sl 0 +ro 270 +xt "-4250,-6375,-2000,-5375" +) +(OrthoPolyLine +uid 991,0 +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "-3350,-6175,-2751,-5575" +pts [ +"-3350,-6175" +"-3051,-6175" +"-3051,-5575" +"-2751,-5575" +] +) +(Arc2D +pts [ +"-3741,-5722" +"-3996,-6028" +"-3741,-6028" +] +uid 992,0 +sl 0 +ro 270 +va (VaSet +vasetType 1 +transparent 1 +) +xt "-3996,-6028,-3741,-5722" +) +] +) +name (TextAssociate +uid 993,0 +ps "CenterOffsetStrategy" +text (Text +uid 994,0 +va (VaSet +font "courier,8,0" +) +xt "-6250,-6375,-4750,-5475" +st "clk" +ju 2 +blo "-4750,-5675" +tm "SmControlSignalNameMgr" +) +) +cond (SmControlCondition +uid 995,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 996,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "-2000,-6425,4200,-5325" +) +autoResize 1 +cond (MLText +uid 997,0 +va (VaSet +font "courier,8,0" +) +xt "-1900,-6325,4100,-5425" +st "negedge clk" +tm "SmControlConditionMgr" +) +) +edge 1 +) +*104 (Link +uid 998,0 +shape (CompositeShape +uid 999,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +optionalChildren [ +(Pentagon +uid 1000,0 +sl 0 +ro 270 +xt "3375,-11375,5625,-10375" +) +(Line +uid 1001,0 +sl 0 +ro 270 +xt "2875,-10875,3375,-10875" +pts [ +"2875,-10875" +"3375,-10875" +] +) +] +) +name (TextAssociate +uid 1002,0 +ps "CenterOffsetStrategy" +text (Text +uid 1003,0 +va (VaSet +font "courier,8,1" +) +xt "6125,-11375,9625,-10475" +st "ST_IDLE" +blo "6125,-10675" +tm "LinkName" +) +) +) +*105 (Transition +uid 1004,0 +shape (Spline +uid 1005,0 +va (VaSet +vasetType 3 +) +xt "-3125,-10875,2875,-10875" +pts [ +"-3125,-10875" +"2875,-10875" +] +) +start &102 +end &104 +ss 0 +es 0 +cond "!reset" +tb (TransitionBlock +uid 1006,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1007,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "-2125,-11825,1875,-9925" +) +autoResize 1 +lineShape (Line +uid 1008,0 +va (VaSet +vasetType 3 +) +xt "-1625,-10525,1375,-10525" +pts [ +"-1625,-10525" +"1375,-10525" +] +) +condition (MLText +uid 1009,0 +va (VaSet +font "courier,8,0" +) +xt "-1625,-11825,1375,-10925" +st "!reset" +tm "Condition" +) +actions (MLText +uid 1010,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-3625,-10125,3375,-9225" +st "< Automatic >" +tm "Actions" +) +) +tp (TransitionPriority +uid 1011,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1012,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "-3314,-11665,-1734,-10085" +radius 790 +) +pr (Text +uid 1013,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-2774,-11325,-2274,-10425" +st "1" +ju 0 +blo "-2524,-10625" +tm "TransitionPriority" +) +padding "200,200" +) +) +*106 (Transition +uid 1014,0 +shape (Spline +uid 1015,0 +va (VaSet +vasetType 3 +) +xt "116267,7276,148267,13136" +pts [ +"116267,7276" +"133166,8841" +"148267,13136" +] +arrow 1 +) +start &85 +end &86 +ss 0 +es 0 +cond "rx_ready" +tb (TransitionBlock +uid 1016,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1017,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "123566,8341,146766,11541" +) +autoResize 1 +lineShape (Line +uid 1018,0 +va (VaSet +vasetType 3 +) +xt "124066,9941,146266,9941" +pts [ +"124066,9941" +"146266,9941" +] +) +condition (MLText +uid 1019,0 +va (VaSet +font "courier,8,0" +) +xt "133166,8841,137166,9741" +st "rx_ready" +tm "Condition" +) +actions (MLText +uid 1020,0 +va (VaSet +font "courier,8,0" +) +xt "124066,10141,146266,11041" +st "self_rxa<=`pbuf_awidth'd12; // get ethtype0" +tm "Actions" +) +) +tp (TransitionPriority +uid 1021,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1022,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "118736,6714,120316,8294" +radius 790 +) +pr (Text +uid 1023,0 +va (VaSet +font "courier,8,0" +) +xt "119276,7054,119776,7954" +st "1" +ju 0 +blo "119526,7754" +tm "TransitionPriority" +) +padding "200,200" +) +) +*107 (Transition +uid 1024,0 +shape (Spline +uid 1025,0 +va (VaSet +vasetType 3 +) +xt "78362,8615,110752,18916" +pts [ +"110752,8615" +"94922,18729" +"78362,15195" +] +arrow 1 +) +start &85 +end &101 +ss 0 +es 0 +cond "udp_xmit_req" +tb (TransitionBlock +uid 1026,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1027,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "94422,18229,101922,20129" +) +autoResize 1 +lineShape (Line +uid 1028,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "97172,20029,97172,20029" +pts [ +"97172,20029" +"97172,20029" +] +) +condition (MLText +uid 1029,0 +va (VaSet +font "courier,8,0" +) +xt "94922,18729,101422,19629" +st "udp_xmit_req" +tm "Condition" +) +actions (MLText +uid 1030,0 +va (VaSet +font "courier,8,0" +) +xt "98172,20029,98172,20029" +tm "Actions" +) +) +tp (TransitionPriority +uid 1031,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1032,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "107089,9997,108669,11577" +radius 790 +) +pr (Text +uid 1033,0 +va (VaSet +font "courier,8,0" +) +xt "107629,10337,108129,11237" +st "2" +ju 0 +blo "107879,11037" +tm "TransitionPriority" +) +padding "200,200" +) +) +*108 (Transition +uid 1034,0 +shape (Spline +uid 1035,0 +va (VaSet +vasetType 3 +) +xt "156200,16207,183803,33425" +pts [ +"156200,16207" +"170256,23202" +"183803,33425" +] +arrow 1 +) +start &86 +end &87 +ss 0 +es 0 +tb (TransitionBlock +uid 1036,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1037,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "169756,23147,175256,24157" +) +autoResize 1 +lineShape (Line +uid 1038,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "172506,24502,172506,24502" +pts [ +"172506,24502" +"172506,24502" +] +) +condition (MLText +uid 1039,0 +va (VaSet +font "courier,8,0" +) +xt "170256,23202,174756,24102" +tm "Condition" +) +actions (MLText +uid 1040,0 +va (VaSet +font "courier,8,0" +) +xt "172506,24502,172506,24502" +tm "Actions" +) +) +tp (TransitionPriority +uid 1041,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1042,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "158374,16792,159954,18372" +radius 790 +) +pr (Text +uid 1043,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "158914,17132,159414,18032" +st "1" +ju 0 +blo "159164,17832" +tm "TransitionPriority" +) +padding "200,200" +) +) +*109 (Transition +uid 1044,0 +shape (Spline +uid 1045,0 +va (VaSet +vasetType 3 +) +xt "188219,37448,208601,64411" +pts [ +"188219,37448" +"199658,49986" +"208601,64411" +] +arrow 1 +) +start &87 +end &88 +ss 0 +es 0 +tb (TransitionBlock +uid 1046,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1047,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "199158,49931,204658,50941" +) +autoResize 1 +lineShape (Line +uid 1048,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "201908,51286,201908,51286" +pts [ +"201908,51286" +"201908,51286" +] +) +condition (MLText +uid 1049,0 +va (VaSet +font "courier,8,0" +) +xt "199658,49986,204158,50886" +tm "Condition" +) +actions (MLText +uid 1050,0 +va (VaSet +font "courier,8,0" +) +xt "201908,51286,201908,51286" +tm "Actions" +) +) +tp (TransitionPriority +uid 1051,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1052,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "189779,39110,191359,40690" +radius 790 +) +pr (Text +uid 1053,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "190319,39450,190819,40350" +st "1" +ju 0 +blo "190569,40150" +tm "TransitionPriority" +) +padding "200,200" +) +) +*110 (Transition +uid 1054,0 +shape (Spline +uid 1055,0 +va (VaSet +vasetType 3 +) +xt "11683,67562,207243,143280" +pts [ +"207243,67562" +"102985,88940" +"11683,143280" +] +arrow 1 +) +start &88 +end &94 +ss 0 +es 0 +cond "rxd==8'h06 && eth0==8'h08" +tb (TransitionBlock +uid 1056,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1057,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "102485,88440,116685,90340" +) +autoResize 1 +lineShape (Line +uid 1058,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "105235,90240,105235,90240" +pts [ +"105235,90240" +"105235,90240" +] +) +condition (MLText +uid 1059,0 +va (VaSet +font "courier,8,0" +) +xt "102985,88940,116185,89840" +st "rxd==8'h06 && eth0==8'h08" +tm "Condition" +) +actions (MLText +uid 1060,0 +va (VaSet +font "courier,8,0" +) +xt "109585,90240,109585,90240" +tm "Actions" +) +) +tp (TransitionPriority +uid 1061,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1062,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "185417,70134,186997,71714" +radius 790 +) +pr (Text +uid 1063,0 +va (VaSet +font "courier,8,0" +) +xt "185957,70474,186457,71374" +st "1" +ju 0 +blo "186207,71174" +tm "TransitionPriority" +) +padding "200,200" +) +) +*111 (Transition +uid 1064,0 +shape (Spline +uid 1065,0 +va (VaSet +vasetType 3 +) +xt "211266,69756,220537,102260" +pts [ +"211266,69756" +"217407,85579" +"220537,102260" +] +arrow 1 +) +start &88 +end &89 +ss 0 +es 0 +cond "rxd==8'h00 && eth0==8'h08" +tb (TransitionBlock +uid 1066,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1067,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "216907,85079,231107,86979" +) +autoResize 1 +lineShape (Line +uid 1068,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "219657,86879,219657,86879" +pts [ +"219657,86879" +"219657,86879" +] +) +condition (MLText +uid 1069,0 +va (VaSet +font "courier,8,0" +) +xt "217407,85579,230607,86479" +st "rxd==8'h00 && eth0==8'h08" +tm "Condition" +) +actions (MLText +uid 1070,0 +va (VaSet +font "courier,8,0" +) +xt "224007,86879,224007,86879" +tm "Actions" +) +) +tp (TransitionPriority +uid 1071,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1072,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "211782,72101,213362,73681" +radius 790 +) +pr (Text +uid 1073,0 +va (VaSet +font "courier,8,0" +) +xt "212322,72441,212822,73341" +st "2" +ju 0 +blo "212572,73141" +tm "TransitionPriority" +) +padding "200,200" +) +) +*112 (Transition +uid 1074,0 +shape (Spline +uid 1075,0 +va (VaSet +vasetType 3 +) +xt "59317,69158,208141,204839" +pts [ +"208141,69158" +"139103,143537" +"59317,204839" +] +arrow 1 +) +start &88 +end &99 +ss 0 +es 0 +tb (TransitionBlock +uid 1076,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1077,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "138603,143482,144103,144492" +) +autoResize 1 +lineShape (Line +uid 1078,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "141353,144837,141353,144837" +pts [ +"141353,144837" +"141353,144837" +] +) +condition (MLText +uid 1079,0 +va (VaSet +font "courier,8,0" +) +xt "139103,143537,143603,144437" +tm "Condition" +) +actions (MLText +uid 1080,0 +va (VaSet +font "courier,8,0" +) +xt "141353,144837,141353,144837" +tm "Actions" +) +) +tp (TransitionPriority +uid 1081,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1082,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "193927,83487,195507,85067" +radius 790 +) +pr (Text +uid 1083,0 +va (VaSet +font "courier,8,0" +) +xt "194467,83827,194967,84727" +st "3" +ju 0 +blo "194717,84527" +tm "TransitionPriority" +) +padding "200,200" +) +) +*113 (Transition +uid 1084,0 +shape (Spline +uid 1085,0 +va (VaSet +vasetType 3 +) +xt "218002,108208,221331,141865" +pts [ +"221092,108208" +"221106,125179" +"218002,141865" +] +arrow 1 +) +start &89 +end &90 +ss 0 +es 0 +cond "rxd==ip[31:24]" +tb (TransitionBlock +uid 1086,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1087,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "220606,124679,229106,126579" +) +autoResize 1 +lineShape (Line +uid 1088,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "223356,126479,223356,126479" +pts [ +"223356,126479" +"223356,126479" +] +) +condition (MLText +uid 1089,0 +va (VaSet +font "courier,8,0" +) +xt "221106,125179,228606,126079" +st "rxd==ip[31:24]" +tm "Condition" +) +actions (MLText +uid 1090,0 +va (VaSet +font "courier,8,0" +) +xt "224856,126479,224856,126479" +tm "Actions" +) +) +tp (TransitionPriority +uid 1091,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1092,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "220388,110811,221968,112391" +radius 790 +) +pr (Text +uid 1093,0 +va (VaSet +font "courier,8,0" +) +xt "220928,111151,221428,112051" +st "1" +ju 0 +blo "221178,111851" +tm "TransitionPriority" +) +padding "200,200" +) +) +*114 (Transition +uid 1094,0 +shape (Spline +uid 1095,0 +va (VaSet +vasetType 3 +) +xt "59548,106789,218541,205174" +pts [ +"218541,106789" +"138749,156295" +"59548,205174" +] +arrow 1 +) +start &89 +end &99 +ss 0 +es 0 +tb (TransitionBlock +uid 1096,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1097,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "138249,156240,143749,157250" +) +autoResize 1 +lineShape (Line +uid 1098,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "140999,157595,140999,157595" +pts [ +"140999,157595" +"140999,157595" +] +) +condition (MLText +uid 1099,0 +va (VaSet +font "courier,8,0" +) +xt "138749,156295,143249,157195" +tm "Condition" +) +actions (MLText +uid 1100,0 +va (VaSet +font "courier,8,0" +) +xt "140999,157595,140999,157595" +tm "Actions" +) +) +tp (TransitionPriority +uid 1101,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1102,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "201865,115861,203445,117441" +radius 790 +) +pr (Text +uid 1103,0 +va (VaSet +font "courier,8,0" +) +xt "202405,116201,202905,117101" +st "2" +ju 0 +blo "202655,116901" +tm "TransitionPriority" +) +padding "200,200" +) +) +*115 (Transition +uid 1104,0 +shape (Spline +uid 1105,0 +va (VaSet +vasetType 3 +) +xt "201338,147612,216373,177884" +pts [ +"216373,147612" +"210257,163444" +"201338,177884" +] +arrow 1 +) +start &90 +end &91 +ss 0 +es 0 +cond "rxd==ip[23:16]" +tb (TransitionBlock +uid 1106,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1107,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "209757,162944,218257,164844" +) +autoResize 1 +lineShape (Line +uid 1108,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "212507,164744,212507,164744" +pts [ +"212507,164744" +"212507,164744" +] +) +condition (MLText +uid 1109,0 +va (VaSet +font "courier,8,0" +) +xt "210257,163444,217757,164344" +st "rxd==ip[23:16]" +tm "Condition" +) +actions (MLText +uid 1110,0 +va (VaSet +font "courier,8,0" +) +xt "214007,164744,214007,164744" +tm "Actions" +) +) +tp (TransitionPriority +uid 1111,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1112,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "214437,150019,216017,151599" +radius 790 +) +pr (Text +uid 1113,0 +va (VaSet +font "courier,8,0" +) +xt "214977,150359,215477,151259" +st "1" +ju 0 +blo "215227,151059" +tm "TransitionPriority" +) +padding "200,200" +) +) +*116 (Transition +uid 1114,0 +shape (Spline +uid 1115,0 +va (VaSet +vasetType 3 +) +xt "59747,145671,214580,205538" +pts [ +"214580,145671" +"134409,169594" +"59747,205538" +] +arrow 1 +) +start &90 +end &99 +ss 0 +es 0 +tb (TransitionBlock +uid 1116,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1117,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "133909,169539,139409,170549" +) +autoResize 1 +lineShape (Line +uid 1118,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "136659,170894,136659,170894" +pts [ +"136659,170894" +"136659,170894" +] +) +condition (MLText +uid 1119,0 +va (VaSet +font "courier,8,0" +) +xt "134409,169594,138909,170494" +tm "Condition" +) +actions (MLText +uid 1120,0 +va (VaSet +font "courier,8,0" +) +xt "136659,170894,136659,170894" +tm "Actions" +) +) +tp (TransitionPriority +uid 1121,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1122,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "197729,149307,199309,150887" +radius 790 +) +pr (Text +uid 1123,0 +va (VaSet +font "courier,8,0" +) +xt "198269,149647,198769,150547" +st "2" +ju 0 +blo "198519,150347" +tm "TransitionPriority" +) +padding "200,200" +) +) +*117 (Transition +uid 1124,0 +shape (Spline +uid 1125,0 +va (VaSet +vasetType 3 +) +xt "172793,182655,197744,205456" +pts [ +"197744,182655" +"186325,195210" +"172793,205456" +] +arrow 1 +) +start &91 +end &92 +ss 0 +es 0 +cond "rxd==ip[15:8]" +tb (TransitionBlock +uid 1126,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1127,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "185825,194710,193825,196610" +) +autoResize 1 +lineShape (Line +uid 1128,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "188575,196510,188575,196510" +pts [ +"188575,196510" +"188575,196510" +] +) +condition (MLText +uid 1129,0 +va (VaSet +font "courier,8,0" +) +xt "186325,195210,193325,196110" +st "rxd==ip[15:8]" +tm "Condition" +) +actions (MLText +uid 1130,0 +va (VaSet +font "courier,8,0" +) +xt "189825,196510,189825,196510" +tm "Actions" +) +) +tp (TransitionPriority +uid 1131,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1132,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "194731,184432,196311,186012" +radius 790 +) +pr (Text +uid 1133,0 +va (VaSet +font "courier,8,0" +) +xt "195271,184772,195771,185672" +st "1" +ju 0 +blo "195521,185472" +tm "TransitionPriority" +) +padding "200,200" +) +) +*118 (Transition +uid 1134,0 +shape (Spline +uid 1135,0 +va (VaSet +vasetType 3 +) +xt "59367,182971,198159,271072" +pts [ +"198159,182971" +"142597,270821" +"59367,209626" +] +arrow 1 +) +start &91 +end &99 +ss 0 +es 0 +tb (TransitionBlock +uid 1136,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1137,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "142097,270766,147597,271776" +) +autoResize 1 +lineShape (Line +uid 1138,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "144847,272121,144847,272121" +pts [ +"144847,272121" +"144847,272121" +] +) +condition (MLText +uid 1139,0 +va (VaSet +font "courier,8,0" +) +xt "142597,270821,147097,271721" +tm "Condition" +) +actions (MLText +uid 1140,0 +va (VaSet +font "courier,8,0" +) +xt "144847,272121,144847,272121" +tm "Actions" +) +) +tp (TransitionPriority +uid 1141,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1142,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "188145,201208,189725,202788" +radius 790 +) +pr (Text +uid 1143,0 +va (VaSet +font "courier,8,0" +) +xt "188685,201548,189185,202448" +st "2" +ju 0 +blo "188935,202248" +tm "TransitionPriority" +) +padding "200,200" +) +) +*119 (Transition +uid 1144,0 +shape (Spline +uid 1145,0 +va (VaSet +vasetType 3 +) +xt "136219,208606,167719,220861" +pts [ +"167719,208606" +"152537,216193" +"136219,220861" +] +arrow 1 +) +start &92 +end &93 +ss 0 +es 0 +cond "rxd==ip[7:0]" +tb (TransitionBlock +uid 1146,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1147,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "152037,215693,159537,217593" +) +autoResize 1 +lineShape (Line +uid 1148,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "154787,217493,154787,217493" +pts [ +"154787,217493" +"154787,217493" +] +) +condition (MLText +uid 1149,0 +va (VaSet +font "courier,8,0" +) +xt "152537,216193,159037,217093" +st "rxd==ip[7:0]" +tm "Condition" +) +actions (MLText +uid 1150,0 +va (VaSet +font "courier,8,0" +) +xt "155787,217493,155787,217493" +tm "Actions" +) +) +tp (TransitionPriority +uid 1151,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1152,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "163929,209407,165509,210987" +radius 790 +) +pr (Text +uid 1153,0 +va (VaSet +font "courier,8,0" +) +xt "164469,209747,164969,210647" +st "1" +ju 0 +blo "164719,210447" +tm "TransitionPriority" +) +padding "200,200" +) +) +*120 (Transition +uid 1154,0 +shape (Spline +uid 1155,0 +va (VaSet +vasetType 3 +) +xt "59001,209376,168270,263559" +pts [ +"168270,209376" +"113516,263559" +"59001,210056" +] +arrow 1 +) +start &92 +end &99 +ss 0 +es 0 +tb (TransitionBlock +uid 1156,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1157,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "113016,263504,118516,264514" +) +autoResize 1 +lineShape (Line +uid 1158,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "115766,264859,115766,264859" +pts [ +"115766,264859" +"115766,264859" +] +) +condition (MLText +uid 1159,0 +va (VaSet +font "courier,8,0" +) +xt "113516,263559,118016,264459" +tm "Condition" +) +actions (MLText +uid 1160,0 +va (VaSet +font "courier,8,0" +) +xt "115766,264859,115766,264859" +tm "Actions" +) +) +tp (TransitionPriority +uid 1161,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1162,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "157683,220781,159263,222361" +radius 790 +) +pr (Text +uid 1163,0 +va (VaSet +font "courier,8,0" +) +xt "158223,221121,158723,222021" +st "2" +ju 0 +blo "158473,221821" +tm "TransitionPriority" +) +padding "200,200" +) +) +*121 (Transition +uid 1164,0 +shape (Spline +uid 1165,0 +va (VaSet +vasetType 3 +) +xt "96212,221962,130349,223559" +pts [ +"130349,221967" +"113452,223559" +"96212,221962" +] +arrow 1 +) +start &93 +end &95 +ss 0 +es 0 +cond "rxd==8'h01" +tb (TransitionBlock +uid 1166,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1167,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "112952,223059,119552,224959" +) +autoResize 1 +lineShape (Line +uid 1168,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "115702,224859,115702,224859" +pts [ +"115702,224859" +"115702,224859" +] +) +condition (MLText +uid 1169,0 +va (VaSet +font "courier,8,0" +) +xt "113452,223559,119052,224459" +st "rxd==8'h01" +tm "Condition" +) +actions (MLText +uid 1170,0 +va (VaSet +font "courier,8,0" +) +xt "116252,224859,116252,224859" +tm "Actions" +) +) +tp (TransitionPriority +uid 1171,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1172,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "126151,221585,127731,223165" +radius 790 +) +pr (Text +uid 1173,0 +va (VaSet +font "courier,8,0" +) +xt "126691,221925,127191,222825" +st "1" +ju 0 +blo "126941,222625" +tm "TransitionPriority" +) +padding "200,200" +) +) +*122 (Transition +uid 1174,0 +shape (Spline +uid 1175,0 +va (VaSet +vasetType 3 +) +xt "7840,107046,131285,219497" +pts [ +"131285,219497" +"74101,158426" +"7840,107046" +] +arrow 1 +) +start &93 +end &96 +ss 0 +es 0 +cond "rxd==8'd17 && udp_space" +tb (TransitionBlock +uid 1176,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1177,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "73601,157926,86701,159826" +) +autoResize 1 +lineShape (Line +uid 1178,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "76351,159726,76351,159726" +pts [ +"76351,159726" +"76351,159726" +] +) +condition (MLText +uid 1179,0 +va (VaSet +font "courier,8,0" +) +xt "74101,158426,86201,159326" +st "rxd==8'd17 && udp_space" +tm "Condition" +) +actions (MLText +uid 1180,0 +va (VaSet +font "courier,8,0" +) +xt "80151,159726,80151,159726" +tm "Actions" +) +) +tp (TransitionPriority +uid 1181,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1182,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "119304,206233,120884,207813" +radius 790 +) +pr (Text +uid 1183,0 +va (VaSet +font "courier,8,0" +) +xt "119844,206573,120344,207473" +st "2" +ju 0 +blo "120094,207273" +tm "TransitionPriority" +) +padding "200,200" +) +) +*123 (Transition +uid 1184,0 +shape (Spline +uid 1185,0 +va (VaSet +vasetType 3 +) +xt "58499,210489,130824,251375" +pts [ +"130824,223327" +"88100,251248" +"58499,210489" +] +arrow 1 +) +start &93 +end &99 +ss 0 +es 0 +tb (TransitionBlock +uid 1186,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1187,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "87600,251193,93100,252203" +) +autoResize 1 +lineShape (Line +uid 1188,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "90350,252548,90350,252548" +pts [ +"90350,252548" +"90350,252548" +] +) +condition (MLText +uid 1189,0 +va (VaSet +font "courier,8,0" +) +xt "88100,251248,92600,252148" +tm "Condition" +) +actions (MLText +uid 1190,0 +va (VaSet +font "courier,8,0" +) +xt "90350,252548,90350,252548" +tm "Actions" +) +) +tp (TransitionPriority +uid 1191,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1192,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "122078,229137,123658,230717" +radius 790 +) +pr (Text +uid 1193,0 +va (VaSet +font "courier,8,0" +) +xt "122618,229477,123118,230377" +st "3" +ju 0 +blo "122868,230177" +tm "TransitionPriority" +) +padding "200,200" +) +) +*124 (Transition +uid 1194,0 +shape (Spline +uid 1195,0 +va (VaSet +vasetType 3 +) +xt "11559,146540,54869,203497" +pts [ +"11559,146540" +"42940,168625" +"54869,203497" +] +arrow 1 +) +start &94 +end &99 +ss 0 +es 0 +cond "arp_done" +tb (TransitionBlock +uid 1196,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1197,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "42440,168125,47440,170025" +) +autoResize 1 +lineShape (Line +uid 1198,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "45190,169925,45190,169925" +pts [ +"45190,169925" +"45190,169925" +] +) +condition (MLText +uid 1199,0 +va (VaSet +font "courier,8,0" +) +xt "42940,168625,46940,169525" +st "arp_done" +tm "Condition" +) +actions (MLText +uid 1200,0 +va (VaSet +font "courier,8,0" +) +xt "44940,169925,44940,169925" +tm "Actions" +) +) +tp (TransitionPriority +uid 1201,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1202,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "17255,149642,18835,151222" +radius 790 +) +pr (Text +uid 1203,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "17795,149982,18295,150882" +st "1" +ju 0 +blo "18045,150682" +tm "TransitionPriority" +) +padding "200,200" +) +) +*125 (Transition +uid 1204,0 +shape (Spline +uid 1205,0 +va (VaSet +vasetType 3 +) +xt "59724,209041,90340,220866" +pts [ +"90340,220866" +"74344,216317" +"59724,209041" +] +arrow 1 +) +start &95 +end &99 +ss 0 +es 0 +cond "icmp_done" +tb (TransitionBlock +uid 1206,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1207,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "73844,215817,79344,217717" +) +autoResize 1 +lineShape (Line +uid 1208,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "76594,217617,76594,217617" +pts [ +"76594,217617" +"76594,217617" +] +) +condition (MLText +uid 1209,0 +va (VaSet +font "courier,8,0" +) +xt "74344,216317,78844,217217" +st "icmp_done" +tm "Condition" +) +actions (MLText +uid 1210,0 +va (VaSet +font "courier,8,0" +) +xt "76594,217617,76594,217617" +tm "Actions" +) +) +tp (TransitionPriority +uid 1211,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1212,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "86356,219250,87936,220830" +radius 790 +) +pr (Text +uid 1213,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "86896,219590,87396,220490" +st "1" +ju 0 +blo "87146,220290" +tm "TransitionPriority" +) +padding "200,200" +) +) +*126 (Transition +uid 1214,0 +shape (Spline +uid 1215,0 +va (VaSet +vasetType 3 +) +xt "6018,69758,15298,102259" +pts [ +"6018,102259" +"9058,85911" +"15298,69758" +] +arrow 1 +) +start &96 +end &97 +ss 0 +es 0 +cond "rxd==UDP_PORT_CTL[15:8]" +tb (TransitionBlock +uid 1216,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1217,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "8558,85411,21558,87311" +) +autoResize 1 +lineShape (Line +uid 1218,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "11308,87211,11308,87211" +pts [ +"11308,87211" +"11308,87211" +] +) +condition (MLText +uid 1219,0 +va (VaSet +font "courier,8,0" +) +xt "9058,85911,21058,86811" +st "rxd==UDP_PORT_CTL[15:8]" +tm "Condition" +) +actions (MLText +uid 1220,0 +va (VaSet +font "courier,8,0" +) +xt "15058,87211,15058,87211" +tm "Actions" +) +) +tp (TransitionPriority +uid 1221,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1222,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "5761,98114,7341,99694" +radius 790 +) +pr (Text +uid 1223,0 +va (VaSet +font "courier,8,0" +) +xt "6301,98454,6801,99354" +st "1" +ju 0 +blo "6551,99154" +tm "TransitionPriority" +) +padding "200,200" +) +) +*127 (Transition +uid 1224,0 +shape (Spline +uid 1225,0 +va (VaSet +vasetType 3 +) +xt "7397,107507,55280,203381" +pts [ +"7397,107507" +"43281,150323" +"55280,203381" +] +arrow 1 +) +start &96 +end &99 +ss 0 +es 0 +tb (TransitionBlock +uid 1226,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1227,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "42781,150268,48281,151278" +) +autoResize 1 +lineShape (Line +uid 1228,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "45531,151623,45531,151623" +pts [ +"45531,151623" +"45531,151623" +] +) +condition (MLText +uid 1229,0 +va (VaSet +font "courier,8,0" +) +xt "43281,150323,47781,151223" +tm "Condition" +) +actions (MLText +uid 1230,0 +va (VaSet +font "courier,8,0" +) +xt "45531,151623,45531,151623" +tm "Actions" +) +) +tp (TransitionPriority +uid 1231,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1232,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "14195,114766,15775,116346" +radius 790 +) +pr (Text +uid 1233,0 +va (VaSet +font "courier,8,0" +) +xt "14735,115106,15235,116006" +st "2" +ju 0 +blo "14985,115806" +tm "TransitionPriority" +) +padding "200,200" +) +) +*128 (Transition +uid 1234,0 +shape (Spline +uid 1235,0 +va (VaSet +vasetType 3 +) +xt "17955,37451,38345,64408" +pts [ +"17955,64408" +"26693,50261" +"38345,37451" +] +arrow 1 +) +start &97 +end &98 +ss 0 +es 0 +cond "rxd==UDP_PORT_CTL[7:0]" +tb (TransitionBlock +uid 1236,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1237,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "26193,49761,38693,51661" +) +autoResize 1 +lineShape (Line +uid 1238,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "28943,51561,28943,51561" +pts [ +"28943,51561" +"28943,51561" +] +) +condition (MLText +uid 1239,0 +va (VaSet +font "courier,8,0" +) +xt "26693,50261,38193,51161" +st "rxd==UDP_PORT_CTL[7:0]" +tm "Condition" +) +actions (MLText +uid 1240,0 +va (VaSet +font "courier,8,0" +) +xt "32443,51561,32443,51561" +tm "Actions" +) +) +tp (TransitionPriority +uid 1241,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1242,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "18873,60682,20453,62262" +radius 790 +) +pr (Text +uid 1243,0 +va (VaSet +font "courier,8,0" +) +xt "19413,61022,19913,61922" +st "1" +ju 0 +blo "19663,61722" +tm "TransitionPriority" +) +padding "200,200" +) +) +*129 (Transition +uid 1244,0 +shape (Spline +uid 1245,0 +va (VaSet +vasetType 3 +) +xt "17645,69679,55698,203309" +pts [ +"17645,69679" +"47648,134113" +"55698,203309" +] +arrow 1 +) +start &97 +end &99 +ss 0 +es 0 +tb (TransitionBlock +uid 1246,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1247,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "47148,134058,52648,135068" +) +autoResize 1 +lineShape (Line +uid 1248,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "49898,135413,49898,135413" +pts [ +"49898,135413" +"49898,135413" +] +) +condition (MLText +uid 1249,0 +va (VaSet +font "courier,8,0" +) +xt "47648,134113,52148,135013" +tm "Condition" +) +actions (MLText +uid 1250,0 +va (VaSet +font "courier,8,0" +) +xt "49898,135413,49898,135413" +tm "Actions" +) +) +tp (TransitionPriority +uid 1251,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1252,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "23322,81415,24902,82995" +radius 790 +) +pr (Text +uid 1253,0 +va (VaSet +font "courier,8,0" +) +xt "23862,81755,24362,82655" +st "2" +ju 0 +blo "24112,82455" +tm "TransitionPriority" +) +padding "200,200" +) +) +*130 (Transition +uid 1254,0 +shape (Spline +uid 1255,0 +va (VaSet +vasetType 3 +) +xt "40877,38187,56620,203283" +pts [ +"40877,38187" +"55261,120793" +"56117,203283" +] +arrow 1 +) +start &98 +end &99 +ss 0 +es 0 +cond "udp_done" +tb (TransitionBlock +uid 1256,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1257,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "54761,120293,59761,122193" +) +autoResize 1 +lineShape (Line +uid 1258,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "57511,122093,57511,122093" +pts [ +"57511,122093" +"57511,122093" +] +) +condition (MLText +uid 1259,0 +va (VaSet +font "courier,8,0" +) +xt "55261,120793,59261,121693" +st "udp_done" +tm "Condition" +) +actions (MLText +uid 1260,0 +va (VaSet +font "courier,8,0" +) +xt "57261,122093,57261,122093" +tm "Actions" +) +) +tp (TransitionPriority +uid 1261,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1262,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "43288,53727,44868,55307" +radius 790 +) +pr (Text +uid 1263,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "43828,54067,44328,54967" +st "1" +ju 0 +blo "44078,54767" +tm "TransitionPriority" +) +padding "200,200" +) +) +*131 (Transition +uid 1264,0 +shape (Spline +uid 1265,0 +va (VaSet +vasetType 3 +) +xt "28820,182652,52978,204867" +pts [ +"52978,204867" +"40489,195443" +"28820,182652" +] +arrow 1 +) +start &99 +end &100 +ss 0 +es 0 +cond "rx_done==1" +tb (TransitionBlock +uid 1266,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1267,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "39989,194943,46489,196843" +) +autoResize 1 +lineShape (Line +uid 1268,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "42739,196743,42739,196743" +pts [ +"42739,196743" +"42739,196743" +] +) +condition (MLText +uid 1269,0 +va (VaSet +font "courier,8,0" +) +xt "40489,195443,45989,196343" +st "rx_done==1" +tm "Condition" +) +actions (MLText +uid 1270,0 +va (VaSet +font "courier,8,0" +) +xt "43239,196743,43239,196743" +tm "Actions" +) +) +tp (TransitionPriority +uid 1271,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1272,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "49501,202166,51081,203746" +radius 790 +) +pr (Text +uid 1273,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "50041,202506,50541,203406" +st "1" +ju 0 +blo "50291,203206" +tm "TransitionPriority" +) +padding "200,200" +) +) +*132 (Transition +uid 1274,0 +shape (Spline +uid 1275,0 +va (VaSet +vasetType 3 +) +xt "28137,9685,111943,177752" +pts [ +"28137,177752" +"70005,93873" +"111943,9685" +] +arrow 1 +) +start &100 +end &85 +ss 0 +es 0 +cond "rx_done==0" +tb (TransitionBlock +uid 1276,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1277,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "69505,93373,76005,95273" +) +autoResize 1 +lineShape (Line +uid 1278,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "72255,95173,72255,95173" +pts [ +"72255,95173" +"72255,95173" +] +) +condition (MLText +uid 1279,0 +va (VaSet +font "courier,8,0" +) +xt "70005,93873,75505,94773" +st "rx_done==0" +tm "Condition" +) +actions (MLText +uid 1280,0 +va (VaSet +font "courier,8,0" +) +xt "72755,95173,72755,95173" +tm "Actions" +) +) +tp (TransitionPriority +uid 1281,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1282,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "35735,160159,37315,161739" +radius 790 +) +pr (Text +uid 1283,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "36275,160499,36775,161399" +st "1" +ju 0 +blo "36525,161199" +tm "TransitionPriority" +) +padding "200,200" +) +) +*133 (Transition +uid 1284,0 +shape (Spline +uid 1285,0 +va (VaSet +vasetType 3 +) +xt "78291,7281,110294,13129" +pts [ +"78291,13129" +"93054,8905" +"110294,7281" +] +arrow 1 +) +start &101 +end &85 +ss 0 +es 0 +cond "!udp_xmit_req" +tb (TransitionBlock +uid 1286,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1287,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "90804,8405,102304,11605" +) +autoResize 1 +lineShape (Line +uid 1288,0 +va (VaSet +vasetType 3 +) +xt "91304,10005,101804,10005" +pts [ +"91304,10005" +"101804,10005" +] +) +condition (MLText +uid 1289,0 +va (VaSet +font "courier,8,0" +) +xt "93054,8905,100054,9805" +st "!udp_xmit_req" +tm "Condition" +) +actions (MLText +uid 1290,0 +va (VaSet +font "courier,8,0" +) +xt "91304,10205,101804,11105" +st "activeSrc<=SRC_SELF;" +tm "Actions" +) +) +tp (TransitionPriority +uid 1291,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1292,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "80616,11348,82196,12928" +radius 790 +) +pr (Text +uid 1293,0 +va (VaSet +font "courier,8,0" +) +xt "81156,11688,81656,12588" +st "1" +ju 0 +blo "81406,12388" +tm "TransitionPriority" +) +padding "200,200" +) +) +*134 (Transition +uid 1294,0 +shape (Spline +uid 1295,0 +va (VaSet +vasetType 3 +) +xt "60652,-4653,78524,11998" +pts [ +"70588,11998" +"60715,5667" +"66903,-4323" +"78226,-1176" +"75263,10171" +] +arrow 1 +) +start &101 +end &101 +ss 0 +es 0 +tb (TransitionBlock +uid 1296,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1297,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "63653,-3933,74653,-1623" +) +autoResize 1 +lineShape (Line +uid 1298,0 +va (VaSet +vasetType 3 +) +xt "64153,-3223,74153,-3223" +pts [ +"64153,-3223" +"74153,-3223" +] +) +condition (MLText +uid 1299,0 +va (VaSet +font "courier,8,0" +) +xt "66903,-4323,71403,-3423" +tm "Condition" +) +actions (MLText +uid 1300,0 +va (VaSet +font "courier,8,0" +) +xt "64153,-3023,74153,-2123" +st "activeSrc<=SRC_UDP;" +tm "Actions" +) +) +tp (TransitionPriority +uid 1301,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1302,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "65415,9128,66995,10708" +radius 790 +) +pr (Text +uid 1303,0 +va (VaSet +font "courier,8,0" +) +xt "65955,9468,66455,10368" +st "2" +ju 0 +blo "66205,10168" +tm "TransitionPriority" +) +padding "200,200" +) +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 0 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *135 (PackageList +uid 671,0 +stg "VerticalLayoutStrategy" +textVec [ +*136 (Text +uid 672,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,3000,6500,3900" +st "Package List" +blo "0,3700" +) +*137 (MLText +uid 673,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,3900,14500,7500" +tm "SmPackageListTextMgr" +) +] +) +compDirBlock (MlTextGroup +uid 674,0 +stg "VerticalLayoutStrategy" +textVec [ +*138 (Text +uid 675,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-7875,276776,2125,277676" +st "Compiler Directives" +blo "-7875,277476" +) +*139 (Text +uid 676,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-7875,277676,3625,278576" +st "Pre-module directives:" +blo "-7875,278376" +) +*140 (MLText +uid 677,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-7875,278576,2225,280376" +st "`resetall +`timescale 1ns/10ps" +tm "SmCompilerDirectivesTextMgr" +) +*141 (Text +uid 678,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-7875,280376,4125,281276" +st "Post-module directives:" +blo "-7875,281076" +) +*142 (MLText +uid 679,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-7875,276776,-7875,276776" +tm "SmCompilerDirectivesTextMgr" +) +*143 (Text +uid 680,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-7875,281276,3625,282176" +st "End-module directives:" +blo "-7875,281976" +) +*144 (MLText +uid 681,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-7875,282176,-7875,282176" +tm "SmCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "0,0,1015,690" +viewArea "-7875,-11825,246290,276476" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +isTopLevel 1 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,4000,1900" +st "Panel0" +blo "1000,1700" +tm "PanelText" +) +) +) +localPreDecl *145 (SmLocalDecl +uid 641,0 +stg "VerticalLayoutStrategy" +first (Text +uid 642,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,0,13000,900" +st "Architecture Declarations" +blo "0,700" +) +second (MLText +uid 643,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,900,0,900" +tm "LocalDeclTextMgr" +) +declType 1 +) +localDecl *146 (SmLocalDecl +uid 644,0 +stg "VerticalLayoutStrategy" +first (Text +uid 645,0 +va (VaSet +font "courier,8,1" +) +xt "25875,273776,35875,274676" +st "Module Declarations" +blo "25875,274476" +) +second (MLText +uid 646,0 +va (VaSet +font "courier,8,0" +) +xt "25875,274676,25875,274676" +tm "LocalDeclTextMgr" +) +declType 2 +) +localPostDecl *147 (SmLocalDecl +uid 647,0 +stg "VerticalLayoutStrategy" +first (Text +uid 648,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,0,13000,900" +st "Architecture Declarations" +blo "0,700" +) +second (MLText +uid 649,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,900,0,900" +tm "LocalDeclTextMgr" +) +declType 3 +) +processDecl *148 (SmProcessDecl +uid 650,0 +stg "VerticalLayoutStrategy" +textVec [ +*149 (Text +uid 651,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "66500,-1000,77000,-100" +st "Process Declarations" +blo "66500,-300" +) +*150 (Text +uid 652,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "66500,-100,75000,800" +st "Clocked Process:" +blo "66500,600" +) +*151 (MLText +uid 653,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "66500,-1000,66500,-1000" +tm "ProcessDeclTextMgr" +) +*152 (Text +uid 654,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "66500,800,74500,1700" +st "Output Process:" +blo "66500,1500" +) +*153 (MLText +uid 655,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "66500,1700,66500,1700" +tm "ProcessDeclTextMgr" +) +] +associable 1 +) +defaultActions *154 (MlTextGroup +uid 656,0 +stg "VerticalLayoutStrategy" +textVec [ +*155 (Text +uid 657,0 +va (VaSet +font "courier,8,1" +) +xt "-5875,273776,1625,274676" +st "Global Actions" +blo "-5875,274476" +) +*156 (Text +uid 658,0 +va (VaSet +font "courier,8,1" +) +xt "-5875,274676,625,275576" +st "Pre Actions:" +blo "-5875,275376" +) +*157 (MLText +uid 659,0 +va (VaSet +font "courier,8,0" +) +xt "-5875,273776,-5875,273776" +tm "Actions" +) +*158 (Text +uid 660,0 +va (VaSet +font "courier,8,1" +) +xt "-5875,275576,1125,276476" +st "Post Actions:" +blo "-5875,276276" +) +*159 (MLText +uid 661,0 +va (VaSet +font "courier,8,0" +) +xt "-5875,276476,-5875,276476" +tm "Actions" +) +] +associable 1 +) +archConcurrentStatementBlock *160 (BiTextGroup +uid 662,0 +stg "VerticalLayoutStrategy" +first (Text +uid 663,0 +va (VaSet +font "courier,8,1" +) +xt "7375,273776,18375,274676" +st "Concurrent Statements" +blo "7375,274476" +) +second (MLText +uid 664,0 +va (VaSet +font "courier,8,0" +) +xt "7375,274676,7375,274676" +tm "ArchConcStmtTextMgr" +) +associable 1 +) +signalsGenStatus *161 (SmSignalGenStatus +uid 668,0 +stg "VerticalLayoutStrategy" +first (Text +uid 669,0 +va (VaSet +font "courier,8,1" +) +xt "42875,273776,49875,274676" +st "Signal Status" +blo "42875,274476" +) +second (MLText +uid 670,0 +va (VaSet +font "courier,8,0" +) +xt "42875,274676,42875,274676" +tm "SmSignalsGenStatusTextMgr" +) +) +stateRegBlock *162 (BiTextGroup +uid 665,0 +stg "VerticalLayoutStrategy" +first (Text +uid 666,0 +va (VaSet +font "courier,8,1" +) +xt "55375,273776,68375,274676" +st "State Register Statements" +blo "55375,274476" +) +second (MLText +uid 667,0 +va (VaSet +font "courier,8,0" +) +xt "55375,274676,55375,274676" +tm "Actions" +) +associable 1 +) +) +genChar (SmGenChar +uid 1304,0 +csName "state" +nextStateClocking 0 +numProcs 1 +) +encoding (Encoding +scheme 0 +encodingStyles [ +(pair +scheme 0 +style 6 +) +(pair +scheme 1 +style 1 +) +(pair +scheme 2 +style 0 +) +(pair +scheme 3 +style 0 +) +(pair +scheme 4 +style 0 +) +(pair +scheme 5 +style 0 +) +] +otherValues [ +(pair +scheme 0 +otherValue "" +) +(pair +scheme 1 +otherValue "" +) +(pair +scheme 2 +otherValue "" +) +(pair +scheme 3 +otherValue "" +) +(pair +scheme 4 +otherValue "" +) +(pair +scheme 5 +otherValue "" +) +] +attribute 1 +synSafe 0 +outputEncodedLocals 0 +useVerilogParameterRange 0 +radix 2 +) +stateOrder [ +&85 +&86 +&87 +&88 +&89 +&90 +&91 +&92 +&93 +&94 +&95 +&96 +&97 +&98 +&99 +&100 +&101 +] +name "state" +) +] +lastUid 0,0 +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +emptyRow *163 (LEmptyRow +) +uid 572,0 +optionalChildren [ +*164 (RefLabelRowHdr +) +*165 (TitleRowHdr +) +*166 (FilterRowHdr +) +*167 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*168 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*169 (GroupColHdr +tm "GroupColHdrMgr" +) +*170 (NameColHdr +tm "SmNameColHdrMgr" +) +*171 (ModeColHdr +tm "SmModeColHdrMgr" +) +*172 (TypeColHdr +tm "SmTypeColHdrMgr" +) +*173 (SignedColHdr +tm "SmSignedColHdrMgr" +) +*174 (BoundsColHdr +tm "SmBoundsColHdrMgr" +) +*175 (DelayColHdr +tm "SmDelayColHdrMgr" +) +*176 (InitColHdr +tm "SmInitColHdrMgr" +) +*177 (ColumnHdr +tm "SmCategoryColHdrMgr" +) +*178 (ColumnHdr +tm "SmAssignColHdrMgr" +) +*179 (ColumnHdr +tm "SmExprColHdrMgr" +) +*180 (ColumnHdr +tm "SmSchemeColHdrMgr" +) +*181 (ColumnHdr +tm "SmDefValColHdrMgr" +) +*182 (ColumnHdr +tm "SmRstValColHdrMgr" +) +*183 (EolColHdr +tm "SmEolColHdrMgr" +) +*184 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "clk" +t "wire" +o 5 +) +) +uid 1305,0 +cat 2 +expr "negedge clk" +) +*185 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "reset" +t "wire" +o 6 +) +) +uid 1307,0 +cat 8 +expr "!reset" +) +*186 (LeafLogPort +port (LogicalPort +lang 5 +m 4 +decl (Decl +n "activeSrc" +t "reg" +b "[1:0]" +o 1 +) +) +uid 1396,0 +scheme 1 +) +*187 (LeafLogPort +port (LogicalPort +lang 5 +m 4 +decl (Decl +n "state" +t "reg" +b "[4:0]" +o 2 +) +) +uid 1398,0 +scheme 1 +) +*188 (LeafLogPort +port (LogicalPort +lang 5 +m 4 +decl (Decl +n "self_rxa" +t "reg" +b "[`pbuf_awidth - 1:0]" +o 3 +iv "`pbuf_awidth'b0" +) +) +uid 1400,0 +scheme 1 +) +*189 (LeafLogPort +port (LogicalPort +lang 5 +m 4 +decl (Decl +n "eth0" +t "reg" +b "[7:0]" +o 4 +) +) +uid 1402,0 +scheme 1 +) +*190 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "rx_ready" +t "wire" +o 7 +) +) +uid 1404,0 +ass "" +) +*191 (LeafLogPort +port (LogicalPort +lang 5 +m 1 +decl (Decl +n "rx_done" +t "reg" +o 8 +) +) +uid 1406,0 +scheme 0 +) +*192 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "rxd" +t "wire" +b "[7:0]" +o 9 +) +) +uid 1408,0 +ass "" +) +*193 (LeafLogPort +port (LogicalPort +lang 5 +m 1 +decl (Decl +n "rxa" +t "wire" +b "[`pbuf_awidth - 1:0]" +o 10 +) +) +uid 1410,0 +scheme 0 +) +*194 (LeafLogPort +port (LogicalPort +lang 5 +m 1 +decl (Decl +n "txd" +t "wire" +b "[7:0]" +o 11 +) +) +uid 1412,0 +scheme 0 +) +*195 (LeafLogPort +port (LogicalPort +lang 5 +m 1 +decl (Decl +n "txa" +t "wire" +b "[`pbuf_awidth - 1:0]" +o 12 +) +) +uid 1414,0 +scheme 0 +) +*196 (LeafLogPort +port (LogicalPort +lang 5 +m 1 +decl (Decl +n "tx_len" +t "wire" +b "[`pbuf_awidth - 1:0]" +o 13 +) +) +uid 1416,0 +scheme 0 +) +*197 (LeafLogPort +port (LogicalPort +lang 5 +m 1 +decl (Decl +n "tx_we" +t "wire" +o 14 +) +) +uid 1418,0 +scheme 0 +) +*198 (LeafLogPort +port (LogicalPort +lang 5 +m 1 +decl (Decl +n "tx_done" +t "wire" +o 15 +) +) +uid 1420,0 +scheme 0 +) +*199 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "ip" +t "wire" +b "[31:0]" +o 16 +) +) +uid 1422,0 +ass "" +) +*200 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "arp_rxa" +t "wire" +b "[5:0]" +o 17 +) +) +uid 1424,0 +ass "" +) +*201 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "arp_txa" +t "wire" +b "[5:0]" +o 18 +) +) +uid 1426,0 +ass "" +) +*202 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "arp_len" +t "wire" +b "[5:0]" +o 19 +) +) +uid 1428,0 +ass "" +) +*203 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "arp_txd" +t "wire" +b "[7:0]" +o 20 +) +) +uid 1430,0 +ass "" +) +*204 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "arp_we" +t "wire" +o 21 +) +) +uid 1432,0 +ass "" +) +*205 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "arp_xmit" +t "wire" +o 22 +) +) +uid 1434,0 +ass "" +) +*206 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "arp_done" +t "wire" +o 23 +) +) +uid 1436,0 +ass "" +) +*207 (LeafLogPort +port (LogicalPort +lang 5 +m 1 +decl (Decl +n "arp_ready" +t "reg" +o 24 +) +) +uid 1438,0 +scheme 0 +) +*208 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "icmp_rxa" +t "wire" +b "[9:0]" +o 25 +) +) +uid 1440,0 +ass "" +) +*209 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "icmp_txa" +t "wire" +b "[9:0]" +o 26 +) +) +uid 1442,0 +ass "" +) +*210 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "icmp_len" +t "wire" +b "[9:0]" +o 27 +) +) +uid 1444,0 +ass "" +) +*211 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "icmp_txd" +t "wire" +b "[7:0]" +o 28 +) +) +uid 1446,0 +ass "" +) +*212 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "icmp_we" +t "wire" +o 29 +) +) +uid 1448,0 +ass "" +) +*213 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "icmp_xmit" +t "wire" +o 30 +) +) +uid 1450,0 +ass "" +) +*214 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "icmp_done" +t "wire" +o 31 +) +) +uid 1452,0 +ass "" +) +*215 (LeafLogPort +port (LogicalPort +lang 5 +m 1 +decl (Decl +n "icmp_ready" +t "reg" +o 32 +) +) +uid 1454,0 +scheme 0 +) +*216 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "udp_rxa" +t "wire" +b "[`pbuf_awidth - 1:0]" +o 33 +) +) +uid 1456,0 +ass "" +) +*217 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "udp_txa" +t "wire" +b "[`pbuf_awidth - 1:0]" +o 34 +) +) +uid 1458,0 +ass "" +) +*218 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "udp_len" +t "wire" +b "[`pbuf_awidth - 1:0]" +o 35 +) +) +uid 1460,0 +ass "" +) +*219 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "udp_txd" +t "wire" +b "[7:0]" +o 36 +) +) +uid 1462,0 +ass "" +) +*220 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "udp_we" +t "wire" +o 37 +) +) +uid 1464,0 +ass "" +) +*221 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "udp_xmit" +t "wire" +o 38 +) +) +uid 1466,0 +ass "" +) +*222 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "udp_done" +t "wire" +o 39 +) +) +uid 1468,0 +ass "" +) +*223 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "udp_xmit_req" +t "wire" +o 40 +) +) +uid 1470,0 +ass "" +) +*224 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "udp_space" +t "wire" +o 41 +) +) +uid 1472,0 +ass "" +) +*225 (LeafLogPort +port (LogicalPort +lang 5 +m 1 +decl (Decl +n "udp_ready" +t "reg" +o 42 +) +) +uid 1474,0 +scheme 0 +) +*226 (LeafLogPort +port (LogicalPort +lang 5 +m 1 +decl (Decl +n "udp_xmit_ok" +t "reg" +o 43 +) +) +uid 1476,0 +scheme 0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 593,0 +optionalChildren [ +*227 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *228 (MRCItem +litem &163 +pos 3 +dimension 20 +) +uid 595,0 +optionalChildren [ +*229 (MRCItem +litem &164 +pos 0 +dimension 20 +uid 596,0 +) +*230 (MRCItem +litem &165 +pos 1 +dimension 23 +uid 597,0 +) +*231 (MRCItem +litem &166 +pos 2 +hidden 1 +dimension 20 +uid 598,0 +) +*232 (MRCItem +litem &184 +pos 0 +dimension 20 +uid 1306,0 +) +*233 (MRCItem +litem &185 +pos 1 +dimension 20 +uid 1308,0 +) +*234 (MRCItem +litem &186 +pos 39 +dimension 20 +uid 1395,0 +) +*235 (MRCItem +litem &187 +pos 40 +dimension 20 +uid 1397,0 +) +*236 (MRCItem +litem &188 +pos 41 +dimension 20 +uid 1399,0 +) +*237 (MRCItem +litem &189 +pos 42 +dimension 20 +uid 1401,0 +) +*238 (MRCItem +litem &190 +pos 2 +dimension 20 +uid 1403,0 +) +*239 (MRCItem +litem &191 +pos 3 +dimension 20 +uid 1405,0 +) +*240 (MRCItem +litem &192 +pos 4 +dimension 20 +uid 1407,0 +) +*241 (MRCItem +litem &193 +pos 5 +dimension 20 +uid 1409,0 +) +*242 (MRCItem +litem &194 +pos 6 +dimension 20 +uid 1411,0 +) +*243 (MRCItem +litem &195 +pos 7 +dimension 20 +uid 1413,0 +) +*244 (MRCItem +litem &196 +pos 8 +dimension 20 +uid 1415,0 +) +*245 (MRCItem +litem &197 +pos 9 +dimension 20 +uid 1417,0 +) +*246 (MRCItem +litem &198 +pos 10 +dimension 20 +uid 1419,0 +) +*247 (MRCItem +litem &199 +pos 11 +dimension 20 +uid 1421,0 +) +*248 (MRCItem +litem &200 +pos 12 +dimension 20 +uid 1423,0 +) +*249 (MRCItem +litem &201 +pos 13 +dimension 20 +uid 1425,0 +) +*250 (MRCItem +litem &202 +pos 14 +dimension 20 +uid 1427,0 +) +*251 (MRCItem +litem &203 +pos 15 +dimension 20 +uid 1429,0 +) +*252 (MRCItem +litem &204 +pos 16 +dimension 20 +uid 1431,0 +) +*253 (MRCItem +litem &205 +pos 17 +dimension 20 +uid 1433,0 +) +*254 (MRCItem +litem &206 +pos 18 +dimension 20 +uid 1435,0 +) +*255 (MRCItem +litem &207 +pos 19 +dimension 20 +uid 1437,0 +) +*256 (MRCItem +litem &208 +pos 20 +dimension 20 +uid 1439,0 +) +*257 (MRCItem +litem &209 +pos 21 +dimension 20 +uid 1441,0 +) +*258 (MRCItem +litem &210 +pos 22 +dimension 20 +uid 1443,0 +) +*259 (MRCItem +litem &211 +pos 23 +dimension 20 +uid 1445,0 +) +*260 (MRCItem +litem &212 +pos 24 +dimension 20 +uid 1447,0 +) +*261 (MRCItem +litem &213 +pos 25 +dimension 20 +uid 1449,0 +) +*262 (MRCItem +litem &214 +pos 26 +dimension 20 +uid 1451,0 +) +*263 (MRCItem +litem &215 +pos 27 +dimension 20 +uid 1453,0 +) +*264 (MRCItem +litem &216 +pos 28 +dimension 20 +uid 1455,0 +) +*265 (MRCItem +litem &217 +pos 29 +dimension 20 +uid 1457,0 +) +*266 (MRCItem +litem &218 +pos 30 +dimension 20 +uid 1459,0 +) +*267 (MRCItem +litem &219 +pos 31 +dimension 20 +uid 1461,0 +) +*268 (MRCItem +litem &220 +pos 32 +dimension 20 +uid 1463,0 +) +*269 (MRCItem +litem &221 +pos 33 +dimension 20 +uid 1465,0 +) +*270 (MRCItem +litem &222 +pos 34 +dimension 20 +uid 1467,0 +) +*271 (MRCItem +litem &223 +pos 35 +dimension 20 +uid 1469,0 +) +*272 (MRCItem +litem &224 +pos 36 +dimension 20 +uid 1471,0 +) +*273 (MRCItem +litem &225 +pos 37 +dimension 20 +uid 1473,0 +) +*274 (MRCItem +litem &226 +pos 38 +dimension 20 +uid 1475,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 599,0 +optionalChildren [ +*275 (MRCItem +litem &167 +pos 0 +dimension 20 +uid 600,0 +) +*276 (MRCItem +litem &169 +pos 1 +dimension 50 +uid 601,0 +) +*277 (MRCItem +litem &170 +pos 2 +dimension 70 +uid 602,0 +) +*278 (MRCItem +litem &171 +pos 3 +dimension 50 +uid 603,0 +) +*279 (MRCItem +litem &172 +pos 4 +dimension 80 +uid 604,0 +) +*280 (MRCItem +litem &173 +pos 5 +dimension 60 +uid 605,0 +) +*281 (MRCItem +litem &174 +pos 6 +dimension 80 +uid 606,0 +) +*282 (MRCItem +litem &175 +pos 7 +dimension 40 +uid 607,0 +) +*283 (MRCItem +litem &176 +pos 8 +dimension 40 +uid 608,0 +) +*284 (MRCItem +litem &177 +pos 9 +dimension 100 +uid 609,0 +) +*285 (MRCItem +litem &178 +pos 10 +dimension 60 +uid 610,0 +) +*286 (MRCItem +litem &179 +pos 11 +dimension 130 +uid 611,0 +) +*287 (MRCItem +litem &180 +pos 12 +dimension 56 +uid 612,0 +) +*288 (MRCItem +litem &181 +pos 13 +dimension 50 +uid 613,0 +) +*289 (MRCItem +litem &182 +pos 14 +dimension 50 +uid 614,0 +) +*290 (MRCItem +litem &183 +pos 15 +dimension 80 +uid 615,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 594,0 +vaOverrides [ +] +) +] +) +uid 571,0 +) +cdmCsm &84 +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *291 (LEmptyRow +) +uid 617,0 +optionalChildren [ +*292 (RefLabelRowHdr +) +*293 (TitleRowHdr +) +*294 (FilterRowHdr +) +*295 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*296 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*297 (GroupColHdr +tm "GroupColHdrMgr" +) +*298 (NameColHdr +tm "GenericNameColHdrMgr" +) +*299 (InitColHdr +tm "GenericValueColHdrMgr" +) +*300 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 627,0 +optionalChildren [ +*301 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *302 (MRCItem +litem &291 +pos 3 +dimension 20 +) +uid 629,0 +optionalChildren [ +*303 (MRCItem +litem &292 +pos 0 +dimension 20 +uid 630,0 +) +*304 (MRCItem +litem &293 +pos 1 +dimension 23 +uid 631,0 +) +*305 (MRCItem +litem &294 +pos 2 +hidden 1 +dimension 20 +uid 632,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 633,0 +optionalChildren [ +*306 (MRCItem +litem &295 +pos 0 +dimension 20 +uid 634,0 +) +*307 (MRCItem +litem &297 +pos 1 +dimension 50 +uid 635,0 +) +*308 (MRCItem +litem &298 +pos 2 +dimension 100 +uid 636,0 +) +*309 (MRCItem +litem &299 +pos 3 +dimension 50 +uid 637,0 +) +*310 (MRCItem +litem &300 +pos 4 +dimension 80 +uid 638,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 628,0 +vaOverrides [ +] +) +] +) +uid 616,0 +type 1 +) +signalSuffix "_int" +clockSuffix "_cld" +defaultState (State +shape (Circle +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "-3000,-3000,3000,3000" +radius 3000 +) +name (Text +va (VaSet +font "courier,10,1" +) +xt "0,0,1200,1000" +st "s0" +ju 0 +blo "600,800" +tm "ONodeName" +) +wait (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "1000,900,4600,1900" +st "wait 2" +blo "1000,1700" +tm "SmWaitText" +) +) +encoding (Text +va (VaSet +font "courier,8,1" +) +blo "0,0" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "3900,2900,4100,3100" +) +autoResize 1 +tline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +pts [ +"0,0" +"0,0" +] +) +bline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +pts [ +"0,0" +"0,0" +] +) +ttri (Triangle +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "-450,-175,-100,175" +) +btri (Triangle +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "-450,-175,-100,175" +) +entryActions (MLText +va (VaSet +font "courier,8,0" +) +tm "Actions" +) +inActions (MLText +va (VaSet +font "courier,8,0" +) +tm "Actions" +) +exitActions (MLText +va (VaSet +font "courier,8,0" +) +tm "Actions" +) +) +caseExpr (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-2150,800,3350,1700" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +defaultWaitState (State +shape (CircleInOctagon +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "26368,26368,26368" +lineWidth 2 +) +xt "-529,-529,6529,6529" +) +name (Text +va (VaSet +font "courier,10,1" +) +xt "0,0,1200,1000" +st "s0" +ju 0 +blo "600,800" +tm "ONodeName" +) +wait (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "1000,900,4600,1900" +st "wait 2" +blo "1000,1700" +tm "SmWaitText" +) +) +encoding (Text +va (VaSet +font "courier,8,1" +) +blo "0,0" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "8900,5900,9100,6100" +) +autoResize 1 +tline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "5000,3000,5000,3000" +pts [ +"5000,3000" +"5000,3000" +] +) +bline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "5000,3000,5000,3000" +pts [ +"5000,3000" +"5000,3000" +] +) +ttri (Triangle +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "4550,2825,4900,3175" +) +btri (Triangle +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "4550,2825,4900,3175" +) +entryActions (MLText +va (VaSet +font "courier,8,0" +) +xt "5000,3000,5000,3000" +tm "Actions" +) +inActions (MLText +va (VaSet +font "courier,8,0" +) +xt "5000,3000,5000,3000" +tm "Actions" +) +exitActions (MLText +va (VaSet +font "courier,8,0" +) +xt "5000,3000,5000,3000" +tm "Actions" +) +) +caseExpr (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-2150,800,3350,1700" +st "CASE: expr" +tm "SmCaseExpr" +) +) +isWait 1 +) +defaultCompositeState (CompositeState +shape (TripleCircle +va (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "-3000,-3000,3000,3000" +radius 3000 +) +name (Text +va (VaSet +font "courier,10,1" +) +xt "-600,-500,600,500" +st "s0" +ju 0 +blo "0,300" +tm "ONodeName" +) +childDiagram &0 +) +defaultJunction (Junction +shape (Diamond +va (VaSet +vasetType 1 +fg "59904,39936,65280" +) +xt "-700,-700,1700,1700" +) +symbol (Text +va (VaSet +font "courier,10,1" +) +xt "200,0,800,1000" +st "&" +ju 0 +blo "500,800" +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "2000,1000,2000,1000" +blo "2000,1000" +tm "JunctionName" +) +) +caseExpr (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-2450,1800,3050,2700" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +defaultEntryPoint (EntryPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "29952,39936,65280" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-875,875,1375,1875" +) +(Line +sl 0 +ro 270 +xt "1375,1375,1875,1375" +pts [ +"1375,1375" +"1875,1375" +] +) +] +) +) +defaultInterruptPoint (InterruptPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,0,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-875,875,1375,1875" +) +(Line +sl 0 +ro 270 +xt "1375,1375,1875,1375" +pts [ +"1375,1375" +"1875,1375" +] +) +(CustomPolygon +pts [ +"-625,1600" +"-625,1300" +"25,1425" +"-75,1150" +"1025,1350" +"200,1350" +"375,1600" +] +sl 0 +ro 270 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "65535,0,0" +lineColor "65535,65535,0" +) +xt "-625,1150,1025,1600" +) +] +) +) +defaultLink (Link +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-375,875,1875,1875" +) +(Line +sl 0 +ro 270 +xt "-875,1375,-375,1375" +pts [ +"-875,1375" +"-375,1375" +] +) +] +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "2375,875,4375,1775" +st "Link" +blo "2375,1575" +tm "LinkName" +) +) +) +defaultExitPoint (ExitPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "29952,39936,65280" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-375,875,1875,1875" +) +(Line +sl 0 +ro 270 +xt "-875,1375,-375,1375" +pts [ +"-875,1375" +"-375,1375" +] +) +] +) +) +defaultTransition (Transition +shape (Spline +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +arrow 1 +) +ss 0 +es 0 +cond "condition" +tb (TransitionBlock +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "-500,-500,5000,1400" +) +autoResize 1 +lineShape (Line +va (VaSet +vasetType 3 +isHidden 1 +) +xt "2250,1300,2250,1300" +pts [ +"2250,1300" +"2250,1300" +] +) +condition (MLText +va (VaSet +font "courier,8,0" +) +xt "0,0,4500,900" +st "condition" +tm "Condition" +) +actions (MLText +va (VaSet +font "courier,8,0" +) +xt "2250,1700,2250,1700" +tm "Actions" +) +) +tp (TransitionPriority +ps "PercentageFromStartStrategy" +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "-790,-790,790,790" +radius 790 +) +pr (Text +va (VaSet +font "courier,8,0" +) +xt "-250,-450,250,450" +st "1" +ju 0 +blo "0,250" +tm "TransitionPriority" +) +padding "200,200" +) +) +defaultClk (SmClockPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-625,625,1625,1625" +) +(OrthoPolyLine +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "275,825,874,1425" +pts [ +"275,1425" +"574,1425" +"574,825" +"874,825" +] +) +(Arc2D +pts [ +"-116,1278" +"-371,972" +"-116,972" +] +sl 0 +ro 270 +va (VaSet +vasetType 1 +transparent 1 +) +xt "-371,972,-116,1278" +) +] +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +font "courier,8,0" +) +xt "-2625,625,-1125,1525" +st "clk" +ju 2 +blo "-1125,1325" +tm "SmControlSignalNameMgr" +) +) +cond (SmControlCondition +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "1625,575,3825,1675" +) +autoResize 1 +cond (MLText +va (VaSet +font "courier,8,0" +) +xt "1725,675,3725,1575" +st "cond" +tm "SmControlConditionMgr" +) +) +) +defaultEnable (SmEnablePoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-625,625,1625,1625" +) +(OrthoPolyLine +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "275,825,874,1425" +pts [ +"874,1425" +"574,1425" +"574,825" +"275,825" +] +) +(Arc2D +pts [ +"-130,1263" +"-415,1064" +"-76,1064" +] +layer 10 +sl 0 +ro 270 +va (VaSet +vasetType 1 +transparent 1 +) +xt "-415,1064,-76,1263" +) +(Line +sl 0 +ro 270 +xt "-415,1064,-106,1064" +pts [ +"-415,1064" +"-106,1064" +] +) +] +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +font "courier,8,0" +) +xt "-4125,625,-1125,1525" +st "enable" +ju 2 +blo "-1125,1325" +tm "SmControlSignalNameMgr" +) +) +cond (SmControlCondition +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "1625,575,3825,1675" +) +autoResize 1 +cond (MLText +va (VaSet +font "courier,8,0" +) +xt "1725,675,3725,1575" +st "cond" +tm "SmControlConditionMgr" +) +) +) +defaultRst (SmResetPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-625,625,1625,1625" +) +(OrthoPolyLine +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "275,825,874,1425" +pts [ +"874,1425" +"574,1425" +"574,825" +"275,825" +] +) +(Line +sl 0 +ro 270 +xt "-376,950,-276,1000" +pts [ +"-376,1000" +"-276,950" +] +) +(Line +sl 0 +ro 270 +xt "-376,950,-376,1300" +pts [ +"-376,1300" +"-376,950" +] +) +(Circle +layer 10 +sl 0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,0" +) +xt "424,975,724,1275" +radius 150 +) +] +) +cond (SmControlCondition +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "-625,-875,1575,225" +) +autoResize 1 +cond (MLText +va (VaSet +font "courier,8,0" +) +xt "-525,-775,1475,125" +st "cond" +tm "SmControlConditionMgr" +) +) +prio (TransitionPriority +ps "PercentageFromStartStrategy" +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "1625,335,3205,1915" +radius 790 +) +pr (Text +va (VaSet +font "courier,8,0" +) +xt "2165,675,2665,1575" +st "1" +ju 0 +blo "2415,1375" +tm "TransitionPriority" +) +padding "200,200" +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +font "courier,8,0" +) +xt "-2125,675,-625,1575" +st "rst" +ju 2 +blo "-625,1375" +tm "SmControlSignalNameMgr" +) +) +actions (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "4750,2625,11750,3525" +st "< Automatic >" +tm "Actions" +) +) +) +defaultRecStatePt (SmRecoveryStatePoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,0,0" +) +optionalChildren [ +(Circle +sl 0 +xt "-900,-900,900,900" +radius 900 +) +(Line +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "-425,-425,425,425" +pts [ +"-425,425" +"425,-425" +] +) +(Line +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "-425,-425,425,425" +pts [ +"425,425" +"-425,-425" +] +) +] +) +) +LanguageMgr "Verilog2001LangMgr" +) +) +] +shape (Rectangle +uid 564,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "6000,23000,9000,34000" +) +ttg (MlTextGroup +uid 565,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*311 (Text +uid 566,0 +va (VaSet +font "courier,8,1" +) +xt "7000,27600,8000,28500" +st "SM" +blo "7000,28300" +tm "HdlTextNameMgr" +) +*312 (Text +uid 567,0 +va (VaSet +font "courier,8,1" +) +xt "7000,28500,7500,29400" +st "1" +blo "7000,29200" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 568,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "6250,32250,7750,33750" +iconName "StateMachineViewIcon.png" +iconMaskName "StateMachineViewIcon.msk" +ftype 3 +) +viewiconposition 0 +) +*313 (HdlText +uid 1477,0 +optionalChildren [ +*314 (EmbeddedText +uid 1483,0 +commentText (CommentText +uid 1484,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1485,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "31000,28000,49000,33000" +) +text (MLText +uid 1486,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "31200,28200,48800,32700" +st " +assign rxa=(activeSrc==SRC_SELF)?(self_rxa): + (activeSrc==SRC_ARP)?({5'h0,arp_rxa}): + (activeSrc==SRC_ICMP)?(icmp_rxa): + (activeSrc==SRC_UDP)?(udp_rxa):0; + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +] +shape (Rectangle +uid 1478,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "23000,28000,26000,34000" +) +ttg (MlTextGroup +uid 1479,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*315 (Text +uid 1480,0 +va (VaSet +font "courier,8,1" +) +xt "23750,30100,25250,31000" +st "eb1" +blo "23750,30800" +tm "HdlTextNameMgr" +) +*316 (Text +uid 1481,0 +va (VaSet +font "courier,8,1" +) +xt "23750,31000,24250,31900" +st "2" +blo "23750,31700" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 1482,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "23250,32250,24750,33750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*317 (HdlText +uid 1535,0 +optionalChildren [ +*318 (EmbeddedText +uid 1541,0 +commentText (CommentText +uid 1542,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1543,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "61000,-1000,79000,4000" +) +text (MLText +uid 1544,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "61200,-800,78700,3700" +st " +assign txa=(activeSrc==SRC_ARP)?({5'h0,arp_txa}): + (activeSrc==SRC_ICMP)?(icmp_txa): + (activeSrc==SRC_UDP)?(udp_txa):0; + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +] +shape (Rectangle +uid 1536,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "53000,-1000,56000,4000" +) +ttg (MlTextGroup +uid 1537,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*319 (Text +uid 1538,0 +va (VaSet +font "courier,8,1" +) +xt "53750,600,55250,1500" +st "eb2" +blo "53750,1300" +tm "HdlTextNameMgr" +) +*320 (Text +uid 1539,0 +va (VaSet +font "courier,8,1" +) +xt "53750,1500,54250,2400" +st "3" +blo "53750,2200" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 1540,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "53250,2250,54750,3750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*321 (HdlText +uid 1585,0 +optionalChildren [ +*322 (EmbeddedText +uid 1591,0 +commentText (CommentText +uid 1592,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1593,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "31000,10000,49000,15000" +) +text (MLText +uid 1594,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "31200,10200,48200,14700" +st " +assign txd=(activeSrc==SRC_ARP)?(arp_txd): + (activeSrc==SRC_ICMP)?(icmp_txd): + (activeSrc==SRC_UDP)?(udp_txd):0; + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +] +shape (Rectangle +uid 1586,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "23000,10000,26000,15000" +) +ttg (MlTextGroup +uid 1587,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*323 (Text +uid 1588,0 +va (VaSet +font "courier,8,1" +) +xt "23750,11600,25250,12500" +st "eb3" +blo "23750,12300" +tm "HdlTextNameMgr" +) +*324 (Text +uid 1589,0 +va (VaSet +font "courier,8,1" +) +xt "23750,12500,24250,13400" +st "4" +blo "23750,13200" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 1590,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "23250,13250,24750,14750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*325 (HdlText +uid 1635,0 +optionalChildren [ +*326 (EmbeddedText +uid 1641,0 +commentText (CommentText +uid 1642,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1643,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "31000,42000,49000,47000" +) +text (MLText +uid 1644,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "31200,42200,48700,46700" +st " +assign tx_we=(activeSrc==SRC_ARP)?(arp_we): + (activeSrc==SRC_ICMP)?(icmp_we): + (activeSrc==SRC_UDP)?(udp_we):0; + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +] +shape (Rectangle +uid 1636,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "23000,42000,26000,47000" +) +ttg (MlTextGroup +uid 1637,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*327 (Text +uid 1638,0 +va (VaSet +font "courier,8,1" +) +xt "23750,43600,25250,44500" +st "eb4" +blo "23750,44300" +tm "HdlTextNameMgr" +) +*328 (Text +uid 1639,0 +va (VaSet +font "courier,8,1" +) +xt "23750,44500,24250,45400" +st "5" +blo "23750,45200" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 1640,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "23250,45250,24750,46750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*329 (HdlText +uid 1685,0 +optionalChildren [ +*330 (EmbeddedText +uid 1691,0 +commentText (CommentText +uid 1692,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1693,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "31000,53000,49000,58000" +) +text (MLText +uid 1694,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "31200,53200,46200,57700" +st " +assign tx_done=(activeSrc==SRC_ARP)?(arp_xmit): + (activeSrc==SRC_ICMP)?(icmp_xmit): + (activeSrc==SRC_UDP)?(udp_xmit):0; + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +] +shape (Rectangle +uid 1686,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "23000,53000,26000,58000" +) +ttg (MlTextGroup +uid 1687,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*331 (Text +uid 1688,0 +va (VaSet +font "courier,8,1" +) +xt "23750,54600,25250,55500" +st "eb5" +blo "23750,55300" +tm "HdlTextNameMgr" +) +*332 (Text +uid 1689,0 +va (VaSet +font "courier,8,1" +) +xt "23750,55500,24250,56400" +st "6" +blo "23750,56200" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 1690,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "23250,56250,24750,57750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*333 (HdlText +uid 1735,0 +optionalChildren [ +*334 (EmbeddedText +uid 1741,0 +commentText (CommentText +uid 1742,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1743,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "31000,64000,49000,69000" +) +text (MLText +uid 1744,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "31200,64200,48700,68700" +st " +assign tx_len=(activeSrc==SRC_ARP)?(arp_len): + (activeSrc==SRC_ICMP)?(icmp_len): + (activeSrc==SRC_UDP)?(udp_len):0; + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +] +shape (Rectangle +uid 1736,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "23000,64000,26000,69000" +) +ttg (MlTextGroup +uid 1737,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*335 (Text +uid 1738,0 +va (VaSet +font "courier,8,1" +) +xt "23750,65600,25250,66500" +st "eb6" +blo "23750,66300" +tm "HdlTextNameMgr" +) +*336 (Text +uid 1739,0 +va (VaSet +font "courier,8,1" +) +xt "23750,66500,24250,67400" +st "7" +blo "23750,67200" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 1740,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "23250,67250,24750,68750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*337 (HdlText +uid 1785,0 +optionalChildren [ +*338 (EmbeddedText +uid 1791,0 +commentText (CommentText +uid 1792,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1793,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "46000,31000,64000,36000" +) +text (MLText +uid 1794,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "46200,31200,63700,33000" +st " +always @(posedge clk) arp_ready<=(state==ST_ARP); + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +] +shape (Rectangle +uid 1786,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "38000,31000,41000,34000" +) +ttg (MlTextGroup +uid 1787,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*339 (Text +uid 1788,0 +va (VaSet +font "courier,8,1" +) +xt "38750,31600,40250,32500" +st "eb7" +blo "38750,32300" +tm "HdlTextNameMgr" +) +*340 (Text +uid 1789,0 +va (VaSet +font "courier,8,1" +) +xt "38750,32500,39250,33400" +st "8" +blo "38750,33200" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 1790,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "38250,32250,39750,33750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*341 (HdlText +uid 1811,0 +optionalChildren [ +*342 (EmbeddedText +uid 1817,0 +commentText (CommentText +uid 1818,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1819,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "61000,31000,79000,36000" +) +text (MLText +uid 1820,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "61200,31200,78700,33000" +st " +always @(posedge clk) icmp_ready<=(state==ST_ICMP); + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +] +shape (Rectangle +uid 1812,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "53000,31000,56000,34000" +) +ttg (MlTextGroup +uid 1813,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*343 (Text +uid 1814,0 +va (VaSet +font "courier,8,1" +) +xt "53750,31600,55250,32500" +st "eb8" +blo "53750,32300" +tm "HdlTextNameMgr" +) +*344 (Text +uid 1815,0 +va (VaSet +font "courier,8,1" +) +xt "53750,32500,54250,33400" +st "9" +blo "53750,33200" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 1816,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "53250,32250,54750,33750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*345 (HdlText +uid 1837,0 +optionalChildren [ +*346 (EmbeddedText +uid 1843,0 +commentText (CommentText +uid 1844,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1845,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "76000,31000,94000,36000" +) +text (MLText +uid 1846,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "76200,31200,93700,33000" +st " +always @(posedge clk) udp_ready<=(state==ST_UDP); + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +] +shape (Rectangle +uid 1838,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "68000,31000,71000,34000" +) +ttg (MlTextGroup +uid 1839,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*347 (Text +uid 1840,0 +va (VaSet +font "courier,8,1" +) +xt "68750,31600,70250,32500" +st "eb9" +blo "68750,32300" +tm "HdlTextNameMgr" +) +*348 (Text +uid 1841,0 +va (VaSet +font "courier,8,1" +) +xt "68750,32500,69750,33400" +st "10" +blo "68750,33200" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 1842,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "68250,32250,69750,33750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*349 (HdlText +uid 1863,0 +optionalChildren [ +*350 (EmbeddedText +uid 1869,0 +commentText (CommentText +uid 1870,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1871,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "31000,21000,49000,26000" +) +text (MLText +uid 1872,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "31200,21200,48700,23000" +st " +always @(posedge clk) udp_xmit_ok<=(state==ST_UDP_XMIT); + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +] +shape (Rectangle +uid 1864,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "23000,21000,26000,24000" +) +ttg (MlTextGroup +uid 1865,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*351 (Text +uid 1866,0 +va (VaSet +font "courier,8,1" +) +xt "23500,21600,25500,22500" +st "eb10" +blo "23500,22300" +tm "HdlTextNameMgr" +) +*352 (Text +uid 1867,0 +va (VaSet +font "courier,8,1" +) +xt "23500,22500,24500,23400" +st "11" +blo "23500,23200" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 1868,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "23250,22250,24750,23750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*353 (BundleNet +uid 2001,0 +bundleNetName "bundle_SM_eb1_0" +bundleContents [ +*354 (Wire +uid 2011,0 +shape (OrthoPolyLine +uid 2012,0 +sl 0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "130000,104000,140000,104000" +pts [ +"130000,104000" +"140000,104000" +] +) +sat 2 +eat 16 +sty 1 +cm 0 +stc 0 +st 0 +si 0 +tg (WTG +uid 2017,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2018,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "121000,103100,125500,104000" +st "activeSrc" +blo "121000,103800" +tm "WireNameMgr" +) +) +on &1 +) +*355 (Wire +uid 2019,0 +shape (OrthoPolyLine +uid 2020,0 +sl 0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "130000,105000,140000,105000" +pts [ +"130000,105000" +"140000,105000" +] +) +sat 2 +eat 16 +sty 1 +cm 0 +stc 0 +st 0 +si 0 +tg (WTG +uid 2025,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2026,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "114000,104100,118000,105000" +st "self_rxa" +blo "114000,104800" +tm "WireNameMgr" +) +) +on &2 +) +] +) +*356 (Property +uid 2035,0 +pclass "HDS" +pname "DocView" +pvalue "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/workspace/IPbusFirmware/firmware/ipbus/hdl/packet_handler.v" +ptn "String" +) +*357 (Property +uid 2036,0 +pclass "HDS" +pname "DocViewState" +pvalue "1352727141" +ptn "String" +) +*358 (Wire +uid 25,0 +optionalChildren [ +*359 (BdJunction +uid 2027,0 +ps "OnConnectorStrategy" +shape (Circle +uid 2028,0 +va (VaSet +vasetType 1 +) +xt "-400,37600,400,38400" +radius 400 +) +) +*360 (BdJunction +uid 2029,0 +ps "OnConnectorStrategy" +shape (Circle +uid 2030,0 +va (VaSet +vasetType 1 +) +xt "16600,37600,17400,38400" +radius 400 +) +) +*361 (BdJunction +uid 2031,0 +ps "OnConnectorStrategy" +shape (Circle +uid 2032,0 +va (VaSet +vasetType 1 +) +xt "31600,37600,32400,38400" +radius 400 +) +) +*362 (BdJunction +uid 2033,0 +ps "OnConnectorStrategy" +shape (Circle +uid 2034,0 +va (VaSet +vasetType 1 +) +xt "46600,37600,47400,38400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 26,0 +va (VaSet +vasetType 3 +) +xt "-2000,33000,68000,38000" +pts [ +"-2000,38000" +"62000,38000" +"62000,33000" +"68000,33000" +] +) +start &4 +end &345 +ss 0 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 29,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 30,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "66000,32100,67500,33000" +st "clk" +blo "66000,32800" +tm "WireNameMgr" +) +) +on &5 +) +*363 (Wire +uid 39,0 +shape (OrthoPolyLine +uid 40,0 +va (VaSet +vasetType 3 +) +xt "5000,24000,6000,24000" +pts [ +"5000,24000" +"6000,24000" +] +) +start &6 +end &82 +ss 0 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 43,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 44,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "4000,23100,6500,24000" +st "reset" +blo "4000,23800" +tm "WireNameMgr" +) +) +on &7 +) +*364 (Wire +uid 53,0 +shape (OrthoPolyLine +uid 54,0 +va (VaSet +vasetType 3 +) +xt "5000,25000,6000,25000" +pts [ +"5000,25000" +"6000,25000" +] +) +start &8 +end &82 +ss 0 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 57,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 58,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "4000,24100,8000,25000" +st "rx_ready" +blo "4000,24800" +tm "WireNameMgr" +) +) +on &9 +) +*365 (Wire +uid 81,0 +shape (OrthoPolyLine +uid 82,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "5000,26000,6000,26000" +pts [ +"5000,26000" +"6000,26000" +] +) +start &12 +end &82 +ss 0 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 85,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 86,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "3000,25100,4500,26000" +st "rxd" +blo "3000,25800" +tm "WireNameMgr" +) +) +on &13 +) +*366 (Wire +uid 179,0 +shape (OrthoPolyLine +uid 180,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "5000,27000,6000,27000" +pts [ +"5000,27000" +"6000,27000" +] +) +start &26 +end &82 +ss 0 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 183,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 184,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "3000,26100,4000,27000" +st "ip" +blo "3000,26800" +tm "WireNameMgr" +) +) +on &27 +) +*367 (Wire +uid 193,0 +shape (OrthoPolyLine +uid 194,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "22000,29000,23000,29000" +pts [ +"22000,29000" +"23000,29000" +] +) +start &28 +end &313 +ss 0 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 197,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 198,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "19000,28100,22500,29000" +st "arp_rxa" +blo "19000,28800" +tm "WireNameMgr" +) +) +on &29 +) +*368 (Wire +uid 207,0 +shape (OrthoPolyLine +uid 208,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "52000,0,53000,0" +pts [ +"52000,0" +"53000,0" +] +) +start &30 +end &317 +ss 0 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 211,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 212,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "49000,-900,52500,0" +st "arp_txa" +blo "49000,-200" +tm "WireNameMgr" +) +) +on &31 +) +*369 (Wire +uid 221,0 +shape (OrthoPolyLine +uid 222,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "22000,65000,23000,65000" +pts [ +"22000,65000" +"23000,65000" +] +) +start &32 +end &333 +ss 0 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 225,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 226,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "19000,64100,22500,65000" +st "arp_len" +blo "19000,64800" +tm "WireNameMgr" +) +) +on &33 +) +*370 (Wire +uid 235,0 +shape (OrthoPolyLine +uid 236,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "22000,11000,23000,11000" +pts [ +"22000,11000" +"23000,11000" +] +) +start &34 +end &321 +ss 0 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 239,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 240,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "19000,10100,22500,11000" +st "arp_txd" +blo "19000,10800" +tm "WireNameMgr" +) +) +on &35 +) +*371 (Wire +uid 249,0 +shape (OrthoPolyLine +uid 250,0 +va (VaSet +vasetType 3 +) +xt "22000,43000,23000,43000" +pts [ +"22000,43000" +"23000,43000" +] +) +start &36 +end &325 +ss 0 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 253,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 254,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "21000,42100,24000,43000" +st "arp_we" +blo "21000,42800" +tm "WireNameMgr" +) +) +on &37 +) +*372 (Wire +uid 263,0 +shape (OrthoPolyLine +uid 264,0 +va (VaSet +vasetType 3 +) +xt "22000,54000,23000,54000" +pts [ +"22000,54000" +"23000,54000" +] +) +start &38 +end &329 +ss 0 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 267,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 268,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "21000,53100,25000,54000" +st "arp_xmit" +blo "21000,53800" +tm "WireNameMgr" +) +) +on &39 +) +*373 (Wire +uid 277,0 +shape (OrthoPolyLine +uid 278,0 +va (VaSet +vasetType 3 +) +xt "5000,28000,6000,28000" +pts [ +"5000,28000" +"6000,28000" +] +) +start &40 +end &82 +ss 0 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 281,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 282,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "4000,27100,8000,28000" +st "arp_done" +blo "4000,27800" +tm "WireNameMgr" +) +) +on &41 +) +*374 (Wire +uid 305,0 +shape (OrthoPolyLine +uid 306,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "22000,30000,23000,30000" +pts [ +"22000,30000" +"23000,30000" +] +) +start &44 +end &313 +ss 0 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 309,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 310,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "18000,29100,22000,30000" +st "icmp_rxa" +blo "18000,29800" +tm "WireNameMgr" +) +) +on &45 +) +*375 (Wire +uid 319,0 +shape (OrthoPolyLine +uid 320,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "52000,1000,53000,1000" +pts [ +"52000,1000" +"53000,1000" +] +) +start &46 +end &317 +ss 0 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 323,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 324,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "48000,100,52000,1000" +st "icmp_txa" +blo "48000,800" +tm "WireNameMgr" +) +) +on &47 +) +*376 (Wire +uid 333,0 +shape (OrthoPolyLine +uid 334,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "22000,66000,23000,66000" +pts [ +"22000,66000" +"23000,66000" +] +) +start &48 +end &333 +ss 0 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 337,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 338,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "18000,65100,22000,66000" +st "icmp_len" +blo "18000,65800" +tm "WireNameMgr" +) +) +on &49 +) +*377 (Wire +uid 347,0 +shape (OrthoPolyLine +uid 348,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "22000,12000,23000,12000" +pts [ +"22000,12000" +"23000,12000" +] +) +start &50 +end &321 +ss 0 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 351,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 352,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "18000,11100,22000,12000" +st "icmp_txd" +blo "18000,11800" +tm "WireNameMgr" +) +) +on &51 +) +*378 (Wire +uid 361,0 +shape (OrthoPolyLine +uid 362,0 +va (VaSet +vasetType 3 +) +xt "22000,44000,23000,44000" +pts [ +"22000,44000" +"23000,44000" +] +) +start &52 +end &325 +ss 0 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 365,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 366,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "21000,43100,24500,44000" +st "icmp_we" +blo "21000,43800" +tm "WireNameMgr" +) +) +on &53 +) +*379 (Wire +uid 375,0 +shape (OrthoPolyLine +uid 376,0 +va (VaSet +vasetType 3 +) +xt "22000,55000,23000,55000" +pts [ +"22000,55000" +"23000,55000" +] +) +start &54 +end &329 +ss 0 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 379,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 380,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "20000,54100,24500,55000" +st "icmp_xmit" +blo "20000,54800" +tm "WireNameMgr" +) +) +on &55 +) +*380 (Wire +uid 389,0 +shape (OrthoPolyLine +uid 390,0 +va (VaSet +vasetType 3 +) +xt "5000,29000,6000,29000" +pts [ +"5000,29000" +"6000,29000" +] +) +start &56 +end &82 +ss 0 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 393,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 394,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "3000,28100,7500,29000" +st "icmp_done" +blo "3000,28800" +tm "WireNameMgr" +) +) +on &57 +) +*381 (Wire +uid 417,0 +shape (OrthoPolyLine +uid 418,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "22000,31000,23000,31000" +pts [ +"22000,31000" +"23000,31000" +] +) +start &60 +end &313 +ss 0 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 421,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 422,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "15000,30100,18500,31000" +st "udp_rxa" +blo "15000,30800" +tm "WireNameMgr" +) +) +on &61 +) +*382 (Wire +uid 431,0 +shape (OrthoPolyLine +uid 432,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "52000,2000,53000,2000" +pts [ +"52000,2000" +"53000,2000" +] +) +start &62 +end &317 +ss 0 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 435,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 436,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "45000,1100,48500,2000" +st "udp_txa" +blo "45000,1800" +tm "WireNameMgr" +) +) +on &63 +) +*383 (Wire +uid 445,0 +shape (OrthoPolyLine +uid 446,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "22000,67000,23000,67000" +pts [ +"22000,67000" +"23000,67000" +] +) +start &64 +end &333 +ss 0 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 449,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 450,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "15000,66100,18500,67000" +st "udp_len" +blo "15000,66800" +tm "WireNameMgr" +) +) +on &65 +) +*384 (Wire +uid 459,0 +shape (OrthoPolyLine +uid 460,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "22000,13000,23000,13000" +pts [ +"22000,13000" +"23000,13000" +] +) +start &66 +end &321 +ss 0 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 463,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 464,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "19000,12100,22500,13000" +st "udp_txd" +blo "19000,12800" +tm "WireNameMgr" +) +) +on &67 +) +*385 (Wire +uid 473,0 +shape (OrthoPolyLine +uid 474,0 +va (VaSet +vasetType 3 +) +xt "22000,45000,23000,45000" +pts [ +"22000,45000" +"23000,45000" +] +) +start &68 +end &325 +ss 0 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 477,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 478,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "21000,44100,24000,45000" +st "udp_we" +blo "21000,44800" +tm "WireNameMgr" +) +) +on &69 +) +*386 (Wire +uid 487,0 +shape (OrthoPolyLine +uid 488,0 +va (VaSet +vasetType 3 +) +xt "22000,56000,23000,56000" +pts [ +"22000,56000" +"23000,56000" +] +) +start &70 +end &329 +ss 0 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 491,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 492,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "21000,55100,25000,56000" +st "udp_xmit" +blo "21000,55800" +tm "WireNameMgr" +) +) +on &71 +) +*387 (Wire +uid 501,0 +shape (OrthoPolyLine +uid 502,0 +va (VaSet +vasetType 3 +) +xt "5000,30000,6000,30000" +pts [ +"5000,30000" +"6000,30000" +] +) +start &72 +end &82 +ss 0 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 505,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 506,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "4000,29100,8000,30000" +st "udp_done" +blo "4000,29800" +tm "WireNameMgr" +) +) +on &73 +) +*388 (Wire +uid 515,0 +shape (OrthoPolyLine +uid 516,0 +va (VaSet +vasetType 3 +) +xt "5000,31000,6000,31000" +pts [ +"5000,31000" +"6000,31000" +] +) +start &74 +end &82 +ss 0 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 519,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 520,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "2000,30100,8500,31000" +st "udp_xmit_req" +blo "2000,30800" +tm "WireNameMgr" +) +) +on &75 +) +*389 (Wire +uid 529,0 +shape (OrthoPolyLine +uid 530,0 +va (VaSet +vasetType 3 +) +xt "5000,32000,6000,32000" +pts [ +"5000,32000" +"6000,32000" +] +) +start &76 +end &82 +ss 0 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 533,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 534,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "3000,31100,7500,32000" +st "udp_space" +blo "3000,31800" +tm "WireNameMgr" +) +) +on &77 +) +*390 (Wire +uid 1527,0 +shape (OrthoPolyLine +uid 1528,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "26000,29000,27000,29000" +pts [ +"26000,29000" +"27000,29000" +] +) +start &313 +end &14 +es 0 +sat 2 +eat 32 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 1533,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1534,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "20000,28100,21500,29000" +st "rxa" +blo "20000,28800" +tm "WireNameMgr" +) +) +on &15 +) +*391 (Wire +uid 1545,0 +shape (OrthoPolyLine +uid 1546,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "51000,3000,53000,3000" +pts [ +"51000,3000" +"53000,3000" +] +) +end &317 +sat 16 +eat 1 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 1551,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1552,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "42000,2100,46500,3000" +st "activeSrc" +blo "42000,2800" +tm "WireNameMgr" +) +) +on &1 +) +*392 (Wire +uid 1577,0 +shape (OrthoPolyLine +uid 1578,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "56000,0,57000,0" +pts [ +"56000,0" +"57000,0" +] +) +start &317 +end &18 +es 0 +sat 2 +eat 32 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 1583,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1584,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "50000,-900,51500,0" +st "txa" +blo "50000,-200" +tm "WireNameMgr" +) +) +on &19 +) +*393 (Wire +uid 1595,0 +shape (OrthoPolyLine +uid 1596,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "21000,14000,23000,14000" +pts [ +"21000,14000" +"23000,14000" +] +) +end &321 +sat 16 +eat 1 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 1601,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1602,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "12000,13100,16500,14000" +st "activeSrc" +blo "12000,13800" +tm "WireNameMgr" +) +) +on &1 +) +*394 (Wire +uid 1627,0 +shape (OrthoPolyLine +uid 1628,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "26000,11000,27000,11000" +pts [ +"26000,11000" +"27000,11000" +] +) +start &321 +end &16 +es 0 +sat 2 +eat 32 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 1633,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1634,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "24000,10100,25500,11000" +st "txd" +blo "24000,10800" +tm "WireNameMgr" +) +) +on &17 +) +*395 (Wire +uid 1645,0 +shape (OrthoPolyLine +uid 1646,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "21000,46000,23000,46000" +pts [ +"21000,46000" +"23000,46000" +] +) +end &325 +sat 16 +eat 1 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 1651,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1652,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "12000,45100,16500,46000" +st "activeSrc" +blo "12000,45800" +tm "WireNameMgr" +) +) +on &1 +) +*396 (Wire +uid 1677,0 +shape (OrthoPolyLine +uid 1678,0 +va (VaSet +vasetType 3 +) +xt "26000,43000,27000,43000" +pts [ +"26000,43000" +"27000,43000" +] +) +start &325 +end &22 +es 0 +sat 2 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 1683,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1684,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "25000,42100,27500,43000" +st "tx_we" +blo "25000,42800" +tm "WireNameMgr" +) +) +on &23 +) +*397 (Wire +uid 1695,0 +shape (OrthoPolyLine +uid 1696,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "21000,57000,23000,57000" +pts [ +"21000,57000" +"23000,57000" +] +) +end &329 +sat 16 +eat 1 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 1701,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1702,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "12000,56100,16500,57000" +st "activeSrc" +blo "12000,56800" +tm "WireNameMgr" +) +) +on &1 +) +*398 (Wire +uid 1727,0 +shape (OrthoPolyLine +uid 1728,0 +va (VaSet +vasetType 3 +) +xt "26000,54000,27000,54000" +pts [ +"26000,54000" +"27000,54000" +] +) +start &329 +end &24 +es 0 +sat 2 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 1733,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1734,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "25000,53100,28500,54000" +st "tx_done" +blo "25000,53800" +tm "WireNameMgr" +) +) +on &25 +) +*399 (Wire +uid 1745,0 +shape (OrthoPolyLine +uid 1746,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "21000,68000,23000,68000" +pts [ +"21000,68000" +"23000,68000" +] +) +end &333 +sat 16 +eat 1 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 1751,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1752,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "12000,67100,16500,68000" +st "activeSrc" +blo "12000,67800" +tm "WireNameMgr" +) +) +on &1 +) +*400 (Wire +uid 1777,0 +shape (OrthoPolyLine +uid 1778,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "26000,65000,27000,65000" +pts [ +"26000,65000" +"27000,65000" +] +) +start &333 +end &20 +es 0 +sat 2 +eat 32 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 1783,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1784,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "19000,64100,22000,65000" +st "tx_len" +blo "19000,64800" +tm "WireNameMgr" +) +) +on &21 +) +*401 (Wire +uid 1795,0 +shape (OrthoPolyLine +uid 1796,0 +va (VaSet +vasetType 3 +) +xt "32000,33000,38000,38000" +pts [ +"32000,38000" +"32000,33000" +"38000,33000" +] +) +start &361 +end &337 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 1801,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1802,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "36000,32100,37500,33000" +st "clk" +blo "36000,32800" +tm "WireNameMgr" +) +) +on &5 +) +*402 (Wire +uid 1803,0 +shape (OrthoPolyLine +uid 1804,0 +va (VaSet +vasetType 3 +) +xt "41000,32000,42000,32000" +pts [ +"41000,32000" +"42000,32000" +] +) +start &337 +end &42 +es 0 +sat 2 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 1809,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1810,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "39000,31100,43500,32000" +st "arp_ready" +blo "39000,31800" +tm "WireNameMgr" +) +) +on &43 +) +*403 (Wire +uid 1821,0 +shape (OrthoPolyLine +uid 1822,0 +va (VaSet +vasetType 3 +) +xt "47000,33000,53000,38000" +pts [ +"47000,38000" +"47000,33000" +"53000,33000" +] +) +start &362 +end &341 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 1827,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1828,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "51000,32100,52500,33000" +st "clk" +blo "51000,32800" +tm "WireNameMgr" +) +) +on &5 +) +*404 (Wire +uid 1829,0 +shape (OrthoPolyLine +uid 1830,0 +va (VaSet +vasetType 3 +) +xt "56000,32000,57000,32000" +pts [ +"56000,32000" +"57000,32000" +] +) +start &341 +end &58 +es 0 +sat 2 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 1835,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1836,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "54000,31100,59500,32000" +st "icmp_ready" +blo "54000,31800" +tm "WireNameMgr" +) +) +on &59 +) +*405 (Wire +uid 1855,0 +shape (OrthoPolyLine +uid 1856,0 +va (VaSet +vasetType 3 +) +xt "71000,32000,72000,32000" +pts [ +"71000,32000" +"72000,32000" +] +) +start &345 +end &78 +es 0 +sat 2 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 1861,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1862,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "69000,31100,73500,32000" +st "udp_ready" +blo "69000,31800" +tm "WireNameMgr" +) +) +on &79 +) +*406 (Wire +uid 1873,0 +shape (OrthoPolyLine +uid 1874,0 +va (VaSet +vasetType 3 +) +xt "17000,23000,23000,38000" +pts [ +"17000,38000" +"17000,23000" +"23000,23000" +] +) +start &360 +end &349 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 1879,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1880,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "21000,22100,22500,23000" +st "clk" +blo "21000,22800" +tm "WireNameMgr" +) +) +on &5 +) +*407 (Wire +uid 1881,0 +shape (OrthoPolyLine +uid 1882,0 +va (VaSet +vasetType 3 +) +xt "26000,22000,27000,22000" +pts [ +"26000,22000" +"27000,22000" +] +) +start &349 +end &80 +es 0 +sat 2 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 1887,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1888,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "24000,21100,30000,22000" +st "udp_xmit_ok" +blo "24000,21800" +tm "WireNameMgr" +) +) +on &81 +) +*408 (Wire +uid 1897,0 +shape (OrthoPolyLine +uid 1898,0 +va (VaSet +vasetType 3 +) +xt "0,33000,6000,38000" +pts [ +"0,38000" +"0,33000" +"6000,33000" +] +) +start &359 +end &82 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 1903,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1904,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "4000,32100,5500,33000" +st "clk" +blo "4000,32800" +tm "WireNameMgr" +) +) +on &5 +) +*409 (Wire +uid 1969,0 +shape (OrthoPolyLine +uid 1970,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "9000,26000,11000,26000" +pts [ +"9000,26000" +"11000,26000" +] +) +start &82 +sat 4 +eat 16 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 1975,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1976,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "12000,25100,14000,26000" +st "eth0" +blo "12000,25800" +tm "WireNameMgr" +) +) +on &3 +) +*410 (Wire +uid 1977,0 +shape (OrthoPolyLine +uid 1978,0 +va (VaSet +vasetType 3 +) +xt "9000,24000,10000,24000" +pts [ +"9000,24000" +"10000,24000" +] +) +start &82 +end &10 +es 0 +sat 4 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 1983,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1984,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "8000,23100,11500,24000" +st "rx_done" +blo "8000,23800" +tm "WireNameMgr" +) +) +on &11 +) +*411 (Bundle +uid 2002,0 +shape (OrthoPolyLine +uid 2003,0 +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +xt "9000,25000,23000,32000" +pts [ +"9000,25000" +"15000,25000" +"15000,32000" +"23000,32000" +] +) +start &82 +end &313 +sat 2 +eat 1 +textGroup (BiTextGroup +uid 2008,0 +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 2009,0 +va (VaSet +font "courier,8,0" +) +xt "10000,24100,18000,25000" +st "bundle_SM_eb1_0" +blo "10000,24800" +tm "BundleNameMgr" +) +second (MLText +uid 2010,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "10000,25000,20500,25900" +st "(activeSrc,self_rxa)" +tm "BundleContentsMgr" +) +) +bundleNet &353 +) +&354 +&355 +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *412 (PackageList +uid 2037,0 +stg "VerticalLayoutStrategy" +textVec [ +*413 (Text +uid 2038,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "21000,74000,27500,74900" +st "Package List" +blo "21000,74700" +) +*414 (MLText +uid 2039,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "21000,74900,35500,78500" +st "LIBRARY ieee; +USE ieee.std_logic_1164.all; +USE ieee.numeric_std.all; + +" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 2040,0 +stg "VerticalLayoutStrategy" +textVec [ +*415 (Text +uid 2041,0 +va (VaSet +font "courier,8,1" +) +xt "1000,74000,11000,74900" +st "Compiler Directives" +blo "1000,74700" +) +*416 (Text +uid 2042,0 +va (VaSet +font "courier,8,1" +) +xt "1000,74900,12500,75800" +st "Pre-module directives:" +blo "1000,75600" +) +*417 (MLText +uid 2043,0 +va (VaSet +font "courier,8,0" +) +xt "1000,75800,42500,94700" +st "`timescale 1ns / 1ps +`include \"ipbus_v_defs.v\" +////////////////////////////////////////////////////////////////////////////////// +// Company: +// Engineer: +// +// Create Date: 16:41:40 01/15/2010 +// Design Name: +// Module Name: packet_handler +// Project Name: +// Target Devices: +// Tool versions: +// Description: +// +// Dependencies: +// +// Revision: +// Revision 0.01 - File Created +// Additional Comments: +// +////////////////////////////////////////////////////////////////////////////////// +" +tm "BdCompilerDirectivesTextMgr" +) +*418 (Text +uid 2044,0 +va (VaSet +font "courier,8,1" +) +xt "1000,94700,13000,95600" +st "Post-module directives:" +blo "1000,95400" +) +*419 (MLText +uid 2045,0 +va (VaSet +font "courier,8,0" +) +xt "1000,74000,1000,74000" +tm "BdCompilerDirectivesTextMgr" +) +*420 (Text +uid 2046,0 +va (VaSet +font "courier,8,1" +) +xt "1000,95600,12500,96500" +st "End-module directives:" +blo "1000,96300" +) +*421 (MLText +uid 2047,0 +va (VaSet +font "courier,8,0" +) +xt "1000,96500,1000,96500" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "0,0,1015,690" +viewArea "-6500,-1000,79500,116300" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +lastUid 2047,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,4000,1900" +st "Panel0" +blo "1000,1700" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*422 (Text +va (VaSet +font "courier,8,1" +) +xt "1750,3650,6250,4550" +st "<library>" +blo "1750,4350" +tm "BdLibraryNameMgr" +) +*423 (Text +va (VaSet +font "courier,8,1" +) +xt "1750,4550,5250,5450" +st "<block>" +blo "1750,5250" +tm "BlkNameMgr" +) +*424 (Text +va (VaSet +font "courier,8,1" +) +xt "1750,5450,2750,6350" +st "I0" +blo "1750,6150" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "1750,13650,1750,13650" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*425 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,3650,4500,4550" +st "Library" +blo "1000,4350" +) +*426 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,4550,7000,5450" +st "MWComponent" +blo "1000,5250" +) +*427 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,5450,2000,6350" +st "I0" +blo "1000,6150" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6000,1650,-6000,1650" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*428 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,3650,4500,4550" +st "Library" +blo "1000,4350" +tm "BdLibraryNameMgr" +) +*429 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,4550,7000,5450" +st "SaComponent" +blo "1000,5250" +tm "CptNameMgr" +) +*430 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,5450,2000,6350" +st "I0" +blo "1000,6150" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6000,1650,-6000,1650" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +portVis (PortSigDisplay +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*431 (Text +va (VaSet +font "courier,8,1" +) +xt "500,3650,4000,4550" +st "Library" +blo "500,4350" +) +*432 (Text +va (VaSet +font "courier,8,1" +) +xt "500,4550,7500,5450" +st "VhdlComponent" +blo "500,5250" +) +*433 (Text +va (VaSet +font "courier,8,1" +) +xt "500,5450,1500,6350" +st "I0" +blo "500,6150" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6500,1650,-6500,1650" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-750,0,8750,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*434 (Text +va (VaSet +font "courier,8,1" +) +xt "-250,3650,3250,4550" +st "Library" +blo "-250,4350" +) +*435 (Text +va (VaSet +font "courier,8,1" +) +xt "-250,4550,8250,5450" +st "VerilogComponent" +blo "-250,5250" +) +*436 (Text +va (VaSet +font "courier,8,1" +) +xt "-250,5450,750,6350" +st "I0" +blo "-250,6150" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-7250,1650,-7250,1650" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*437 (Text +va (VaSet +font "courier,8,1" +) +xt "3250,4100,4750,5000" +st "eb1" +blo "3250,4800" +tm "HdlTextNameMgr" +) +*438 (Text +va (VaSet +font "courier,8,1" +) +xt "3250,5000,3750,5900" +st "1" +blo "3250,5700" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +font "courier,8,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +font "courier,8,1" +) +xt "-250,-450,250,450" +st "G" +blo "-250,250" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +stc 0 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +st 0 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,0,2000,900" +st "sig0" +blo "0,700" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +st 0 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,0,2500,900" +st "dbus0" +blo "0,700" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,0" +) +xt "0,0,3500,900" +st "bundle0" +blo "0,700" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +font "courier,8,0" +) +xt "0,900,1000,1800" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +font "courier,8,0" +) +) +second (MLText +va (VaSet +font "courier,8,0" +) +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,-1000,4500,-100" +st "g0: [7:0]" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1350" +) +num (Text +va (VaSet +font "courier,8,0" +) +xt "300,250,800,1150" +st "1" +blo "300,950" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*439 (Text +va (VaSet +font "courier,8,1" +) +xt "12500,20000,22000,20900" +st "Frame Declarations" +blo "12500,20700" +) +*440 (MLText +va (VaSet +font "courier,8,0" +) +xt "12500,20900,12500,20900" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,-1000,9000,-100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1350" +) +num (Text +va (VaSet +font "courier,8,0" +) +xt "300,250,800,1150" +st "1" +blo "300,950" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*441 (Text +va (VaSet +font "courier,8,1" +) +xt "12500,20000,22000,20900" +st "Frame Declarations" +blo "12500,20700" +) +*442 (MLText +va (VaSet +font "courier,8,0" +) +xt "12500,20900,12500,20900" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,2000,1650" +st "Port" +blo "0,1450" +) +) +thePort (LogicalPort +lang 5 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,2000,1650" +st "Port" +blo "0,1450" +) +) +thePort (LogicalPort +lang 5 +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "courier,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "45000,74000,51500,74900" +st "Declarations" +blo "45000,74700" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "45000,74900,48000,75800" +st "Ports:" +blo "45000,75600" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "45000,110900,49500,111800" +st "Pre User:" +blo "45000,111600" +) +preUserText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "45000,74000,45000,74000" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "courier,8,1" +) +xt "45000,111800,53500,112700" +st "Diagram Signals:" +blo "45000,112500" +) +postUserLabel (Text +uid 7,0 +va (VaSet +font "courier,8,1" +) +xt "45000,115400,50500,116300" +st "Post User:" +blo "45000,116100" +) +postUserText (MLText +uid 8,0 +va (VaSet +font "courier,8,0" +) +xt "45000,74000,45000,74000" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 43,0 +usingSuid 1 +emptyRow *443 (LEmptyRow +) +optionalChildren [ +*444 (RefLabelRowHdr +) +*445 (TitleRowHdr +) +*446 (FilterRowHdr +) +*447 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*448 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*449 (GroupColHdr +tm "GroupColHdrMgr" +) +*450 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*451 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*452 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*453 (SignedColHdr +tm "BlockDiagramSignedColHdrMgr" +) +*454 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*455 (DelayColHdr +tm "BlockDiagramDelayColHdrMgr" +) +*456 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*457 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*458 (LeafLogPort +port (LogicalPort +lang 5 +m 4 +decl (Decl +n "activeSrc" +t "reg" +b "[1:0]" +o 1 +suid 1,0 +) +) +uid 1309,0 +) +*459 (LeafLogPort +port (LogicalPort +lang 5 +m 4 +decl (Decl +n "self_rxa" +t "reg" +b "[`pbuf_awidth - 1:0]" +o 2 +suid 3,0 +iv "`pbuf_awidth'b0" +) +) +uid 1313,0 +) +*460 (LeafLogPort +port (LogicalPort +lang 5 +m 4 +decl (Decl +n "eth0" +t "reg" +b "[7:0]" +o 3 +suid 4,0 +) +) +uid 1315,0 +) +*461 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "clk" +t "wire" +o 4 +suid 5,0 +) +) +uid 1317,0 +) +*462 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "reset" +t "wire" +o 5 +suid 6,0 +) +) +uid 1319,0 +) +*463 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "rx_ready" +t "wire" +o 6 +suid 7,0 +) +) +uid 1321,0 +) +*464 (LeafLogPort +port (LogicalPort +lang 5 +m 1 +decl (Decl +n "rx_done" +t "reg" +o 7 +suid 8,0 +) +) +uid 1323,0 +) +*465 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "rxd" +t "wire" +b "[7:0]" +o 8 +suid 9,0 +) +) +uid 1325,0 +) +*466 (LeafLogPort +port (LogicalPort +lang 5 +m 1 +decl (Decl +n "rxa" +t "wire" +b "[`pbuf_awidth - 1:0]" +o 9 +suid 10,0 +) +) +uid 1327,0 +) +*467 (LeafLogPort +port (LogicalPort +lang 5 +m 1 +decl (Decl +n "txd" +t "wire" +b "[7:0]" +o 10 +suid 11,0 +) +) +uid 1329,0 +) +*468 (LeafLogPort +port (LogicalPort +lang 5 +m 1 +decl (Decl +n "txa" +t "wire" +b "[`pbuf_awidth - 1:0]" +o 11 +suid 12,0 +) +) +uid 1331,0 +) +*469 (LeafLogPort +port (LogicalPort +lang 5 +m 1 +decl (Decl +n "tx_len" +t "wire" +b "[`pbuf_awidth - 1:0]" +o 12 +suid 13,0 +) +) +uid 1333,0 +) +*470 (LeafLogPort +port (LogicalPort +lang 5 +m 1 +decl (Decl +n "tx_we" +t "wire" +o 13 +suid 14,0 +) +) +uid 1335,0 +) +*471 (LeafLogPort +port (LogicalPort +lang 5 +m 1 +decl (Decl +n "tx_done" +t "wire" +o 14 +suid 15,0 +) +) +uid 1337,0 +) +*472 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "ip" +t "wire" +b "[31:0]" +o 15 +suid 16,0 +) +) +uid 1339,0 +) +*473 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "arp_rxa" +t "wire" +b "[5:0]" +o 16 +suid 17,0 +) +) +uid 1341,0 +) +*474 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "arp_txa" +t "wire" +b "[5:0]" +o 17 +suid 18,0 +) +) +uid 1343,0 +) +*475 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "arp_len" +t "wire" +b "[5:0]" +o 18 +suid 19,0 +) +) +uid 1345,0 +) +*476 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "arp_txd" +t "wire" +b "[7:0]" +o 19 +suid 20,0 +) +) +uid 1347,0 +) +*477 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "arp_we" +t "wire" +o 20 +suid 21,0 +) +) +uid 1349,0 +) +*478 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "arp_xmit" +t "wire" +o 21 +suid 22,0 +) +) +uid 1351,0 +) +*479 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "arp_done" +t "wire" +o 22 +suid 23,0 +) +) +uid 1353,0 +) +*480 (LeafLogPort +port (LogicalPort +lang 5 +m 1 +decl (Decl +n "arp_ready" +t "reg" +o 23 +suid 24,0 +) +) +uid 1355,0 +) +*481 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "icmp_rxa" +t "wire" +b "[9:0]" +o 24 +suid 25,0 +) +) +uid 1357,0 +) +*482 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "icmp_txa" +t "wire" +b "[9:0]" +o 25 +suid 26,0 +) +) +uid 1359,0 +) +*483 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "icmp_len" +t "wire" +b "[9:0]" +o 26 +suid 27,0 +) +) +uid 1361,0 +) +*484 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "icmp_txd" +t "wire" +b "[7:0]" +o 27 +suid 28,0 +) +) +uid 1363,0 +) +*485 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "icmp_we" +t "wire" +o 28 +suid 29,0 +) +) +uid 1365,0 +) +*486 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "icmp_xmit" +t "wire" +o 29 +suid 30,0 +) +) +uid 1367,0 +) +*487 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "icmp_done" +t "wire" +o 30 +suid 31,0 +) +) +uid 1369,0 +) +*488 (LeafLogPort +port (LogicalPort +lang 5 +m 1 +decl (Decl +n "icmp_ready" +t "reg" +o 31 +suid 32,0 +) +) +uid 1371,0 +) +*489 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "udp_rxa" +t "wire" +b "[`pbuf_awidth - 1:0]" +o 32 +suid 33,0 +) +) +uid 1373,0 +) +*490 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "udp_txa" +t "wire" +b "[`pbuf_awidth - 1:0]" +o 33 +suid 34,0 +) +) +uid 1375,0 +) +*491 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "udp_len" +t "wire" +b "[`pbuf_awidth - 1:0]" +o 34 +suid 35,0 +) +) +uid 1377,0 +) +*492 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "udp_txd" +t "wire" +b "[7:0]" +o 35 +suid 36,0 +) +) +uid 1379,0 +) +*493 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "udp_we" +t "wire" +o 36 +suid 37,0 +) +) +uid 1381,0 +) +*494 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "udp_xmit" +t "wire" +o 37 +suid 38,0 +) +) +uid 1383,0 +) +*495 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "udp_done" +t "wire" +o 38 +suid 39,0 +) +) +uid 1385,0 +) +*496 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "udp_xmit_req" +t "wire" +o 39 +suid 40,0 +) +) +uid 1387,0 +) +*497 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "udp_space" +t "wire" +o 40 +suid 41,0 +) +) +uid 1389,0 +) +*498 (LeafLogPort +port (LogicalPort +lang 5 +m 1 +decl (Decl +n "udp_ready" +t "reg" +o 41 +suid 42,0 +) +) +uid 1391,0 +) +*499 (LeafLogPort +port (LogicalPort +lang 5 +m 1 +decl (Decl +n "udp_xmit_ok" +t "reg" +o 42 +suid 43,0 +) +) +uid 1393,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +optionalChildren [ +*500 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *501 (MRCItem +litem &443 +pos 3 +dimension 20 +) +optionalChildren [ +*502 (MRCItem +litem &444 +pos 0 +dimension 20 +) +*503 (MRCItem +litem &445 +pos 1 +dimension 23 +) +*504 (MRCItem +litem &446 +pos 2 +hidden 1 +dimension 20 +) +*505 (MRCItem +litem &458 +pos 0 +dimension 20 +uid 1310,0 +) +*506 (MRCItem +litem &459 +pos 1 +dimension 20 +uid 1314,0 +) +*507 (MRCItem +litem &460 +pos 2 +dimension 20 +uid 1316,0 +) +*508 (MRCItem +litem &461 +pos 3 +dimension 20 +uid 1318,0 +) +*509 (MRCItem +litem &462 +pos 4 +dimension 20 +uid 1320,0 +) +*510 (MRCItem +litem &463 +pos 5 +dimension 20 +uid 1322,0 +) +*511 (MRCItem +litem &464 +pos 6 +dimension 20 +uid 1324,0 +) +*512 (MRCItem +litem &465 +pos 7 +dimension 20 +uid 1326,0 +) +*513 (MRCItem +litem &466 +pos 8 +dimension 20 +uid 1328,0 +) +*514 (MRCItem +litem &467 +pos 9 +dimension 20 +uid 1330,0 +) +*515 (MRCItem +litem &468 +pos 10 +dimension 20 +uid 1332,0 +) +*516 (MRCItem +litem &469 +pos 11 +dimension 20 +uid 1334,0 +) +*517 (MRCItem +litem &470 +pos 12 +dimension 20 +uid 1336,0 +) +*518 (MRCItem +litem &471 +pos 13 +dimension 20 +uid 1338,0 +) +*519 (MRCItem +litem &472 +pos 14 +dimension 20 +uid 1340,0 +) +*520 (MRCItem +litem &473 +pos 15 +dimension 20 +uid 1342,0 +) +*521 (MRCItem +litem &474 +pos 16 +dimension 20 +uid 1344,0 +) +*522 (MRCItem +litem &475 +pos 17 +dimension 20 +uid 1346,0 +) +*523 (MRCItem +litem &476 +pos 18 +dimension 20 +uid 1348,0 +) +*524 (MRCItem +litem &477 +pos 19 +dimension 20 +uid 1350,0 +) +*525 (MRCItem +litem &478 +pos 20 +dimension 20 +uid 1352,0 +) +*526 (MRCItem +litem &479 +pos 21 +dimension 20 +uid 1354,0 +) +*527 (MRCItem +litem &480 +pos 22 +dimension 20 +uid 1356,0 +) +*528 (MRCItem +litem &481 +pos 23 +dimension 20 +uid 1358,0 +) +*529 (MRCItem +litem &482 +pos 24 +dimension 20 +uid 1360,0 +) +*530 (MRCItem +litem &483 +pos 25 +dimension 20 +uid 1362,0 +) +*531 (MRCItem +litem &484 +pos 26 +dimension 20 +uid 1364,0 +) +*532 (MRCItem +litem &485 +pos 27 +dimension 20 +uid 1366,0 +) +*533 (MRCItem +litem &486 +pos 28 +dimension 20 +uid 1368,0 +) +*534 (MRCItem +litem &487 +pos 29 +dimension 20 +uid 1370,0 +) +*535 (MRCItem +litem &488 +pos 30 +dimension 20 +uid 1372,0 +) +*536 (MRCItem +litem &489 +pos 31 +dimension 20 +uid 1374,0 +) +*537 (MRCItem +litem &490 +pos 32 +dimension 20 +uid 1376,0 +) +*538 (MRCItem +litem &491 +pos 33 +dimension 20 +uid 1378,0 +) +*539 (MRCItem +litem &492 +pos 34 +dimension 20 +uid 1380,0 +) +*540 (MRCItem +litem &493 +pos 35 +dimension 20 +uid 1382,0 +) +*541 (MRCItem +litem &494 +pos 36 +dimension 20 +uid 1384,0 +) +*542 (MRCItem +litem &495 +pos 37 +dimension 20 +uid 1386,0 +) +*543 (MRCItem +litem &496 +pos 38 +dimension 20 +uid 1388,0 +) +*544 (MRCItem +litem &497 +pos 39 +dimension 20 +uid 1390,0 +) +*545 (MRCItem +litem &498 +pos 40 +dimension 20 +uid 1392,0 +) +*546 (MRCItem +litem &499 +pos 41 +dimension 20 +uid 1394,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +optionalChildren [ +*547 (MRCItem +litem &447 +pos 0 +dimension 20 +) +*548 (MRCItem +litem &449 +pos 1 +dimension 50 +) +*549 (MRCItem +litem &450 +pos 2 +dimension 100 +) +*550 (MRCItem +litem &451 +pos 3 +dimension 50 +) +*551 (MRCItem +litem &452 +pos 4 +dimension 100 +) +*552 (MRCItem +litem &453 +pos 5 +dimension 60 +) +*553 (MRCItem +litem &454 +pos 6 +dimension 100 +) +*554 (MRCItem +litem &455 +pos 7 +dimension 50 +) +*555 (MRCItem +litem &456 +pos 8 +dimension 50 +) +*556 (MRCItem +litem &457 +pos 9 +dimension 80 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +vaOverrides [ +] +) +] +) +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *557 (LEmptyRow +) +optionalChildren [ +*558 (RefLabelRowHdr +) +*559 (TitleRowHdr +) +*560 (FilterRowHdr +) +*561 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*562 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*563 (GroupColHdr +tm "GroupColHdrMgr" +) +*564 (NameColHdr +tm "GenericNameColHdrMgr" +) +*565 (InitColHdr +tm "GenericValueColHdrMgr" +) +*566 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +optionalChildren [ +*567 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *568 (MRCItem +litem &557 +pos 3 +dimension 20 +) +optionalChildren [ +*569 (MRCItem +litem &558 +pos 0 +dimension 20 +) +*570 (MRCItem +litem &559 +pos 1 +dimension 23 +) +*571 (MRCItem +litem &560 +pos 2 +hidden 1 +dimension 20 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +optionalChildren [ +*572 (MRCItem +litem &561 +pos 0 +dimension 20 +) +*573 (MRCItem +litem &563 +pos 1 +dimension 50 +) +*574 (MRCItem +litem &564 +pos 2 +dimension 100 +) +*575 (MRCItem +litem &565 +pos 3 +dimension 50 +) +*576 (MRCItem +litem &566 +pos 4 +dimension 80 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +vaOverrides [ +] +) +] +) +type 1 +) +) diff --git a/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/sub_packetbuffer/struct.bd b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/sub_packetbuffer/struct.bd new file mode 100644 index 00000000..f8a9fa03 --- /dev/null +++ b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/sub_packetbuffer/struct.bd @@ -0,0 +1,13912 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +language 1 +dialect 5 +dmPackageRefs [ +] +instances [ +(Instance +name "inbuf" +duLibraryName "fmc_mTLU_lib" +duName "sub_packetreq" +elements [ +(GiElement +name "ST_IDLE" +value "2'h0" +) +(GiElement +name "ST_COPY" +value "2'h1" +) +(GiElement +name "ST_DONE" +value "2'h2" +) +] +mwi 0 +uid 441,0 +) +(Instance +name "outbuf" +duLibraryName "fmc_mTLU_lib" +duName "sub_packetresp" +elements [ +(GiElement +name "ST_IDLE" +value "4'h0" +) +(GiElement +name "ST_PREPCOPY" +value "4'h1" +) +(GiElement +name "ST_COPY" +value "4'h2" +) +(GiElement +name "ST_COPYWAIT" +value "4'h3" +) +(GiElement +name "ST_DONE" +value "4'h4" +) +(GiElement +name "ST_CSUM0" +value "4'h5" +) +(GiElement +name "ST_CSUM1" +value "4'h6" +) +(GiElement +name "ST_CSUM2" +value "4'h7" +) +(GiElement +name "ST_CSUM3" +value "4'h8" +) +] +mwi 0 +uid 651,0 +) +] +embeddedInstances [ +(EmbeddedInstance +name "SM" +number "1" +view 1 +machine (Machine +name "state" +children [ +(Machine +name "state" +children [ +] +stateSignalName "state" +) +] +) +) +(EmbeddedInstance +name "eb1" +number "2" +) +(EmbeddedInstance +name "eb2" +number "3" +) +(EmbeddedInstance +name "eb3" +number "4" +) +(EmbeddedInstance +name "eb4" +number "5" +) +(EmbeddedInstance +name "eb5" +number "6" +) +(EmbeddedInstance +name "eb6" +number "7" +) +(EmbeddedInstance +name "eb7" +number "8" +) +(EmbeddedInstance +name "eb8" +number "9" +) +(EmbeddedInstance +name "eb9" +number "10" +) +(EmbeddedInstance +name "eb10" +number "11" +) +(EmbeddedInstance +name "eb11" +number "12" +) +] +properties [ +(HdrProperty +class "HDS" +name "DocView" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/workspace/IPbusFirmware/firmware/ipbus/hdl/sub_packetbuffer.v" +) +(HdrProperty +class "HDS" +name "DocViewState" +value "1352727141" +) +] +includeRefs [ +"ipbus_v_defs.v" +] +) +version "30.1" +appVersion "2010.3 (Build 21)" +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl" +) +(vvPair +variable "HDSDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/sub_packetbuffer/struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/sub_packetbuffer/struct.bd.user" +) +(vvPair +variable "SourceDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "config" +value "%(unit)_config" +) +(vvPair +variable "d" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/sub_packetbuffer" +) +(vvPair +variable "d_logical" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/sub_packetbuffer" +) +(vvPair +variable "date" +value "11/13/12" +) +(vvPair +variable "day" +value "Tue" +) +(vvPair +variable "day_long" +value "Tuesday" +) +(vvPair +variable "dd" +value "13" +) +(vvPair +variable "entity_name" +value "sub_packetbuffer" +) +(vvPair +variable "ext" +value "<TBD>" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "group" +value "HEP_Instrumentation" +) +(vvPair +variable "host" +value "kipper.phy.bris.ac.uk" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "fmc_mTLU_lib" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/designcheck" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "sub_packetbuffer" +) +(vvPair +variable "month" +value "Nov" +) +(vvPair +variable "month_long" +value "November" +) +(vvPair +variable "p" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/sub_packetbuffer/struct.bd" +) +(vvPair +variable "p_logical" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/sub_packetbuffer/struct.bd" +) +(vvPair +variable "package_name" +value "<Undefined Variable>" +) +(vvPair +variable "project_name" +value "fmc_mTLU" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "<TBD>" +) +(vvPair +variable "task_DesignCompilerPath" +value "<TBD>" +) +(vvPair +variable "task_LeonardoPath" +value "/opt/CAD/Mentor/LeonardoSpectrum_2008a/bin" +) +(vvPair +variable "task_ModelSimPath" +value "/opt/CAD/Mentor/Modelsim/6.4a/modeltech/bin" +) +(vvPair +variable "task_NC-SimPath" +value "<TBD>" +) +(vvPair +variable "task_PrecisionRTLPath" +value "/opt/CAD/Mentor/Precis_Synth_2009a_update2/Mgc_home/bin" +) +(vvPair +variable "task_QuestaSimPath" +value "/opt/CAD/Mentor/HDS2008.1b/questasim/bin" +) +(vvPair +variable "task_VCSPath" +value "<TBD>" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "16:59:32" +) +(vvPair +variable "unit" +value "sub_packetbuffer" +) +(vvPair +variable "user" +value "phdgc" +) +(vvPair +variable "version" +value "2010.3 (Build 21)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2012" +) +(vvPair +variable "yy" +value "12" +) +] +) +LanguageMgr "Verilog2001LangMgr" +optionalChildren [ +*1 (Net +uid 9,0 +lang 5 +decl (Decl +n "reqAddr" +t "wire" +b "[`pbuf_awidth - 3:0]" +o 1 +suid 1,0 +) +declText (MLText +uid 10,0 +va (VaSet +font "courier,8,0" +) +xt "46000,78100,64100,79000" +st "wire [`pbuf_awidth - 3:0] reqAddr; +" +) +) +*2 (Net +uid 11,0 +lang 5 +decl (Decl +n "respAddr" +t "wire" +b "[`pbuf_awidth - 3:0]" +o 2 +suid 2,0 +) +declText (MLText +uid 12,0 +va (VaSet +font "courier,8,0" +) +xt "46000,79000,64600,79900" +st "wire [`pbuf_awidth - 3:0] respAddr; +" +) +) +*3 (Net +uid 13,0 +lang 5 +decl (Decl +n "reqData" +t "wire" +b "[31:0]" +o 3 +suid 3,0 +) +declText (MLText +uid 14,0 +va (VaSet +font "courier,8,0" +) +xt "46000,79900,64000,80800" +st "wire [31:0] reqData; +" +) +) +*4 (Net +uid 15,0 +lang 5 +decl (Decl +n "respData" +t "wire" +b "[31:0]" +o 4 +suid 4,0 +) +declText (MLText +uid 16,0 +va (VaSet +font "courier,8,0" +) +xt "46000,80800,64500,81700" +st "wire [31:0] respData; +" +) +) +*5 (Net +uid 17,0 +lang 5 +decl (Decl +n "inbusy" +t "wire" +o 5 +suid 5,0 +) +declText (MLText +uid 18,0 +va (VaSet +font "courier,8,0" +) +xt "46000,81700,63500,82600" +st "wire inbusy; +" +) +) +*6 (Net +uid 19,0 +lang 5 +decl (Decl +n "packet_avail" +t "wire" +o 6 +suid 6,0 +) +declText (MLText +uid 20,0 +va (VaSet +font "courier,8,0" +) +xt "46000,82600,66500,83500" +st "wire packet_avail; +" +) +) +*7 (Net +uid 21,0 +lang 5 +decl (Decl +n "respWE" +t "wire" +o 7 +suid 7,0 +) +declText (MLText +uid 22,0 +va (VaSet +font "courier,8,0" +) +xt "46000,83500,63500,84400" +st "wire respWE; +" +) +) +*8 (Net +uid 23,0 +lang 5 +decl (Decl +n "send_resp" +t "wire" +o 8 +suid 8,0 +) +declText (MLText +uid 24,0 +va (VaSet +font "courier,8,0" +) +xt "46000,84400,65000,85300" +st "wire send_resp; +" +) +) +*9 (Net +uid 25,0 +lang 5 +decl (Decl +n "addra" +t "reg" +b "[`pbuf_awidth - 3:0]" +o 9 +suid 9,0 +) +declText (MLText +uid 26,0 +va (VaSet +font "courier,8,0" +) +xt "46000,85300,63100,86200" +st "reg [`pbuf_awidth - 3:0] addra; +" +) +) +*10 (Net +uid 29,0 +lang 5 +decl (Decl +n "addrb" +t "wire" +b "[`pbuf_awidth - 3:0]" +o 10 +suid 11,0 +) +declText (MLText +uid 30,0 +va (VaSet +font "courier,8,0" +) +xt "46000,86200,63100,87100" +st "wire [`pbuf_awidth - 3:0] addrb; +" +) +) +*11 (Net +uid 31,0 +lang 5 +decl (Decl +n "send_rp" +t "reg" +prec "parameter ST_IDLE = 3'h0; +parameter ST_COPYHEADER = 3'h1; +parameter ST_COPYHEADER2 = 3'h2; +parameter ST_WAIT_FOR_ENGINE = 3'h3; +parameter ST_DONE = 3'h6; +parameter ST_RESP = 3'h7;" +preAdd 0 +o 11 +suid 12,0 +) +declText (MLText +uid 32,0 +va (VaSet +font "courier,8,0" +) +xt "46000,87100,65100,93400" +st "parameter ST_IDLE = 3'h0; +parameter ST_COPYHEADER = 3'h1; +parameter ST_COPYHEADER2 = 3'h2; +parameter ST_WAIT_FOR_ENGINE = 3'h3; +parameter ST_DONE = 3'h6; +parameter ST_RESP = 3'h7; +reg send_rp; +" +) +) +*12 (Net +uid 33,0 +lang 5 +decl (Decl +n "we" +t "reg" +o 12 +suid 13,0 +) +declText (MLText +uid 34,0 +va (VaSet +font "courier,8,0" +) +xt "46000,93400,61500,94300" +st "reg we; +" +) +) +*13 (PortIoIn +uid 35,0 +shape (CompositeShape +uid 36,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 37,0 +sl 0 +ro 270 +xt "-5000,41625,-3500,42375" +) +(Line +uid 38,0 +sl 0 +ro 270 +xt "-3500,42000,-3000,42000" +pts [ +"-3500,42000" +"-3000,42000" +] +) +] +) +stc 0 +tg (WTG +uid 39,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 40,0 +va (VaSet +font "courier,8,0" +) +xt "-9500,41500,-6000,42400" +st "ipb_clk" +ju 2 +blo "-6000,42200" +tm "WireNameMgr" +) +) +) +*14 (Net +uid 41,0 +lang 5 +decl (Decl +n "ipb_clk" +t "wire" +o 13 +suid 14,0 +) +declText (MLText +uid 42,0 +va (VaSet +font "courier,8,0" +) +xt "46000,53800,64000,54700" +st "wire ipb_clk; +" +) +) +*15 (PortIoIn +uid 49,0 +shape (CompositeShape +uid 50,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 51,0 +sl 0 +ro 270 +xt "-5000,43625,-3500,44375" +) +(Line +uid 52,0 +sl 0 +ro 270 +xt "-3500,44000,-3000,44000" +pts [ +"-3500,44000" +"-3000,44000" +] +) +] +) +stc 0 +tg (WTG +uid 53,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 54,0 +va (VaSet +font "courier,8,0" +) +xt "-9500,43500,-6000,44400" +st "mac_clk" +ju 2 +blo "-6000,44200" +tm "WireNameMgr" +) +) +) +*16 (Net +uid 55,0 +lang 5 +decl (Decl +n "mac_clk" +t "wire" +o 14 +suid 15,0 +) +declText (MLText +uid 56,0 +va (VaSet +font "courier,8,0" +) +xt "46000,54700,64000,55600" +st "wire mac_clk; +" +) +) +*17 (PortIoIn +uid 63,0 +shape (CompositeShape +uid 64,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 65,0 +sl 0 +ro 270 +xt "-5000,45625,-3500,46375" +) +(Line +uid 66,0 +sl 0 +ro 270 +xt "-3500,46000,-3000,46000" +pts [ +"-3500,46000" +"-3000,46000" +] +) +] +) +stc 0 +tg (WTG +uid 67,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 68,0 +va (VaSet +font "courier,8,0" +) +xt "-8500,45500,-6000,46400" +st "reset" +ju 2 +blo "-6000,46200" +tm "WireNameMgr" +) +) +) +*18 (Net +uid 69,0 +lang 5 +decl (Decl +n "reset" +t "wire" +o 15 +suid 16,0 +) +declText (MLText +uid 70,0 +va (VaSet +font "courier,8,0" +) +xt "46000,55600,63000,56500" +st "wire reset; +" +) +) +*19 (PortIoIn +uid 77,0 +shape (CompositeShape +uid 78,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 79,0 +sl 0 +ro 270 +xt "3000,19625,4500,20375" +) +(Line +uid 80,0 +sl 0 +ro 270 +xt "4500,20000,5000,20000" +pts [ +"4500,20000" +"5000,20000" +] +) +] +) +stc 0 +tg (WTG +uid 81,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 82,0 +va (VaSet +font "courier,8,0" +) +xt "-5500,19500,2000,20400" +st "incoming_ready" +ju 2 +blo "2000,20200" +tm "WireNameMgr" +) +) +) +*20 (Net +uid 83,0 +lang 5 +decl (Decl +n "incoming_ready" +t "wire" +o 16 +suid 17,0 +) +declText (MLText +uid 84,0 +va (VaSet +font "courier,8,0" +) +xt "46000,56500,67500,57400" +st "wire incoming_ready; +" +) +) +*21 (PortIoOut +uid 91,0 +shape (CompositeShape +uid 92,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 93,0 +sl 0 +ro 270 +xt "26500,19625,28000,20375" +) +(Line +uid 94,0 +sl 0 +ro 270 +xt "26000,20000,26500,20000" +pts [ +"26000,20000" +"26500,20000" +] +) +] +) +stc 0 +tg (WTG +uid 95,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 96,0 +va (VaSet +font "courier,8,0" +) +xt "29000,19500,38500,20400" +st "done_with_incoming" +blo "29000,20200" +tm "WireNameMgr" +) +) +) +*22 (Net +uid 97,0 +lang 5 +decl (Decl +n "done_with_incoming" +t "wire" +o 17 +suid 18,0 +) +declText (MLText +uid 98,0 +va (VaSet +font "courier,8,0" +) +xt "46000,57400,69500,58300" +st "wire done_with_incoming; +" +) +) +*23 (PortIoOut +uid 105,0 +shape (CompositeShape +uid 106,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 107,0 +sl 0 +ro 270 +xt "26500,20625,28000,21375" +) +(Line +uid 108,0 +sl 0 +ro 270 +xt "26000,21000,26500,21000" +pts [ +"26000,21000" +"26500,21000" +] +) +] +) +stc 0 +tg (WTG +uid 109,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 110,0 +va (VaSet +font "courier,8,0" +) +xt "29000,20500,30500,21400" +st "rxa" +blo "29000,21200" +tm "WireNameMgr" +) +) +) +*24 (Net +uid 111,0 +lang 5 +decl (Decl +n "rxa" +t "wire" +b "[`pbuf_awidth - 1:0]" +o 18 +suid 19,0 +) +declText (MLText +uid 112,0 +va (VaSet +font "courier,8,0" +) +xt "46000,58300,62100,59200" +st "wire [`pbuf_awidth - 1:0] rxa; +" +) +) +*25 (PortIoIn +uid 119,0 +shape (CompositeShape +uid 120,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 121,0 +sl 0 +ro 270 +xt "3000,20625,4500,21375" +) +(Line +uid 122,0 +sl 0 +ro 270 +xt "4500,21000,5000,21000" +pts [ +"4500,21000" +"5000,21000" +] +) +] +) +stc 0 +tg (WTG +uid 123,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 124,0 +va (VaSet +font "courier,8,0" +) +xt "500,20500,2000,21400" +st "rxd" +ju 2 +blo "2000,21200" +tm "WireNameMgr" +) +) +) +*26 (Net +uid 125,0 +lang 5 +decl (Decl +n "rxd" +t "wire" +b "[7:0]" +o 19 +suid 20,0 +) +declText (MLText +uid 126,0 +va (VaSet +font "courier,8,0" +) +xt "46000,59200,62000,60100" +st "wire [7:0] rxd; +" +) +) +*27 (PortIoIn +uid 133,0 +shape (CompositeShape +uid 134,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 135,0 +sl 0 +ro 270 +xt "3000,21625,4500,22375" +) +(Line +uid 136,0 +sl 0 +ro 270 +xt "4500,22000,5000,22000" +pts [ +"4500,22000" +"5000,22000" +] +) +] +) +stc 0 +tg (WTG +uid 137,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 138,0 +va (VaSet +font "courier,8,0" +) +xt "500,21500,2000,22400" +st "rxl" +ju 2 +blo "2000,22200" +tm "WireNameMgr" +) +) +) +*28 (Net +uid 139,0 +lang 5 +decl (Decl +n "rxl" +t "wire" +b "[`pbuf_awidth - 1:0]" +o 20 +suid 21,0 +) +declText (MLText +uid 140,0 +va (VaSet +font "courier,8,0" +) +xt "46000,60100,62100,61000" +st "wire [`pbuf_awidth - 1:0] rxl; +" +) +) +*29 (PortIoOut +uid 147,0 +shape (CompositeShape +uid 148,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 149,0 +sl 0 +ro 270 +xt "121500,625,123000,1375" +) +(Line +uid 150,0 +sl 0 +ro 270 +xt "121000,1000,121500,1000" +pts [ +"121000,1000" +"121500,1000" +] +) +] +) +stc 0 +tg (WTG +uid 151,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 152,0 +va (VaSet +font "courier,8,0" +) +xt "124000,500,125500,1400" +st "txa" +blo "124000,1200" +tm "WireNameMgr" +) +) +) +*30 (Net +uid 153,0 +lang 5 +decl (Decl +n "txa" +t "wire" +b "[`pbuf_awidth - 1:0]" +o 21 +suid 22,0 +) +declText (MLText +uid 154,0 +va (VaSet +font "courier,8,0" +) +xt "46000,61000,62100,61900" +st "wire [`pbuf_awidth - 1:0] txa; +" +) +) +*31 (PortIoOut +uid 161,0 +shape (CompositeShape +uid 162,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 163,0 +sl 0 +ro 270 +xt "121500,1625,123000,2375" +) +(Line +uid 164,0 +sl 0 +ro 270 +xt "121000,2000,121500,2000" +pts [ +"121000,2000" +"121500,2000" +] +) +] +) +stc 0 +tg (WTG +uid 165,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 166,0 +va (VaSet +font "courier,8,0" +) +xt "124000,1500,125500,2400" +st "txd" +blo "124000,2200" +tm "WireNameMgr" +) +) +) +*32 (Net +uid 167,0 +lang 5 +decl (Decl +n "txd" +t "wire" +b "[7:0]" +o 22 +suid 23,0 +) +declText (MLText +uid 168,0 +va (VaSet +font "courier,8,0" +) +xt "46000,61900,62000,62800" +st "wire [7:0] txd; +" +) +) +*33 (PortIoOut +uid 175,0 +shape (CompositeShape +uid 176,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 177,0 +sl 0 +ro 270 +xt "121500,2625,123000,3375" +) +(Line +uid 178,0 +sl 0 +ro 270 +xt "121000,3000,121500,3000" +pts [ +"121000,3000" +"121500,3000" +] +) +] +) +stc 0 +tg (WTG +uid 179,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 180,0 +va (VaSet +font "courier,8,0" +) +xt "124000,2500,126500,3400" +st "tx_we" +blo "124000,3200" +tm "WireNameMgr" +) +) +) +*34 (Net +uid 181,0 +lang 5 +decl (Decl +n "tx_we" +t "wire" +o 23 +suid 24,0 +) +declText (MLText +uid 182,0 +va (VaSet +font "courier,8,0" +) +xt "46000,62800,63000,63700" +st "wire tx_we; +" +) +) +*35 (PortIoOut +uid 189,0 +shape (CompositeShape +uid 190,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 191,0 +sl 0 +ro 270 +xt "121500,3625,123000,4375" +) +(Line +uid 192,0 +sl 0 +ro 270 +xt "121000,4000,121500,4000" +pts [ +"121000,4000" +"121500,4000" +] +) +] +) +stc 0 +tg (WTG +uid 193,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 194,0 +va (VaSet +font "courier,8,0" +) +xt "124000,3500,127000,4400" +st "tx_req" +blo "124000,4200" +tm "WireNameMgr" +) +) +) +*36 (Net +uid 195,0 +lang 5 +decl (Decl +n "tx_req" +t "wire" +o 24 +suid 25,0 +) +declText (MLText +uid 196,0 +va (VaSet +font "courier,8,0" +) +xt "46000,63700,63500,64600" +st "wire tx_req; +" +) +) +*37 (PortIoOut +uid 203,0 +shape (CompositeShape +uid 204,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 205,0 +sl 0 +ro 270 +xt "121500,4625,123000,5375" +) +(Line +uid 206,0 +sl 0 +ro 270 +xt "121000,5000,121500,5000" +pts [ +"121000,5000" +"121500,5000" +] +) +] +) +stc 0 +tg (WTG +uid 207,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 208,0 +va (VaSet +font "courier,8,0" +) +xt "124000,4500,127500,5400" +st "tx_send" +blo "124000,5200" +tm "WireNameMgr" +) +) +) +*38 (Net +uid 209,0 +lang 5 +decl (Decl +n "tx_send" +t "wire" +o 25 +suid 26,0 +) +declText (MLText +uid 210,0 +va (VaSet +font "courier,8,0" +) +xt "46000,64600,64000,65500" +st "wire tx_send; +" +) +) +*39 (PortIoIn +uid 217,0 +shape (CompositeShape +uid 218,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 219,0 +sl 0 +ro 270 +xt "105000,625,106500,1375" +) +(Line +uid 220,0 +sl 0 +ro 270 +xt "106500,1000,107000,1000" +pts [ +"106500,1000" +"107000,1000" +] +) +] +) +stc 0 +tg (WTG +uid 221,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 222,0 +va (VaSet +font "courier,8,0" +) +xt "101500,500,104000,1400" +st "tx_ok" +ju 2 +blo "104000,1200" +tm "WireNameMgr" +) +) +) +*40 (Net +uid 223,0 +lang 5 +decl (Decl +n "tx_ok" +t "wire" +o 26 +suid 27,0 +) +declText (MLText +uid 224,0 +va (VaSet +font "courier,8,0" +) +xt "46000,65500,63000,66400" +st "wire tx_ok; +" +) +) +*41 (PortIoOut +uid 231,0 +shape (CompositeShape +uid 232,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 233,0 +sl 0 +ro 270 +xt "121500,5625,123000,6375" +) +(Line +uid 234,0 +sl 0 +ro 270 +xt "121000,6000,121500,6000" +pts [ +"121000,6000" +"121500,6000" +] +) +] +) +stc 0 +tg (WTG +uid 235,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 236,0 +va (VaSet +font "courier,8,0" +) +xt "124000,5500,125500,6400" +st "txl" +blo "124000,6200" +tm "WireNameMgr" +) +) +) +*42 (Net +uid 237,0 +lang 5 +decl (Decl +n "txl" +t "wire" +b "[`pbuf_awidth - 1:0]" +o 27 +suid 28,0 +) +declText (MLText +uid 238,0 +va (VaSet +font "courier,8,0" +) +xt "46000,66400,62100,67300" +st "wire [`pbuf_awidth - 1:0] txl; +" +) +) +*43 (PortIoOut +uid 245,0 +shape (CompositeShape +uid 246,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 247,0 +sl 0 +ro 270 +xt "43500,34625,45000,35375" +) +(Line +uid 248,0 +sl 0 +ro 270 +xt "43000,35000,43500,35000" +pts [ +"43000,35000" +"43500,35000" +] +) +] +) +stc 0 +tg (WTG +uid 249,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 250,0 +va (VaSet +font "courier,8,0" +) +xt "46000,34500,53500,35400" +st "incoming_space" +blo "46000,35200" +tm "WireNameMgr" +) +) +) +*44 (Net +uid 251,0 +lang 5 +decl (Decl +n "incoming_space" +t "wire" +o 28 +suid 29,0 +) +declText (MLText +uid 252,0 +va (VaSet +font "courier,8,0" +) +xt "46000,67300,67500,68200" +st "wire incoming_space; +" +) +) +*45 (PortIoIn +uid 259,0 +shape (CompositeShape +uid 260,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 261,0 +sl 0 +ro 270 +xt "72000,21625,73500,22375" +) +(Line +uid 262,0 +sl 0 +ro 270 +xt "73500,22000,74000,22000" +pts [ +"73500,22000" +"74000,22000" +] +) +] +) +stc 0 +tg (WTG +uid 263,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 264,0 +va (VaSet +font "courier,8,0" +) +xt "67000,21500,71000,22400" +st "req_addr" +ju 2 +blo "71000,22200" +tm "WireNameMgr" +) +) +) +*46 (Net +uid 265,0 +lang 5 +decl (Decl +n "req_addr" +t "wire" +b "[`pbuf_awidth - 3:0]" +o 29 +suid 30,0 +) +declText (MLText +uid 266,0 +va (VaSet +font "courier,8,0" +) +xt "46000,68200,64600,69100" +st "wire [`pbuf_awidth - 3:0] req_addr; +" +) +) +*47 (PortIoOut +uid 273,0 +shape (CompositeShape +uid 274,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 275,0 +sl 0 +ro 270 +xt "43500,-375,45000,375" +) +(Line +uid 276,0 +sl 0 +ro 270 +xt "43000,0,43500,0" +pts [ +"43000,0" +"43500,0" +] +) +] +) +stc 0 +tg (WTG +uid 277,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 278,0 +va (VaSet +font "courier,8,0" +) +xt "46000,-500,50000,400" +st "req_data" +blo "46000,200" +tm "WireNameMgr" +) +) +) +*48 (Net +uid 279,0 +lang 5 +decl (Decl +n "req_data" +t "wire" +b "[31:0]" +o 30 +suid 31,0 +) +declText (MLText +uid 280,0 +va (VaSet +font "courier,8,0" +) +xt "46000,69100,64500,70000" +st "wire [31:0] req_data; +" +) +) +*49 (PortIoOut +uid 287,0 +shape (CompositeShape +uid 288,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 289,0 +sl 0 +ro 270 +xt "26500,21625,28000,22375" +) +(Line +uid 290,0 +sl 0 +ro 270 +xt "26000,22000,26500,22000" +pts [ +"26000,22000" +"26500,22000" +] +) +] +) +stc 0 +tg (WTG +uid 291,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 292,0 +va (VaSet +font "courier,8,0" +) +xt "29000,21500,32500,22400" +st "req_len" +blo "29000,22200" +tm "WireNameMgr" +) +) +) +*50 (Net +uid 293,0 +lang 5 +decl (Decl +n "req_len" +t "wire" +b "[`pbuf_awidth - 3:0]" +o 31 +suid 32,0 +) +declText (MLText +uid 294,0 +va (VaSet +font "courier,8,0" +) +xt "46000,70000,64100,70900" +st "wire [`pbuf_awidth - 3:0] req_len; +" +) +) +*51 (PortIoIn +uid 301,0 +shape (CompositeShape +uid 302,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 303,0 +sl 0 +ro 270 +xt "91000,34625,92500,35375" +) +(Line +uid 304,0 +sl 0 +ro 270 +xt "92500,35000,93000,35000" +pts [ +"92500,35000" +"93000,35000" +] +) +] +) +stc 0 +tg (WTG +uid 305,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 306,0 +va (VaSet +font "courier,8,0" +) +xt "85500,34500,90000,35400" +st "resp_addr" +ju 2 +blo "90000,35200" +tm "WireNameMgr" +) +) +) +*52 (Net +uid 307,0 +lang 5 +decl (Decl +n "resp_addr" +t "wire" +b "[`pbuf_awidth - 3:0]" +o 32 +suid 33,0 +) +declText (MLText +uid 308,0 +va (VaSet +font "courier,8,0" +) +xt "46000,70900,65100,71800" +st "wire [`pbuf_awidth - 3:0] resp_addr; +" +) +) +*53 (PortIoIn +uid 315,0 +shape (CompositeShape +uid 316,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 317,0 +sl 0 +ro 270 +xt "72000,34625,73500,35375" +) +(Line +uid 318,0 +sl 0 +ro 270 +xt "73500,35000,74000,35000" +pts [ +"73500,35000" +"74000,35000" +] +) +] +) +stc 0 +tg (WTG +uid 319,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 320,0 +va (VaSet +font "courier,8,0" +) +xt "66500,34500,71000,35400" +st "resp_data" +ju 2 +blo "71000,35200" +tm "WireNameMgr" +) +) +) +*54 (Net +uid 321,0 +lang 5 +decl (Decl +n "resp_data" +t "wire" +b "[31:0]" +o 33 +suid 34,0 +) +declText (MLText +uid 322,0 +va (VaSet +font "courier,8,0" +) +xt "46000,71800,65000,72700" +st "wire [31:0] resp_data; +" +) +) +*55 (PortIoIn +uid 329,0 +shape (CompositeShape +uid 330,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 331,0 +sl 0 +ro 270 +xt "105000,1625,106500,2375" +) +(Line +uid 332,0 +sl 0 +ro 270 +xt "106500,2000,107000,2000" +pts [ +"106500,2000" +"107000,2000" +] +) +] +) +stc 0 +tg (WTG +uid 333,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 334,0 +va (VaSet +font "courier,8,0" +) +xt "100000,1500,104000,2400" +st "resp_len" +ju 2 +blo "104000,2200" +tm "WireNameMgr" +) +) +) +*56 (Net +uid 335,0 +lang 5 +decl (Decl +n "resp_len" +t "wire" +b "[`pbuf_awidth - 3:0]" +o 34 +suid 35,0 +) +declText (MLText +uid 336,0 +va (VaSet +font "courier,8,0" +) +xt "46000,72700,64600,73600" +st "wire [`pbuf_awidth - 3:0] resp_len; +" +) +) +*57 (PortIoIn +uid 343,0 +shape (CompositeShape +uid 344,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 345,0 +sl 0 +ro 270 +xt "54000,-375,55500,375" +) +(Line +uid 346,0 +sl 0 +ro 270 +xt "55500,0,56000,0" +pts [ +"55500,0" +"56000,0" +] +) +] +) +stc 0 +tg (WTG +uid 347,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 348,0 +va (VaSet +font "courier,8,0" +) +xt "49500,-500,53000,400" +st "resp_we" +ju 2 +blo "53000,200" +tm "WireNameMgr" +) +) +) +*58 (Net +uid 349,0 +lang 5 +decl (Decl +n "resp_we" +t "wire" +o 35 +suid 36,0 +) +declText (MLText +uid 350,0 +va (VaSet +font "courier,8,0" +) +xt "46000,73600,64000,74500" +st "wire resp_we; +" +) +) +*59 (PortIoOut +uid 357,0 +shape (CompositeShape +uid 358,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 359,0 +sl 0 +ro 270 +xt "125500,13625,127000,14375" +) +(Line +uid 360,0 +sl 0 +ro 270 +xt "125000,14000,125500,14000" +pts [ +"125000,14000" +"125500,14000" +] +) +] +) +stc 0 +tg (WTG +uid 361,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 362,0 +va (VaSet +font "courier,8,0" +) +xt "128000,13500,132500,14400" +st "req_avail" +blo "128000,14200" +tm "WireNameMgr" +) +) +) +*60 (Net +uid 363,0 +lang 5 +decl (Decl +n "req_avail" +t "reg" +o 36 +suid 37,0 +) +declText (MLText +uid 364,0 +va (VaSet +font "courier,8,0" +) +xt "46000,74500,65000,75400" +st "reg req_avail; +" +) +) +*61 (PortIoIn +uid 371,0 +shape (CompositeShape +uid 372,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 373,0 +sl 0 +ro 270 +xt "36000,18625,37500,19375" +) +(Line +uid 374,0 +sl 0 +ro 270 +xt "37500,19000,38000,19000" +pts [ +"37500,19000" +"38000,19000" +] +) +] +) +stc 0 +tg (WTG +uid 375,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 376,0 +va (VaSet +font "courier,8,0" +) +xt "30500,18500,35000,19400" +st "resp_done" +ju 2 +blo "35000,19200" +tm "WireNameMgr" +) +) +) +*62 (Net +uid 377,0 +lang 5 +decl (Decl +n "resp_done" +t "wire" +o 37 +suid 38,0 +) +declText (MLText +uid 378,0 +va (VaSet +font "courier,8,0" +) +xt "46000,75400,65000,76300" +st "wire resp_done; +" +) +) +*63 (SaComponent +uid 441,0 +optionalChildren [ +*64 (CptPort +uid 451,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 452,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "6250,24625,7000,25375" +) +tg (CPTG +uid 453,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 454,0 +va (VaSet +font "courier,8,0" +) +xt "8000,24550,11500,25450" +st "ipb_clk" +blo "8000,25250" +) +) +thePort (LogicalPort +lang 3 +decl (Decl +n "ipb_clk" +t "wire" +preAdd 0 +posAdd 0 +o 1 +) +) +) +*65 (CptPort +uid 455,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 456,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "6250,22625,7000,23375" +) +tg (CPTG +uid 457,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 458,0 +va (VaSet +font "courier,8,0" +) +xt "8000,22550,11500,23450" +st "mac_clk" +blo "8000,23250" +) +) +thePort (LogicalPort +lang 3 +decl (Decl +n "mac_clk" +t "wire" +preAdd 0 +posAdd 0 +o 2 +) +) +) +*66 (CptPort +uid 459,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 460,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "6250,25625,7000,26375" +) +tg (CPTG +uid 461,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 462,0 +va (VaSet +font "courier,8,0" +) +xt "8000,25550,10500,26450" +st "reset" +blo "8000,26250" +) +) +thePort (LogicalPort +lang 3 +decl (Decl +n "reset" +t "wire" +preAdd 0 +posAdd 0 +o 3 +) +) +) +*67 (CptPort +uid 463,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 464,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "6250,19625,7000,20375" +) +tg (CPTG +uid 465,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 466,0 +va (VaSet +font "courier,8,0" +) +xt "8000,19550,15500,20450" +st "incoming_ready" +blo "8000,20250" +) +) +thePort (LogicalPort +lang 3 +decl (Decl +n "incoming_ready" +t "wire" +preAdd 0 +posAdd 0 +o 4 +) +) +) +*68 (CptPort +uid 467,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 468,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "6250,23625,7000,24375" +) +tg (CPTG +uid 469,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 470,0 +va (VaSet +font "courier,8,0" +) +xt "8000,23550,16500,24450" +st "done_with_packet" +blo "8000,24250" +) +) +thePort (LogicalPort +lang 3 +decl (Decl +n "done_with_packet" +t "wire" +preAdd 0 +posAdd 0 +o 5 +) +) +) +*69 (CptPort +uid 471,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 472,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24000,19625,24750,20375" +) +tg (CPTG +uid 473,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 474,0 +va (VaSet +font "courier,8,0" +) +xt "19000,19550,23000,20450" +st "copydone" +ju 2 +blo "23000,20250" +) +) +thePort (LogicalPort +lang 3 +m 1 +decl (Decl +n "copydone" +t "wire" +preAdd 0 +posAdd 0 +o 6 +) +) +) +*70 (CptPort +uid 475,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 476,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24000,22625,24750,23375" +) +tg (CPTG +uid 477,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 478,0 +va (VaSet +font "courier,8,0" +) +xt "16500,22550,23000,23450" +st "packet_avail" +ju 2 +blo "23000,23250" +) +) +thePort (LogicalPort +lang 3 +m 1 +decl (Decl +n "packet_avail" +t "wire" +preAdd 0 +posAdd 0 +o 7 +) +) +) +*71 (CptPort +uid 479,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 480,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24000,25625,24750,26375" +) +tg (CPTG +uid 481,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 482,0 +va (VaSet +font "courier,8,0" +) +xt "21000,25550,23000,26450" +st "busy" +ju 2 +blo "23000,26250" +) +) +thePort (LogicalPort +lang 3 +m 1 +decl (Decl +n "busy" +t "wire" +preAdd 0 +posAdd 0 +o 8 +) +) +) +*72 (CptPort +uid 483,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 484,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "6250,21625,7000,22375" +) +tg (CPTG +uid 485,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 486,0 +va (VaSet +font "courier,8,0" +) +xt "8000,21550,9500,22450" +st "rxl" +blo "8000,22250" +) +) +thePort (LogicalPort +lang 3 +decl (Decl +n "rxl" +t "wire" +b "[`pbuf_awidth - 1:0]" +preAdd 0 +posAdd 0 +o 9 +) +) +) +*73 (CptPort +uid 487,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 488,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24000,20625,24750,21375" +) +tg (CPTG +uid 489,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 490,0 +va (VaSet +font "courier,8,0" +) +xt "21500,20550,23000,21450" +st "rxa" +ju 2 +blo "23000,21250" +) +) +thePort (LogicalPort +lang 3 +m 1 +decl (Decl +n "rxa" +t "reg" +b "[`pbuf_awidth - 1:0]" +preAdd 0 +posAdd 0 +o 10 +) +) +) +*74 (CptPort +uid 491,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 492,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "6250,20625,7000,21375" +) +tg (CPTG +uid 493,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 494,0 +va (VaSet +font "courier,8,0" +) +xt "8000,20550,9500,21450" +st "rxd" +blo "8000,21250" +) +) +thePort (LogicalPort +lang 3 +decl (Decl +n "rxd" +t "wire" +b "[7:0]" +preAdd 0 +posAdd 0 +o 11 +) +) +) +*75 (CptPort +uid 495,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 496,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "6250,26625,7000,27375" +) +tg (CPTG +uid 497,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 498,0 +va (VaSet +font "courier,8,0" +) +xt "8000,26550,12000,27450" +st "readAddr" +blo "8000,27250" +) +) +thePort (LogicalPort +lang 3 +decl (Decl +n "readAddr" +t "wire" +b "[`pbuf_awidth - 3:0]" +preAdd 0 +posAdd 0 +o 12 +) +) +) +*76 (CptPort +uid 499,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 500,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24000,26625,24750,27375" +) +tg (CPTG +uid 501,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 502,0 +va (VaSet +font "courier,8,0" +) +xt "19000,26550,23000,27450" +st "readData" +ju 2 +blo "23000,27250" +) +) +thePort (LogicalPort +lang 3 +m 1 +decl (Decl +n "readData" +t "wire" +b "[31:0]" +preAdd 0 +posAdd 0 +o 13 +) +) +) +*77 (CptPort +uid 503,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 504,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24000,21625,24750,22375" +) +tg (CPTG +uid 505,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 506,0 +va (VaSet +font "courier,8,0" +) +xt "21500,21550,23000,22450" +st "len" +ju 2 +blo "23000,22250" +) +) +thePort (LogicalPort +lang 3 +m 1 +decl (Decl +n "len" +t "wire" +b "[`pbuf_awidth - 3:0]" +preAdd 0 +posAdd 0 +o 14 +) +) +) +] +shape (Rectangle +uid 442,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "7000,19000,24000,28000" +) +ttg (MlTextGroup +uid 443,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*78 (Text +uid 444,0 +va (VaSet +font "courier,8,1" +) +xt "12000,28000,18500,28900" +st "fmc_mTLU_lib" +blo "12000,28700" +tm "BdLibraryNameMgr" +) +*79 (Text +uid 445,0 +va (VaSet +font "courier,8,1" +) +xt "12000,28900,19000,29800" +st "sub_packetreq" +blo "12000,29600" +tm "CptNameMgr" +) +*80 (Text +uid 446,0 +va (VaSet +font "courier,8,1" +) +xt "12000,29800,14500,30700" +st "inbuf" +blo "12000,30500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 447,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 448,0 +text (MLText +uid 449,0 +va (VaSet +font "courier,8,0" +) +xt "6500,16300,15100,19000" +st "ST_IDLE = 2'h0 +ST_COPY = 2'h1 +ST_DONE = 2'h2 +" +) +header "" +) +elements [ +(GiElement +name "ST_IDLE" +value "2'h0" +) +(GiElement +name "ST_COPY" +value "2'h1" +) +(GiElement +name "ST_DONE" +value "2'h2" +) +] +includeGenericsInHDL 0 +) +viewicon (ZoomableIcon +uid 450,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "7250,26250,8750,27750" +iconName "VerilogFileViewIcon.png" +iconMaskName "VerilogFileViewIcon.msk" +ftype 11 +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*81 (SaComponent +uid 651,0 +optionalChildren [ +*82 (CptPort +uid 661,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 662,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "108250,4625,109000,5375" +) +tg (CPTG +uid 663,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 664,0 +va (VaSet +font "courier,8,0" +) +xt "110000,4550,113500,5450" +st "ipb_clk" +blo "110000,5250" +) +) +thePort (LogicalPort +lang 3 +decl (Decl +n "ipb_clk" +t "wire" +preAdd 0 +posAdd 0 +o 1 +) +) +) +*83 (CptPort +uid 665,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 666,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "108250,5625,109000,6375" +) +tg (CPTG +uid 667,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 668,0 +va (VaSet +font "courier,8,0" +) +xt "110000,5550,113500,6450" +st "mac_clk" +blo "110000,6250" +) +) +thePort (LogicalPort +lang 3 +decl (Decl +n "mac_clk" +t "wire" +preAdd 0 +posAdd 0 +o 2 +) +) +) +*84 (CptPort +uid 669,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 670,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "108250,6625,109000,7375" +) +tg (CPTG +uid 671,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 672,0 +va (VaSet +font "courier,8,0" +) +xt "110000,6550,112500,7450" +st "reset" +blo "110000,7250" +) +) +thePort (LogicalPort +lang 3 +decl (Decl +n "reset" +t "wire" +preAdd 0 +posAdd 0 +o 3 +) +) +) +*85 (CptPort +uid 673,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 674,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "119000,3625,119750,4375" +) +tg (CPTG +uid 675,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 676,0 +va (VaSet +font "courier,8,0" +) +xt "115000,3550,118000,4450" +st "tx_req" +ju 2 +blo "118000,4250" +) +) +thePort (LogicalPort +lang 3 +m 1 +decl (Decl +n "tx_req" +t "wire" +preAdd 0 +posAdd 0 +o 4 +) +) +) +*86 (CptPort +uid 677,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 678,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "119000,4625,119750,5375" +) +tg (CPTG +uid 679,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 680,0 +va (VaSet +font "courier,8,0" +) +xt "114500,4550,118000,5450" +st "tx_send" +ju 2 +blo "118000,5250" +) +) +thePort (LogicalPort +lang 3 +m 1 +decl (Decl +n "tx_send" +t "reg" +preAdd 0 +posAdd 0 +o 5 +) +) +) +*87 (CptPort +uid 681,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 682,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "119000,2625,119750,3375" +) +tg (CPTG +uid 683,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 684,0 +va (VaSet +font "courier,8,0" +) +xt "117000,2550,118000,3450" +st "we" +ju 2 +blo "118000,3250" +) +) +thePort (LogicalPort +lang 3 +m 1 +decl (Decl +n "we" +t "reg" +preAdd 0 +posAdd 0 +o 6 +) +) +) +*88 (CptPort +uid 685,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 686,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "108250,7625,109000,8375" +) +tg (CPTG +uid 687,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 688,0 +va (VaSet +font "courier,8,0" +) +xt "110000,7550,112000,8450" +st "done" +blo "110000,8250" +) +) +thePort (LogicalPort +lang 3 +decl (Decl +n "done" +t "wire" +preAdd 0 +posAdd 0 +o 7 +) +) +) +*89 (CptPort +uid 689,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 690,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "108250,625,109000,1375" +) +tg (CPTG +uid 691,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 692,0 +va (VaSet +font "courier,8,0" +) +xt "110000,550,112500,1450" +st "tx_ok" +blo "110000,1250" +) +) +thePort (LogicalPort +lang 3 +decl (Decl +n "tx_ok" +t "wire" +preAdd 0 +posAdd 0 +o 8 +) +) +) +*90 (CptPort +uid 693,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 694,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "119000,625,119750,1375" +) +tg (CPTG +uid 695,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 696,0 +va (VaSet +font "courier,8,0" +) +xt "116500,550,118000,1450" +st "txa" +ju 2 +blo "118000,1250" +) +) +thePort (LogicalPort +lang 3 +m 1 +decl (Decl +n "txa" +t "reg" +b "[`pbuf_awidth - 1:0]" +preAdd 0 +posAdd 0 +o 9 +) +) +) +*91 (CptPort +uid 697,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 698,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "119000,5625,119750,6375" +) +tg (CPTG +uid 699,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 700,0 +va (VaSet +font "courier,8,0" +) +xt "116500,5550,118000,6450" +st "txl" +ju 2 +blo "118000,6250" +) +) +thePort (LogicalPort +lang 3 +m 1 +decl (Decl +n "txl" +t "wire" +b "[`pbuf_awidth - 1:0]" +preAdd 0 +posAdd 0 +o 10 +) +) +) +*92 (CptPort +uid 701,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 702,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "119000,1625,119750,2375" +) +tg (CPTG +uid 703,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 704,0 +va (VaSet +font "courier,8,0" +) +xt "116500,1550,118000,2450" +st "txd" +ju 2 +blo "118000,2250" +) +) +thePort (LogicalPort +lang 3 +m 1 +decl (Decl +n "txd" +t "reg" +b "[7:0]" +preAdd 0 +posAdd 0 +o 11 +) +) +) +*93 (CptPort +uid 705,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 706,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "108250,1625,109000,2375" +) +tg (CPTG +uid 707,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 708,0 +va (VaSet +font "courier,8,0" +) +xt "110000,1550,111500,2450" +st "len" +blo "110000,2250" +) +) +thePort (LogicalPort +lang 3 +decl (Decl +n "len" +t "wire" +b "[`pbuf_awidth - 3:0]" +preAdd 0 +posAdd 0 +o 12 +) +) +) +*94 (CptPort +uid 709,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 710,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "108250,2625,109000,3375" +) +tg (CPTG +uid 711,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 712,0 +va (VaSet +font "courier,8,0" +) +xt "110000,2550,114000,3450" +st "respData" +blo "110000,3250" +) +) +thePort (LogicalPort +lang 3 +decl (Decl +n "respData" +t "wire" +b "[31:0]" +preAdd 0 +posAdd 0 +o 13 +) +) +) +*95 (CptPort +uid 713,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 714,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "108250,3625,109000,4375" +) +tg (CPTG +uid 715,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 716,0 +va (VaSet +font "courier,8,0" +) +xt "110000,3550,114000,4450" +st "respAddr" +blo "110000,4250" +) +) +thePort (LogicalPort +lang 3 +decl (Decl +n "respAddr" +t "wire" +b "[`pbuf_awidth - 3:0]" +preAdd 0 +posAdd 0 +o 14 +) +) +) +*96 (CptPort +uid 717,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 718,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "108250,8625,109000,9375" +) +tg (CPTG +uid 719,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 720,0 +va (VaSet +font "courier,8,0" +) +xt "110000,8550,113000,9450" +st "respWE" +blo "110000,9250" +) +) +thePort (LogicalPort +lang 3 +decl (Decl +n "respWE" +t "wire" +preAdd 0 +posAdd 0 +o 15 +) +) +) +*97 (CommentText +uid 811,0 +ps "EdgeToEdgeStrategy" +shape (Rectangle +uid 812,0 +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "109000,0,124000,4000" +) +text (MLText +uid 813,0 +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "109200,200,124200,3800" +st " + outgoing side (also calculates the UDP checksum and swaps XMIT/RECV Ethernet Addresses) + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 15000 +) +included 1 +) +] +shape (Rectangle +uid 652,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "109000,0,119000,10000" +) +ttg (MlTextGroup +uid 653,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*98 (Text +uid 654,0 +va (VaSet +font "courier,8,1" +) +xt "110250,10000,116750,10900" +st "fmc_mTLU_lib" +blo "110250,10700" +tm "BdLibraryNameMgr" +) +*99 (Text +uid 655,0 +va (VaSet +font "courier,8,1" +) +xt "110250,10900,117750,11800" +st "sub_packetresp" +blo "110250,11600" +tm "CptNameMgr" +) +*100 (Text +uid 656,0 +va (VaSet +font "courier,8,1" +) +xt "110250,11800,113250,12700" +st "outbuf" +blo "110250,12500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 657,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 658,0 +text (MLText +uid 659,0 +va (VaSet +font "courier,8,0" +) +xt "108250,-8100,118850,0" +st "ST_IDLE = 4'h0 +ST_PREPCOPY = 4'h1 +ST_COPY = 4'h2 +ST_COPYWAIT = 4'h3 +ST_DONE = 4'h4 +ST_CSUM0 = 4'h5 +ST_CSUM1 = 4'h6 +ST_CSUM2 = 4'h7 +ST_CSUM3 = 4'h8 +" +) +header "" +) +elements [ +(GiElement +name "ST_IDLE" +value "4'h0" +) +(GiElement +name "ST_PREPCOPY" +value "4'h1" +) +(GiElement +name "ST_COPY" +value "4'h2" +) +(GiElement +name "ST_COPYWAIT" +value "4'h3" +) +(GiElement +name "ST_DONE" +value "4'h4" +) +(GiElement +name "ST_CSUM0" +value "4'h5" +) +(GiElement +name "ST_CSUM1" +value "4'h6" +) +(GiElement +name "ST_CSUM2" +value "4'h7" +) +(GiElement +name "ST_CSUM3" +value "4'h8" +) +] +includeGenericsInHDL 0 +) +viewicon (ZoomableIcon +uid 660,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "109250,8250,110750,9750" +iconName "VerilogFileViewIcon.png" +iconMaskName "VerilogFileViewIcon.msk" +ftype 11 +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*101 (HdlText +uid 814,0 +optionalChildren [ +*102 (SmEmbeddedModel +version "25.1" +model (StateMachine +uid 821,0 +optionalChildren [ +*103 (ConcurrentSM +uid 890,0 +topDiagram (StateDiagram +LanguageMgr "None" +uid 891,0 +optionalChildren [ +*104 (State +uid 933,0 +shape (Circle +uid 934,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "40216,4000,46216,10000" +radius 3000 +) +name (Text +uid 935,0 +va (VaSet +font "courier,10,1" +) +xt "41116,5850,45316,6850" +st "ST_IDLE" +ju 0 +blo "43216,6650" +tm "ONodeName" +) +wait (TextAssociate +uid 936,0 +ps "CenterOffsetStrategy" +text (Text +uid 937,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "43616,6750,47216,7750" +st "wait 2" +blo "43616,7550" +tm "SmWaitText" +) +) +encoding (Text +uid 938,0 +va (VaSet +font "courier,8,1" +) +xt "42166,7250,44266,8150" +st "3'h0" +blo "42166,7950" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 941,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 942,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "47116,9900,47316,10100" +) +autoResize 1 +tline (Line +uid 943,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "43216,7000,43216,7000" +pts [ +"43216,7000" +"43216,7000" +] +) +bline (Line +uid 944,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "43216,7000,43216,7000" +pts [ +"43216,7000" +"43216,7000" +] +) +ttri (Triangle +uid 945,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "42766,6825,43116,7175" +) +btri (Triangle +uid 946,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "42766,6825,43116,7175" +) +entryActions (MLText +uid 947,0 +va (VaSet +font "courier,8,0" +) +xt "43216,7000,43216,7000" +tm "Actions" +) +inActions (MLText +uid 948,0 +va (VaSet +font "courier,8,0" +) +xt "43216,7000,49216,7900" +st "send_rp<=0;" +tm "Actions" +) +exitActions (MLText +uid 949,0 +va (VaSet +font "courier,8,0" +) +xt "43216,7000,43216,7000" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 939,0 +ps "CenterOffsetStrategy" +text (MLText +uid 940,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "41066,7800,46566,8700" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*105 (State +uid 950,0 +shape (Circle +uid 951,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "71482,59438,81182,69138" +radius 4850 +) +name (Text +uid 952,0 +va (VaSet +font "courier,10,1" +) +xt "72132,63138,80532,64138" +st "ST_COPYHEADER" +ju 0 +blo "76332,63938" +tm "ONodeName" +) +wait (TextAssociate +uid 953,0 +ps "CenterOffsetStrategy" +text (Text +uid 954,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "76732,64038,80332,65038" +st "wait 2" +blo "76732,64838" +tm "SmWaitText" +) +) +encoding (Text +uid 955,0 +va (VaSet +font "courier,8,1" +) +xt "75282,64538,77382,65438" +st "3'h1" +blo "75282,65238" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 958,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 959,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "80232,67188,80432,67388" +) +autoResize 1 +tline (Line +uid 960,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "76332,64288,76332,64288" +pts [ +"76332,64288" +"76332,64288" +] +) +bline (Line +uid 961,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "76332,64288,76332,64288" +pts [ +"76332,64288" +"76332,64288" +] +) +ttri (Triangle +uid 962,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "75882,64113,76232,64463" +) +btri (Triangle +uid 963,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "75882,64113,76232,64463" +) +entryActions (MLText +uid 964,0 +va (VaSet +font "courier,8,0" +) +xt "76332,64288,76332,64288" +tm "Actions" +) +inActions (MLText +uid 965,0 +va (VaSet +font "courier,8,0" +) +xt "76332,64288,76332,64288" +tm "Actions" +) +exitActions (MLText +uid 966,0 +va (VaSet +font "courier,8,0" +) +xt "76332,64288,76332,64288" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 956,0 +ps "CenterOffsetStrategy" +text (MLText +uid 957,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "74182,65088,79682,65988" +st "CASE: expr" +tm "SmCaseExpr" +) +) +impLoop 0 +) +*106 (State +uid 967,0 +shape (Circle +uid 968,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "71160,20949,81442,31231" +radius 5141 +) +name (Text +uid 969,0 +va (VaSet +font "courier,10,1" +) +xt "71801,24940,80801,25940" +st "ST_COPYHEADER2" +ju 0 +blo "76301,25740" +tm "ONodeName" +) +wait (TextAssociate +uid 970,0 +ps "CenterOffsetStrategy" +text (Text +uid 971,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "76701,25840,80301,26840" +st "wait 2" +blo "76701,26640" +tm "SmWaitText" +) +) +encoding (Text +uid 972,0 +va (VaSet +font "courier,8,1" +) +xt "75251,26340,77351,27240" +st "3'h2" +blo "75251,27040" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 975,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 976,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "80201,28990,80401,29190" +) +autoResize 1 +tline (Line +uid 977,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "76301,26090,76301,26090" +pts [ +"76301,26090" +"76301,26090" +] +) +bline (Line +uid 978,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "76301,26090,76301,26090" +pts [ +"76301,26090" +"76301,26090" +] +) +ttri (Triangle +uid 979,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "75851,25915,76201,26265" +) +btri (Triangle +uid 980,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "75851,25915,76201,26265" +) +entryActions (MLText +uid 981,0 +va (VaSet +font "courier,8,0" +) +xt "76301,26090,76301,26090" +tm "Actions" +) +inActions (MLText +uid 982,0 +va (VaSet +font "courier,8,0" +) +xt "76301,26090,76301,26090" +tm "Actions" +) +exitActions (MLText +uid 983,0 +va (VaSet +font "courier,8,0" +) +xt "76301,26090,76301,26090" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 973,0 +ps "CenterOffsetStrategy" +text (MLText +uid 974,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "74151,26890,79651,27790" +st "CASE: expr" +tm "SmCaseExpr" +) +) +impLoop 0 +) +*107 (State +uid 984,0 +shape (Circle +uid 985,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "36841,77116,49471,89746" +radius 6315 +) +name (Text +uid 986,0 +va (VaSet +font "courier,10,1" +) +xt "37456,82281,48856,83281" +st "ST_WAIT_FOR_ENGINE" +ju 0 +blo "43156,83081" +tm "ONodeName" +) +wait (TextAssociate +uid 987,0 +ps "CenterOffsetStrategy" +text (Text +uid 988,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "43556,83181,47156,84181" +st "wait 2" +blo "43556,83981" +tm "SmWaitText" +) +) +encoding (Text +uid 989,0 +va (VaSet +font "courier,8,1" +) +xt "42106,83681,44206,84581" +st "3'h3" +blo "42106,84381" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 992,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 993,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "47056,86331,47256,86531" +) +autoResize 1 +tline (Line +uid 994,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "43156,83431,43156,83431" +pts [ +"43156,83431" +"43156,83431" +] +) +bline (Line +uid 995,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "43156,83431,43156,83431" +pts [ +"43156,83431" +"43156,83431" +] +) +ttri (Triangle +uid 996,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "42706,83256,43056,83606" +) +btri (Triangle +uid 997,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "42706,83256,43056,83606" +) +entryActions (MLText +uid 998,0 +va (VaSet +font "courier,8,0" +) +xt "43156,83431,43156,83431" +tm "Actions" +) +inActions (MLText +uid 999,0 +va (VaSet +font "courier,8,0" +) +xt "43156,83431,43156,83431" +tm "Actions" +) +exitActions (MLText +uid 1000,0 +va (VaSet +font "courier,8,0" +) +xt "43156,83431,43156,83431" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 990,0 +ps "CenterOffsetStrategy" +text (MLText +uid 991,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "41006,84231,46506,85131" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*108 (State +uid 1001,0 +shape (Circle +uid 1002,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "7100,61288,13100,67288" +radius 3000 +) +name (Text +uid 1003,0 +va (VaSet +font "courier,10,1" +) +xt "8000,63138,12200,64138" +st "ST_RESP" +ju 0 +blo "10100,63938" +tm "ONodeName" +) +wait (TextAssociate +uid 1004,0 +ps "CenterOffsetStrategy" +text (Text +uid 1005,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "10500,64038,14100,65038" +st "wait 2" +blo "10500,64838" +tm "SmWaitText" +) +) +encoding (Text +uid 1006,0 +va (VaSet +font "courier,8,1" +) +xt "9050,64538,11150,65438" +st "3'h7" +blo "9050,65238" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 1009,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1010,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "14000,67188,14200,67388" +) +autoResize 1 +tline (Line +uid 1011,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "10100,64288,10100,64288" +pts [ +"10100,64288" +"10100,64288" +] +) +bline (Line +uid 1012,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "10100,64288,10100,64288" +pts [ +"10100,64288" +"10100,64288" +] +) +ttri (Triangle +uid 1013,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "9650,64113,10000,64463" +) +btri (Triangle +uid 1014,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "9650,64113,10000,64463" +) +entryActions (MLText +uid 1015,0 +va (VaSet +font "courier,8,0" +) +xt "10100,64288,10100,64288" +tm "Actions" +) +inActions (MLText +uid 1016,0 +va (VaSet +font "courier,8,0" +) +xt "10100,64288,16100,65188" +st "send_rp<=1;" +tm "Actions" +) +exitActions (MLText +uid 1017,0 +va (VaSet +font "courier,8,0" +) +xt "10100,64288,10100,64288" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 1007,0 +ps "CenterOffsetStrategy" +text (MLText +uid 1008,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "7950,65088,13450,65988" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*109 (State +uid 1018,0 +shape (Circle +uid 1019,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "7131,23090,13131,29090" +radius 3000 +) +name (Text +uid 1020,0 +va (VaSet +font "courier,10,1" +) +xt "8031,24940,12231,25940" +st "ST_DONE" +ju 0 +blo "10131,25740" +tm "ONodeName" +) +wait (TextAssociate +uid 1021,0 +ps "CenterOffsetStrategy" +text (Text +uid 1022,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "10531,25840,14131,26840" +st "wait 2" +blo "10531,26640" +tm "SmWaitText" +) +) +encoding (Text +uid 1023,0 +va (VaSet +font "courier,8,1" +) +xt "9081,26340,11181,27240" +st "3'h6" +blo "9081,27040" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 1026,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1027,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "14031,28990,14231,29190" +) +autoResize 1 +tline (Line +uid 1028,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "10131,26090,10131,26090" +pts [ +"10131,26090" +"10131,26090" +] +) +bline (Line +uid 1029,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "10131,26090,10131,26090" +pts [ +"10131,26090" +"10131,26090" +] +) +ttri (Triangle +uid 1030,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "9681,25915,10031,26265" +) +btri (Triangle +uid 1031,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "9681,25915,10031,26265" +) +entryActions (MLText +uid 1032,0 +va (VaSet +font "courier,8,0" +) +xt "10131,26090,10131,26090" +tm "Actions" +) +inActions (MLText +uid 1033,0 +va (VaSet +font "courier,8,0" +) +xt "10131,26090,16131,26990" +st "send_rp<=0;" +tm "Actions" +) +exitActions (MLText +uid 1034,0 +va (VaSet +font "courier,8,0" +) +xt "10131,26090,10131,26090" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 1024,0 +ps "CenterOffsetStrategy" +text (MLText +uid 1025,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "7981,26890,13481,27790" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*110 (SmResetPoint +uid 1035,0 +shape (CompositeShape +uid 1036,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +uid 1037,0 +sl 0 +ro 270 +xt "-5375,-11375,-3125,-10375" +) +(OrthoPolyLine +uid 1038,0 +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "-4475,-11175,-3876,-10575" +pts [ +"-3876,-10575" +"-4176,-10575" +"-4176,-11175" +"-4475,-11175" +] +) +(Line +uid 1039,0 +sl 0 +ro 270 +xt "-5126,-11050,-5026,-11000" +pts [ +"-5126,-11000" +"-5026,-11050" +] +) +(Line +uid 1040,0 +sl 0 +ro 270 +xt "-5126,-11050,-5126,-10700" +pts [ +"-5126,-10700" +"-5126,-11050" +] +) +(Circle +uid 1041,0 +layer 10 +sl 0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,0" +) +xt "-4326,-11025,-4026,-10725" +radius 150 +) +] +) +cond (SmControlCondition +uid 1047,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1048,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "-5875,-12875,-2675,-11775" +) +autoResize 1 +cond (MLText +uid 1049,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-5775,-12775,-2775,-11875" +st "!reset" +tm "SmControlConditionMgr" +) +) +prio (TransitionPriority +uid 1044,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1045,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "-3125,-11665,-1545,-10085" +radius 790 +) +pr (Text +uid 1046,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-2585,-11325,-2085,-10425" +st "1" +ju 0 +blo "-2335,-10625" +tm "TransitionPriority" +) +padding "200,200" +) +name (TextAssociate +uid 1042,0 +ps "CenterOffsetStrategy" +text (Text +uid 1043,0 +va (VaSet +font "courier,8,0" +) +xt "-7875,-11325,-5375,-10425" +st "reset" +ju 2 +blo "-5375,-10625" +tm "SmControlSignalNameMgr" +) +) +actions (TextAssociate +uid 1050,0 +ps "CenterOffsetStrategy" +text (MLText +uid 1051,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "2500,-6750,9500,-5850" +st "< Automatic >" +tm "Actions" +) +) +) +*111 (SmClockPoint +uid 1052,0 +shape (CompositeShape +uid 1053,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +uid 1054,0 +sl 0 +ro 270 +xt "-4250,-6375,-2000,-5375" +) +(OrthoPolyLine +uid 1055,0 +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "-3350,-6175,-2751,-5575" +pts [ +"-3350,-5575" +"-3051,-5575" +"-3051,-6175" +"-2751,-6175" +] +) +(Arc2D +pts [ +"-3741,-5722" +"-3996,-6028" +"-3741,-6028" +] +uid 1056,0 +sl 0 +ro 270 +va (VaSet +vasetType 1 +transparent 1 +) +xt "-3996,-6028,-3741,-5722" +) +] +) +name (TextAssociate +uid 1057,0 +ps "CenterOffsetStrategy" +text (Text +uid 1058,0 +va (VaSet +font "courier,8,0" +) +xt "-8250,-6375,-4750,-5475" +st "ipb_clk" +ju 2 +blo "-4750,-5675" +tm "SmControlSignalNameMgr" +) +) +cond (SmControlCondition +uid 1059,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1060,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "-2000,-6425,6200,-5325" +) +autoResize 1 +cond (MLText +uid 1061,0 +va (VaSet +font "courier,8,0" +) +xt "-1900,-6325,6100,-5425" +st "posedge ipb_clk" +tm "SmControlConditionMgr" +) +) +) +*112 (Link +uid 1062,0 +shape (CompositeShape +uid 1063,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +optionalChildren [ +(Pentagon +uid 1064,0 +sl 0 +ro 270 +xt "3375,-11375,5625,-10375" +) +(Line +uid 1065,0 +sl 0 +ro 270 +xt "2875,-10875,3375,-10875" +pts [ +"2875,-10875" +"3375,-10875" +] +) +] +) +name (TextAssociate +uid 1066,0 +ps "CenterOffsetStrategy" +text (Text +uid 1067,0 +va (VaSet +font "courier,8,1" +) +xt "6125,-11375,9625,-10475" +st "ST_IDLE" +blo "6125,-10675" +tm "LinkName" +) +) +) +*113 (Transition +uid 1068,0 +shape (Spline +uid 1069,0 +va (VaSet +vasetType 3 +) +xt "-3125,-10875,2875,-10875" +pts [ +"-3125,-10875" +"2875,-10875" +] +) +start &110 +end &112 +ss 0 +es 0 +cond "!reset" +tb (TransitionBlock +uid 1070,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1071,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "-2125,-11825,1875,-9925" +) +autoResize 1 +lineShape (Line +uid 1072,0 +va (VaSet +vasetType 3 +) +xt "-1625,-10525,1375,-10525" +pts [ +"-1625,-10525" +"1375,-10525" +] +) +condition (MLText +uid 1073,0 +va (VaSet +font "courier,8,0" +) +xt "-1625,-11825,1375,-10925" +st "!reset" +tm "Condition" +) +actions (MLText +uid 1074,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-3625,-10125,3375,-9225" +st "< Automatic >" +tm "Actions" +) +) +tp (TransitionPriority +uid 1075,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1076,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "-3314,-11665,-1734,-10085" +radius 790 +) +pr (Text +uid 1077,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-2774,-11325,-2274,-10425" +st "1" +ju 0 +blo "-2524,-10625" +tm "TransitionPriority" +) +padding "200,200" +) +) +*114 (Transition +uid 1078,0 +shape (Spline +uid 1079,0 +va (VaSet +vasetType 3 +) +xt "46114,7775,72665,22457" +pts [ +"46114,7775" +"62315,12114" +"72665,22457" +] +arrow 1 +) +start &104 +end &106 +ss 0 +es 0 +cond "packet_avail" +tb (TransitionBlock +uid 1080,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1081,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "61815,11614,69315,15714" +) +autoResize 1 +lineShape (Line +uid 1082,0 +va (VaSet +vasetType 3 +) +xt "62315,13214,68815,13214" +pts [ +"62315,13214" +"68815,13214" +] +) +condition (MLText +uid 1083,0 +va (VaSet +font "courier,8,0" +) +xt "62315,12114,68815,13014" +st "packet_avail" +tm "Condition" +) +actions (MLText +uid 1084,0 +va (VaSet +font "courier,8,0" +) +xt "63315,13414,67815,15214" +st "addra<=0; +we<=1;" +tm "Actions" +) +) +tp (TransitionPriority +uid 1085,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1086,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "48411,7630,49991,9210" +radius 790 +) +pr (Text +uid 1087,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "48951,7970,49451,8870" +st "1" +ju 0 +blo "49201,8670" +tm "TransitionPriority" +) +padding "200,200" +) +) +*115 (Transition +uid 1088,0 +shape (Spline +uid 1089,0 +va (VaSet +vasetType 3 +) +xt "49256,67721,72907,81798" +pts [ +"72907,67721" +"62367,78286" +"49256,81798" +] +arrow 1 +) +start &105 +end &107 +ss 0 +es 0 +cond "addra==`pbuf_awidth_32'd12" +tb (TransitionBlock +uid 1090,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1091,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "61867,77786,76567,80986" +) +autoResize 1 +lineShape (Line +uid 1092,0 +va (VaSet +vasetType 3 +) +xt "62367,79386,76067,79386" +pts [ +"62367,79386" +"76067,79386" +] +) +condition (MLText +uid 1093,0 +va (VaSet +font "courier,8,0" +) +xt "62367,78286,76067,79186" +st "addra==`pbuf_awidth_32'd12" +tm "Condition" +) +actions (MLText +uid 1094,0 +va (VaSet +font "courier,8,0" +) +xt "67717,79586,70717,80486" +st "we<=0;" +tm "Actions" +) +) +tp (TransitionPriority +uid 1095,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1096,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "70217,69070,71797,70650" +radius 790 +) +pr (Text +uid 1097,0 +va (VaSet +font "courier,8,0" +) +xt "70757,69410,71257,70310" +st "1" +ju 0 +blo "71007,70110" +tm "TransitionPriority" +) +padding "200,200" +) +) +*116 (Transition +uid 1098,0 +shape (Spline +uid 1099,0 +va (VaSet +vasetType 3 +) +xt "71431,31071,75127,59591" +pts [ +"75127,59591" +"71431,45193" +"75031,31071" +] +arrow 1 +) +start &105 +end &106 +ss 0 +es 0 +tb (TransitionBlock +uid 1100,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1101,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "69181,45583,78181,48793" +) +autoResize 1 +lineShape (Line +uid 1102,0 +va (VaSet +vasetType 3 +) +xt "69681,46293,77681,46293" +pts [ +"69681,46293" +"77681,46293" +] +) +condition (MLText +uid 1103,0 +va (VaSet +font "courier,8,0" +) +xt "71431,45193,75931,46093" +tm "Condition" +) +actions (MLText +uid 1104,0 +va (VaSet +font "courier,8,0" +) +xt "69681,46493,77681,48293" +st "we<=1; +addra<=addra+1;" +tm "Actions" +) +) +tp (TransitionPriority +uid 1105,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1106,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "73427,55990,75007,57570" +radius 790 +) +pr (Text +uid 1107,0 +va (VaSet +font "courier,8,0" +) +xt "73967,56330,74467,57230" +st "2" +ju 0 +blo "74217,57030" +tm "TransitionPriority" +) +padding "200,200" +) +) +*117 (Transition +uid 1108,0 +shape (Spline +uid 1109,0 +va (VaSet +vasetType 3 +) +xt "77582,31054,81431,59602" +pts [ +"77634,31054" +"81431,45185" +"77582,59602" +] +arrow 1 +) +start &106 +end &105 +ss 0 +es 0 +tb (TransitionBlock +uid 1110,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1111,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "80931,45130,86431,46140" +) +autoResize 1 +lineShape (Line +uid 1112,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "83681,46485,83681,46485" +pts [ +"83681,46485" +"83681,46485" +] +) +condition (MLText +uid 1113,0 +va (VaSet +font "courier,8,0" +) +xt "81431,45185,85931,46085" +tm "Condition" +) +actions (MLText +uid 1114,0 +va (VaSet +font "courier,8,0" +) +xt "83681,46485,83681,46485" +tm "Actions" +) +) +tp (TransitionPriority +uid 1115,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1116,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "77805,33071,79385,34651" +radius 790 +) +pr (Text +uid 1117,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "78345,33411,78845,34311" +st "1" +ju 0 +blo "78595,34111" +tm "TransitionPriority" +) +padding "200,200" +) +) +*118 (Transition +uid 1118,0 +shape (Spline +uid 1119,0 +va (VaSet +vasetType 3 +) +xt "12222,66408,37056,81798" +pts [ +"37056,81798" +"24169,78347" +"12222,66408" +] +arrow 1 +) +start &107 +end &108 +ss 0 +es 0 +cond "resp_done" +tb (TransitionBlock +uid 1120,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1121,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "23669,77847,29169,79747" +) +autoResize 1 +lineShape (Line +uid 1122,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "26419,79647,26419,79647" +pts [ +"26419,79647" +"26419,79647" +] +) +condition (MLText +uid 1123,0 +va (VaSet +font "courier,8,0" +) +xt "24169,78347,28669,79247" +st "resp_done" +tm "Condition" +) +actions (MLText +uid 1124,0 +va (VaSet +font "courier,8,0" +) +xt "26419,79647,26419,79647" +tm "Actions" +) +) +tp (TransitionPriority +uid 1125,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1126,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "33275,80486,34855,82066" +radius 790 +) +pr (Text +uid 1127,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "33815,80826,34315,81726" +st "1" +ju 0 +blo "34065,81526" +tm "TransitionPriority" +) +padding "200,200" +) +) +*119 (Transition +uid 1128,0 +shape (Spline +uid 1129,0 +va (VaSet +vasetType 3 +) +xt "5000,28988,9358,61391" +pts [ +"9322,61391" +"5000,45307" +"9358,28988" +] +arrow 1 +) +start &108 +end &109 +ss 0 +es 0 +cond "send_rp==1" +tb (TransitionBlock +uid 1130,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1131,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "4500,44807,11000,46707" +) +autoResize 1 +lineShape (Line +uid 1132,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "7250,46607,7250,46607" +pts [ +"7250,46607" +"7250,46607" +] +) +condition (MLText +uid 1133,0 +va (VaSet +font "courier,8,0" +) +xt "5000,45307,10500,46207" +st "send_rp==1" +tm "Condition" +) +actions (MLText +uid 1134,0 +va (VaSet +font "courier,8,0" +) +xt "7750,46607,7750,46607" +tm "Actions" +) +) +tp (TransitionPriority +uid 1135,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1136,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "7441,57413,9021,58993" +radius 790 +) +pr (Text +uid 1137,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "7981,57753,8481,58653" +st "1" +ju 0 +blo "8231,58453" +tm "TransitionPriority" +) +padding "200,200" +) +) +*120 (Transition +uid 1138,0 +shape (Spline +uid 1139,0 +va (VaSet +vasetType 3 +) +xt "12249,7780,40320,23967" +pts [ +"12249,23967" +"24011,12175" +"40320,7780" +] +arrow 1 +) +start &109 +end &104 +ss 0 +es 0 +cond "send_rp==0" +tb (TransitionBlock +uid 1140,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1141,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "23511,11675,30011,13575" +) +autoResize 1 +lineShape (Line +uid 1142,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "26261,13475,26261,13475" +pts [ +"26261,13475" +"26261,13475" +] +) +condition (MLText +uid 1143,0 +va (VaSet +font "courier,8,0" +) +xt "24011,12175,29511,13075" +st "send_rp==0" +tm "Condition" +) +actions (MLText +uid 1144,0 +va (VaSet +font "courier,8,0" +) +xt "26761,13475,26761,13475" +tm "Actions" +) +) +tp (TransitionPriority +uid 1145,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1146,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "13672,20637,15252,22217" +radius 790 +) +pr (Text +uid 1147,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "14212,20977,14712,21877" +st "1" +ju 0 +blo "14462,21677" +tm "TransitionPriority" +) +padding "200,200" +) +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 0 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *121 (PackageList +uid 922,0 +stg "VerticalLayoutStrategy" +textVec [ +*122 (Text +uid 923,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,3000,6500,3900" +st "Package List" +blo "0,3700" +) +*123 (MLText +uid 924,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,3900,14500,7500" +tm "SmPackageListTextMgr" +) +] +) +compDirBlock (MlTextGroup +uid 925,0 +stg "VerticalLayoutStrategy" +textVec [ +*124 (Text +uid 926,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-7875,94746,2125,95646" +st "Compiler Directives" +blo "-7875,95446" +) +*125 (Text +uid 927,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-7875,95646,3625,96546" +st "Pre-module directives:" +blo "-7875,96346" +) +*126 (MLText +uid 928,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-7875,96546,2225,98346" +st "`resetall +`timescale 1ns/10ps" +tm "SmCompilerDirectivesTextMgr" +) +*127 (Text +uid 929,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-7875,98346,4125,99246" +st "Post-module directives:" +blo "-7875,99046" +) +*128 (MLText +uid 930,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-7875,94746,-7875,94746" +tm "SmCompilerDirectivesTextMgr" +) +*129 (Text +uid 931,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-7875,99246,3625,100146" +st "End-module directives:" +blo "-7875,99946" +) +*130 (MLText +uid 932,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-7875,100146,-7875,100146" +tm "SmCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "0,0,1015,690" +viewArea "-8250,-11825,85931,94446" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +isTopLevel 1 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,4000,1900" +st "Panel0" +blo "1000,1700" +tm "PanelText" +) +) +) +localPreDecl *131 (SmLocalDecl +uid 892,0 +stg "VerticalLayoutStrategy" +first (Text +uid 893,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,0,13000,900" +st "Architecture Declarations" +blo "0,700" +) +second (MLText +uid 894,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,900,0,900" +tm "LocalDeclTextMgr" +) +declType 1 +) +localDecl *132 (SmLocalDecl +uid 895,0 +stg "VerticalLayoutStrategy" +first (Text +uid 896,0 +va (VaSet +font "courier,8,1" +) +xt "25875,91746,35875,92646" +st "Module Declarations" +blo "25875,92446" +) +second (MLText +uid 897,0 +va (VaSet +font "courier,8,0" +) +xt "25875,92646,25875,92646" +tm "LocalDeclTextMgr" +) +declType 2 +) +localPostDecl *133 (SmLocalDecl +uid 898,0 +stg "VerticalLayoutStrategy" +first (Text +uid 899,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,0,13000,900" +st "Architecture Declarations" +blo "0,700" +) +second (MLText +uid 900,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,900,0,900" +tm "LocalDeclTextMgr" +) +declType 3 +) +processDecl *134 (SmProcessDecl +uid 901,0 +stg "VerticalLayoutStrategy" +textVec [ +*135 (Text +uid 902,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "66500,-1000,77000,-100" +st "Process Declarations" +blo "66500,-300" +) +*136 (Text +uid 903,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "66500,-100,75000,800" +st "Clocked Process:" +blo "66500,600" +) +*137 (MLText +uid 904,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "66500,-1000,66500,-1000" +tm "ProcessDeclTextMgr" +) +*138 (Text +uid 905,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "66500,800,74500,1700" +st "Output Process:" +blo "66500,1500" +) +*139 (MLText +uid 906,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "66500,1700,66500,1700" +tm "ProcessDeclTextMgr" +) +] +associable 1 +) +defaultActions *140 (MlTextGroup +uid 907,0 +stg "VerticalLayoutStrategy" +textVec [ +*141 (Text +uid 908,0 +va (VaSet +font "courier,8,1" +) +xt "-5875,91746,1625,92646" +st "Global Actions" +blo "-5875,92446" +) +*142 (Text +uid 909,0 +va (VaSet +font "courier,8,1" +) +xt "-5875,92646,625,93546" +st "Pre Actions:" +blo "-5875,93346" +) +*143 (MLText +uid 910,0 +va (VaSet +font "courier,8,0" +) +xt "-5875,91746,-5875,91746" +tm "Actions" +) +*144 (Text +uid 911,0 +va (VaSet +font "courier,8,1" +) +xt "-5875,93546,1125,94446" +st "Post Actions:" +blo "-5875,94246" +) +*145 (MLText +uid 912,0 +va (VaSet +font "courier,8,0" +) +xt "-5875,94446,-5875,94446" +tm "Actions" +) +] +associable 1 +) +archConcurrentStatementBlock *146 (BiTextGroup +uid 913,0 +stg "VerticalLayoutStrategy" +first (Text +uid 914,0 +va (VaSet +font "courier,8,1" +) +xt "7375,91746,18375,92646" +st "Concurrent Statements" +blo "7375,92446" +) +second (MLText +uid 915,0 +va (VaSet +font "courier,8,0" +) +xt "7375,92646,7375,92646" +tm "ArchConcStmtTextMgr" +) +associable 1 +) +signalsGenStatus *147 (SmSignalGenStatus +uid 919,0 +stg "VerticalLayoutStrategy" +first (Text +uid 920,0 +va (VaSet +font "courier,8,1" +) +xt "42875,91746,49875,92646" +st "Signal Status" +blo "42875,92446" +) +second (MLText +uid 921,0 +va (VaSet +font "courier,8,0" +) +xt "42875,92646,42875,92646" +tm "SmSignalsGenStatusTextMgr" +) +) +stateRegBlock *148 (BiTextGroup +uid 916,0 +stg "VerticalLayoutStrategy" +first (Text +uid 917,0 +va (VaSet +font "courier,8,1" +) +xt "55375,91746,68375,92646" +st "State Register Statements" +blo "55375,92446" +) +second (MLText +uid 918,0 +va (VaSet +font "courier,8,0" +) +xt "55375,92646,55375,92646" +tm "Actions" +) +associable 1 +) +) +genChar (SmGenChar +uid 1148,0 +csName "state" +nextStateClocking 0 +numProcs 1 +) +encoding (Encoding +scheme 0 +encodingStyles [ +(pair +scheme 0 +style 6 +) +(pair +scheme 1 +style 1 +) +(pair +scheme 2 +style 0 +) +(pair +scheme 3 +style 0 +) +(pair +scheme 4 +style 0 +) +(pair +scheme 5 +style 0 +) +] +otherValues [ +(pair +scheme 0 +otherValue "" +) +(pair +scheme 1 +otherValue "" +) +(pair +scheme 2 +otherValue "" +) +(pair +scheme 3 +otherValue "" +) +(pair +scheme 4 +otherValue "" +) +(pair +scheme 5 +otherValue "" +) +] +attribute 1 +synSafe 0 +outputEncodedLocals 0 +useVerilogParameterRange 0 +radix 2 +) +stateOrder [ +&104 +&105 +&106 +&107 +&108 +&109 +] +name "state" +) +] +lastUid 0,0 +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +emptyRow *149 (LEmptyRow +) +uid 823,0 +optionalChildren [ +*150 (RefLabelRowHdr +) +*151 (TitleRowHdr +) +*152 (FilterRowHdr +) +*153 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*154 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*155 (GroupColHdr +tm "GroupColHdrMgr" +) +*156 (NameColHdr +tm "SmNameColHdrMgr" +) +*157 (ModeColHdr +tm "SmModeColHdrMgr" +) +*158 (TypeColHdr +tm "SmTypeColHdrMgr" +) +*159 (SignedColHdr +tm "SmSignedColHdrMgr" +) +*160 (BoundsColHdr +tm "SmBoundsColHdrMgr" +) +*161 (DelayColHdr +tm "SmDelayColHdrMgr" +) +*162 (InitColHdr +tm "SmInitColHdrMgr" +) +*163 (ColumnHdr +tm "SmCategoryColHdrMgr" +) +*164 (ColumnHdr +tm "SmAssignColHdrMgr" +) +*165 (ColumnHdr +tm "SmExprColHdrMgr" +) +*166 (ColumnHdr +tm "SmSchemeColHdrMgr" +) +*167 (ColumnHdr +tm "SmDefValColHdrMgr" +) +*168 (ColumnHdr +tm "SmRstValColHdrMgr" +) +*169 (EolColHdr +tm "SmEolColHdrMgr" +) +*170 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "ipb_clk" +t "wire" +o 14 +) +) +uid 1149,0 +cat 1 +expr "posedge ipb_clk" +) +*171 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "reset" +t "wire" +o 16 +) +) +uid 1151,0 +cat 8 +expr "!reset" +) +*172 (LeafLogPort +port (LogicalPort +lang 5 +m 4 +decl (Decl +n "reqAddr" +t "wire" +b "[`pbuf_awidth - 3:0]" +o 1 +) +) +uid 1230,0 +scheme 1 +) +*173 (LeafLogPort +port (LogicalPort +lang 5 +m 4 +decl (Decl +n "respAddr" +t "wire" +b "[`pbuf_awidth - 3:0]" +o 2 +) +) +uid 1232,0 +scheme 1 +) +*174 (LeafLogPort +port (LogicalPort +lang 5 +m 4 +decl (Decl +n "reqData" +t "wire" +b "[31:0]" +o 3 +) +) +uid 1234,0 +scheme 1 +) +*175 (LeafLogPort +port (LogicalPort +lang 5 +m 4 +decl (Decl +n "respData" +t "wire" +b "[31:0]" +o 4 +) +) +uid 1236,0 +scheme 1 +) +*176 (LeafLogPort +port (LogicalPort +lang 5 +m 4 +decl (Decl +n "inbusy" +t "wire" +o 5 +) +) +uid 1238,0 +scheme 1 +) +*177 (LeafLogPort +port (LogicalPort +lang 5 +m 4 +decl (Decl +n "packet_avail" +t "wire" +o 6 +) +) +uid 1240,0 +scheme 1 +) +*178 (LeafLogPort +port (LogicalPort +lang 5 +m 4 +decl (Decl +n "respWE" +t "wire" +o 7 +) +) +uid 1242,0 +scheme 1 +) +*179 (LeafLogPort +port (LogicalPort +lang 5 +m 4 +decl (Decl +n "send_resp" +t "wire" +o 8 +) +) +uid 1244,0 +scheme 1 +) +*180 (LeafLogPort +port (LogicalPort +lang 5 +m 4 +decl (Decl +n "addra" +t "reg" +b "[`pbuf_awidth - 3:0]" +o 9 +) +) +uid 1246,0 +scheme 1 +) +*181 (LeafLogPort +port (LogicalPort +lang 5 +m 4 +decl (Decl +n "state" +t "reg" +b "[2:0]" +o 10 +) +) +uid 1248,0 +scheme 1 +) +*182 (LeafLogPort +port (LogicalPort +lang 5 +m 4 +decl (Decl +n "addrb" +t "wire" +b "[`pbuf_awidth - 3:0]" +o 11 +) +) +uid 1250,0 +scheme 1 +) +*183 (LeafLogPort +port (LogicalPort +lang 5 +m 4 +decl (Decl +n "send_rp" +t "reg" +prec "parameter ST_IDLE = 3'h0; +parameter ST_COPYHEADER = 3'h1; +parameter ST_COPYHEADER2 = 3'h2; +parameter ST_WAIT_FOR_ENGINE = 3'h3; +parameter ST_DONE = 3'h6; +parameter ST_RESP = 3'h7;" +preAdd 0 +o 12 +) +) +uid 1252,0 +scheme 1 +) +*184 (LeafLogPort +port (LogicalPort +lang 5 +m 4 +decl (Decl +n "we" +t "reg" +o 13 +) +) +uid 1254,0 +scheme 1 +) +*185 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "mac_clk" +t "wire" +o 15 +) +) +uid 1256,0 +ass "" +) +*186 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "incoming_ready" +t "wire" +o 17 +) +) +uid 1258,0 +ass "" +) +*187 (LeafLogPort +port (LogicalPort +lang 5 +m 1 +decl (Decl +n "done_with_incoming" +t "wire" +o 18 +) +) +uid 1260,0 +scheme 0 +) +*188 (LeafLogPort +port (LogicalPort +lang 5 +m 1 +decl (Decl +n "rxa" +t "wire" +b "[`pbuf_awidth - 1:0]" +o 19 +) +) +uid 1262,0 +scheme 0 +) +*189 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "rxd" +t "wire" +b "[7:0]" +o 20 +) +) +uid 1264,0 +ass "" +) +*190 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "rxl" +t "wire" +b "[`pbuf_awidth - 1:0]" +o 21 +) +) +uid 1266,0 +ass "" +) +*191 (LeafLogPort +port (LogicalPort +lang 5 +m 1 +decl (Decl +n "txa" +t "wire" +b "[`pbuf_awidth - 1:0]" +o 22 +) +) +uid 1268,0 +scheme 0 +) +*192 (LeafLogPort +port (LogicalPort +lang 5 +m 1 +decl (Decl +n "txd" +t "wire" +b "[7:0]" +o 23 +) +) +uid 1270,0 +scheme 0 +) +*193 (LeafLogPort +port (LogicalPort +lang 5 +m 1 +decl (Decl +n "tx_we" +t "wire" +o 24 +) +) +uid 1272,0 +scheme 0 +) +*194 (LeafLogPort +port (LogicalPort +lang 5 +m 1 +decl (Decl +n "tx_req" +t "wire" +o 25 +) +) +uid 1274,0 +scheme 0 +) +*195 (LeafLogPort +port (LogicalPort +lang 5 +m 1 +decl (Decl +n "tx_send" +t "wire" +o 26 +) +) +uid 1276,0 +scheme 0 +) +*196 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "tx_ok" +t "wire" +o 27 +) +) +uid 1278,0 +ass "" +) +*197 (LeafLogPort +port (LogicalPort +lang 5 +m 1 +decl (Decl +n "txl" +t "wire" +b "[`pbuf_awidth - 1:0]" +o 28 +) +) +uid 1280,0 +scheme 0 +) +*198 (LeafLogPort +port (LogicalPort +lang 5 +m 1 +decl (Decl +n "incoming_space" +t "wire" +o 29 +) +) +uid 1282,0 +scheme 0 +) +*199 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "req_addr" +t "wire" +b "[`pbuf_awidth - 3:0]" +o 30 +) +) +uid 1284,0 +ass "" +) +*200 (LeafLogPort +port (LogicalPort +lang 5 +m 1 +decl (Decl +n "req_data" +t "wire" +b "[31:0]" +o 31 +) +) +uid 1286,0 +scheme 0 +) +*201 (LeafLogPort +port (LogicalPort +lang 5 +m 1 +decl (Decl +n "req_len" +t "wire" +b "[`pbuf_awidth - 3:0]" +o 32 +) +) +uid 1288,0 +scheme 0 +) +*202 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "resp_addr" +t "wire" +b "[`pbuf_awidth - 3:0]" +o 33 +) +) +uid 1290,0 +ass "" +) +*203 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "resp_data" +t "wire" +b "[31:0]" +o 34 +) +) +uid 1292,0 +ass "" +) +*204 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "resp_len" +t "wire" +b "[`pbuf_awidth - 3:0]" +o 35 +) +) +uid 1294,0 +ass "" +) +*205 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "resp_we" +t "wire" +o 36 +) +) +uid 1296,0 +ass "" +) +*206 (LeafLogPort +port (LogicalPort +lang 5 +m 1 +decl (Decl +n "req_avail" +t "reg" +o 37 +) +) +uid 1298,0 +scheme 0 +) +*207 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "resp_done" +t "wire" +o 38 +) +) +uid 1300,0 +ass "" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 844,0 +optionalChildren [ +*208 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *209 (MRCItem +litem &149 +pos 3 +dimension 20 +) +uid 846,0 +optionalChildren [ +*210 (MRCItem +litem &150 +pos 0 +dimension 20 +uid 847,0 +) +*211 (MRCItem +litem &151 +pos 1 +dimension 23 +uid 848,0 +) +*212 (MRCItem +litem &152 +pos 2 +hidden 1 +dimension 20 +uid 849,0 +) +*213 (MRCItem +litem &170 +pos 0 +dimension 20 +uid 1150,0 +) +*214 (MRCItem +litem &171 +pos 2 +dimension 20 +uid 1152,0 +) +*215 (MRCItem +litem &172 +pos 25 +dimension 20 +uid 1229,0 +) +*216 (MRCItem +litem &173 +pos 26 +dimension 20 +uid 1231,0 +) +*217 (MRCItem +litem &174 +pos 27 +dimension 20 +uid 1233,0 +) +*218 (MRCItem +litem &175 +pos 28 +dimension 20 +uid 1235,0 +) +*219 (MRCItem +litem &176 +pos 29 +dimension 20 +uid 1237,0 +) +*220 (MRCItem +litem &177 +pos 30 +dimension 20 +uid 1239,0 +) +*221 (MRCItem +litem &178 +pos 31 +dimension 20 +uid 1241,0 +) +*222 (MRCItem +litem &179 +pos 32 +dimension 20 +uid 1243,0 +) +*223 (MRCItem +litem &180 +pos 33 +dimension 20 +uid 1245,0 +) +*224 (MRCItem +litem &181 +pos 34 +dimension 20 +uid 1247,0 +) +*225 (MRCItem +litem &182 +pos 35 +dimension 20 +uid 1249,0 +) +*226 (MRCItem +litem &183 +pos 36 +dimension 20 +uid 1251,0 +) +*227 (MRCItem +litem &184 +pos 37 +dimension 20 +uid 1253,0 +) +*228 (MRCItem +litem &185 +pos 1 +dimension 20 +uid 1255,0 +) +*229 (MRCItem +litem &186 +pos 3 +dimension 20 +uid 1257,0 +) +*230 (MRCItem +litem &187 +pos 4 +dimension 20 +uid 1259,0 +) +*231 (MRCItem +litem &188 +pos 5 +dimension 20 +uid 1261,0 +) +*232 (MRCItem +litem &189 +pos 6 +dimension 20 +uid 1263,0 +) +*233 (MRCItem +litem &190 +pos 7 +dimension 20 +uid 1265,0 +) +*234 (MRCItem +litem &191 +pos 8 +dimension 20 +uid 1267,0 +) +*235 (MRCItem +litem &192 +pos 9 +dimension 20 +uid 1269,0 +) +*236 (MRCItem +litem &193 +pos 10 +dimension 20 +uid 1271,0 +) +*237 (MRCItem +litem &194 +pos 11 +dimension 20 +uid 1273,0 +) +*238 (MRCItem +litem &195 +pos 12 +dimension 20 +uid 1275,0 +) +*239 (MRCItem +litem &196 +pos 13 +dimension 20 +uid 1277,0 +) +*240 (MRCItem +litem &197 +pos 14 +dimension 20 +uid 1279,0 +) +*241 (MRCItem +litem &198 +pos 15 +dimension 20 +uid 1281,0 +) +*242 (MRCItem +litem &199 +pos 16 +dimension 20 +uid 1283,0 +) +*243 (MRCItem +litem &200 +pos 17 +dimension 20 +uid 1285,0 +) +*244 (MRCItem +litem &201 +pos 18 +dimension 20 +uid 1287,0 +) +*245 (MRCItem +litem &202 +pos 19 +dimension 20 +uid 1289,0 +) +*246 (MRCItem +litem &203 +pos 20 +dimension 20 +uid 1291,0 +) +*247 (MRCItem +litem &204 +pos 21 +dimension 20 +uid 1293,0 +) +*248 (MRCItem +litem &205 +pos 22 +dimension 20 +uid 1295,0 +) +*249 (MRCItem +litem &206 +pos 23 +dimension 20 +uid 1297,0 +) +*250 (MRCItem +litem &207 +pos 24 +dimension 20 +uid 1299,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 850,0 +optionalChildren [ +*251 (MRCItem +litem &153 +pos 0 +dimension 20 +uid 851,0 +) +*252 (MRCItem +litem &155 +pos 1 +dimension 50 +uid 852,0 +) +*253 (MRCItem +litem &156 +pos 2 +dimension 70 +uid 853,0 +) +*254 (MRCItem +litem &157 +pos 3 +dimension 50 +uid 854,0 +) +*255 (MRCItem +litem &158 +pos 4 +dimension 80 +uid 855,0 +) +*256 (MRCItem +litem &159 +pos 5 +dimension 60 +uid 856,0 +) +*257 (MRCItem +litem &160 +pos 6 +dimension 80 +uid 857,0 +) +*258 (MRCItem +litem &161 +pos 7 +dimension 40 +uid 858,0 +) +*259 (MRCItem +litem &162 +pos 8 +dimension 40 +uid 859,0 +) +*260 (MRCItem +litem &163 +pos 9 +dimension 100 +uid 860,0 +) +*261 (MRCItem +litem &164 +pos 10 +dimension 60 +uid 861,0 +) +*262 (MRCItem +litem &165 +pos 11 +dimension 130 +uid 862,0 +) +*263 (MRCItem +litem &166 +pos 12 +dimension 56 +uid 863,0 +) +*264 (MRCItem +litem &167 +pos 13 +dimension 50 +uid 864,0 +) +*265 (MRCItem +litem &168 +pos 14 +dimension 50 +uid 865,0 +) +*266 (MRCItem +litem &169 +pos 15 +dimension 80 +uid 866,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 845,0 +vaOverrides [ +] +) +] +) +uid 822,0 +) +cdmCsm &103 +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *267 (LEmptyRow +) +uid 868,0 +optionalChildren [ +*268 (RefLabelRowHdr +) +*269 (TitleRowHdr +) +*270 (FilterRowHdr +) +*271 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*272 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*273 (GroupColHdr +tm "GroupColHdrMgr" +) +*274 (NameColHdr +tm "GenericNameColHdrMgr" +) +*275 (InitColHdr +tm "GenericValueColHdrMgr" +) +*276 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 878,0 +optionalChildren [ +*277 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *278 (MRCItem +litem &267 +pos 3 +dimension 20 +) +uid 880,0 +optionalChildren [ +*279 (MRCItem +litem &268 +pos 0 +dimension 20 +uid 881,0 +) +*280 (MRCItem +litem &269 +pos 1 +dimension 23 +uid 882,0 +) +*281 (MRCItem +litem &270 +pos 2 +hidden 1 +dimension 20 +uid 883,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 884,0 +optionalChildren [ +*282 (MRCItem +litem &271 +pos 0 +dimension 20 +uid 885,0 +) +*283 (MRCItem +litem &273 +pos 1 +dimension 50 +uid 886,0 +) +*284 (MRCItem +litem &274 +pos 2 +dimension 100 +uid 887,0 +) +*285 (MRCItem +litem &275 +pos 3 +dimension 50 +uid 888,0 +) +*286 (MRCItem +litem &276 +pos 4 +dimension 80 +uid 889,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 879,0 +vaOverrides [ +] +) +] +) +uid 867,0 +type 1 +) +signalSuffix "_int" +clockSuffix "_cld" +defaultState (State +shape (Circle +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "-3000,-3000,3000,3000" +radius 3000 +) +name (Text +va (VaSet +font "courier,10,1" +) +xt "0,0,1200,1000" +st "s0" +ju 0 +blo "600,800" +tm "ONodeName" +) +wait (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "1000,900,4600,1900" +st "wait 2" +blo "1000,1700" +tm "SmWaitText" +) +) +encoding (Text +va (VaSet +font "courier,8,1" +) +blo "0,0" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "3900,2900,4100,3100" +) +autoResize 1 +tline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +pts [ +"0,0" +"0,0" +] +) +bline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +pts [ +"0,0" +"0,0" +] +) +ttri (Triangle +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "-450,-175,-100,175" +) +btri (Triangle +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "-450,-175,-100,175" +) +entryActions (MLText +va (VaSet +font "courier,8,0" +) +tm "Actions" +) +inActions (MLText +va (VaSet +font "courier,8,0" +) +tm "Actions" +) +exitActions (MLText +va (VaSet +font "courier,8,0" +) +tm "Actions" +) +) +caseExpr (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-2150,800,3350,1700" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +defaultWaitState (State +shape (CircleInOctagon +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "26368,26368,26368" +lineWidth 2 +) +xt "-529,-529,6529,6529" +) +name (Text +va (VaSet +font "courier,10,1" +) +xt "0,0,1200,1000" +st "s0" +ju 0 +blo "600,800" +tm "ONodeName" +) +wait (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "1000,900,4600,1900" +st "wait 2" +blo "1000,1700" +tm "SmWaitText" +) +) +encoding (Text +va (VaSet +font "courier,8,1" +) +blo "0,0" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "8900,5900,9100,6100" +) +autoResize 1 +tline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "5000,3000,5000,3000" +pts [ +"5000,3000" +"5000,3000" +] +) +bline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "5000,3000,5000,3000" +pts [ +"5000,3000" +"5000,3000" +] +) +ttri (Triangle +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "4550,2825,4900,3175" +) +btri (Triangle +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "4550,2825,4900,3175" +) +entryActions (MLText +va (VaSet +font "courier,8,0" +) +xt "5000,3000,5000,3000" +tm "Actions" +) +inActions (MLText +va (VaSet +font "courier,8,0" +) +xt "5000,3000,5000,3000" +tm "Actions" +) +exitActions (MLText +va (VaSet +font "courier,8,0" +) +xt "5000,3000,5000,3000" +tm "Actions" +) +) +caseExpr (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-2150,800,3350,1700" +st "CASE: expr" +tm "SmCaseExpr" +) +) +isWait 1 +) +defaultCompositeState (CompositeState +shape (TripleCircle +va (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "-3000,-3000,3000,3000" +radius 3000 +) +name (Text +va (VaSet +font "courier,10,1" +) +xt "-600,-500,600,500" +st "s0" +ju 0 +blo "0,300" +tm "ONodeName" +) +childDiagram &0 +) +defaultJunction (Junction +shape (Diamond +va (VaSet +vasetType 1 +fg "59904,39936,65280" +) +xt "-700,-700,1700,1700" +) +symbol (Text +va (VaSet +font "courier,10,1" +) +xt "200,0,800,1000" +st "&" +ju 0 +blo "500,800" +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "2000,1000,2000,1000" +blo "2000,1000" +tm "JunctionName" +) +) +caseExpr (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-2450,1800,3050,2700" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +defaultEntryPoint (EntryPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "29952,39936,65280" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-875,875,1375,1875" +) +(Line +sl 0 +ro 270 +xt "1375,1375,1875,1375" +pts [ +"1375,1375" +"1875,1375" +] +) +] +) +) +defaultInterruptPoint (InterruptPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,0,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-875,875,1375,1875" +) +(Line +sl 0 +ro 270 +xt "1375,1375,1875,1375" +pts [ +"1375,1375" +"1875,1375" +] +) +(CustomPolygon +pts [ +"-625,1600" +"-625,1300" +"25,1425" +"-75,1150" +"1025,1350" +"200,1350" +"375,1600" +] +sl 0 +ro 270 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "65535,0,0" +lineColor "65535,65535,0" +) +xt "-625,1150,1025,1600" +) +] +) +) +defaultLink (Link +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-375,875,1875,1875" +) +(Line +sl 0 +ro 270 +xt "-875,1375,-375,1375" +pts [ +"-875,1375" +"-375,1375" +] +) +] +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "2375,875,4375,1775" +st "Link" +blo "2375,1575" +tm "LinkName" +) +) +) +defaultExitPoint (ExitPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "29952,39936,65280" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-375,875,1875,1875" +) +(Line +sl 0 +ro 270 +xt "-875,1375,-375,1375" +pts [ +"-875,1375" +"-375,1375" +] +) +] +) +) +defaultTransition (Transition +shape (Spline +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +arrow 1 +) +ss 0 +es 0 +cond "condition" +tb (TransitionBlock +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "-500,-500,5000,1400" +) +autoResize 1 +lineShape (Line +va (VaSet +vasetType 3 +isHidden 1 +) +xt "2250,1300,2250,1300" +pts [ +"2250,1300" +"2250,1300" +] +) +condition (MLText +va (VaSet +font "courier,8,0" +) +xt "0,0,4500,900" +st "condition" +tm "Condition" +) +actions (MLText +va (VaSet +font "courier,8,0" +) +xt "2250,1700,2250,1700" +tm "Actions" +) +) +tp (TransitionPriority +ps "PercentageFromStartStrategy" +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "-790,-790,790,790" +radius 790 +) +pr (Text +va (VaSet +font "courier,8,0" +) +xt "-250,-450,250,450" +st "1" +ju 0 +blo "0,250" +tm "TransitionPriority" +) +padding "200,200" +) +) +defaultClk (SmClockPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-625,625,1625,1625" +) +(OrthoPolyLine +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "275,825,874,1425" +pts [ +"275,1425" +"574,1425" +"574,825" +"874,825" +] +) +(Arc2D +pts [ +"-116,1278" +"-371,972" +"-116,972" +] +sl 0 +ro 270 +va (VaSet +vasetType 1 +transparent 1 +) +xt "-371,972,-116,1278" +) +] +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +font "courier,8,0" +) +xt "-2625,625,-1125,1525" +st "clk" +ju 2 +blo "-1125,1325" +tm "SmControlSignalNameMgr" +) +) +cond (SmControlCondition +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "1625,575,3825,1675" +) +autoResize 1 +cond (MLText +va (VaSet +font "courier,8,0" +) +xt "1725,675,3725,1575" +st "cond" +tm "SmControlConditionMgr" +) +) +) +defaultEnable (SmEnablePoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-625,625,1625,1625" +) +(OrthoPolyLine +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "275,825,874,1425" +pts [ +"874,1425" +"574,1425" +"574,825" +"275,825" +] +) +(Arc2D +pts [ +"-130,1263" +"-415,1064" +"-76,1064" +] +layer 10 +sl 0 +ro 270 +va (VaSet +vasetType 1 +transparent 1 +) +xt "-415,1064,-76,1263" +) +(Line +sl 0 +ro 270 +xt "-415,1064,-106,1064" +pts [ +"-415,1064" +"-106,1064" +] +) +] +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +font "courier,8,0" +) +xt "-4125,625,-1125,1525" +st "enable" +ju 2 +blo "-1125,1325" +tm "SmControlSignalNameMgr" +) +) +cond (SmControlCondition +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "1625,575,3825,1675" +) +autoResize 1 +cond (MLText +va (VaSet +font "courier,8,0" +) +xt "1725,675,3725,1575" +st "cond" +tm "SmControlConditionMgr" +) +) +) +defaultRst (SmResetPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-625,625,1625,1625" +) +(OrthoPolyLine +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "275,825,874,1425" +pts [ +"874,1425" +"574,1425" +"574,825" +"275,825" +] +) +(Line +sl 0 +ro 270 +xt "-376,950,-276,1000" +pts [ +"-376,1000" +"-276,950" +] +) +(Line +sl 0 +ro 270 +xt "-376,950,-376,1300" +pts [ +"-376,1300" +"-376,950" +] +) +(Circle +layer 10 +sl 0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,0" +) +xt "424,975,724,1275" +radius 150 +) +] +) +cond (SmControlCondition +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "-625,-875,1575,225" +) +autoResize 1 +cond (MLText +va (VaSet +font "courier,8,0" +) +xt "-525,-775,1475,125" +st "cond" +tm "SmControlConditionMgr" +) +) +prio (TransitionPriority +ps "PercentageFromStartStrategy" +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "1625,335,3205,1915" +radius 790 +) +pr (Text +va (VaSet +font "courier,8,0" +) +xt "2165,675,2665,1575" +st "1" +ju 0 +blo "2415,1375" +tm "TransitionPriority" +) +padding "200,200" +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +font "courier,8,0" +) +xt "-2125,675,-625,1575" +st "rst" +ju 2 +blo "-625,1375" +tm "SmControlSignalNameMgr" +) +) +actions (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "4750,2625,11750,3525" +st "< Automatic >" +tm "Actions" +) +) +) +defaultRecStatePt (SmRecoveryStatePoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,0,0" +) +optionalChildren [ +(Circle +sl 0 +xt "-900,-900,900,900" +radius 900 +) +(Line +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "-425,-425,425,425" +pts [ +"-425,425" +"425,-425" +] +) +(Line +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "-425,-425,425,425" +pts [ +"425,425" +"-425,-425" +] +) +] +) +) +LanguageMgr "Verilog2001LangMgr" +) +) +] +shape (Rectangle +uid 815,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "39000,18000,42000,23000" +) +ttg (MlTextGroup +uid 816,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*287 (Text +uid 817,0 +va (VaSet +font "courier,8,1" +) +xt "40000,19600,41000,20500" +st "SM" +blo "40000,20300" +tm "HdlTextNameMgr" +) +*288 (Text +uid 818,0 +va (VaSet +font "courier,8,1" +) +xt "40000,20500,40500,21400" +st "1" +blo "40000,21200" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 819,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "39250,21250,40750,22750" +iconName "StateMachineViewIcon.png" +iconMaskName "StateMachineViewIcon.msk" +ftype 3 +) +viewiconposition 0 +) +*289 (HdlText +uid 1301,0 +optionalChildren [ +*290 (EmbeddedText +uid 1307,0 +commentText (CommentText +uid 1308,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1309,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "47000,34000,65000,39000" +) +text (MLText +uid 1310,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "47200,34200,62700,35100" +st " +assign incoming_space=~inbusy; + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +] +shape (Rectangle +uid 1302,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "39000,34000,42000,37000" +) +ttg (MlTextGroup +uid 1303,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*291 (Text +uid 1304,0 +va (VaSet +font "courier,8,1" +) +xt "39750,34600,41250,35500" +st "eb1" +blo "39750,35300" +tm "HdlTextNameMgr" +) +*292 (Text +uid 1305,0 +va (VaSet +font "courier,8,1" +) +xt "39750,35500,40250,36400" +st "2" +blo "39750,36200" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 1306,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "39250,35250,40750,36750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*293 (HdlText +uid 1327,0 +optionalChildren [ +*294 (EmbeddedText +uid 1333,0 +commentText (CommentText +uid 1334,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1335,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "65000,25000,83000,30000" +) +text (MLText +uid 1336,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "65200,25200,82400,29700" +st " +initial + begin + state = 3'b0; + addra = `pbuf_awidth_32'b0; + end + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +] +shape (Rectangle +uid 1328,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "57000,25000,60000,28000" +) +ttg (MlTextGroup +uid 1329,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*295 (Text +uid 1330,0 +va (VaSet +font "courier,8,1" +) +xt "57750,25600,59250,26500" +st "eb2" +blo "57750,26300" +tm "HdlTextNameMgr" +) +*296 (Text +uid 1331,0 +va (VaSet +font "courier,8,1" +) +xt "57750,26500,58250,27400" +st "3" +blo "57750,27200" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 1332,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "57250,26250,58750,27750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*297 (HdlText +uid 1345,0 +optionalChildren [ +*298 (EmbeddedText +uid 1351,0 +commentText (CommentText +uid 1352,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1353,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "65000,7000,83000,12000" +) +text (MLText +uid 1354,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "65200,7200,78200,8100" +st " +assign send_resp=send_rp; + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +] +shape (Rectangle +uid 1346,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "57000,7000,60000,10000" +) +ttg (MlTextGroup +uid 1347,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*299 (Text +uid 1348,0 +va (VaSet +font "courier,8,1" +) +xt "57750,7600,59250,8500" +st "eb3" +blo "57750,8300" +tm "HdlTextNameMgr" +) +*300 (Text +uid 1349,0 +va (VaSet +font "courier,8,1" +) +xt "57750,8500,58250,9400" +st "4" +blo "57750,9200" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 1350,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "57250,8250,58750,9750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*301 (HdlText +uid 1371,0 +optionalChildren [ +*302 (EmbeddedText +uid 1377,0 +commentText (CommentText +uid 1378,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1379,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "47000,7000,65000,12000" +) +text (MLText +uid 1380,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "47200,7200,58200,11700" +st " +initial + begin + send_rp = 0; + we = 0; + end + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +] +shape (Rectangle +uid 1372,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "39000,7000,42000,10000" +) +ttg (MlTextGroup +uid 1373,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*303 (Text +uid 1374,0 +va (VaSet +font "courier,8,1" +) +xt "39750,7600,41250,8500" +st "eb4" +blo "39750,8300" +tm "HdlTextNameMgr" +) +*304 (Text +uid 1375,0 +va (VaSet +font "courier,8,1" +) +xt "39750,8500,40250,9400" +st "5" +blo "39750,9200" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 1376,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "39250,8250,40750,9750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*305 (HdlText +uid 1397,0 +optionalChildren [ +*306 (EmbeddedText +uid 1403,0 +commentText (CommentText +uid 1404,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1405,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "65000,18000,83000,23000" +) +text (MLText +uid 1406,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "65200,18200,82400,22700" +st " +assign addrb= (addra==`pbuf_awidth_32'd7)?(`pbuf_awidth_32'h8): + (addra==`pbuf_awidth_32'd8)?(`pbuf_awidth_32'h7): + addra; + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +] +shape (Rectangle +uid 1398,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "57000,18000,60000,21000" +) +ttg (MlTextGroup +uid 1399,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*307 (Text +uid 1400,0 +va (VaSet +font "courier,8,1" +) +xt "57750,18600,59250,19500" +st "eb5" +blo "57750,19300" +tm "HdlTextNameMgr" +) +*308 (Text +uid 1401,0 +va (VaSet +font "courier,8,1" +) +xt "57750,19500,58250,20400" +st "6" +blo "57750,20200" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 1402,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "57250,19250,58750,20750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*309 (HdlText +uid 1423,0 +optionalChildren [ +*310 (EmbeddedText +uid 1429,0 +commentText (CommentText +uid 1430,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1431,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "102000,34000,120000,39000" +) +text (MLText +uid 1432,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "102200,34200,118400,36900" +st " +assign respAddr=(req_avail)?(resp_addr+`pbuf_awidth_32'd11):addra; + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +] +shape (Rectangle +uid 1424,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "94000,34000,97000,38000" +) +ttg (MlTextGroup +uid 1425,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*311 (Text +uid 1426,0 +va (VaSet +font "courier,8,1" +) +xt "94750,35100,96250,36000" +st "eb6" +blo "94750,35800" +tm "HdlTextNameMgr" +) +*312 (Text +uid 1427,0 +va (VaSet +font "courier,8,1" +) +xt "94750,36000,95250,36900" +st "7" +blo "94750,36700" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 1428,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "94250,36250,95750,37750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*313 (HdlText +uid 1465,0 +optionalChildren [ +*314 (EmbeddedText +uid 1471,0 +commentText (CommentText +uid 1472,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1473,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "83000,21000,101000,26000" +) +text (MLText +uid 1474,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "83200,21200,98900,23900" +st " +assign reqAddr=(req_avail)?(req_addr+`pbuf_awidth_32'd11):addrb; + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +] +shape (Rectangle +uid 1466,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "75000,21000,78000,25000" +) +ttg (MlTextGroup +uid 1467,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*315 (Text +uid 1468,0 +va (VaSet +font "courier,8,1" +) +xt "75750,22100,77250,23000" +st "eb7" +blo "75750,22800" +tm "HdlTextNameMgr" +) +*316 (Text +uid 1469,0 +va (VaSet +font "courier,8,1" +) +xt "75750,23000,76250,23900" +st "8" +blo "75750,23700" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 1470,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "75250,23250,76750,24750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*317 (HdlText +uid 1507,0 +optionalChildren [ +*318 (EmbeddedText +uid 1513,0 +commentText (CommentText +uid 1514,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1515,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "83000,34000,101000,39000" +) +text (MLText +uid 1516,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "83200,34200,100700,38700" +st " +assign respData=(req_avail)?(resp_data): + (addra==`pbuf_awidth_32'd9)?{reqData[15:0],reqData[31:16]}: // swap UDP ports... + reqData; + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +] +shape (Rectangle +uid 1508,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "75000,34000,78000,39000" +) +ttg (MlTextGroup +uid 1509,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*319 (Text +uid 1510,0 +va (VaSet +font "courier,8,1" +) +xt "75750,35600,77250,36500" +st "eb8" +blo "75750,36300" +tm "HdlTextNameMgr" +) +*320 (Text +uid 1511,0 +va (VaSet +font "courier,8,1" +) +xt "75750,36500,76250,37400" +st "9" +blo "75750,37200" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 1512,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "75250,37250,76750,38750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*321 (HdlText +uid 1557,0 +optionalChildren [ +*322 (EmbeddedText +uid 1563,0 +commentText (CommentText +uid 1564,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1565,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "65000,-1000,83000,4000" +) +text (MLText +uid 1566,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "65200,-800,82700,1000" +st " +assign respWE=(req_avail)?resp_we:we; + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +] +shape (Rectangle +uid 1558,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "57000,-1000,60000,3000" +) +ttg (MlTextGroup +uid 1559,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*323 (Text +uid 1560,0 +va (VaSet +font "courier,8,1" +) +xt "57750,100,59250,1000" +st "eb9" +blo "57750,800" +tm "HdlTextNameMgr" +) +*324 (Text +uid 1561,0 +va (VaSet +font "courier,8,1" +) +xt "57750,1000,58750,1900" +st "10" +blo "57750,1700" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 1562,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "57250,1250,58750,2750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*325 (HdlText +uid 1599,0 +optionalChildren [ +*326 (EmbeddedText +uid 1605,0 +commentText (CommentText +uid 1606,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1607,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "47000,-1000,65000,4000" +) +text (MLText +uid 1608,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "47200,-800,59700,100" +st " +assign req_data=reqData; + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +] +shape (Rectangle +uid 1600,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "39000,-1000,42000,2000" +) +ttg (MlTextGroup +uid 1601,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*327 (Text +uid 1602,0 +va (VaSet +font "courier,8,1" +) +xt "39500,-400,41500,500" +st "eb10" +blo "39500,300" +tm "HdlTextNameMgr" +) +*328 (Text +uid 1603,0 +va (VaSet +font "courier,8,1" +) +xt "39500,500,40500,1400" +st "11" +blo "39500,1200" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 1604,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "39250,250,40750,1750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*329 (HdlText +uid 1625,0 +optionalChildren [ +*330 (EmbeddedText +uid 1631,0 +commentText (CommentText +uid 1632,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1633,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "22000,7000,40000,12000" +) +text (MLText +uid 1634,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "22200,7200,35200,9900" +st " +always @(posedge ipb_clk) + req_avail<=(state==ST_WAIT_FOR_ENGINE); + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +] +shape (Rectangle +uid 1626,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "14000,7000,17000,10000" +) +ttg (MlTextGroup +uid 1627,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*331 (Text +uid 1628,0 +va (VaSet +font "courier,8,1" +) +xt "14500,7600,16500,8500" +st "eb11" +blo "14500,8300" +tm "HdlTextNameMgr" +) +*332 (Text +uid 1629,0 +va (VaSet +font "courier,8,1" +) +xt "14500,8500,15500,9400" +st "12" +blo "14500,9200" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 1630,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "14250,8250,15750,9750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*333 (GlobalConnector +uid 1707,0 +shape (Circle +uid 1708,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-2000,39000,0,41000" +radius 1000 +) +name (Text +uid 1709,0 +va (VaSet +font "courier,8,1" +) +xt "-1250,39550,-750,40450" +st "G" +blo "-1250,40250" +) +) +*334 (GlobalConnector +uid 1716,0 +shape (Circle +uid 1717,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-2000,41000,0,43000" +radius 1000 +) +name (Text +uid 1718,0 +va (VaSet +font "courier,8,1" +) +xt "-1250,41550,-750,42450" +st "G" +blo "-1250,42250" +) +) +*335 (GlobalConnector +uid 1725,0 +shape (Circle +uid 1726,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-2000,43000,0,45000" +radius 1000 +) +name (Text +uid 1727,0 +va (VaSet +font "courier,8,1" +) +xt "-1250,43550,-750,44450" +st "G" +blo "-1250,44250" +) +) +*336 (GlobalConnector +uid 1734,0 +shape (Circle +uid 1735,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-2000,45000,0,47000" +radius 1000 +) +name (Text +uid 1736,0 +va (VaSet +font "courier,8,1" +) +xt "-1250,45550,-750,46450" +st "G" +blo "-1250,46250" +) +) +*337 (Property +uid 1763,0 +pclass "HDS" +pname "DocView" +pvalue "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/workspace/IPbusFirmware/firmware/ipbus/hdl/sub_packetbuffer.v" +ptn "String" +) +*338 (Property +uid 1764,0 +pclass "HDS" +pname "DocViewState" +pvalue "1352727141" +ptn "String" +) +*339 (Wire +uid 43,0 +shape (OrthoPolyLine +uid 44,0 +va (VaSet +vasetType 3 +) +xt "-3000,42000,-2000,42000" +pts [ +"-3000,42000" +"-2000,42000" +] +) +start &13 +end &334 +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 47,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 48,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-4000,41100,-500,42000" +st "ipb_clk" +blo "-4000,41800" +tm "WireNameMgr" +) +) +on &14 +) +*340 (Wire +uid 57,0 +shape (OrthoPolyLine +uid 58,0 +va (VaSet +vasetType 3 +) +xt "-3000,44000,-2000,44000" +pts [ +"-3000,44000" +"-2000,44000" +] +) +start &15 +end &335 +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 61,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 62,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-4000,43100,-500,44000" +st "mac_clk" +blo "-4000,43800" +tm "WireNameMgr" +) +) +on &16 +) +*341 (Wire +uid 71,0 +shape (OrthoPolyLine +uid 72,0 +va (VaSet +vasetType 3 +) +xt "-3000,46000,-2000,46000" +pts [ +"-3000,46000" +"-2000,46000" +] +) +start &17 +end &336 +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 75,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 76,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-4000,45100,-1500,46000" +st "reset" +blo "-4000,45800" +tm "WireNameMgr" +) +) +on &18 +) +*342 (Wire +uid 85,0 +shape (OrthoPolyLine +uid 86,0 +va (VaSet +vasetType 3 +) +xt "5000,20000,6250,20000" +pts [ +"5000,20000" +"6250,20000" +] +) +start &19 +end &67 +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 89,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 90,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "2000,19100,9500,20000" +st "incoming_ready" +blo "2000,19800" +tm "WireNameMgr" +) +) +on &20 +) +*343 (Wire +uid 127,0 +shape (OrthoPolyLine +uid 128,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "5000,21000,6250,21000" +pts [ +"5000,21000" +"6250,21000" +] +) +start &25 +end &74 +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 131,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 132,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "3000,20100,4500,21000" +st "rxd" +blo "3000,20800" +tm "WireNameMgr" +) +) +on &26 +) +*344 (Wire +uid 141,0 +shape (OrthoPolyLine +uid 142,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "5000,22000,6250,22000" +pts [ +"5000,22000" +"6250,22000" +] +) +start &27 +end &72 +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 145,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 146,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-1000,21100,500,22000" +st "rxl" +blo "-1000,21800" +tm "WireNameMgr" +) +) +on &28 +) +*345 (Wire +uid 225,0 +shape (OrthoPolyLine +uid 226,0 +va (VaSet +vasetType 3 +) +xt "107000,1000,108250,1000" +pts [ +"107000,1000" +"108250,1000" +] +) +start &39 +end &89 +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 229,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 230,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "106000,100,108500,1000" +st "tx_ok" +blo "106000,800" +tm "WireNameMgr" +) +) +on &40 +) +*346 (Wire +uid 267,0 +shape (OrthoPolyLine +uid 268,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "74000,22000,75000,22000" +pts [ +"74000,22000" +"75000,22000" +] +) +start &45 +end &313 +ss 0 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 271,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 272,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "66000,21100,70000,22000" +st "req_addr" +blo "66000,21800" +tm "WireNameMgr" +) +) +on &46 +) +*347 (Wire +uid 309,0 +shape (OrthoPolyLine +uid 310,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "93000,35000,94000,35000" +pts [ +"93000,35000" +"94000,35000" +] +) +start &51 +end &309 +ss 0 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 313,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 314,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "85000,34100,89500,35000" +st "resp_addr" +blo "85000,34800" +tm "WireNameMgr" +) +) +on &52 +) +*348 (Wire +uid 323,0 +shape (OrthoPolyLine +uid 324,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "74000,35000,75000,35000" +pts [ +"74000,35000" +"75000,35000" +] +) +start &53 +end &317 +ss 0 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 327,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 328,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "70000,34100,74500,35000" +st "resp_data" +blo "70000,34800" +tm "WireNameMgr" +) +) +on &54 +) +*349 (Wire +uid 337,0 +shape (OrthoPolyLine +uid 338,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "107000,2000,108250,2000" +pts [ +"107000,2000" +"108250,2000" +] +) +start &55 +end &93 +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 341,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 342,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "100000,1100,104000,2000" +st "resp_len" +blo "100000,1800" +tm "WireNameMgr" +) +) +on &56 +) +*350 (Wire +uid 351,0 +shape (OrthoPolyLine +uid 352,0 +va (VaSet +vasetType 3 +) +xt "56000,0,57000,0" +pts [ +"56000,0" +"57000,0" +] +) +start &57 +end &321 +ss 0 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 355,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 356,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "55000,-900,58500,0" +st "resp_we" +blo "55000,-200" +tm "WireNameMgr" +) +) +on &58 +) +*351 (Wire +uid 379,0 +shape (OrthoPolyLine +uid 380,0 +va (VaSet +vasetType 3 +) +xt "38000,19000,39000,19000" +pts [ +"38000,19000" +"39000,19000" +] +) +start &61 +end &101 +ss 0 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 383,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 384,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "36000,18100,40500,19000" +st "resp_done" +blo "36000,18800" +tm "WireNameMgr" +) +) +on &62 +) +*352 (Wire +uid 507,0 +shape (OrthoPolyLine +uid 508,0 +va (VaSet +vasetType 3 +) +xt "4000,25000,6250,25000" +pts [ +"4000,25000" +"6250,25000" +] +) +end &64 +sat 16 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 511,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 512,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,24100,3500,25000" +st "ipb_clk" +blo "0,24800" +tm "WireNameMgr" +) +) +on &14 +) +*353 (Wire +uid 513,0 +shape (OrthoPolyLine +uid 514,0 +va (VaSet +vasetType 3 +) +xt "4000,23000,6250,23000" +pts [ +"4000,23000" +"6250,23000" +] +) +end &65 +sat 16 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 517,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 518,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,22100,3500,23000" +st "mac_clk" +blo "0,22800" +tm "WireNameMgr" +) +) +on &16 +) +*354 (Wire +uid 519,0 +shape (OrthoPolyLine +uid 520,0 +va (VaSet +vasetType 3 +) +xt "4000,26000,6250,26000" +pts [ +"4000,26000" +"6250,26000" +] +) +end &66 +sat 16 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 523,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 524,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "1000,25100,3500,26000" +st "reset" +blo "1000,25800" +tm "WireNameMgr" +) +) +on &18 +) +*355 (Wire +uid 531,0 +shape (OrthoPolyLine +uid 532,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "24750,21000,26000,21000" +pts [ +"24750,21000" +"26000,21000" +] +) +start &73 +end &23 +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 535,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 536,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "19000,20100,20500,21000" +st "rxa" +blo "19000,20800" +tm "WireNameMgr" +) +) +on &24 +) +*356 (Wire +uid 543,0 +shape (OrthoPolyLine +uid 544,0 +va (VaSet +vasetType 3 +) +xt "4000,24000,6250,24000" +pts [ +"4000,24000" +"6250,24000" +] +) +end &68 +sat 16 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 547,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 548,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-1000,23100,3500,24000" +st "send_resp" +blo "-1000,23800" +tm "WireNameMgr" +) +) +on &8 +) +*357 (Wire +uid 555,0 +shape (OrthoPolyLine +uid 556,0 +va (VaSet +vasetType 3 +) +xt "24750,26000,39000,36000" +pts [ +"24750,26000" +"31000,26000" +"31000,36000" +"39000,36000" +] +) +start &71 +end &289 +ss 0 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 559,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 560,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "35000,35100,38000,36000" +st "inbusy" +blo "35000,35800" +tm "WireNameMgr" +) +) +on &5 +) +*358 (Wire +uid 561,0 +shape (OrthoPolyLine +uid 562,0 +va (VaSet +vasetType 3 +) +xt "24750,20000,26000,20000" +pts [ +"24750,20000" +"26000,20000" +] +) +start &69 +end &21 +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 565,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 566,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "21000,19100,30500,20000" +st "done_with_incoming" +blo "21000,19800" +tm "WireNameMgr" +) +) +on &22 +) +*359 (Wire +uid 567,0 +shape (OrthoPolyLine +uid 568,0 +va (VaSet +vasetType 3 +) +xt "24750,20000,39000,23000" +pts [ +"24750,23000" +"30000,23000" +"30000,20000" +"39000,20000" +] +) +start &70 +end &101 +ss 0 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 571,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 572,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "32000,19100,38500,20000" +st "packet_avail" +blo "32000,19800" +tm "WireNameMgr" +) +) +on &6 +) +*360 (Wire +uid 573,0 +shape (OrthoPolyLine +uid 574,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "24750,22000,26000,22000" +pts [ +"24750,22000" +"26000,22000" +] +) +start &77 +end &49 +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 577,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 578,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "18000,21100,21500,22000" +st "req_len" +blo "18000,21800" +tm "WireNameMgr" +) +) +on &50 +) +*361 (Wire +uid 579,0 +optionalChildren [ +*362 (BdJunction +uid 1753,0 +ps "OnConnectorStrategy" +shape (Circle +uid 1754,0 +va (VaSet +vasetType 1 +) +xt "32600,37600,33400,38400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 580,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "24750,27000,75000,38000" +pts [ +"24750,27000" +"30000,27000" +"30000,38000" +"75000,38000" +] +) +start &76 +end &317 +ss 0 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 583,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 584,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "66000,37100,69500,38000" +st "reqData" +blo "66000,37800" +tm "WireNameMgr" +) +) +on &3 +) +*363 (Wire +uid 721,0 +shape (OrthoPolyLine +uid 722,0 +va (VaSet +vasetType 3 +) +xt "106000,5000,108250,5000" +pts [ +"106000,5000" +"108250,5000" +] +) +end &82 +sat 16 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 725,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 726,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "102000,4100,105500,5000" +st "ipb_clk" +blo "102000,4800" +tm "WireNameMgr" +) +) +on &14 +) +*364 (Wire +uid 727,0 +shape (OrthoPolyLine +uid 728,0 +va (VaSet +vasetType 3 +) +xt "106000,6000,108250,6000" +pts [ +"106000,6000" +"108250,6000" +] +) +end &83 +sat 16 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 731,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 732,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "102000,5100,105500,6000" +st "mac_clk" +blo "102000,5800" +tm "WireNameMgr" +) +) +on &16 +) +*365 (Wire +uid 733,0 +shape (OrthoPolyLine +uid 734,0 +va (VaSet +vasetType 3 +) +xt "106000,7000,108250,7000" +pts [ +"106000,7000" +"108250,7000" +] +) +end &84 +sat 16 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 737,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 738,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "103000,6100,105500,7000" +st "reset" +blo "103000,6800" +tm "WireNameMgr" +) +) +on &18 +) +*366 (Wire +uid 739,0 +shape (OrthoPolyLine +uid 740,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "119750,1000,121000,1000" +pts [ +"119750,1000" +"121000,1000" +] +) +start &90 +end &29 +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 743,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 744,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "114000,100,115500,1000" +st "txa" +blo "114000,800" +tm "WireNameMgr" +) +) +on &30 +) +*367 (Wire +uid 745,0 +shape (OrthoPolyLine +uid 746,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "119750,2000,121000,2000" +pts [ +"119750,2000" +"121000,2000" +] +) +start &92 +end &31 +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 749,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 750,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "117000,1100,118500,2000" +st "txd" +blo "117000,1800" +tm "WireNameMgr" +) +) +on &32 +) +*368 (Wire +uid 751,0 +shape (OrthoPolyLine +uid 752,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "119750,6000,121000,6000" +pts [ +"119750,6000" +"121000,6000" +] +) +start &91 +end &41 +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 755,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 756,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "114000,5100,115500,6000" +st "txl" +blo "114000,5800" +tm "WireNameMgr" +) +) +on &42 +) +*369 (Wire +uid 757,0 +shape (OrthoPolyLine +uid 758,0 +va (VaSet +vasetType 3 +) +xt "119750,3000,121000,3000" +pts [ +"119750,3000" +"121000,3000" +] +) +start &87 +end &33 +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 761,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 762,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "119000,2100,121500,3000" +st "tx_we" +blo "119000,2800" +tm "WireNameMgr" +) +) +on &34 +) +*370 (Wire +uid 763,0 +shape (OrthoPolyLine +uid 764,0 +va (VaSet +vasetType 3 +) +xt "119750,4000,121000,4000" +pts [ +"119750,4000" +"121000,4000" +] +) +start &85 +end &35 +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 767,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 768,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "119000,3100,122000,4000" +st "tx_req" +blo "119000,3800" +tm "WireNameMgr" +) +) +on &36 +) +*371 (Wire +uid 775,0 +shape (OrthoPolyLine +uid 776,0 +va (VaSet +vasetType 3 +) +xt "119750,5000,121000,5000" +pts [ +"119750,5000" +"121000,5000" +] +) +start &86 +end &37 +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 779,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 780,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "119000,4100,122500,5000" +st "tx_send" +blo "119000,4800" +tm "WireNameMgr" +) +) +on &38 +) +*372 (Wire +uid 781,0 +shape (OrthoPolyLine +uid 782,0 +va (VaSet +vasetType 3 +) +xt "106000,8000,108250,8000" +pts [ +"106000,8000" +"108250,8000" +] +) +end &88 +sat 16 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 785,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 786,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "101000,7100,105500,8000" +st "send_resp" +blo "101000,7800" +tm "WireNameMgr" +) +) +on &8 +) +*373 (Wire +uid 1319,0 +shape (OrthoPolyLine +uid 1320,0 +va (VaSet +vasetType 3 +) +xt "42000,35000,43000,35000" +pts [ +"42000,35000" +"43000,35000" +] +) +start &289 +end &43 +es 0 +sat 2 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 1325,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1326,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "39000,34100,46500,35000" +st "incoming_space" +blo "39000,34800" +tm "WireNameMgr" +) +) +on &44 +) +*374 (Wire +uid 1337,0 +optionalChildren [ +*375 (BdJunction +uid 1743,0 +ps "OnConnectorStrategy" +shape (Circle +uid 1744,0 +va (VaSet +vasetType 1 +) +xt "65600,28600,66400,29400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 1338,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "51000,20000,66000,29000" +pts [ +"60000,27000" +"66000,27000" +"66000,29000" +"51000,29000" +"51000,20000" +"57000,20000" +] +) +start &293 +end &305 +sat 2 +eat 1 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 1343,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1344,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "61000,26100,63500,27000" +st "addra" +blo "61000,26800" +tm "WireNameMgr" +) +) +on &9 +) +*376 (Wire +uid 1363,0 +shape (OrthoPolyLine +uid 1364,0 +va (VaSet +vasetType 3 +) +xt "60000,8000,62000,8000" +pts [ +"60000,8000" +"62000,8000" +] +) +start &297 +sat 2 +eat 16 +stc 0 +st 0 +si 0 +tg (WTG +uid 1369,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1370,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "63000,7100,67500,8000" +st "send_resp" +blo "63000,7800" +tm "WireNameMgr" +) +) +on &8 +) +*377 (Wire +uid 1381,0 +optionalChildren [ +*378 (BdJunction +uid 1745,0 +ps "OnConnectorStrategy" +shape (Circle +uid 1746,0 +va (VaSet +vasetType 1 +) +xt "42600,8600,43400,9400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 1382,0 +va (VaSet +vasetType 3 +) +xt "42000,8000,57000,9000" +pts [ +"42000,9000" +"49000,9000" +"49000,8000" +"57000,8000" +] +) +start &301 +end &297 +sat 2 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 1387,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1388,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "43000,8100,46500,9000" +st "send_rp" +blo "43000,8800" +tm "WireNameMgr" +) +) +on &11 +) +*379 (Wire +uid 1389,0 +optionalChildren [ +*380 (BdJunction +uid 1747,0 +ps "OnConnectorStrategy" +shape (Circle +uid 1748,0 +va (VaSet +vasetType 1 +) +xt "43600,7600,44400,8400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 1390,0 +va (VaSet +vasetType 3 +) +xt "42000,1000,57000,8000" +pts [ +"42000,8000" +"48000,8000" +"48000,1000" +"57000,1000" +] +) +start &301 +end &321 +sat 2 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 1395,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1396,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "43000,7100,44000,8000" +st "we" +blo "43000,7800" +tm "WireNameMgr" +) +) +on &12 +) +*381 (Wire +uid 1415,0 +shape (OrthoPolyLine +uid 1416,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "60000,20000,75000,24000" +pts [ +"60000,20000" +"66000,20000" +"66000,24000" +"75000,24000" +] +) +start &305 +end &313 +sat 2 +eat 1 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 1421,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1422,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "61000,19100,63500,20000" +st "addrb" +blo "61000,19800" +tm "WireNameMgr" +) +) +on &10 +) +*382 (Wire +uid 1433,0 +optionalChildren [ +*383 (BdJunction +uid 1749,0 +ps "OnConnectorStrategy" +shape (Circle +uid 1750,0 +va (VaSet +vasetType 1 +) +xt "87600,28600,88400,29400" +radius 400 +) +) +*384 (BdJunction +uid 1751,0 +ps "OnConnectorStrategy" +shape (Circle +uid 1752,0 +va (VaSet +vasetType 1 +) +xt "68600,28600,69400,29400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 1434,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "66000,29000,94000,36000" +pts [ +"66000,29000" +"88000,29000" +"88000,36000" +"94000,36000" +] +) +start &375 +end &309 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 1439,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1440,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "79000,35100,81500,36000" +st "addra" +blo "79000,35800" +tm "WireNameMgr" +) +) +on &9 +) +*385 (Wire +uid 1441,0 +shape (OrthoPolyLine +uid 1442,0 +va (VaSet +vasetType 3 +) +xt "87000,14000,94000,37000" +pts [ +"87000,14000" +"87000,37000" +"94000,37000" +] +) +start *386 (BdJunction +uid 1755,0 +ps "OnConnectorStrategy" +shape (Circle +uid 1756,0 +va (VaSet +vasetType 1 +) +xt "86600,13600,87400,14400" +radius 400 +) +) +end &309 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 1447,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1448,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "89000,36100,93500,37000" +st "req_avail" +blo "89000,36800" +tm "WireNameMgr" +) +) +on &60 +) +*387 (Wire +uid 1457,0 +shape (OrthoPolyLine +uid 1458,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "97000,4000,108250,35000" +pts [ +"97000,35000" +"103000,35000" +"103000,4000" +"108250,4000" +] +) +start &309 +end &95 +es 0 +sat 2 +eat 32 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 1463,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1464,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "98000,34100,102000,35000" +st "respAddr" +blo "98000,34800" +tm "WireNameMgr" +) +) +on &2 +) +*388 (Wire +uid 1491,0 +shape (OrthoPolyLine +uid 1492,0 +va (VaSet +vasetType 3 +) +xt "69000,14000,75000,23000" +pts [ +"69000,14000" +"69000,23000" +"75000,23000" +] +) +start *389 (BdJunction +uid 1757,0 +ps "OnConnectorStrategy" +shape (Circle +uid 1758,0 +va (VaSet +vasetType 1 +) +xt "68600,13600,69400,14400" +radius 400 +) +) +end &313 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 1497,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1498,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "70000,22100,74500,23000" +st "req_avail" +blo "70000,22800" +tm "WireNameMgr" +) +) +on &60 +) +*390 (Wire +uid 1499,0 +shape (OrthoPolyLine +uid 1500,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "1000,24000,84000,30000" +pts [ +"78000,24000" +"84000,24000" +"84000,30000" +"1000,30000" +"1000,27000" +"6250,27000" +] +) +start &313 +end &75 +es 0 +sat 2 +eat 32 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 1505,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1506,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "79000,23100,82500,24000" +st "reqAddr" +blo "79000,23800" +tm "WireNameMgr" +) +) +on &1 +) +*391 (Wire +uid 1517,0 +shape (OrthoPolyLine +uid 1518,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "69000,29000,75000,36000" +pts [ +"69000,29000" +"69000,36000" +"75000,36000" +] +) +start &384 +end &317 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 1523,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1524,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "60000,35100,62500,36000" +st "addra" +blo "60000,35800" +tm "WireNameMgr" +) +) +on &9 +) +*392 (Wire +uid 1533,0 +shape (OrthoPolyLine +uid 1534,0 +va (VaSet +vasetType 3 +) +xt "68000,14000,75000,37000" +pts [ +"68000,14000" +"68000,37000" +"75000,37000" +] +) +start *393 (BdJunction +uid 1759,0 +ps "OnConnectorStrategy" +shape (Circle +uid 1760,0 +va (VaSet +vasetType 1 +) +xt "67600,13600,68400,14400" +radius 400 +) +) +end &317 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 1539,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1540,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "70000,36100,74500,37000" +st "req_avail" +blo "70000,36800" +tm "WireNameMgr" +) +) +on &60 +) +*394 (Wire +uid 1549,0 +shape (OrthoPolyLine +uid 1550,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "78000,3000,108250,35000" +pts [ +"78000,35000" +"85000,35000" +"85000,3000" +"108250,3000" +] +) +start &317 +end &94 +es 0 +sat 2 +eat 32 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 1555,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1556,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "79000,34100,83000,35000" +st "respData" +blo "79000,34800" +tm "WireNameMgr" +) +) +on &4 +) +*395 (Wire +uid 1567,0 +shape (OrthoPolyLine +uid 1568,0 +va (VaSet +vasetType 3 +) +xt "51000,2000,57000,14000" +pts [ +"51000,14000" +"51000,2000" +"57000,2000" +] +) +start *396 (BdJunction +uid 1761,0 +ps "OnConnectorStrategy" +shape (Circle +uid 1762,0 +va (VaSet +vasetType 1 +) +xt "50600,13600,51400,14400" +radius 400 +) +) +end &321 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 1573,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1574,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "52000,1100,56500,2000" +st "req_avail" +blo "52000,1800" +tm "WireNameMgr" +) +) +on &60 +) +*397 (Wire +uid 1591,0 +shape (OrthoPolyLine +uid 1592,0 +va (VaSet +vasetType 3 +) +xt "60000,2000,108250,9000" +pts [ +"60000,2000" +"66000,2000" +"66000,9000" +"108250,9000" +] +) +start &321 +end &96 +es 0 +sat 2 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 1597,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1598,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "61000,1100,64000,2000" +st "respWE" +blo "61000,1800" +tm "WireNameMgr" +) +) +on &7 +) +*398 (Wire +uid 1609,0 +shape (OrthoPolyLine +uid 1610,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "33000,0,39000,38000" +pts [ +"33000,38000" +"33000,0" +"39000,0" +] +) +start &362 +end &325 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 1615,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1616,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "30000,-900,33500,0" +st "reqData" +blo "30000,-200" +tm "WireNameMgr" +) +) +on &3 +) +*399 (Wire +uid 1617,0 +shape (OrthoPolyLine +uid 1618,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "42000,0,43000,0" +pts [ +"42000,0" +"43000,0" +] +) +start &325 +end &47 +es 0 +sat 2 +eat 32 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 1623,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1624,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "38000,-900,42000,0" +st "req_data" +blo "38000,-200" +tm "WireNameMgr" +) +) +on &48 +) +*400 (Wire +uid 1635,0 +shape (OrthoPolyLine +uid 1636,0 +va (VaSet +vasetType 3 +) +xt "12000,8000,14000,8000" +pts [ +"12000,8000" +"14000,8000" +] +) +end &329 +sat 16 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 1641,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1642,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "8000,7100,11500,8000" +st "ipb_clk" +blo "8000,7800" +tm "WireNameMgr" +) +) +on &14 +) +*401 (Wire +uid 1643,0 +optionalChildren [ +&386 +&389 +&393 +&396 +] +shape (OrthoPolyLine +uid 1644,0 +va (VaSet +vasetType 3 +) +xt "17000,9000,125000,14000" +pts [ +"17000,9000" +"23000,9000" +"23000,14000" +"125000,14000" +] +) +start &329 +end &59 +es 0 +sat 2 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 1649,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1650,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "18000,8100,22500,9000" +st "req_avail" +blo "18000,8800" +tm "WireNameMgr" +) +) +on &60 +) +*402 (Wire +uid 1651,0 +shape (OrthoPolyLine +uid 1652,0 +va (VaSet +vasetType 3 +) +xt "37000,21000,39000,21000" +pts [ +"37000,21000" +"39000,21000" +] +) +end &101 +sat 16 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 1657,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1658,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "33000,20100,36500,21000" +st "ipb_clk" +blo "33000,20800" +tm "WireNameMgr" +) +) +on &14 +) +*403 (Wire +uid 1667,0 +shape (OrthoPolyLine +uid 1668,0 +va (VaSet +vasetType 3 +) +xt "37000,22000,39000,22000" +pts [ +"37000,22000" +"39000,22000" +] +) +end &101 +sat 16 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 1673,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1674,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "34000,21100,36500,22000" +st "reset" +blo "34000,21800" +tm "WireNameMgr" +) +) +on &18 +) +*404 (Wire +uid 1683,0 +shape (OrthoPolyLine +uid 1684,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "42000,21000,88000,29000" +pts [ +"42000,21000" +"88000,21000" +"88000,29000" +] +) +start &101 +end &383 +sat 4 +eat 32 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 1689,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1690,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "43000,20100,45500,21000" +st "addra" +blo "43000,20800" +tm "WireNameMgr" +) +) +on &9 +) +*405 (Wire +uid 1691,0 +shape (OrthoPolyLine +uid 1692,0 +va (VaSet +vasetType 3 +) +xt "42000,9000,43000,19000" +pts [ +"42000,19000" +"43000,19000" +"43000,9000" +] +) +start &101 +end &378 +sat 4 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 1697,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1698,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "43000,18100,46500,19000" +st "send_rp" +blo "43000,18800" +tm "WireNameMgr" +) +) +on &11 +) +*406 (Wire +uid 1699,0 +shape (OrthoPolyLine +uid 1700,0 +va (VaSet +vasetType 3 +) +xt "42000,8000,44000,20000" +pts [ +"42000,20000" +"44000,20000" +"44000,8000" +] +) +start &101 +end &380 +sat 2 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 1705,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1706,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "43000,19100,44000,20000" +st "we" +blo "43000,19800" +tm "WireNameMgr" +) +) +on &12 +) +*407 (Wire +uid 1710,0 +shape (OrthoPolyLine +uid 1711,0 +va (VaSet +vasetType 3 +) +xt "-4000,40000,-2000,40000" +pts [ +"-4000,40000" +"-2000,40000" +] +) +end &333 +ss 0 +sat 16 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 1714,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1715,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-7000,39100,-2500,40000" +st "send_resp" +blo "-7000,39800" +tm "WireNameMgr" +) +) +on &8 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *408 (PackageList +uid 1765,0 +stg "VerticalLayoutStrategy" +textVec [ +*409 (Text +uid 1766,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,52000,26500,52900" +st "Package List" +blo "20000,52700" +) +*410 (MLText +uid 1767,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "20000,52900,34500,56500" +st "LIBRARY ieee; +USE ieee.std_logic_1164.all; +USE ieee.numeric_std.all; + +" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 1768,0 +stg "VerticalLayoutStrategy" +textVec [ +*411 (Text +uid 1769,0 +va (VaSet +font "courier,8,1" +) +xt "0,52000,10000,52900" +st "Compiler Directives" +blo "0,52700" +) +*412 (Text +uid 1770,0 +va (VaSet +font "courier,8,1" +) +xt "0,52900,11500,53800" +st "Pre-module directives:" +blo "0,53600" +) +*413 (MLText +uid 1771,0 +va (VaSet +font "courier,8,0" +) +xt "0,53800,41500,72700" +st "`timescale 1ns / 1ps +`include \"ipbus_v_defs.v\" +////////////////////////////////////////////////////////////////////////////////// +// Company: +// Engineer: +// +// Create Date: 15:55:45 01/26/2010 +// Design Name: +// Module Name: sub_packetbuffer +// Project Name: +// Target Devices: +// Tool versions: +// Description: +// +// Dependencies: +// +// Revision: +// Revision 0.01 - File Created +// Additional Comments: +// +////////////////////////////////////////////////////////////////////////////////// +" +tm "BdCompilerDirectivesTextMgr" +) +*414 (Text +uid 1772,0 +va (VaSet +font "courier,8,1" +) +xt "0,72700,12000,73600" +st "Post-module directives:" +blo "0,73400" +) +*415 (MLText +uid 1773,0 +va (VaSet +font "courier,8,0" +) +xt "0,73600,8500,74500" +st "// incoming side +" +tm "BdCompilerDirectivesTextMgr" +) +*416 (Text +uid 1774,0 +va (VaSet +font "courier,8,1" +) +xt "0,74500,11500,75400" +st "End-module directives:" +blo "0,75200" +) +*417 (MLText +uid 1775,0 +va (VaSet +font "courier,8,0" +) +xt "0,75400,0,75400" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "0,0,1015,690" +viewArea "-9500,-8100,132500,95200" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +lastUid 1775,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,4000,1900" +st "Panel0" +blo "1000,1700" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*418 (Text +va (VaSet +font "courier,8,1" +) +xt "1750,3650,6250,4550" +st "<library>" +blo "1750,4350" +tm "BdLibraryNameMgr" +) +*419 (Text +va (VaSet +font "courier,8,1" +) +xt "1750,4550,5250,5450" +st "<block>" +blo "1750,5250" +tm "BlkNameMgr" +) +*420 (Text +va (VaSet +font "courier,8,1" +) +xt "1750,5450,2750,6350" +st "I0" +blo "1750,6150" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "1750,13650,1750,13650" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*421 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,3650,4500,4550" +st "Library" +blo "1000,4350" +) +*422 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,4550,7000,5450" +st "MWComponent" +blo "1000,5250" +) +*423 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,5450,2000,6350" +st "I0" +blo "1000,6150" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6000,1650,-6000,1650" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*424 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,3650,4500,4550" +st "Library" +blo "1000,4350" +tm "BdLibraryNameMgr" +) +*425 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,4550,7000,5450" +st "SaComponent" +blo "1000,5250" +tm "CptNameMgr" +) +*426 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,5450,2000,6350" +st "I0" +blo "1000,6150" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6000,1650,-6000,1650" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +portVis (PortSigDisplay +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*427 (Text +va (VaSet +font "courier,8,1" +) +xt "500,3650,4000,4550" +st "Library" +blo "500,4350" +) +*428 (Text +va (VaSet +font "courier,8,1" +) +xt "500,4550,7500,5450" +st "VhdlComponent" +blo "500,5250" +) +*429 (Text +va (VaSet +font "courier,8,1" +) +xt "500,5450,1500,6350" +st "I0" +blo "500,6150" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6500,1650,-6500,1650" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-750,0,8750,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*430 (Text +va (VaSet +font "courier,8,1" +) +xt "-250,3650,3250,4550" +st "Library" +blo "-250,4350" +) +*431 (Text +va (VaSet +font "courier,8,1" +) +xt "-250,4550,8250,5450" +st "VerilogComponent" +blo "-250,5250" +) +*432 (Text +va (VaSet +font "courier,8,1" +) +xt "-250,5450,750,6350" +st "I0" +blo "-250,6150" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-7250,1650,-7250,1650" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*433 (Text +va (VaSet +font "courier,8,1" +) +xt "3250,4100,4750,5000" +st "eb1" +blo "3250,4800" +tm "HdlTextNameMgr" +) +*434 (Text +va (VaSet +font "courier,8,1" +) +xt "3250,5000,3750,5900" +st "1" +blo "3250,5700" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +font "courier,8,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +font "courier,8,1" +) +xt "-250,-450,250,450" +st "G" +blo "-250,250" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +stc 0 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +st 0 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,0,2000,900" +st "sig0" +blo "0,700" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +st 0 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,0,2500,900" +st "dbus0" +blo "0,700" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,0" +) +xt "0,0,3500,900" +st "bundle0" +blo "0,700" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +font "courier,8,0" +) +xt "0,900,1000,1800" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +font "courier,8,0" +) +) +second (MLText +va (VaSet +font "courier,8,0" +) +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,-1000,4500,-100" +st "g0: [7:0]" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1350" +) +num (Text +va (VaSet +font "courier,8,0" +) +xt "300,250,800,1150" +st "1" +blo "300,950" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*435 (Text +va (VaSet +font "courier,8,1" +) +xt "12500,20000,22000,20900" +st "Frame Declarations" +blo "12500,20700" +) +*436 (MLText +va (VaSet +font "courier,8,0" +) +xt "12500,20900,12500,20900" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,-1000,9000,-100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1350" +) +num (Text +va (VaSet +font "courier,8,0" +) +xt "300,250,800,1150" +st "1" +blo "300,950" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*437 (Text +va (VaSet +font "courier,8,1" +) +xt "12500,20000,22000,20900" +st "Frame Declarations" +blo "12500,20700" +) +*438 (MLText +va (VaSet +font "courier,8,0" +) +xt "12500,20900,12500,20900" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,2000,1650" +st "Port" +blo "0,1450" +) +) +thePort (LogicalPort +lang 5 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,2000,1650" +st "Port" +blo "0,1450" +) +) +thePort (LogicalPort +lang 5 +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "courier,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "44000,52000,50500,52900" +st "Declarations" +blo "44000,52700" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "44000,52900,47000,53800" +st "Ports:" +blo "44000,53600" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "44000,76300,48500,77200" +st "Pre User:" +blo "44000,77000" +) +preUserText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "44000,52000,44000,52000" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "courier,8,1" +) +xt "44000,77200,52500,78100" +st "Diagram Signals:" +blo "44000,77900" +) +postUserLabel (Text +uid 7,0 +va (VaSet +font "courier,8,1" +) +xt "44000,94300,49500,95200" +st "Post User:" +blo "44000,95000" +) +postUserText (MLText +uid 8,0 +va (VaSet +font "courier,8,0" +) +xt "44000,52000,44000,52000" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 38,0 +usingSuid 1 +emptyRow *439 (LEmptyRow +) +optionalChildren [ +*440 (RefLabelRowHdr +) +*441 (TitleRowHdr +) +*442 (FilterRowHdr +) +*443 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*444 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*445 (GroupColHdr +tm "GroupColHdrMgr" +) +*446 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*447 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*448 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*449 (SignedColHdr +tm "BlockDiagramSignedColHdrMgr" +) +*450 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*451 (DelayColHdr +tm "BlockDiagramDelayColHdrMgr" +) +*452 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*453 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*454 (LeafLogPort +port (LogicalPort +lang 5 +m 4 +decl (Decl +n "reqAddr" +t "wire" +b "[`pbuf_awidth - 3:0]" +o 1 +suid 1,0 +) +) +uid 1153,0 +) +*455 (LeafLogPort +port (LogicalPort +lang 5 +m 4 +decl (Decl +n "respAddr" +t "wire" +b "[`pbuf_awidth - 3:0]" +o 2 +suid 2,0 +) +) +uid 1155,0 +) +*456 (LeafLogPort +port (LogicalPort +lang 5 +m 4 +decl (Decl +n "reqData" +t "wire" +b "[31:0]" +o 3 +suid 3,0 +) +) +uid 1157,0 +) +*457 (LeafLogPort +port (LogicalPort +lang 5 +m 4 +decl (Decl +n "respData" +t "wire" +b "[31:0]" +o 4 +suid 4,0 +) +) +uid 1159,0 +) +*458 (LeafLogPort +port (LogicalPort +lang 5 +m 4 +decl (Decl +n "inbusy" +t "wire" +o 5 +suid 5,0 +) +) +uid 1161,0 +) +*459 (LeafLogPort +port (LogicalPort +lang 5 +m 4 +decl (Decl +n "packet_avail" +t "wire" +o 6 +suid 6,0 +) +) +uid 1163,0 +) +*460 (LeafLogPort +port (LogicalPort +lang 5 +m 4 +decl (Decl +n "respWE" +t "wire" +o 7 +suid 7,0 +) +) +uid 1165,0 +) +*461 (LeafLogPort +port (LogicalPort +lang 5 +m 4 +decl (Decl +n "send_resp" +t "wire" +o 8 +suid 8,0 +) +) +uid 1167,0 +) +*462 (LeafLogPort +port (LogicalPort +lang 5 +m 4 +decl (Decl +n "addra" +t "reg" +b "[`pbuf_awidth - 3:0]" +o 9 +suid 9,0 +) +) +uid 1169,0 +) +*463 (LeafLogPort +port (LogicalPort +lang 5 +m 4 +decl (Decl +n "addrb" +t "wire" +b "[`pbuf_awidth - 3:0]" +o 10 +suid 11,0 +) +) +uid 1173,0 +) +*464 (LeafLogPort +port (LogicalPort +lang 5 +m 4 +decl (Decl +n "send_rp" +t "reg" +prec "parameter ST_IDLE = 3'h0; +parameter ST_COPYHEADER = 3'h1; +parameter ST_COPYHEADER2 = 3'h2; +parameter ST_WAIT_FOR_ENGINE = 3'h3; +parameter ST_DONE = 3'h6; +parameter ST_RESP = 3'h7;" +preAdd 0 +o 11 +suid 12,0 +) +) +uid 1175,0 +) +*465 (LeafLogPort +port (LogicalPort +lang 5 +m 4 +decl (Decl +n "we" +t "reg" +o 12 +suid 13,0 +) +) +uid 1177,0 +) +*466 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "ipb_clk" +t "wire" +o 13 +suid 14,0 +) +) +uid 1179,0 +) +*467 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "mac_clk" +t "wire" +o 14 +suid 15,0 +) +) +uid 1181,0 +) +*468 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "reset" +t "wire" +o 15 +suid 16,0 +) +) +uid 1183,0 +) +*469 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "incoming_ready" +t "wire" +o 16 +suid 17,0 +) +) +uid 1185,0 +) +*470 (LeafLogPort +port (LogicalPort +lang 5 +m 1 +decl (Decl +n "done_with_incoming" +t "wire" +o 17 +suid 18,0 +) +) +uid 1187,0 +) +*471 (LeafLogPort +port (LogicalPort +lang 5 +m 1 +decl (Decl +n "rxa" +t "wire" +b "[`pbuf_awidth - 1:0]" +o 18 +suid 19,0 +) +) +uid 1189,0 +) +*472 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "rxd" +t "wire" +b "[7:0]" +o 19 +suid 20,0 +) +) +uid 1191,0 +) +*473 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "rxl" +t "wire" +b "[`pbuf_awidth - 1:0]" +o 20 +suid 21,0 +) +) +uid 1193,0 +) +*474 (LeafLogPort +port (LogicalPort +lang 5 +m 1 +decl (Decl +n "txa" +t "wire" +b "[`pbuf_awidth - 1:0]" +o 21 +suid 22,0 +) +) +uid 1195,0 +) +*475 (LeafLogPort +port (LogicalPort +lang 5 +m 1 +decl (Decl +n "txd" +t "wire" +b "[7:0]" +o 22 +suid 23,0 +) +) +uid 1197,0 +) +*476 (LeafLogPort +port (LogicalPort +lang 5 +m 1 +decl (Decl +n "tx_we" +t "wire" +o 23 +suid 24,0 +) +) +uid 1199,0 +) +*477 (LeafLogPort +port (LogicalPort +lang 5 +m 1 +decl (Decl +n "tx_req" +t "wire" +o 24 +suid 25,0 +) +) +uid 1201,0 +) +*478 (LeafLogPort +port (LogicalPort +lang 5 +m 1 +decl (Decl +n "tx_send" +t "wire" +o 25 +suid 26,0 +) +) +uid 1203,0 +) +*479 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "tx_ok" +t "wire" +o 26 +suid 27,0 +) +) +uid 1205,0 +) +*480 (LeafLogPort +port (LogicalPort +lang 5 +m 1 +decl (Decl +n "txl" +t "wire" +b "[`pbuf_awidth - 1:0]" +o 27 +suid 28,0 +) +) +uid 1207,0 +) +*481 (LeafLogPort +port (LogicalPort +lang 5 +m 1 +decl (Decl +n "incoming_space" +t "wire" +o 28 +suid 29,0 +) +) +uid 1209,0 +) +*482 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "req_addr" +t "wire" +b "[`pbuf_awidth - 3:0]" +o 29 +suid 30,0 +) +) +uid 1211,0 +) +*483 (LeafLogPort +port (LogicalPort +lang 5 +m 1 +decl (Decl +n "req_data" +t "wire" +b "[31:0]" +o 30 +suid 31,0 +) +) +uid 1213,0 +) +*484 (LeafLogPort +port (LogicalPort +lang 5 +m 1 +decl (Decl +n "req_len" +t "wire" +b "[`pbuf_awidth - 3:0]" +o 31 +suid 32,0 +) +) +uid 1215,0 +) +*485 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "resp_addr" +t "wire" +b "[`pbuf_awidth - 3:0]" +o 32 +suid 33,0 +) +) +uid 1217,0 +) +*486 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "resp_data" +t "wire" +b "[31:0]" +o 33 +suid 34,0 +) +) +uid 1219,0 +) +*487 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "resp_len" +t "wire" +b "[`pbuf_awidth - 3:0]" +o 34 +suid 35,0 +) +) +uid 1221,0 +) +*488 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "resp_we" +t "wire" +o 35 +suid 36,0 +) +) +uid 1223,0 +) +*489 (LeafLogPort +port (LogicalPort +lang 5 +m 1 +decl (Decl +n "req_avail" +t "reg" +o 36 +suid 37,0 +) +) +uid 1225,0 +) +*490 (LeafLogPort +port (LogicalPort +lang 5 +decl (Decl +n "resp_done" +t "wire" +o 37 +suid 38,0 +) +) +uid 1227,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +optionalChildren [ +*491 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *492 (MRCItem +litem &439 +pos 3 +dimension 20 +) +optionalChildren [ +*493 (MRCItem +litem &440 +pos 0 +dimension 20 +) +*494 (MRCItem +litem &441 +pos 1 +dimension 23 +) +*495 (MRCItem +litem &442 +pos 2 +hidden 1 +dimension 20 +) +*496 (MRCItem +litem &454 +pos 0 +dimension 20 +uid 1154,0 +) +*497 (MRCItem +litem &455 +pos 1 +dimension 20 +uid 1156,0 +) +*498 (MRCItem +litem &456 +pos 2 +dimension 20 +uid 1158,0 +) +*499 (MRCItem +litem &457 +pos 3 +dimension 20 +uid 1160,0 +) +*500 (MRCItem +litem &458 +pos 4 +dimension 20 +uid 1162,0 +) +*501 (MRCItem +litem &459 +pos 5 +dimension 20 +uid 1164,0 +) +*502 (MRCItem +litem &460 +pos 6 +dimension 20 +uid 1166,0 +) +*503 (MRCItem +litem &461 +pos 7 +dimension 20 +uid 1168,0 +) +*504 (MRCItem +litem &462 +pos 8 +dimension 20 +uid 1170,0 +) +*505 (MRCItem +litem &463 +pos 9 +dimension 20 +uid 1174,0 +) +*506 (MRCItem +litem &464 +pos 10 +dimension 20 +uid 1176,0 +) +*507 (MRCItem +litem &465 +pos 11 +dimension 20 +uid 1178,0 +) +*508 (MRCItem +litem &466 +pos 12 +dimension 20 +uid 1180,0 +) +*509 (MRCItem +litem &467 +pos 13 +dimension 20 +uid 1182,0 +) +*510 (MRCItem +litem &468 +pos 14 +dimension 20 +uid 1184,0 +) +*511 (MRCItem +litem &469 +pos 15 +dimension 20 +uid 1186,0 +) +*512 (MRCItem +litem &470 +pos 16 +dimension 20 +uid 1188,0 +) +*513 (MRCItem +litem &471 +pos 17 +dimension 20 +uid 1190,0 +) +*514 (MRCItem +litem &472 +pos 18 +dimension 20 +uid 1192,0 +) +*515 (MRCItem +litem &473 +pos 19 +dimension 20 +uid 1194,0 +) +*516 (MRCItem +litem &474 +pos 20 +dimension 20 +uid 1196,0 +) +*517 (MRCItem +litem &475 +pos 21 +dimension 20 +uid 1198,0 +) +*518 (MRCItem +litem &476 +pos 22 +dimension 20 +uid 1200,0 +) +*519 (MRCItem +litem &477 +pos 23 +dimension 20 +uid 1202,0 +) +*520 (MRCItem +litem &478 +pos 24 +dimension 20 +uid 1204,0 +) +*521 (MRCItem +litem &479 +pos 25 +dimension 20 +uid 1206,0 +) +*522 (MRCItem +litem &480 +pos 26 +dimension 20 +uid 1208,0 +) +*523 (MRCItem +litem &481 +pos 27 +dimension 20 +uid 1210,0 +) +*524 (MRCItem +litem &482 +pos 28 +dimension 20 +uid 1212,0 +) +*525 (MRCItem +litem &483 +pos 29 +dimension 20 +uid 1214,0 +) +*526 (MRCItem +litem &484 +pos 30 +dimension 20 +uid 1216,0 +) +*527 (MRCItem +litem &485 +pos 31 +dimension 20 +uid 1218,0 +) +*528 (MRCItem +litem &486 +pos 32 +dimension 20 +uid 1220,0 +) +*529 (MRCItem +litem &487 +pos 33 +dimension 20 +uid 1222,0 +) +*530 (MRCItem +litem &488 +pos 34 +dimension 20 +uid 1224,0 +) +*531 (MRCItem +litem &489 +pos 35 +dimension 20 +uid 1226,0 +) +*532 (MRCItem +litem &490 +pos 36 +dimension 20 +uid 1228,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +optionalChildren [ +*533 (MRCItem +litem &443 +pos 0 +dimension 20 +) +*534 (MRCItem +litem &445 +pos 1 +dimension 50 +) +*535 (MRCItem +litem &446 +pos 2 +dimension 100 +) +*536 (MRCItem +litem &447 +pos 3 +dimension 50 +) +*537 (MRCItem +litem &448 +pos 4 +dimension 100 +) +*538 (MRCItem +litem &449 +pos 5 +dimension 60 +) +*539 (MRCItem +litem &450 +pos 6 +dimension 100 +) +*540 (MRCItem +litem &451 +pos 7 +dimension 50 +) +*541 (MRCItem +litem &452 +pos 8 +dimension 50 +) +*542 (MRCItem +litem &453 +pos 9 +dimension 80 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +vaOverrides [ +] +) +] +) +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *543 (LEmptyRow +) +optionalChildren [ +*544 (RefLabelRowHdr +) +*545 (TitleRowHdr +) +*546 (FilterRowHdr +) +*547 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*548 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*549 (GroupColHdr +tm "GroupColHdrMgr" +) +*550 (NameColHdr +tm "GenericNameColHdrMgr" +) +*551 (InitColHdr +tm "GenericValueColHdrMgr" +) +*552 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +optionalChildren [ +*553 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *554 (MRCItem +litem &543 +pos 3 +dimension 20 +) +optionalChildren [ +*555 (MRCItem +litem &544 +pos 0 +dimension 20 +) +*556 (MRCItem +litem &545 +pos 1 +dimension 23 +) +*557 (MRCItem +litem &546 +pos 2 +hidden 1 +dimension 20 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +optionalChildren [ +*558 (MRCItem +litem &547 +pos 0 +dimension 20 +) +*559 (MRCItem +litem &549 +pos 1 +dimension 50 +) +*560 (MRCItem +litem &550 +pos 2 +dimension 100 +) +*561 (MRCItem +litem &551 +pos 3 +dimension 50 +) +*562 (MRCItem +litem &552 +pos 4 +dimension 80 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +vaOverrides [ +] +) +] +) +type 1 +) +) diff --git a/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/top_extphy/_struct.bd._fpf b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/top_extphy/_struct.bd._fpf new file mode 100755 index 00000000..e0bac7fb --- /dev/null +++ b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/top_extphy/_struct.bd._fpf @@ -0,0 +1,3 @@ +INCLUDE list { + DEFAULT atom 1 +} diff --git a/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/top_extphy/_symbol.sb._fpf b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/top_extphy/_symbol.sb._fpf new file mode 100755 index 00000000..e0bac7fb --- /dev/null +++ b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/top_extphy/_symbol.sb._fpf @@ -0,0 +1,3 @@ +INCLUDE list { + DEFAULT atom 1 +} diff --git a/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/top_extphy/struct.bd b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/top_extphy/struct.bd new file mode 100644 index 00000000..382dde12 --- /dev/null +++ b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/top_extphy/struct.bd @@ -0,0 +1,17784 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "work" +unitName "ipbus" +) +(DmPackageRef +library "work" +unitName "emac_hostbus_decl" +) +(DmPackageRef +library "work" +unitName "fmcTLU" +) +(DmPackageRef +library "unisim" +unitName "vcomponents" +) +] +instances [ +(Instance +name "I4" +duLibraryName "work" +duName "IPBusInterface" +elements [ +(GiElement +name "NUM_EXT_SLAVES" +type "positive" +value "g_NUM_EXT_SLAVES" +) +] +mwi 0 +uid 4555,0 +) +(Instance +name "I8" +duLibraryName "moduleware" +duName "sor" +elements [ +] +mwi 1 +uid 4949,0 +) +(Instance +name "I0" +duLibraryName "work" +duName "DUTInterfaces" +elements [ +(GiElement +name "g_NUM_DUTS" +type "positive" +value "g_NUM_DUTS" +) +(GiElement +name "g_IPBUS_WIDTH" +type "positive" +value "g_IPBUS_WIDTH" +) +] +mwi 0 +uid 6898,0 +) +(Instance +name "I3" +duLibraryName "work" +duName "triggerLogic" +elements [ +(GiElement +name "g_NUM_INPUTS" +type "positive" +value "g_NUM_TRIG_INPUTS" +) +(GiElement +name "g_IPBUS_WIDTH" +type "positive" +value "g_IPBUS_WIDTH" +) +] +mwi 0 +uid 9123,0 +) +(Instance +name "I5" +duLibraryName "work" +duName "eventBuffer" +elements [ +(GiElement +name "g_EVENT_DATA_WIDTH" +type "positive" +value "g_EVENT_DATA_WIDTH" +) +(GiElement +name "g_IPBUS_WIDTH" +type "positive" +value "g_IPBUS_WIDTH" +) +(GiElement +name "g_WRITE_COUNTER_WIDTH" +type "positive" +value "13" +) +(GiElement +name "g_READ_COUNTER_WIDTH" +type "positive" +value "14" +) +] +mwi 0 +uid 9363,0 +) +(Instance +name "I7" +duLibraryName "work" +duName "i2c_master" +elements [ +] +mwi 0 +uid 10058,0 +) +(Instance +name "I2" +duLibraryName "work" +duName "eventFormatter" +elements [ +(GiElement +name "g_EVENT_DATA_WIDTH" +type "positive" +value "g_EVENT_DATA_WIDTH" +) +(GiElement +name "g_IPBUS_WIDTH" +type "positive" +value "g_IPBUS_WIDTH" +) +(GiElement +name "g_COUNTER_TRIG_WIDTH" +type "positive" +value "g_IPBUS_WIDTH" +) +(GiElement +name "g_COUNTER_WIDTH" +type "positive" +value "12" +) +(GiElement +name "g_EVTTYPE_WIDTH" +type "positive" +value "4" +e "-- Width of the event type word" +) +(GiElement +name "g_NUM_EDGE_INPUTS" +type "positive" +value "g_NUM_EDGE_INPUTS" +pr "--g_NUM_INPUT_TYPES : positive := 4; -- Number of different input types (trigger, shutter, edge...)" +apr 0 +e "-- Number of edge inputs" +) +(GiElement +name "g_NUM_TRIG_INPUTS" +type "positive" +value "g_NUM_TRIG_INPUTS" +e "-- Number of trigger inputs" +) +] +mwi 0 +uid 10522,0 +) +(Instance +name "I6" +duLibraryName "work" +duName "logic_clocks" +elements [ +] +mwi 0 +uid 11070,0 +) +(Instance +name "I9" +duLibraryName "moduleware" +duName "gnd" +elements [ +] +mwi 1 +uid 11097,0 +) +(Instance +name "I10" +duLibraryName "moduleware" +duName "gnd" +elements [ +] +mwi 1 +uid 11116,0 +) +(Instance +name "I11" +duLibraryName "moduleware" +duName "gnd" +elements [ +] +mwi 1 +uid 11135,0 +) +(Instance +name "I12" +duLibraryName "moduleware" +duName "gnd" +elements [ +] +mwi 1 +uid 11154,0 +) +(Instance +name "I13" +duLibraryName "moduleware" +duName "gnd" +elements [ +] +mwi 1 +uid 11173,0 +) +(Instance +name "I14" +duLibraryName "moduleware" +duName "gnd" +elements [ +] +mwi 1 +uid 11192,0 +) +(Instance +name "I1" +duLibraryName "work" +duName "triggerInputs" +elements [ +(GiElement +name "g_NUM_INPUTS" +type "natural" +value "g_NUM_TRIG_INPUTS" +) +] +mwi 0 +uid 11619,0 +) +] +embeddedInstances [ +(EmbeddedInstance +name "i2c_tristate" +number "1" +) +] +libraryRefs [ +"ieee" +"work" +"unisim" +] +) +version "30.1" +appVersion "2012.2b (Build 5)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl" +) +(vvPair +variable "HDSDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/top_extphy/struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/top_extphy/struct.bd.user" +) +(vvPair +variable "SourceDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "config" +value "%(unit)_config" +) +(vvPair +variable "d" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/top_extphy" +) +(vvPair +variable "d_logical" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/top_extphy" +) +(vvPair +variable "date" +value "01/24/14" +) +(vvPair +variable "day" +value "Fri" +) +(vvPair +variable "day_long" +value "Friday" +) +(vvPair +variable "dd" +value "24" +) +(vvPair +variable "entity_name" +value "top_extphy" +) +(vvPair +variable "ext" +value "<TBD>" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "phdgc" +) +(vvPair +variable "graphical_source_date" +value "01/24/14" +) +(vvPair +variable "graphical_source_group" +value "users" +) +(vvPair +variable "graphical_source_time" +value "16:06:33" +) +(vvPair +variable "group" +value "users" +) +(vvPair +variable "host" +value "fortis.phy.bris.ac.uk" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "work" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/designcheck" +) +(vvPair +variable "library_downstream_ISEPARInvoke" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/ise" +) +(vvPair +variable "library_downstream_ImpactInvoke" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/ise" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/work" +) +(vvPair +variable "library_downstream_XSTDataPrep" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/ise" +) +(vvPair +variable "mm" +value "01" +) +(vvPair +variable "module_name" +value "top_extphy" +) +(vvPair +variable "month" +value "Jan" +) +(vvPair +variable "month_long" +value "January" +) +(vvPair +variable "p" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/top_extphy/struct.bd" +) +(vvPair +variable "p_logical" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/top_extphy/struct.bd" +) +(vvPair +variable "package_name" +value "<Undefined Variable>" +) +(vvPair +variable "project_name" +value "fmc_mTLU" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "<TBD>" +) +(vvPair +variable "task_DesignCompilerPath" +value "<TBD>" +) +(vvPair +variable "task_LeonardoPath" +value "/opt/CAD/Mentor/LeonardoSpectrum_2008a/bin" +) +(vvPair +variable "task_ModelSimPath" +value "/opt/CAD/Mentor/Modelsim/6.4a/modeltech/bin" +) +(vvPair +variable "task_NC-SimPath" +value "<TBD>" +) +(vvPair +variable "task_PrecisionRTLPath" +value "/opt/CAD/Mentor/Precis_Synth_2009a_update2/Mgc_home/bin" +) +(vvPair +variable "task_QuestaSimPath" +value "/opt/CAD/Mentor/HDS2008.1b/questasim/bin" +) +(vvPair +variable "task_VCSPath" +value "<TBD>" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "16:15:56" +) +(vvPair +variable "unit" +value "top_extphy" +) +(vvPair +variable "user" +value "phdgc" +) +(vvPair +variable "version" +value "2012.2b (Build 5)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2014" +) +(vvPair +variable "yy" +value "14" +) +] +) +LanguageMgr "VhdlLangMgr" +uid 356,0 +optionalChildren [ +*1 (PortIoIn +uid 23,0 +shape (CompositeShape +uid 24,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 25,0 +sl 0 +ro 270 +xt "3000,139625,4500,140375" +) +(Line +uid 26,0 +sl 0 +ro 270 +xt "4500,140000,5000,140000" +pts [ +"4500,140000" +"5000,140000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 27,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 28,0 +va (VaSet +font "courier,8,0" +) +xt "-5000,139550,2000,140450" +st "cfd_discr_p_i" +ju 2 +blo "2000,140250" +tm "WireNameMgr" +) +) +) +*2 (Net +uid 35,0 +decl (Decl +n "cfd_discr_p_i" +t "std_logic_vector" +b "(g_NUM_TRIG_INPUTS-1 DOWNTO 0)" +o 4 +suid 2,0 +) +declText (MLText +uid 36,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,18700,24500,19600" +st "cfd_discr_p_i : std_logic_vector(g_NUM_TRIG_INPUTS-1 DOWNTO 0) +" +) +) +*3 (PortIoOut +uid 51,0 +shape (CompositeShape +uid 52,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 53,0 +sl 0 +ro 270 +xt "135500,17625,137000,18375" +) +(Line +uid 54,0 +sl 0 +ro 270 +xt "135000,18000,135500,18000" +pts [ +"135000,18000" +"135500,18000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 55,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 56,0 +va (VaSet +font "courier,8,0" +) +xt "138000,17550,145500,18450" +st "gmii_gtx_clk_o" +blo "138000,18250" +tm "WireNameMgr" +) +) +) +*4 (Net +uid 63,0 +decl (Decl +n "gmii_gtx_clk_o" +t "std_logic" +o 16 +suid 4,0 +) +declText (MLText +uid 64,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,29500,6000,30400" +st "gmii_gtx_clk_o : std_logic +" +) +) +*5 (PortIoIn +uid 65,0 +shape (CompositeShape +uid 66,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 67,0 +sl 0 +ro 270 +xt "78000,9625,79500,10375" +) +(Line +uid 68,0 +sl 0 +ro 270 +xt "79500,10000,80000,10000" +pts [ +"79500,10000" +"80000,10000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 69,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 70,0 +va (VaSet +font "courier,8,0" +) +xt "70000,9550,77000,10450" +st "gmii_rx_clk_i" +ju 2 +blo "77000,10250" +tm "WireNameMgr" +) +) +) +*6 (Net +uid 77,0 +decl (Decl +n "gmii_rx_clk_i" +t "std_logic" +o 8 +suid 5,0 +) +declText (MLText +uid 78,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,22300,6000,23200" +st "gmii_rx_clk_i : std_logic +" +) +) +*7 (PortIoIn +uid 79,0 +shape (CompositeShape +uid 80,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 81,0 +sl 0 +ro 270 +xt "78000,12625,79500,13375" +) +(Line +uid 82,0 +sl 0 +ro 270 +xt "79500,13000,80000,13000" +pts [ +"79500,13000" +"80000,13000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 83,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 84,0 +va (VaSet +font "courier,8,0" +) +xt "70500,12550,77000,13450" +st "gmii_rx_dv_i" +ju 2 +blo "77000,13250" +tm "WireNameMgr" +) +) +) +*8 (Net +uid 91,0 +decl (Decl +n "gmii_rx_dv_i" +t "std_logic" +o 9 +suid 6,0 +) +declText (MLText +uid 92,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,23200,6000,24100" +st "gmii_rx_dv_i : std_logic +" +) +) +*9 (PortIoIn +uid 93,0 +shape (CompositeShape +uid 94,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 95,0 +sl 0 +ro 270 +xt "78000,15625,79500,16375" +) +(Line +uid 96,0 +sl 0 +ro 270 +xt "79500,16000,80000,16000" +pts [ +"79500,16000" +"80000,16000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 97,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 98,0 +va (VaSet +font "courier,8,0" +) +xt "70500,15550,77000,16450" +st "gmii_rx_er_i" +ju 2 +blo "77000,16250" +tm "WireNameMgr" +) +) +) +*10 (Net +uid 105,0 +decl (Decl +n "gmii_rx_er_i" +t "std_logic" +o 10 +suid 7,0 +) +declText (MLText +uid 106,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,24100,6000,25000" +st "gmii_rx_er_i : std_logic +" +) +) +*11 (PortIoIn +uid 107,0 +shape (CompositeShape +uid 108,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 109,0 +sl 0 +ro 270 +xt "78000,18625,79500,19375" +) +(Line +uid 110,0 +sl 0 +ro 270 +xt "79500,19000,80000,19000" +pts [ +"79500,19000" +"80000,19000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 111,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 112,0 +va (VaSet +font "courier,8,0" +) +xt "71500,18550,77000,19450" +st "gmii_rxd_i" +ju 2 +blo "77000,19250" +tm "WireNameMgr" +) +) +) +*12 (Net +uid 119,0 +decl (Decl +n "gmii_rxd_i" +t "std_logic_vector" +b "(7 DOWNTO 0)" +o 11 +suid 8,0 +) +declText (MLText +uid 120,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,25000,15500,25900" +st "gmii_rxd_i : std_logic_vector(7 DOWNTO 0) +" +) +) +*13 (PortIoOut +uid 121,0 +shape (CompositeShape +uid 122,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 123,0 +sl 0 +ro 270 +xt "135500,8625,137000,9375" +) +(Line +uid 124,0 +sl 0 +ro 270 +xt "135000,9000,135500,9000" +pts [ +"135000,9000" +"135500,9000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 125,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 126,0 +va (VaSet +font "courier,8,0" +) +xt "138000,8550,144500,9450" +st "gmii_tx_en_o" +blo "138000,9250" +tm "WireNameMgr" +) +) +) +*14 (Net +uid 133,0 +decl (Decl +n "gmii_tx_en_o" +t "std_logic" +o 17 +suid 9,0 +) +declText (MLText +uid 134,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,30400,6000,31300" +st "gmii_tx_en_o : std_logic +" +) +) +*15 (PortIoOut +uid 135,0 +shape (CompositeShape +uid 136,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 137,0 +sl 0 +ro 270 +xt "135500,10625,137000,11375" +) +(Line +uid 138,0 +sl 0 +ro 270 +xt "135000,11000,135500,11000" +pts [ +"135000,11000" +"135500,11000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 139,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 140,0 +va (VaSet +font "courier,8,0" +) +xt "138000,10550,144500,11450" +st "gmii_tx_er_o" +blo "138000,11250" +tm "WireNameMgr" +) +) +) +*16 (Net +uid 147,0 +decl (Decl +n "gmii_tx_er_o" +t "std_logic" +o 18 +suid 10,0 +) +declText (MLText +uid 148,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,31300,6000,32200" +st "gmii_tx_er_o : std_logic +" +) +) +*17 (PortIoOut +uid 149,0 +shape (CompositeShape +uid 150,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 151,0 +sl 0 +ro 270 +xt "135500,13625,137000,14375" +) +(Line +uid 152,0 +sl 0 +ro 270 +xt "135000,14000,135500,14000" +pts [ +"135000,14000" +"135500,14000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 153,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 154,0 +va (VaSet +font "courier,8,0" +) +xt "138000,13550,143500,14450" +st "gmii_txd_o" +blo "138000,14250" +tm "WireNameMgr" +) +) +) +*18 (Net +uid 161,0 +decl (Decl +n "gmii_txd_o" +t "std_logic_vector" +b "(7 DOWNTO 0)" +o 19 +suid 11,0 +) +declText (MLText +uid 162,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,32200,15500,33100" +st "gmii_txd_o : std_logic_vector(7 DOWNTO 0) +" +) +) +*19 (Net +uid 175,0 +decl (Decl +n "i2c_scl_b" +t "std_logic" +o 29 +suid 12,0 +) +declText (MLText +uid 176,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,41200,6000,42100" +st "i2c_scl_b : std_logic +" +) +) +*20 (PortIoInOut +uid 177,0 +shape (CompositeShape +uid 178,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +uid 179,0 +sl 0 +xt "217500,12625,219000,13375" +) +(Line +uid 180,0 +sl 0 +xt "217000,13000,217500,13000" +pts [ +"217000,13000" +"217500,13000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 181,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 182,0 +va (VaSet +font "courier,8,0" +) +xt "220000,12550,224500,13450" +st "i2c_sda_b" +blo "220000,13250" +tm "WireNameMgr" +) +) +) +*21 (Net +uid 189,0 +decl (Decl +n "i2c_sda_b" +t "std_logic" +o 30 +suid 13,0 +) +declText (MLText +uid 190,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,42100,6000,43000" +st "i2c_sda_b : std_logic +" +) +) +*22 (PortIoOut +uid 205,0 +shape (CompositeShape +uid 206,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 207,0 +sl 0 +ro 270 +xt "135500,15625,137000,16375" +) +(Line +uid 208,0 +sl 0 +ro 270 +xt "135000,16000,135500,16000" +pts [ +"135000,16000" +"135500,16000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 209,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 210,0 +va (VaSet +font "courier,8,0" +) +xt "138000,15550,143500,16450" +st "phy_rstb_o" +blo "138000,16250" +tm "WireNameMgr" +) +) +) +*23 (Net +uid 217,0 +decl (Decl +n "phy_rstb_o" +t "std_logic" +o 22 +suid 15,0 +) +declText (MLText +uid 218,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,34900,6000,35800" +st "phy_rstb_o : std_logic +" +) +) +*24 (PortIoIn +uid 247,0 +shape (CompositeShape +uid 248,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 249,0 +sl 0 +ro 270 +xt "3000,135625,4500,136375" +) +(Line +uid 250,0 +sl 0 +ro 270 +xt "4500,136000,5000,136000" +pts [ +"4500,136000" +"5000,136000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 251,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 252,0 +va (VaSet +font "courier,8,0" +) +xt "-8000,135550,2000,136450" +st "threshold_discr_p_i" +ju 2 +blo "2000,136250" +tm "WireNameMgr" +) +) +) +*25 (Net +uid 259,0 +decl (Decl +n "threshold_discr_p_i" +t "std_logic_vector" +b "(g_NUM_TRIG_INPUTS-1 DOWNTO 0)" +o 15 +suid 18,0 +) +declText (MLText +uid 260,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,28600,24500,29500" +st "threshold_discr_p_i : std_logic_vector(g_NUM_TRIG_INPUTS-1 DOWNTO 0) +" +) +) +*26 (Grouping +uid 313,0 +optionalChildren [ +*27 (CommentText +uid 315,0 +shape (Rectangle +uid 316,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "183000,161000,200000,162000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 317,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "183200,161050,195200,161950" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*28 (CommentText +uid 318,0 +shape (Rectangle +uid 319,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "200000,157000,204000,158000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 320,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "200200,157050,204200,157950" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*29 (CommentText +uid 321,0 +shape (Rectangle +uid 322,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "183000,159000,200000,160000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 323,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "183200,159050,188700,159950" +st " +top_extphy +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*30 (CommentText +uid 324,0 +shape (Rectangle +uid 325,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "179000,159000,183000,160000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 326,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "179200,159050,182200,159950" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*31 (CommentText +uid 327,0 +shape (Rectangle +uid 328,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "200000,158000,220000,162000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 329,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "200200,158200,213700,160900" +st " +Top level of AIDA Mini-TLU +(double-height FMC coupled +to Xilinx SP60X ) + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*32 (CommentText +uid 330,0 +shape (Rectangle +uid 331,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "204000,157000,220000,158000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 332,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "204200,157050,208200,157950" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*33 (CommentText +uid 333,0 +shape (Rectangle +uid 334,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "179000,157000,200000,159000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 335,0 +va (VaSet +fg "32768,0,0" +) +xt "182600,157000,196400,159000" +st " +University of Bristol +High Energy Physics +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*34 (CommentText +uid 336,0 +shape (Rectangle +uid 337,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "179000,160000,183000,161000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 338,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "179200,160050,181700,160950" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*35 (CommentText +uid 339,0 +shape (Rectangle +uid 340,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "179000,161000,183000,162000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 341,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "179200,161050,182700,161950" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*36 (CommentText +uid 342,0 +shape (Rectangle +uid 343,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "183000,160000,200000,161000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 344,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "183200,160050,194700,160950" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 314,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "179000,157000,220000,162000" +) +oxt "14000,66000,55000,71000" +) +*37 (PortIoIn +uid 745,0 +shape (CompositeShape +uid 746,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 747,0 +sl 0 +ro 270 +xt "78000,21625,79500,22375" +) +(Line +uid 748,0 +sl 0 +ro 270 +xt "79500,22000,80000,22000" +pts [ +"79500,22000" +"80000,22000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 749,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 750,0 +va (VaSet +font "courier,8,0" +) +xt "71500,21550,77000,22450" +st "sysclk_n_i" +ju 2 +blo "77000,22250" +tm "WireNameMgr" +) +) +) +*38 (PortIoIn +uid 759,0 +shape (CompositeShape +uid 760,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 761,0 +sl 0 +ro 270 +xt "78000,24625,79500,25375" +) +(Line +uid 762,0 +sl 0 +ro 270 +xt "79500,25000,80000,25000" +pts [ +"79500,25000" +"80000,25000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 763,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 764,0 +va (VaSet +font "courier,8,0" +) +xt "71500,24550,77000,25450" +st "sysclk_p_i" +ju 2 +blo "77000,25250" +tm "WireNameMgr" +) +) +) +*39 (Net +uid 1205,0 +decl (Decl +n "clk_4x_logic" +t "std_logic" +eolc "--! normally 160MHz" +o 33 +suid 26,0 +) +declText (MLText +uid 1206,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,45700,19500,46600" +st "SIGNAL clk_4x_logic : std_logic --! normally 160MHz +" +) +) +*40 (Net +uid 1429,0 +decl (Decl +n "ipbus_clk" +t "std_logic" +o 43 +suid 39,0 +) +declText (MLText +uid 1430,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,54700,9500,55600" +st "SIGNAL ipbus_clk : std_logic +" +) +) +*41 (Net +uid 1431,0 +decl (Decl +n "ipbus_reset" +t "std_logic" +o 45 +suid 40,0 +) +declText (MLText +uid 1432,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,56500,9500,57400" +st "SIGNAL ipbus_reset : std_logic +" +) +) +*42 (Net +uid 1588,0 +decl (Decl +n "ipbw" +t "ipb_wbus_array" +b "(g_NUM_EXT_SLAVES-1 DOWNTO 0)" +eolc "--! IBus write signals" +o 47 +suid 41,0 +) +declText (MLText +uid 1589,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,58300,38000,59200" +st "SIGNAL ipbw : ipb_wbus_array(g_NUM_EXT_SLAVES-1 DOWNTO 0) --! IBus write signals +" +) +) +*43 (Net +uid 1668,0 +decl (Decl +n "ipbr" +t "ipb_rbus_array" +b "(g_NUM_EXT_SLAVES-1 DOWNTO 0)" +eolc "--! IPBus read signals" +o 42 +suid 42,0 +) +declText (MLText +uid 1669,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,53800,38000,54700" +st "SIGNAL ipbr : ipb_rbus_array(g_NUM_EXT_SLAVES-1 DOWNTO 0) --! IPBus read signals +" +) +) +*44 (Net +uid 1808,0 +decl (Decl +n "overall_trigger" +t "std_logic" +eolc "--! goes high to load trigger data" +o 50 +suid 61,0 +) +declText (MLText +uid 1809,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,61000,27000,61900" +st "SIGNAL overall_trigger : std_logic --! goes high to load trigger data +" +) +) +*45 (Net +uid 1810,0 +decl (Decl +n "overall_veto" +t "std_logic" +eolc "--! Halts triggers when high" +o 51 +suid 62,0 +) +declText (MLText +uid 1811,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,61900,24000,62800" +st "SIGNAL overall_veto : std_logic --! Halts triggers when high +" +) +) +*46 (Net +uid 2117,0 +decl (Decl +n "triggers" +t "std_logic_vector" +b "(g_NUM_TRIG_INPUTS-1 DOWNTO 0)" +o 63 +suid 68,0 +) +declText (MLText +uid 2118,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,72700,28000,73600" +st "SIGNAL triggers : std_logic_vector(g_NUM_TRIG_INPUTS-1 DOWNTO 0) +" +) +) +*47 (Net +uid 2273,0 +lang 2 +decl (Decl +n "trigger_count" +t "std_logic_vector" +b "(g_IPBUS_WIDTH-1 DOWNTO 0)" +o 61 +suid 77,0 +) +declText (MLText +uid 2274,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,70900,26000,71800" +st "SIGNAL trigger_count : std_logic_vector(g_IPBUS_WIDTH-1 DOWNTO 0) +" +) +) +*48 (Net +uid 2360,0 +lang 2 +decl (Decl +n "data_strobe" +t "std_logic" +eolc "goes high when data ready to load into event buffer" +o 35 +suid 82,0 +) +declText (MLText +uid 2361,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,47500,37000,48400" +st "SIGNAL data_strobe : std_logic -- goes high when data ready to load into event buffer +" +) +) +*49 (PortIoOut +uid 2818,0 +shape (CompositeShape +uid 2819,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 2820,0 +sl 0 +ro 270 +xt "208500,3625,210000,4375" +) +(Line +uid 2821,0 +sl 0 +ro 270 +xt "208000,4000,208500,4000" +pts [ +"208000,4000" +"208500,4000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 2822,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2823,0 +va (VaSet +font "courier,8,0" +) +xt "211000,3500,214000,4400" +st "leds_o" +blo "211000,4200" +tm "WireNameMgr" +) +) +) +*50 (Net +uid 2830,0 +lang 2 +decl (Decl +n "leds_o" +t "std_logic_vector" +b "(3 DOWNTO 0)" +o 21 +suid 83,0 +) +declText (MLText +uid 2831,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,34000,15500,34900" +st "leds_o : std_logic_vector(3 DOWNTO 0) +" +) +) +*51 (Net +uid 3331,0 +lang 2 +decl (Decl +n "trigger_times" +t "t_triggerTimeArray" +b "(g_NUM_TRIG_INPUTS-1 DOWNTO 0)" +eolc "! trigger arrival time ( w.r.t. logic_strobe)" +preAdd 0 +posAdd 0 +o 62 +suid 86,0 +) +declText (MLText +uid 3332,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,71800,53500,72700" +st "SIGNAL trigger_times : t_triggerTimeArray(g_NUM_TRIG_INPUTS-1 DOWNTO 0) -- ! trigger arrival time ( w.r.t. logic_strobe) +" +) +) +*52 (Net +uid 3567,0 +lang 2 +decl (Decl +n "event_data" +t "std_logic_vector" +b "(g_EVENT_DATA_WIDTH-1 DOWNTO 0)" +o 40 +suid 93,0 +) +declText (MLText +uid 3568,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,52000,28500,52900" +st "SIGNAL event_data : std_logic_vector(g_EVENT_DATA_WIDTH-1 DOWNTO 0) +" +) +) +*53 (SaComponent +uid 4555,0 +optionalChildren [ +*54 (CptPort +uid 4479,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4480,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "94250,9625,95000,10375" +) +tg (CPTG +uid 4481,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4482,0 +va (VaSet +font "courier,8,0" +) +xt "96000,9550,103000,10450" +st "gmii_rx_clk_i" +blo "96000,10250" +) +) +thePort (LogicalPort +decl (Decl +n "gmii_rx_clk_i" +t "std_logic" +o 1 +suid 2,0 +) +) +) +*55 (CptPort +uid 4483,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4484,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "94250,12625,95000,13375" +) +tg (CPTG +uid 4485,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4486,0 +va (VaSet +font "courier,8,0" +) +xt "96000,12550,102500,13450" +st "gmii_rx_dv_i" +blo "96000,13250" +) +) +thePort (LogicalPort +decl (Decl +n "gmii_rx_dv_i" +t "std_logic" +o 2 +suid 3,0 +) +) +) +*56 (CptPort +uid 4487,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4488,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "94250,15625,95000,16375" +) +tg (CPTG +uid 4489,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4490,0 +va (VaSet +font "courier,8,0" +) +xt "96000,15550,102500,16450" +st "gmii_rx_er_i" +blo "96000,16250" +) +) +thePort (LogicalPort +decl (Decl +n "gmii_rx_er_i" +t "std_logic" +o 3 +suid 4,0 +) +) +) +*57 (CptPort +uid 4491,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4492,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "94250,18625,95000,19375" +) +tg (CPTG +uid 4493,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4494,0 +va (VaSet +font "courier,8,0" +) +xt "96000,18550,105500,19450" +st "gmii_rxd_i : (7:0)" +blo "96000,19250" +) +) +thePort (LogicalPort +decl (Decl +n "gmii_rxd_i" +t "std_logic_vector" +b "(7 DOWNTO 0)" +o 4 +suid 5,0 +) +) +) +*58 (CptPort +uid 4495,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4496,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "128000,8625,128750,9375" +) +tg (CPTG +uid 4497,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4498,0 +va (VaSet +font "courier,8,0" +) +xt "120500,8550,127000,9450" +st "gmii_tx_en_o" +ju 2 +blo "127000,9250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "gmii_tx_en_o" +t "std_logic" +o 10 +suid 6,0 +) +) +) +*59 (CptPort +uid 4499,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4500,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "128000,10625,128750,11375" +) +tg (CPTG +uid 4501,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4502,0 +va (VaSet +font "courier,8,0" +) +xt "120500,10550,127000,11450" +st "gmii_tx_er_o" +ju 2 +blo "127000,11250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "gmii_tx_er_o" +t "std_logic" +o 11 +suid 7,0 +) +) +) +*60 (CptPort +uid 4503,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4504,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "128000,13625,128750,14375" +) +tg (CPTG +uid 4505,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4506,0 +va (VaSet +font "courier,8,0" +) +xt "117500,13550,127000,14450" +st "gmii_txd_o : (7:0)" +ju 2 +blo "127000,14250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "gmii_txd_o" +t "std_logic_vector" +b "(7 DOWNTO 0)" +o 12 +suid 8,0 +) +) +) +*61 (CptPort +uid 4507,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4508,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "128000,15625,128750,16375" +) +tg (CPTG +uid 4509,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4510,0 +va (VaSet +font "courier,8,0" +) +xt "121500,15550,127000,16450" +st "phy_rstb_o" +ju 2 +blo "127000,16250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "phy_rstb_o" +t "std_logic" +o 17 +suid 10,0 +) +) +) +*62 (CptPort +uid 4511,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4512,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "128000,17625,128750,18375" +) +tg (CPTG +uid 4513,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4514,0 +va (VaSet +font "courier,8,0" +) +xt "119500,17550,127000,18450" +st "gmii_gtx_clk_o" +ju 2 +blo "127000,18250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "gmii_gtx_clk_o" +t "std_logic" +o 9 +suid 1,0 +) +) +) +*63 (CptPort +uid 4515,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4516,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "94250,21625,95000,22375" +) +tg (CPTG +uid 4517,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4518,0 +va (VaSet +font "courier,8,0" +) +xt "96000,21550,101500,22450" +st "sysclk_n_i" +blo "96000,22250" +) +) +thePort (LogicalPort +decl (Decl +n "sysclk_n_i" +t "std_logic" +o 6 +suid 12,0 +) +) +) +*64 (CptPort +uid 4519,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4520,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "94250,24625,95000,25375" +) +tg (CPTG +uid 4521,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4522,0 +va (VaSet +font "courier,8,0" +) +xt "96000,24550,101500,25450" +st "sysclk_p_i" +blo "96000,25250" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "sysclk_p_i" +t "std_logic" +eolc "! 200 MHz xtal clock" +o 7 +suid 13,0 +) +) +) +*65 (CptPort +uid 4523,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4524,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "128000,19625,128750,20375" +) +tg (CPTG +uid 4525,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4526,0 +va (VaSet +font "courier,8,0" +) +xt "122500,19550,127000,20450" +st "ipb_clk_o" +ju 2 +blo "127000,20250" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "ipb_clk_o" +t "std_logic" +eolc "! IPBus clock to slaves" +o 13 +suid 14,0 +) +) +) +*66 (CptPort +uid 4527,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4528,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "128000,22625,128750,23375" +) +tg (CPTG +uid 4529,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4530,0 +va (VaSet +font "courier,8,0" +) +xt "122500,22550,127000,23450" +st "ipb_rst_o" +ju 2 +blo "127000,23250" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "ipb_rst_o" +t "std_logic" +eolc "! IPBus reset to slaves" +o 14 +suid 15,0 +) +) +) +*67 (CptPort +uid 4531,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4532,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "128000,24625,128750,25375" +) +tg (CPTG +uid 4533,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4534,0 +va (VaSet +font "courier,8,0" +) +xt "112000,24550,127000,25450" +st "ipbw_o : (NUM_EXT_SLAVES-1:0)" +ju 2 +blo "127000,25250" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "ipbw_o" +t "ipb_wbus_array" +b "(NUM_EXT_SLAVES-1 DOWNTO 0)" +eolc "! IBus write signals" +o 15 +suid 16,0 +) +) +) +*68 (CptPort +uid 4535,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4536,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "94250,27625,95000,28375" +) +tg (CPTG +uid 4537,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4538,0 +va (VaSet +font "courier,8,0" +) +xt "96000,27550,111000,28450" +st "ipbr_i : (NUM_EXT_SLAVES-1:0)" +blo "96000,28250" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "ipbr_i" +t "ipb_rbus_array" +b "(NUM_EXT_SLAVES-1 DOWNTO 0)" +eolc "! IPBus read signals" +o 5 +suid 19,0 +) +) +) +*69 (CptPort +uid 4539,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4540,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "128000,26625,128750,27375" +) +tg (CPTG +uid 4541,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4542,0 +va (VaSet +font "courier,8,0" +) +xt "123500,26550,127000,27450" +st "onehz_o" +ju 2 +blo "127000,27250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "onehz_o" +t "std_logic" +o 16 +suid 22,0 +) +) +) +*70 (CptPort +uid 4543,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4544,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "128000,29625,128750,30375" +) +tg (CPTG +uid 4545,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4546,0 +va (VaSet +font "courier,8,0" +) +xt "119000,29550,127000,30450" +st "clocks_locked_o" +ju 2 +blo "127000,30250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "clocks_locked_o" +t "std_logic" +o 8 +suid 23,0 +) +) +) +*71 (CptPort +uid 4547,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4548,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "94250,30625,95000,31375" +) +tg (CPTG +uid 4549,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4550,0 +va (VaSet +font "courier,8,0" +) +xt "96000,30550,106500,31450" +st "dip_switch_i : (3:0)" +blo "96000,31250" +) +) +thePort (LogicalPort +decl (Decl +n "dip_switch_i" +t "std_logic_vector" +b "(3 DOWNTO 0)" +o 18 +suid 25,0 +) +) +) +*72 (CptPort +uid 4551,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4552,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "128000,31625,128750,32375" +) +tg (CPTG +uid 4553,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4554,0 +va (VaSet +font "courier,8,0" +) +xt "118500,31550,127000,32450" +st "clk_logic_xtal_o" +ju 2 +blo "127000,32250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "clk_logic_xtal_o" +t "std_logic" +o 19 +suid 26,0 +) +) +) +] +shape (Rectangle +uid 4556,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "95000,8000,128000,33000" +) +oxt "5000,21000,38000,46000" +ttg (MlTextGroup +uid 4557,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*73 (Text +uid 4558,0 +va (VaSet +font "courier,8,1" +) +xt "104750,19100,106750,20000" +st "work" +blo "104750,19800" +tm "BdLibraryNameMgr" +) +*74 (Text +uid 4559,0 +va (VaSet +font "courier,8,1" +) +xt "104750,20000,112250,20900" +st "IPBusInterface" +blo "104750,20700" +tm "CptNameMgr" +) +*75 (Text +uid 4560,0 +va (VaSet +font "courier,8,1" +) +xt "104750,20900,105750,21800" +st "I4" +blo "104750,21600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 4561,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 4562,0 +text (MLText +uid 4563,0 +va (VaSet +font "courier,8,0" +) +xt "103000,8100,129000,9000" +st "NUM_EXT_SLAVES = g_NUM_EXT_SLAVES ( positive ) " +) +header "" +) +elements [ +(GiElement +name "NUM_EXT_SLAVES" +type "positive" +value "g_NUM_EXT_SLAVES" +) +] +) +viewicon (ZoomableIcon +uid 4564,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "95250,31250,96750,32750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +ordering 1 +viewiconposition 0 +portVis (PortSigDisplay +sIVOD 1 +) +archFileType "UNKNOWN" +) +*76 (PortIoInOut +uid 4643,0 +shape (CompositeShape +uid 4644,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +uid 4645,0 +sl 0 +ro 180 +xt "155000,36625,156500,37375" +) +(Line +uid 4646,0 +sl 0 +ro 180 +xt "156500,37000,157000,37000" +pts [ +"157000,37000" +"156500,37000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 4647,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4648,0 +va (VaSet +font "courier,8,0" +) +xt "148500,36550,154000,37450" +st "extclk_p_b" +ju 2 +blo "154000,37250" +tm "WireNameMgr" +) +) +) +*77 (PortIoInOut +uid 4649,0 +shape (CompositeShape +uid 4650,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +uid 4651,0 +sl 0 +ro 180 +xt "155000,39625,156500,40375" +) +(Line +uid 4652,0 +sl 0 +ro 180 +xt "156500,40000,157000,40000" +pts [ +"157000,40000" +"156500,40000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 4653,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4654,0 +va (VaSet +font "courier,8,0" +) +xt "148500,39550,154000,40450" +st "extclk_n_b" +ju 2 +blo "154000,40250" +tm "WireNameMgr" +) +) +) +*78 (Net +uid 4655,0 +decl (Decl +n "extclk_p_b" +t "std_logic" +eolc "--! either external clock in, or a clock being driven out" +o 28 +suid 105,0 +) +declText (MLText +uid 4656,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,40300,35000,41200" +st "extclk_p_b : std_logic --! either external clock in, or a clock being driven out +" +) +) +*79 (Net +uid 4661,0 +decl (Decl +n "extclk_n_b" +t "std_logic" +o 27 +suid 106,0 +) +declText (MLText +uid 4662,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,39400,6000,40300" +st "extclk_n_b : std_logic +" +) +) +*80 (Net +uid 4667,0 +decl (Decl +n "clk_logic_xtal" +t "std_logic" +eolc "! 40MHz clock from onboard xtal" +o 34 +suid 107,0 +) +declText (MLText +uid 4668,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,46600,27000,47500" +st "SIGNAL clk_logic_xtal : std_logic -- ! 40MHz clock from onboard xtal +" +) +) +*81 (Net +uid 4691,0 +lang 11 +decl (Decl +n "strobe_4x_logic" +t "std_logic" +eolc "one pulse every 4 cycles of clk_4x" +o 59 +suid 109,0 +) +declText (MLText +uid 4692,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,69100,28500,70000" +st "SIGNAL strobe_4x_logic : std_logic -- one pulse every 4 cycles of clk_4x +" +) +) +*82 (Net +uid 4693,0 +lang 11 +decl (Decl +n "clk_16x_logic" +t "std_logic" +eolc "640MHz clock" +o 32 +suid 110,0 +) +declText (MLText +uid 4694,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,44800,17500,45700" +st "SIGNAL clk_16x_logic : std_logic -- 640MHz clock +" +) +) +*83 (Net +uid 4695,0 +decl (Decl +n "strobe_16x_logic" +t "std_logic" +eolc "--! Pulses one cycle every 4 of 16x clock." +o 58 +suid 111,0 +) +declText (MLText +uid 4696,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,68200,31000,69100" +st "SIGNAL strobe_16x_logic : std_logic --! Pulses one cycle every 4 of 16x clock. +" +) +) +*84 (MWC +uid 4949,0 +optionalChildren [ +*85 (CptPort +uid 4913,0 +optionalChildren [ +*86 (Line +uid 4917,0 +layer 5 +sl 0 +va (VaSet +vasetType 3 +) +xt "83000,122000,84000,122000" +pts [ +"84000,122000" +"83000,122000" +] +) +*87 (Property +uid 4918,0 +pclass "_MW_GEOM_" +pname "fixed" +ptn "String" +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 4914,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,65535" +) +xt "84000,121625,84750,122375" +) +tg (CPTG +uid 4915,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4916,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "85219,121342,87219,122242" +st "dout" +ju 2 +blo "87219,122042" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "dout" +t "std_logic" +eolc "--! Halts triggers when high" +o 51 +suid 1,0 +) +) +) +*88 (CptPort +uid 4919,0 +optionalChildren [ +*89 (Line +uid 4923,0 +layer 5 +sl 0 +va (VaSet +vasetType 3 +) +xt "78000,121000,79589,121000" +pts [ +"78000,121000" +"79589,121000" +] +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 4920,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,65535" +) +xt "77250,120625,78000,121375" +) +tg (CPTG +uid 4921,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4922,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "74885,120294,76885,121194" +st "din0" +blo "74885,120994" +) +) +thePort (LogicalPort +decl (Decl +n "din0" +t "std_logic" +eolc "--! Goes high when event buffer almost full" +o 31 +suid 2,0 +) +) +) +*90 (CptPort +uid 4924,0 +optionalChildren [ +*91 (Line +uid 4928,0 +layer 5 +sl 0 +va (VaSet +vasetType 3 +) +xt "78000,123000,79589,123000" +pts [ +"78000,123000" +"79589,123000" +] +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 4925,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,65535" +) +xt "77250,122625,78000,123375" +) +tg (CPTG +uid 4926,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4927,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "75000,122700,77000,123600" +st "din1" +blo "75000,123400" +) +) +thePort (LogicalPort +decl (Decl +n "din1" +t "std_logic" +eolc "--! goes high when one or more DUT are busy" +o 64 +suid 3,0 +) +) +) +*92 (CommentGraphic +uid 4929,0 +optionalChildren [ +*93 (Property +uid 4931,0 +pclass "_MW_GEOM_" +pname "expand" +ptn "String" +) +] +shape (PolyLine2D +pts [ +"79000,124000" +"79000,124000" +] +uid 4930,0 +layer 0 +sl 0 +va (VaSet +vasetType 1 +transparent 1 +fg "49152,49152,49152" +) +xt "79000,124000,79000,124000" +) +oxt "7000,10000,7000,10000" +) +*94 (CommentGraphic +uid 4932,0 +optionalChildren [ +*95 (Property +uid 4934,0 +pclass "_MW_GEOM_" +pname "expand" +ptn "String" +) +] +shape (PolyLine2D +pts [ +"79000,120000" +"79000,120000" +] +uid 4933,0 +layer 0 +sl 0 +va (VaSet +vasetType 1 +transparent 1 +fg "49152,49152,49152" +) +xt "79000,120000,79000,120000" +) +oxt "7000,6000,7000,6000" +) +*96 (CommentGraphic +uid 4935,0 +shape (Arc2D +pts [ +"79000,120004" +"81263,120521" +"83000,122000" +] +uid 4936,0 +layer 8 +sl 0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +bg "0,65535,65535" +lineColor "26368,26368,26368" +) +xt "79000,120003,83000,122000" +) +oxt "7000,6003,11000,8000" +) +*97 (Grouping +uid 4937,0 +optionalChildren [ +*98 (CommentGraphic +uid 4939,0 +optionalChildren [ +*99 (Property +uid 4941,0 +pclass "_MW_GEOM_" +pname "arc" +ptn "String" +) +] +shape (CustomPolygon +pts [ +"79000,123998" +"79000,120000" +"80183,120211" +"81952,121156" +"83000,122000" +"81048,123132" +"79000,123998" +] +uid 4940,0 +layer 0 +sl 0 +va (VaSet +vasetType 1 +transparent 1 +fg "0,65535,65535" +lineColor "32768,0,32768" +fillStyle 1 +) +xt "79000,120000,83000,123998" +) +oxt "7000,6000,11000,9998" +) +*100 (CommentGraphic +uid 4942,0 +optionalChildren [ +*101 (Property +uid 4944,0 +pclass "_MW_GEOM_" +pname "arc" +ptn "String" +) +] +shape (Arc2D +pts [ +"79000,120000" +"79763,122001" +"79000,124000" +] +uid 4943,0 +layer 0 +sl 0 +va (VaSet +vasetType 1 +transparent 1 +fg "0,65535,65535" +bg "0,65535,65535" +lineColor "26368,26368,26368" +fillStyle 1 +) +xt "79000,120000,79762,124000" +) +oxt "7000,6000,7762,10000" +) +] +shape (GroupingShape +uid 4938,0 +sl 0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "79000,120000,83000,124000" +) +oxt "7000,6000,11000,10000" +) +*102 (CommentGraphic +uid 4945,0 +shape (Arc2D +pts [ +"83000,122005" +"81449,123394" +"78996,123998" +] +uid 4946,0 +layer 0 +sl 0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +bg "0,65535,65535" +lineColor "26368,26368,26368" +) +xt "78996,122005,83000,124000" +) +oxt "6996,8005,11000,10000" +) +*103 (CommentGraphic +uid 4947,0 +shape (PolyLine2D +pts [ +"83000,122000" +"83000,122000" +] +uid 4948,0 +layer 0 +sl 0 +va (VaSet +vasetType 1 +transparent 1 +fg "49152,49152,49152" +) +xt "83000,122000,83000,122000" +) +oxt "11000,8000,11000,8000" +) +] +shape (Rectangle +uid 4950,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +lineWidth -1 +) +xt "78000,120000,84000,124000" +fos 1 +) +showPorts 0 +oxt "6000,6000,12000,10000" +ttg (MlTextGroup +uid 4951,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*104 (Text +uid 4952,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "79500,122600,85000,123500" +st "moduleware" +blo "79500,123300" +) +*105 (Text +uid 4953,0 +va (VaSet +font "courier,8,0" +) +xt "79500,123500,81000,124400" +st "sor" +blo "79500,124200" +) +*106 (Text +uid 4954,0 +va (VaSet +font "courier,8,0" +) +xt "79500,124400,80500,125300" +st "I8" +blo "79500,125100" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 4955,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 4956,0 +text (MLText +uid 4957,0 +va (VaSet +font "courier,8,0" +) +xt "63000,110900,63000,110900" +) +header "" +) +elements [ +] +) +sed 1 +awe 1 +portVis (PortSigDisplay +sN 0 +sTC 0 +selT 0 +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +de 2 +visOptions (mwParamsVisibilityOptions +) +) +*107 (Net +uid 4982,0 +decl (Decl +n "veto_o" +t "std_logic" +eolc "--! goes high when one or more DUT are busy" +o 64 +suid 116,0 +) +declText (MLText +uid 4983,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,73600,31500,74500" +st "SIGNAL veto_o : std_logic --! goes high when one or more DUT are busy +" +) +) +*108 (Net +uid 4988,0 +decl (Decl +n "buffer_full_o" +t "std_logic" +eolc "--! Goes high when event buffer almost full" +o 31 +suid 117,0 +) +declText (MLText +uid 4989,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,43900,31500,44800" +st "SIGNAL buffer_full_o : std_logic --! Goes high when event buffer almost full +" +) +) +*109 (PortIoIn +uid 6016,0 +shape (CompositeShape +uid 6017,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 6018,0 +sl 0 +ro 270 +xt "3000,140625,4500,141375" +) +(Line +uid 6019,0 +sl 0 +ro 270 +xt "4500,141000,5000,141000" +pts [ +"4500,141000" +"5000,141000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 6020,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6021,0 +va (VaSet +font "courier,8,0" +) +xt "-5000,140550,2000,141450" +st "cfd_discr_n_i" +ju 2 +blo "2000,141250" +tm "WireNameMgr" +) +) +) +*110 (Net +uid 6028,0 +decl (Decl +n "cfd_discr_n_i" +t "std_logic_vector" +b "(g_NUM_TRIG_INPUTS-1 DOWNTO 0)" +o 3 +suid 120,0 +) +declText (MLText +uid 6029,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,17800,24500,18700" +st "cfd_discr_n_i : std_logic_vector(g_NUM_TRIG_INPUTS-1 DOWNTO 0) +" +) +) +*111 (PortIoIn +uid 6030,0 +shape (CompositeShape +uid 6031,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 6032,0 +sl 0 +ro 270 +xt "3000,136625,4500,137375" +) +(Line +uid 6033,0 +sl 0 +ro 270 +xt "4500,137000,5000,137000" +pts [ +"4500,137000" +"5000,137000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 6034,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6035,0 +va (VaSet +font "courier,8,0" +) +xt "-8000,136550,2000,137450" +st "threshold_discr_n_i" +ju 2 +blo "2000,137250" +tm "WireNameMgr" +) +) +) +*112 (Net +uid 6042,0 +decl (Decl +n "threshold_discr_n_i" +t "std_logic_vector" +b "(g_NUM_TRIG_INPUTS-1 DOWNTO 0)" +o 14 +suid 121,0 +) +declText (MLText +uid 6043,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,27700,24500,28600" +st "threshold_discr_n_i : std_logic_vector(g_NUM_TRIG_INPUTS-1 DOWNTO 0) +" +) +) +*113 (Net +uid 6676,0 +decl (Decl +n "sysclk_p_i" +t "std_logic" +o 13 +suid 129,0 +) +declText (MLText +uid 6677,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,26800,6000,27700" +st "sysclk_p_i : std_logic +" +) +) +*114 (Net +uid 6678,0 +decl (Decl +n "sysclk_n_i" +t "std_logic" +eolc "--! 200 MHz xtal clock" +o 12 +suid 130,0 +) +declText (MLText +uid 6679,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,25900,17500,26800" +st "sysclk_n_i : std_logic --! 200 MHz xtal clock +" +) +) +*115 (PortIoOut +uid 6702,0 +shape (CompositeShape +uid 6703,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 6704,0 +sl 0 +ro 270 +xt "64500,89625,66000,90375" +) +(Line +uid 6705,0 +sl 0 +ro 270 +xt "64000,90000,64500,90000" +pts [ +"64000,90000" +"64500,90000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 6706,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6707,0 +va (VaSet +font "courier,8,0" +) +xt "57000,88550,63500,89450" +st "triggers_p_o" +blo "57000,89250" +tm "WireNameMgr" +) +) +) +*116 (Net +uid 6714,0 +decl (Decl +n "triggers_p_o" +t "std_logic_vector" +b "(g_NUM_DUTS-1 DOWNTO 0)" +eolc "--! Trigger lines to DUT" +o 26 +suid 131,0 +) +declText (MLText +uid 6715,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,38500,33500,39400" +st "triggers_p_o : std_logic_vector(g_NUM_DUTS-1 DOWNTO 0) --! Trigger lines to DUT +" +) +) +*117 (PortIoIn +uid 6716,0 +shape (CompositeShape +uid 6717,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 6718,0 +sl 0 +ro 270 +xt "-2000,88625,-500,89375" +) +(Line +uid 6719,0 +sl 0 +ro 270 +xt "-500,89000,0,89000" +pts [ +"-500,89000" +"0,89000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 6720,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6721,0 +va (VaSet +font "courier,8,0" +) +xt "-7000,88500,-3000,89400" +st "busy_p_i" +ju 2 +blo "-3000,89200" +tm "WireNameMgr" +) +) +) +*118 (Net +uid 6728,0 +decl (Decl +n "busy_p_i" +t "std_logic_vector" +b "(g_NUM_DUTS-1 DOWNTO 0)" +eolc "--! Busy lines from DUTs ( active high )" +o 2 +suid 132,0 +) +declText (MLText +uid 6729,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,16900,41500,17800" +st "busy_p_i : std_logic_vector(g_NUM_DUTS-1 DOWNTO 0) --! Busy lines from DUTs ( active high ) +" +) +) +*119 (PortIoOut +uid 6730,0 +shape (CompositeShape +uid 6731,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 6732,0 +sl 0 +ro 270 +xt "64500,96625,66000,97375" +) +(Line +uid 6733,0 +sl 0 +ro 270 +xt "64000,97000,64500,97000" +pts [ +"64000,97000" +"64500,97000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 6734,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6735,0 +va (VaSet +font "courier,8,0" +) +xt "57000,95550,65500,96450" +st "reset_or_clk_p_o" +blo "57000,96250" +tm "WireNameMgr" +) +) +) +*120 (Net +uid 6742,0 +decl (Decl +n "reset_or_clk_p_o" +t "std_logic_vector" +b "(g_NUM_DUTS-1 DOWNTO 0)" +o 24 +suid 133,0 +) +declText (MLText +uid 6743,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,36700,21000,37600" +st "reset_or_clk_p_o : std_logic_vector(g_NUM_DUTS-1 DOWNTO 0) +" +) +) +*121 (PortIoIn +uid 6744,0 +shape (CompositeShape +uid 6745,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 6746,0 +sl 0 +ro 270 +xt "-2000,92625,-500,93375" +) +(Line +uid 6747,0 +sl 0 +ro 270 +xt "-500,93000,0,93000" +pts [ +"-500,93000" +"0,93000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 6748,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6749,0 +va (VaSet +font "courier,8,0" +) +xt "-9000,92500,-3000,93400" +st "dut_clk_p_i" +ju 2 +blo "-3000,93200" +tm "WireNameMgr" +) +) +) +*122 (Net +uid 6756,0 +decl (Decl +n "dut_clk_p_i" +t "std_logic_vector" +b "(g_NUM_DUTS-1 DOWNTO 0)" +o 7 +suid 134,0 +) +declText (MLText +uid 6757,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,21400,21000,22300" +st "dut_clk_p_i : std_logic_vector(g_NUM_DUTS-1 DOWNTO 0) +" +) +) +*123 (Net +uid 6770,0 +lang 2 +decl (Decl +n "dip_switch_i" +t "std_logic_vector" +b "(3 DOWNTO 0)" +o 5 +suid 135,0 +) +declText (MLText +uid 6771,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,19600,15500,20500" +st "dip_switch_i : std_logic_vector(3 DOWNTO 0) +" +) +) +*124 (PortIoIn +uid 6772,0 +shape (CompositeShape +uid 6773,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 6774,0 +sl 0 +ro 270 +xt "-2000,90625,-500,91375" +) +(Line +uid 6775,0 +sl 0 +ro 270 +xt "-500,91000,0,91000" +pts [ +"-500,91000" +"0,91000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 6776,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6777,0 +va (VaSet +font "courier,8,0" +) +xt "-7000,90500,-3000,91400" +st "busy_n_i" +ju 2 +blo "-3000,91200" +tm "WireNameMgr" +) +) +) +*125 (Net +uid 6784,0 +decl (Decl +n "busy_n_i" +t "std_logic_vector" +b "(g_NUM_DUTS-1 DOWNTO 0)" +o 1 +suid 136,0 +) +declText (MLText +uid 6785,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,16000,21000,16900" +st "busy_n_i : std_logic_vector(g_NUM_DUTS-1 DOWNTO 0) +" +) +) +*126 (PortIoOut +uid 6786,0 +shape (CompositeShape +uid 6787,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 6788,0 +sl 0 +ro 270 +xt "64500,98625,66000,99375" +) +(Line +uid 6789,0 +sl 0 +ro 270 +xt "64000,99000,64500,99000" +pts [ +"64000,99000" +"64500,99000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 6790,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6791,0 +va (VaSet +font "courier,8,0" +) +xt "57000,97550,65500,98450" +st "reset_or_clk_n_o" +blo "57000,98250" +tm "WireNameMgr" +) +) +) +*127 (Net +uid 6798,0 +decl (Decl +n "reset_or_clk_n_o" +t "std_logic_vector" +b "(g_NUM_DUTS-1 DOWNTO 0)" +o 23 +suid 137,0 +) +declText (MLText +uid 6799,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,35800,21000,36700" +st "reset_or_clk_n_o : std_logic_vector(g_NUM_DUTS-1 DOWNTO 0) +" +) +) +*128 (PortIoOut +uid 6800,0 +shape (CompositeShape +uid 6801,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 6802,0 +sl 0 +ro 270 +xt "64500,91625,66000,92375" +) +(Line +uid 6803,0 +sl 0 +ro 270 +xt "64000,92000,64500,92000" +pts [ +"64000,92000" +"64500,92000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 6804,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6805,0 +va (VaSet +font "courier,8,0" +) +xt "57000,90550,63500,91450" +st "triggers_n_o" +blo "57000,91250" +tm "WireNameMgr" +) +) +) +*129 (Net +uid 6812,0 +decl (Decl +n "triggers_n_o" +t "std_logic_vector" +b "(g_NUM_DUTS-1 DOWNTO 0)" +o 25 +suid 138,0 +) +declText (MLText +uid 6813,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,37600,21000,38500" +st "triggers_n_o : std_logic_vector(g_NUM_DUTS-1 DOWNTO 0) +" +) +) +*130 (PortIoIn +uid 6814,0 +shape (CompositeShape +uid 6815,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 6816,0 +sl 0 +ro 270 +xt "-2000,94625,-500,95375" +) +(Line +uid 6817,0 +sl 0 +ro 270 +xt "-500,95000,0,95000" +pts [ +"-500,95000" +"0,95000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 6818,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6819,0 +va (VaSet +font "courier,8,0" +) +xt "-9000,94500,-3000,95400" +st "dut_clk_n_i" +ju 2 +blo "-3000,95200" +tm "WireNameMgr" +) +) +) +*131 (Net +uid 6826,0 +decl (Decl +n "dut_clk_n_i" +t "std_logic_vector" +b "(g_NUM_DUTS-1 DOWNTO 0)" +o 6 +suid 139,0 +) +declText (MLText +uid 6827,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,20500,21000,21400" +st "dut_clk_n_i : std_logic_vector(g_NUM_DUTS-1 DOWNTO 0) +" +) +) +*132 (SaComponent +uid 6898,0 +optionalChildren [ +*133 (CptPort +uid 6830,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6831,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "55000,89625,55750,90375" +) +tg (CPTG +uid 6832,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 6833,0 +va (VaSet +font "courier,8,0" +) +xt "35000,89550,54000,90450" +st "trigger_to_dut_p_o : (g_NUM_DUTS-1:0)" +ju 2 +blo "54000,90250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "trigger_to_dut_p_o" +t "std_logic_vector" +b "(g_NUM_DUTS-1 DOWNTO 0)" +eolc "! Trigger output" +o 16 +suid 1,0 +) +) +) +*134 (CptPort +uid 6834,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6835,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "9250,88625,10000,89375" +) +tg (CPTG +uid 6836,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6837,0 +va (VaSet +font "courier,8,0" +) +xt "11000,88550,29500,89450" +st "busy_from_dut_p_i : (g_NUM_DUTS-1:0)" +blo "11000,89250" +) +) +thePort (LogicalPort +decl (Decl +n "busy_from_dut_p_i" +t "std_logic_vector" +b "(g_NUM_DUTS-1 DOWNTO 0)" +eolc "BUSY input from DUTs" +o 2 +suid 2,0 +) +) +) +*135 (CptPort +uid 6838,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6839,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "55000,96625,55750,97375" +) +tg (CPTG +uid 6840,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 6841,0 +va (VaSet +font "courier,8,0" +) +xt "32500,96550,54000,97450" +st "reset_or_clk_to_dut_p_o : (g_NUM_DUTS-1:0)" +ju 2 +blo "54000,97250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "reset_or_clk_to_dut_p_o" +t "std_logic_vector" +b "(g_NUM_DUTS-1 DOWNTO 0)" +eolc "! Either reset line or trigger" +o 14 +suid 3,0 +) +) +) +*136 (CptPort +uid 6842,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6843,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "9250,92625,10000,93375" +) +tg (CPTG +uid 6844,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6845,0 +va (VaSet +font "courier,8,0" +) +xt "11000,92550,29000,93450" +st "clk_from_dut_p_i : (g_NUM_DUTS-1:0)" +blo "11000,93250" +) +) +thePort (LogicalPort +decl (Decl +n "clk_from_dut_p_i" +t "std_logic_vector" +b "(g_NUM_DUTS-1 DOWNTO 0)" +eolc "clocks trigger data when in EUDET mode" +o 4 +suid 4,0 +) +) +) +*137 (CptPort +uid 6846,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6847,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "9250,96625,10000,97375" +) +tg (CPTG +uid 6848,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6849,0 +va (VaSet +font "courier,8,0" +) +xt "11000,96550,15500,97450" +st "trigger_i" +blo "11000,97250" +) +) +thePort (LogicalPort +decl (Decl +n "trigger_i" +t "std_logic" +eolc "goes high when trigger logic issues a trigger" +o 11 +suid 5,0 +) +) +) +*138 (CptPort +uid 6850,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6851,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "9250,98625,10000,99375" +) +tg (CPTG +uid 6852,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6853,0 +va (VaSet +font "courier,8,0" +) +xt "11000,98550,18500,99450" +st "clk_4x_logic_i" +blo "11000,99250" +) +) +thePort (LogicalPort +decl (Decl +n "clk_4x_logic_i" +t "std_logic" +o 3 +suid 6,0 +) +) +) +*139 (CptPort +uid 6854,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6855,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "9250,100625,10000,101375" +) +tg (CPTG +uid 6856,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6857,0 +va (VaSet +font "courier,8,0" +) +xt "11000,100550,20000,101450" +st "strobe_4x_logic_i" +blo "11000,101250" +) +) +thePort (LogicalPort +decl (Decl +n "strobe_4x_logic_i" +t "std_logic" +eolc "! goes high every 4th clock cycle" +o 9 +suid 7,0 +) +) +) +*140 (CptPort +uid 6858,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6859,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "9250,102625,10000,103375" +) +tg (CPTG +uid 6860,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6861,0 +va (VaSet +font "courier,8,0" +) +xt "11000,102550,31000,103450" +st "trigger_counter_i : (g_IPBUS_WIDTH-1:0)" +blo "11000,103250" +) +) +thePort (LogicalPort +decl (Decl +n "trigger_counter_i" +t "std_logic_vector" +b "(g_IPBUS_WIDTH-1 DOWNTO 0)" +o 10 +suid 8,0 +) +) +) +*141 (CptPort +uid 6862,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6863,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "9250,104625,10000,105375" +) +tg (CPTG +uid 6864,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6865,0 +va (VaSet +font "courier,8,0" +) +xt "11000,104550,17000,105450" +st "ipbus_clk_i" +blo "11000,105250" +) +) +thePort (LogicalPort +decl (Decl +n "ipbus_clk_i" +t "std_logic" +o 6 +suid 9,0 +) +) +) +*142 (CptPort +uid 6866,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6867,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "9250,106625,10000,107375" +) +tg (CPTG +uid 6868,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6869,0 +va (VaSet +font "courier,8,0" +) +xt "11000,106550,18000,107450" +st "ipbus_reset_i" +blo "11000,107250" +) +) +thePort (LogicalPort +decl (Decl +n "ipbus_reset_i" +t "std_logic" +o 8 +suid 10,0 +) +) +) +*143 (CptPort +uid 6870,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6871,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "9250,108625,10000,109375" +) +tg (CPTG +uid 6872,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6873,0 +va (VaSet +font "courier,8,0" +) +xt "11000,108550,14500,109450" +st "ipbus_i" +blo "11000,109250" +) +) +thePort (LogicalPort +decl (Decl +n "ipbus_i" +t "ipb_wbus" +eolc "Signals from IPBus core to slave" +o 7 +suid 11,0 +) +) +) +*144 (CptPort +uid 6874,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6875,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "55000,103625,55750,104375" +) +tg (CPTG +uid 6876,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 6877,0 +va (VaSet +font "courier,8,0" +) +xt "50500,103550,54000,104450" +st "ipbus_o" +ju 2 +blo "54000,104250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "ipbus_o" +t "ipb_rbus" +eolc "signals from slave to IPBus core" +o 12 +suid 12,0 +) +) +) +*145 (CptPort +uid 6878,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6879,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "55000,107625,55750,108375" +) +tg (CPTG +uid 6880,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 6881,0 +va (VaSet +font "courier,8,0" +) +xt "51000,107550,54000,108450" +st "veto_o" +ju 2 +blo "54000,108250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "veto_o" +t "std_logic" +eolc "goes high when one or more DUT are busy" +o 17 +suid 13,0 +) +) +) +*146 (CptPort +uid 6882,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6883,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "55000,91625,55750,92375" +) +tg (CPTG +uid 6884,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 6885,0 +va (VaSet +font "courier,8,0" +) +xt "35000,91550,54000,92450" +st "trigger_to_dut_n_o : (g_NUM_DUTS-1:0)" +ju 2 +blo "54000,92250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "trigger_to_dut_n_o" +t "std_logic_vector" +b "(g_NUM_DUTS-1 DOWNTO 0)" +eolc "! Trigger output" +o 15 +suid 14,0 +) +) +) +*147 (CptPort +uid 6886,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6887,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "9250,90625,10000,91375" +) +tg (CPTG +uid 6888,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6889,0 +va (VaSet +font "courier,8,0" +) +xt "11000,90550,29500,91450" +st "busy_from_dut_n_i : (g_NUM_DUTS-1:0)" +blo "11000,91250" +) +) +thePort (LogicalPort +decl (Decl +n "busy_from_dut_n_i" +t "std_logic_vector" +b "(g_NUM_DUTS-1 DOWNTO 0)" +eolc "BUSY input from DUTs" +o 1 +suid 15,0 +) +) +) +*148 (CptPort +uid 6890,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6891,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "55000,98625,55750,99375" +) +tg (CPTG +uid 6892,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 6893,0 +va (VaSet +font "courier,8,0" +) +xt "32500,98550,54000,99450" +st "reset_or_clk_to_dut_n_o : (g_NUM_DUTS-1:0)" +ju 2 +blo "54000,99250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "reset_or_clk_to_dut_n_o" +t "std_logic_vector" +b "(g_NUM_DUTS-1 DOWNTO 0)" +eolc "! Either reset line or trigger" +o 13 +suid 16,0 +) +) +) +*149 (CptPort +uid 6894,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6895,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "9250,94625,10000,95375" +) +tg (CPTG +uid 6896,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6897,0 +va (VaSet +font "courier,8,0" +) +xt "11000,94550,29000,95450" +st "clk_from_dut_n_i : (g_NUM_DUTS-1:0)" +blo "11000,95250" +) +) +thePort (LogicalPort +decl (Decl +n "clk_from_dut_n_i" +t "std_logic_vector" +b "(g_NUM_DUTS-1 DOWNTO 0)" +eolc "clocks trigger data when in EUDET mode" +o 5 +suid 17,0 +) +) +) +] +shape (Rectangle +uid 6899,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "10000,88000,55000,110000" +) +oxt "14000,17000,59000,39000" +ttg (MlTextGroup +uid 6900,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*150 (Text +uid 6901,0 +va (VaSet +font "courier,8,1" +) +xt "19750,97100,21750,98000" +st "work" +blo "19750,97800" +tm "BdLibraryNameMgr" +) +*151 (Text +uid 6902,0 +va (VaSet +font "courier,8,1" +) +xt "19750,98000,26750,98900" +st "DUTInterfaces" +blo "19750,98700" +tm "CptNameMgr" +) +*152 (Text +uid 6903,0 +va (VaSet +font "courier,8,1" +) +xt "19750,98900,20750,99800" +st "I0" +blo "19750,99600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 6904,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 6905,0 +text (MLText +uid 6906,0 +va (VaSet +font "courier,8,0" +) +xt "18000,86200,42000,88000" +st "g_NUM_DUTS = g_NUM_DUTS ( positive ) +g_IPBUS_WIDTH = g_IPBUS_WIDTH ( positive ) " +) +header "" +) +elements [ +(GiElement +name "g_NUM_DUTS" +type "positive" +value "g_NUM_DUTS" +) +(GiElement +name "g_IPBUS_WIDTH" +type "positive" +value "g_IPBUS_WIDTH" +) +] +) +viewicon (ZoomableIcon +uid 6907,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "10250,108250,11750,109750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +sIVOD 1 +) +archFileType "UNKNOWN" +) +*153 (PortIoIn +uid 6908,0 +shape (CompositeShape +uid 6909,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 6910,0 +sl 0 +ro 270 +xt "78000,30625,79500,31375" +) +(Line +uid 6911,0 +sl 0 +ro 270 +xt "79500,31000,80000,31000" +pts [ +"79500,31000" +"80000,31000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 6912,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6913,0 +va (VaSet +font "courier,8,0" +) +xt "70500,30500,77000,31400" +st "dip_switch_i" +ju 2 +blo "77000,31200" +tm "WireNameMgr" +) +) +) +*154 (Net +uid 7099,0 +decl (Decl +n "ipbus_rst" +t "std_logic" +eolc "! IPBus reset to slaves" +o 46 +suid 140,0 +) +declText (MLText +uid 7100,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,57400,23000,58300" +st "SIGNAL ipbus_rst : std_logic -- ! IPBus reset to slaves +" +) +) +*155 (PortIoInOut +uid 7881,0 +shape (CompositeShape +uid 7882,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +uid 7883,0 +sl 0 +xt "217500,14625,219000,15375" +) +(Line +uid 7884,0 +sl 0 +xt "217000,15000,217500,15000" +pts [ +"217000,15000" +"217500,15000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 7885,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 7886,0 +va (VaSet +font "courier,8,0" +) +xt "220000,14550,224500,15450" +st "i2c_scl_b" +blo "220000,15250" +tm "WireNameMgr" +) +) +) +*156 (SaComponent +uid 9123,0 +optionalChildren [ +*157 (CptPort +uid 9071,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9072,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "105250,131625,106000,132375" +) +tg (CPTG +uid 9073,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 9074,0 +va (VaSet +font "courier,8,0" +) +xt "107000,131550,114500,132450" +st "clk_4x_logic_i" +blo "107000,132250" +) +) +thePort (LogicalPort +lang 2 +decl (Decl +n "clk_4x_logic_i" +t "std_logic" +eolc "! Rising edge active" +preAdd 0 +posAdd 0 +o 1 +suid 1,0 +) +) +) +*158 (CptPort +uid 9075,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9076,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "105250,134625,106000,135375" +) +tg (CPTG +uid 9077,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 9078,0 +va (VaSet +font "courier,8,0" +) +xt "107000,134550,114500,135450" +st "logic_strobe_i" +blo "107000,135250" +) +) +thePort (LogicalPort +lang 2 +decl (Decl +n "logic_strobe_i" +t "std_logic" +eolc "! Pulses high once every 4 cycles of clk_4x_logic" +preAdd 0 +posAdd 0 +o 5 +suid 2,0 +) +) +) +*159 (CptPort +uid 9079,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9080,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "105250,142625,106000,143375" +) +tg (CPTG +uid 9081,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 9082,0 +va (VaSet +font "courier,8,0" +) +xt "107000,142550,113000,143450" +st "ipbus_clk_i" +blo "107000,143250" +) +) +thePort (LogicalPort +decl (Decl +n "ipbus_clk_i" +t "std_logic" +o 2 +suid 3,0 +) +) +) +*160 (CptPort +uid 9083,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9084,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "105250,144625,106000,145375" +) +tg (CPTG +uid 9085,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 9086,0 +va (VaSet +font "courier,8,0" +) +xt "107000,144550,110500,145450" +st "ipbus_i" +blo "107000,145250" +) +) +thePort (LogicalPort +decl (Decl +n "ipbus_i" +t "ipb_wbus" +eolc "Signals from IPBus core to slave" +o 3 +suid 4,0 +) +) +) +*161 (CptPort +uid 9087,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9088,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "143000,149625,143750,150375" +) +tg (CPTG +uid 9089,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 9090,0 +va (VaSet +font "courier,8,0" +) +xt "138500,149550,142000,150450" +st "ipbus_o" +ju 2 +blo "142000,150250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "ipbus_o" +t "ipb_rbus" +eolc "signals from slave to IPBus core" +o 6 +suid 5,0 +) +) +) +*162 (CptPort +uid 9091,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9092,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "105250,147625,106000,148375" +) +tg (CPTG +uid 9093,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 9094,0 +va (VaSet +font "courier,8,0" +) +xt "107000,147550,114000,148450" +st "ipbus_reset_i" +blo "107000,148250" +) +) +thePort (LogicalPort +decl (Decl +n "ipbus_reset_i" +t "std_logic" +o 4 +suid 6,0 +) +) +) +*163 (CptPort +uid 9095,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9096,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "105250,137625,106000,138375" +) +tg (CPTG +uid 9097,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 9098,0 +va (VaSet +font "courier,8,0" +) +xt "107000,137550,122500,138450" +st "trigger_i : (g_NUM_INPUTS-1:0)" +blo "107000,138250" +) +) +thePort (LogicalPort +lang 2 +decl (Decl +n "trigger_i" +t "std_logic_vector" +b "(g_NUM_INPUTS-1 DOWNTO 0)" +eolc "! High when trigger from input conector active" +o 7 +suid 7,0 +) +) +) +*164 (CptPort +uid 9099,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9100,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "105250,139625,106000,140375" +) +tg (CPTG +uid 9101,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 9102,0 +va (VaSet +font "courier,8,0" +) +xt "107000,139550,110000,140450" +st "veto_i" +blo "107000,140250" +) +) +thePort (LogicalPort +decl (Decl +n "veto_i" +t "std_logic" +eolc "! Halts triggers when high" +o 8 +suid 8,0 +) +) +) +*165 (CptPort +uid 9103,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9104,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "143000,136625,143750,137375" +) +tg (CPTG +uid 9105,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 9106,0 +va (VaSet +font "courier,8,0" +) +xt "132000,136550,142000,137450" +st "post_veto_trigger_o" +ju 2 +blo "142000,137250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "post_veto_trigger_o" +t "std_logic" +eolc "! goes high when trigger passes" +o 9 +suid 9,0 +) +) +) +*166 (CptPort +uid 9107,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9108,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "143000,141625,143750,142375" +) +tg (CPTG +uid 9109,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 9110,0 +va (VaSet +font "courier,8,0" +) +xt "133500,141550,142000,142450" +st "trigger_active_o" +ju 2 +blo "142000,142250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "trigger_active_o" +t "std_logic" +eolc "--! Goes high when triggers are active ( ie. not veoted)" +o 10 +suid 10,0 +) +) +) +*167 (CptPort +uid 9111,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9112,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "143000,132625,143750,133375" +) +tg (CPTG +uid 9113,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 9114,0 +va (VaSet +font "courier,8,0" +) +xt "132500,132550,142000,133450" +st "pre_veto_trigger_o" +ju 2 +blo "142000,133250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "pre_veto_trigger_o" +t "std_logic" +o 11 +suid 11,0 +) +) +) +*168 (CptPort +uid 9115,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9116,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "143000,145625,143750,146375" +) +tg (CPTG +uid 9117,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 9118,0 +va (VaSet +font "courier,8,0" +) +xt "123500,145550,142000,146450" +st "event_number_o : (g_IPBUS_WIDTH-1:0)" +ju 2 +blo "142000,146250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "event_number_o" +t "std_logic_vector" +b "(g_IPBUS_WIDTH-1 downto 0)" +eolc "starts at one. Increments for each post_veto_trigger" +o 12 +suid 12,0 +) +) +) +*169 (CptPort +uid 9119,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9120,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "105250,150625,106000,151375" +) +tg (CPTG +uid 9121,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 9122,0 +va (VaSet +font "courier,8,0" +) +xt "107000,150550,114000,151450" +st "logic_reset_i" +blo "107000,151250" +) +) +thePort (LogicalPort +decl (Decl +n "logic_reset_i" +t "std_logic" +eolc "active high. Synchronous with clk_4x_logic" +o 13 +suid 13,0 +) +) +) +] +shape (Rectangle +uid 9124,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "106000,131000,143000,152000" +) +oxt "8000,17000,45000,38000" +ttg (MlTextGroup +uid 9125,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*170 (Text +uid 9126,0 +va (VaSet +font "courier,8,1" +) +xt "115750,140100,117750,141000" +st "work" +blo "115750,140800" +tm "BdLibraryNameMgr" +) +*171 (Text +uid 9127,0 +va (VaSet +font "courier,8,1" +) +xt "115750,141000,122250,141900" +st "triggerLogic" +blo "115750,141700" +tm "CptNameMgr" +) +*172 (Text +uid 9128,0 +va (VaSet +font "courier,8,1" +) +xt "115750,141900,116750,142800" +st "I3" +blo "115750,142600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 9129,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 9130,0 +text (MLText +uid 9131,0 +va (VaSet +font "courier,8,0" +) +xt "116000,129200,142000,131000" +st "g_NUM_INPUTS = g_NUM_TRIG_INPUTS ( positive ) +g_IPBUS_WIDTH = g_IPBUS_WIDTH ( positive ) " +) +header "" +) +elements [ +(GiElement +name "g_NUM_INPUTS" +type "positive" +value "g_NUM_TRIG_INPUTS" +) +(GiElement +name "g_IPBUS_WIDTH" +type "positive" +value "g_IPBUS_WIDTH" +) +] +) +viewicon (ZoomableIcon +uid 9132,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "106250,150250,107750,151750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +sIVOD 1 +) +archFileType "UNKNOWN" +) +*173 (Net +uid 9139,0 +decl (Decl +n "event_number_o" +t "std_logic_vector" +b "(g_IPBUS_WIDTH-1 downto 0)" +eolc "starts at one. Increments for each post_veto_trigger" +o 41 +suid 146,0 +) +declText (MLText +uid 9140,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,52900,54000,53800" +st "SIGNAL event_number_o : std_logic_vector(g_IPBUS_WIDTH-1 downto 0) -- starts at one. Increments for each post_veto_trigger +" +) +) +*174 (SaComponent +uid 9363,0 +optionalChildren [ +*175 (CptPort +uid 9319,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9320,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "165250,71625,166000,72375" +) +tg (CPTG +uid 9321,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 9322,0 +va (VaSet +font "courier,8,0" +) +xt "167000,71550,174500,72450" +st "clk_4x_logic_i" +blo "167000,72250" +) +) +thePort (LogicalPort +decl (Decl +n "clk_4x_logic_i" +t "std_logic" +o 1 +suid 1,0 +) +) +) +*176 (CptPort +uid 9323,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9324,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "165250,85625,166000,86375" +) +tg (CPTG +uid 9325,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 9326,0 +va (VaSet +font "courier,8,0" +) +xt "167000,85550,176000,86450" +st "strobe_4x_logic_i" +blo "167000,86250" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "strobe_4x_logic_i" +t "std_logic" +o 7 +suid 2,0 +) +) +) +*177 (CptPort +uid 9327,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9328,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "165250,78625,166000,79375" +) +tg (CPTG +uid 9329,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 9330,0 +va (VaSet +font "courier,8,0" +) +xt "167000,78550,173000,79450" +st "ipbus_clk_i" +blo "167000,79250" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "ipbus_clk_i" +t "std_logic" +o 4 +suid 3,0 +) +) +) +*178 (CptPort +uid 9331,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9332,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "165250,83625,166000,84375" +) +tg (CPTG +uid 9333,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 9334,0 +va (VaSet +font "courier,8,0" +) +xt "167000,83550,174000,84450" +st "ipbus_reset_i" +blo "167000,84250" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "ipbus_reset_i" +t "std_logic" +o 6 +suid 4,0 +) +) +) +*179 (CptPort +uid 9335,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9336,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "165250,80625,166000,81375" +) +tg (CPTG +uid 9337,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 9338,0 +va (VaSet +font "courier,8,0" +) +xt "167000,80550,170500,81450" +st "ipbus_i" +blo "167000,81250" +) +) +thePort (LogicalPort +decl (Decl +n "ipbus_i" +t "ipb_wbus" +o 5 +suid 5,0 +) +) +) +*180 (CptPort +uid 9339,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9340,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "209000,73625,209750,74375" +) +tg (CPTG +uid 9341,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 9342,0 +va (VaSet +font "courier,8,0" +) +xt "204500,73550,208000,74450" +st "ipbus_o" +ju 2 +blo "208000,74250" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "ipbus_o" +t "ipb_rbus" +o 10 +suid 6,0 +) +) +) +*181 (CptPort +uid 9343,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9344,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "165250,76625,166000,77375" +) +tg (CPTG +uid 9345,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 9346,0 +va (VaSet +font "courier,8,0" +) +xt "167000,76550,187000,77450" +st "event_data_i : (g_EVENT_DATA_WIDTH-1:0)" +blo "167000,77250" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "event_data_i" +t "std_logic_vector" +b "(g_EVENT_DATA_WIDTH-1 DOWNTO 0)" +o 3 +suid 7,0 +) +) +) +*182 (CptPort +uid 9347,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9348,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "165250,73625,166000,74375" +) +tg (CPTG +uid 9349,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 9350,0 +va (VaSet +font "courier,8,0" +) +xt "167000,73550,186000,74450" +st "trigger_count_i : (g_IPBUS_WIDTH-1:0)" +blo "167000,74250" +) +) +thePort (LogicalPort +decl (Decl +n "trigger_count_i" +t "std_logic_vector" +b "(g_IPBUS_WIDTH-1 DOWNTO 0)" +o 8 +suid 8,0 +) +) +) +*183 (CptPort +uid 9351,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9352,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "165250,68625,166000,69375" +) +tg (CPTG +uid 9353,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 9354,0 +va (VaSet +font "courier,8,0" +) +xt "167000,68550,174000,69450" +st "data_strobe_i" +blo "167000,69250" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "data_strobe_i" +t "std_logic" +eolc "Indicates data to transfer" +o 2 +suid 9,0 +) +) +) +*184 (CptPort +uid 9355,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9356,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "209000,84625,209750,85375" +) +tg (CPTG +uid 9357,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 9358,0 +va (VaSet +font "courier,8,0" +) +xt "201000,84550,208000,85450" +st "buffer_full_o" +ju 2 +blo "208000,85250" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "buffer_full_o" +t "std_logic" +eolc "--! Goes high when event buffer almost full" +o 9 +suid 10,0 +) +) +) +*185 (CptPort +uid 9359,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9360,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "165250,88625,166000,89375" +) +tg (CPTG +uid 9361,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 9362,0 +va (VaSet +font "courier,8,0" +) +xt "167000,88550,174000,89450" +st "logic_reset_i" +blo "167000,89250" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "logic_reset_i" +t "std_logic" +eolc "reset buffers when high. Synch withclk_4x_logic" +o 11 +suid 11,0 +) +) +) +] +shape (Rectangle +uid 9364,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "166000,68000,209000,90000" +) +oxt "16000,16000,59000,38000" +ttg (MlTextGroup +uid 9365,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*186 (Text +uid 9366,0 +va (VaSet +font "courier,8,1" +) +xt "182750,71100,184750,72000" +st "work" +blo "182750,71800" +tm "BdLibraryNameMgr" +) +*187 (Text +uid 9367,0 +va (VaSet +font "courier,8,1" +) +xt "182750,72000,188750,72900" +st "eventBuffer" +blo "182750,72700" +tm "CptNameMgr" +) +*188 (Text +uid 9368,0 +va (VaSet +font "courier,8,1" +) +xt "182750,72900,183750,73800" +st "I5" +blo "182750,73600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 9369,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 9370,0 +text (MLText +uid 9371,0 +va (VaSet +font "courier,8,0" +) +xt "173000,63400,203500,67000" +st "g_EVENT_DATA_WIDTH = g_EVENT_DATA_WIDTH ( positive ) +g_IPBUS_WIDTH = g_IPBUS_WIDTH ( positive ) +g_WRITE_COUNTER_WIDTH = 13 ( positive ) +g_READ_COUNTER_WIDTH = 14 ( positive ) " +) +header "" +) +elements [ +(GiElement +name "g_EVENT_DATA_WIDTH" +type "positive" +value "g_EVENT_DATA_WIDTH" +) +(GiElement +name "g_IPBUS_WIDTH" +type "positive" +value "g_IPBUS_WIDTH" +) +(GiElement +name "g_WRITE_COUNTER_WIDTH" +type "positive" +value "13" +) +(GiElement +name "g_READ_COUNTER_WIDTH" +type "positive" +value "14" +) +] +) +viewicon (ZoomableIcon +uid 9372,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "166250,88250,167750,89750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +ordering 1 +viewiconposition 0 +portVis (PortSigDisplay +sIVOD 1 +) +archFileType "UNKNOWN" +) +*189 (Net +uid 9381,0 +decl (Decl +n "logic_reset" +t "std_logic" +eolc "Goes high to reset counters etc. Sync with clk_4x_logic" +o 49 +suid 148,0 +) +declText (MLText +uid 9382,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,60100,39000,61000" +st "SIGNAL logic_reset : std_logic -- Goes high to reset counters etc. Sync with clk_4x_logic +" +) +) +*190 (SaComponent +uid 10058,0 +optionalChildren [ +*191 (CptPort +uid 10026,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 10027,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "171250,9625,172000,10375" +) +tg (CPTG +uid 10028,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 10029,0 +va (VaSet +font "courier,8,0" +) +xt "173000,9550,179000,10450" +st "ipbus_clk_i" +blo "173000,10250" +) +) +thePort (LogicalPort +decl (Decl +n "ipbus_clk_i" +t "std_logic" +o 1 +suid 1,0 +) +) +) +*192 (CptPort +uid 10030,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 10031,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "171250,16625,172000,17375" +) +tg (CPTG +uid 10032,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 10033,0 +va (VaSet +font "courier,8,0" +) +xt "173000,16550,176500,17450" +st "ipbus_i" +blo "173000,17250" +) +) +thePort (LogicalPort +decl (Decl +n "ipbus_i" +t "ipb_wbus" +eolc "Signals from IPBus core to slave" +o 2 +suid 2,0 +) +) +) +*193 (CptPort +uid 10034,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 10035,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "196000,9625,196750,10375" +) +tg (CPTG +uid 10036,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 10037,0 +va (VaSet +font "courier,8,0" +) +xt "191500,9550,195000,10450" +st "ipbus_o" +ju 2 +blo "195000,10250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "ipbus_o" +t "ipb_rbus" +eolc "signals from slave to IPBus core" +o 4 +suid 3,0 +) +) +) +*194 (CptPort +uid 10038,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 10039,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "171250,23625,172000,24375" +) +tg (CPTG +uid 10040,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 10041,0 +va (VaSet +font "courier,8,0" +) +xt "173000,23550,180000,24450" +st "ipbus_reset_i" +blo "173000,24250" +) +) +thePort (LogicalPort +decl (Decl +n "ipbus_reset_i" +t "std_logic" +o 3 +suid 4,0 +) +) +) +*195 (CptPort +uid 10042,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 10043,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "196000,18625,196750,19375" +) +tg (CPTG +uid 10044,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 10045,0 +va (VaSet +font "courier,8,0" +) +xt "188000,18550,195000,19450" +st "i2c_scl_enb_o" +ju 2 +blo "195000,19250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "i2c_scl_enb_o" +t "std_logic" +o 5 +suid 5,0 +) +) +) +*196 (CptPort +uid 10046,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 10047,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "196000,22625,196750,23375" +) +tg (CPTG +uid 10048,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 10049,0 +va (VaSet +font "courier,8,0" +) +xt "190500,22550,195000,23450" +st "i2c_sda_i" +ju 2 +blo "195000,23250" +) +) +thePort (LogicalPort +decl (Decl +n "i2c_sda_i" +t "std_logic" +o 6 +suid 6,0 +) +) +) +*197 (CptPort +uid 10050,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 10051,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "196000,24625,196750,25375" +) +tg (CPTG +uid 10052,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 10053,0 +va (VaSet +font "courier,8,0" +) +xt "188000,24550,195000,25450" +st "i2c_sda_enb_o" +ju 2 +blo "195000,25250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "i2c_sda_enb_o" +t "std_logic" +o 7 +suid 7,0 +) +) +) +*198 (CptPort +uid 10054,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 10055,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "196000,20625,196750,21375" +) +tg (CPTG +uid 10056,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 10057,0 +va (VaSet +font "courier,8,0" +) +xt "190500,20550,195000,21450" +st "i2c_scl_i" +ju 2 +blo "195000,21250" +) +) +thePort (LogicalPort +decl (Decl +n "i2c_scl_i" +t "std_logic" +o 8 +suid 8,0 +) +) +) +] +shape (Rectangle +uid 10059,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "172000,7000,196000,27000" +) +oxt "21000,15000,45000,35000" +ttg (MlTextGroup +uid 10060,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*199 (Text +uid 10061,0 +va (VaSet +font "courier,8,1" +) +xt "181750,16100,183750,17000" +st "work" +blo "181750,16800" +tm "BdLibraryNameMgr" +) +*200 (Text +uid 10062,0 +va (VaSet +font "courier,8,1" +) +xt "181750,17000,187250,17900" +st "i2c_master" +blo "181750,17700" +tm "CptNameMgr" +) +*201 (Text +uid 10063,0 +va (VaSet +font "courier,8,1" +) +xt "181750,17900,182750,18800" +st "I7" +blo "181750,18600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 10064,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 10065,0 +text (MLText +uid 10066,0 +va (VaSet +font "courier,8,0" +) +xt "157000,13000,157000,13000" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +uid 10067,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "172250,25250,173750,26750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +sIVOD 1 +) +archFileType "UNKNOWN" +) +*202 (HdlText +uid 10078,0 +optionalChildren [ +*203 (EmbeddedText +uid 10084,0 +commentText (CommentText +uid 10085,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 10086,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "208000,21000,226000,28000" +) +oxt "0,0,18000,5000" +text (MLText +uid 10087,0 +va (VaSet +font "courier,8,0" +) +xt "208200,21200,224100,27500" +st " +-- eb1 1 +i2c_scl_b <= '0' when (s_i2c_scl_enb = '0') else 'Z'; +i2c_sda_b <= '0' when (s_i2c_sda_enb = '0') else 'Z'; + + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 7000 +visibleWidth 18000 +) +) +) +] +shape (Rectangle +uid 10079,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "208000,19000,226000,28000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 10080,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*204 (Text +uid 10081,0 +va (VaSet +font "courier,8,1" +) +xt "208250,19100,214750,20000" +st "i2c_tristate" +blo "208250,19800" +tm "HdlTextNameMgr" +) +*205 (Text +uid 10082,0 +va (VaSet +font "courier,8,1" +) +xt "208250,20000,208750,20900" +st "1" +blo "208250,20700" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 10083,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "208250,26250,209750,27750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*206 (Net +uid 10096,0 +decl (Decl +n "s_i2c_scl_enb" +t "std_logic" +o 52 +suid 153,0 +) +declText (MLText +uid 10097,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,62800,9500,63700" +st "SIGNAL s_i2c_scl_enb : std_logic +" +) +) +*207 (Net +uid 10122,0 +decl (Decl +n "s_i2c_sda_enb" +t "std_logic" +o 53 +suid 157,0 +) +declText (MLText +uid 10123,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,63700,9500,64600" +st "SIGNAL s_i2c_sda_enb : std_logic +" +) +) +*208 (SaComponent +uid 10522,0 +optionalChildren [ +*209 (CptPort +uid 10434,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 10435,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "97250,66625,98000,67375" +) +tg (CPTG +uid 10436,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 10437,0 +va (VaSet +font "courier,8,0" +) +xt "99000,66550,103500,67450" +st "trigger_i" +blo "99000,67250" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "trigger_i" +t "std_logic" +eolc "--! goes high to load trigger data. One cycle of clk_4x_logic" +posAdd 0 +o 5 +suid 1,0 +) +) +) +*210 (CptPort +uid 10438,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 10439,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "97250,69625,98000,70375" +) +tg (CPTG +uid 10440,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 10441,0 +va (VaSet +font "courier,8,0" +) +xt "99000,69550,106500,70450" +st "clk_4x_logic_i" +blo "99000,70250" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "clk_4x_logic_i" +t "std_logic" +eolc "! Rising edge active" +preAdd 0 +posAdd 0 +o 1 +suid 2,0 +) +) +) +*211 (CptPort +uid 10442,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 10443,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "97250,72625,98000,73375" +) +tg (CPTG +uid 10444,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 10445,0 +va (VaSet +font "courier,8,0" +) +xt "99000,72550,106500,73450" +st "logic_strobe_i" +blo "99000,73250" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "logic_strobe_i" +t "std_logic" +eolc "! Pulses high once every 4 cycles of clk_4x_logic" +preAdd 0 +posAdd 0 +o 3 +suid 3,0 +) +) +) +*212 (CptPort +uid 10446,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 10447,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "150000,68625,150750,69375" +) +tg (CPTG +uid 10448,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 10449,0 +va (VaSet +font "courier,8,0" +) +xt "142000,68550,149000,69450" +st "data_strobe_o" +ju 2 +blo "149000,69250" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "data_strobe_o" +t "std_logic" +eolc "goes high when data ready to load into event buffer" +o 19 +suid 4,0 +) +) +) +*213 (CptPort +uid 10450,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 10451,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "150000,75625,150750,76375" +) +tg (CPTG +uid 10452,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 10453,0 +va (VaSet +font "courier,8,0" +) +xt "130000,75550,149000,76450" +st "trigger_count_o : (g_IPBUS_WIDTH-1:0)" +ju 2 +blo "149000,76250" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "trigger_count_o" +t "std_logic_vector" +b "(g_IPBUS_WIDTH-1 DOWNTO 0)" +o 22 +suid 5,0 +) +) +) +*214 (CptPort +uid 10454,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 10455,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "97250,75625,98000,76375" +) +tg (CPTG +uid 10456,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 10457,0 +va (VaSet +font "courier,8,0" +) +xt "99000,75550,120000,76450" +st "trigger_times_i : (g_NUM_TRIG_INPUTS-1:0)" +blo "99000,76250" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "trigger_times_i" +t "t_triggerTimeArray" +b "(g_NUM_TRIG_INPUTS-1 DOWNTO 0)" +eolc "Array of trigger times ( w.r.t. logic_strobe)" +preAdd 0 +o 6 +suid 6,0 +) +) +) +*215 (CptPort +uid 10458,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 10459,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "150000,83625,150750,84375" +) +tg (CPTG +uid 10460,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 10461,0 +va (VaSet +font "courier,8,0" +) +xt "129000,83550,149000,84450" +st "event_data_o : (g_EVENT_DATA_WIDTH-1:0)" +ju 2 +blo "149000,84250" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "event_data_o" +t "std_logic_vector" +b "(g_EVENT_DATA_WIDTH-1 DOWNTO 0)" +o 20 +suid 7,0 +) +) +) +*216 (CptPort +uid 10462,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 10463,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "97250,79625,98000,80375" +) +tg (CPTG +uid 10464,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 10465,0 +va (VaSet +font "courier,8,0" +) +xt "99000,79550,106000,80450" +st "logic_reset_i" +blo "99000,80250" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "logic_reset_i" +t "std_logic" +eolc "goes high to reset counters. Synchronous with clk_4x_logic" +o 4 +suid 9,0 +) +) +) +*217 (CptPort +uid 10466,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 10467,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "97250,85625,98000,86375" +) +tg (CPTG +uid 10468,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 10469,0 +va (VaSet +font "courier,8,0" +) +xt "99000,85550,123500,86450" +st "trigger_inputs_fired_i : (g_NUM_TRIG_INPUTS-1:0)" +blo "99000,86250" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "trigger_inputs_fired_i" +t "std_logic_vector" +b "(g_NUM_TRIG_INPUTS-1 DOWNTO 0)" +eolc "high for each input that \"fired\"" +o 7 +suid 10,0 +) +) +) +*218 (CptPort +uid 10470,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 10471,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "97250,86625,98000,87375" +) +tg (CPTG +uid 10472,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 10473,0 +va (VaSet +font "courier,8,0" +) +xt "99000,86550,118000,87450" +st "edge_fall_i : (g_NUM_EDGE_INPUTS-1:0)" +blo "99000,87250" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "edge_fall_i" +t "std_logic_vector" +b "(g_NUM_EDGE_INPUTS-1 DOWNTO 0)" +eolc "-- ! High when falling edge" +preAdd 0 +posAdd 0 +o 14 +suid 11,0 +) +) +) +*219 (CptPort +uid 10474,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 10475,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "97250,87625,98000,88375" +) +tg (CPTG +uid 10476,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 10477,0 +va (VaSet +font "courier,8,0" +) +xt "99000,87550,120500,88450" +st "edge_fall_time_i : (g_NUM_EDGE_INPUTS-1:0)" +blo "99000,88250" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "edge_fall_time_i" +t "t_triggerTimeArray" +b "(g_NUM_EDGE_INPUTS-1 DOWNTO 0)" +eolc "-- Array of edge times ( w.r.t. logic_strobe)" +preAdd 0 +posAdd 0 +o 16 +suid 12,0 +) +) +) +*220 (CptPort +uid 10478,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 10479,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "97250,88625,98000,89375" +) +tg (CPTG +uid 10480,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 10481,0 +va (VaSet +font "courier,8,0" +) +xt "99000,88550,118000,89450" +st "edge_rise_i : (g_NUM_EDGE_INPUTS-1:0)" +blo "99000,89250" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "edge_rise_i" +t "std_logic_vector" +b "(g_NUM_EDGE_INPUTS-1 DOWNTO 0)" +eolc "-- ! High when rising edge" +posAdd 0 +o 13 +suid 13,0 +) +) +) +*221 (CptPort +uid 10482,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 10483,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "97250,89625,98000,90375" +) +tg (CPTG +uid 10484,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 10485,0 +va (VaSet +font "courier,8,0" +) +xt "99000,89550,120500,90450" +st "edge_rise_time_i : (g_NUM_EDGE_INPUTS-1:0)" +blo "99000,90250" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "edge_rise_time_i" +t "t_triggerTimeArray" +b "(g_NUM_EDGE_INPUTS-1 DOWNTO 0)" +eolc "-- Array of edge times ( w.r.t. logic_strobe)" +preAdd 0 +posAdd 0 +o 15 +suid 14,0 +) +) +) +*222 (CptPort +uid 10486,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 10487,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "97250,81625,98000,82375" +) +tg (CPTG +uid 10488,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 10489,0 +va (VaSet +font "courier,8,0" +) +xt "99000,81550,105000,82450" +st "ipbus_clk_i" +blo "99000,82250" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "ipbus_clk_i" +t "std_logic" +o 2 +suid 15,0 +) +) +) +*223 (CptPort +uid 10490,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 10491,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "97250,82625,98000,83375" +) +tg (CPTG +uid 10492,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 10493,0 +va (VaSet +font "courier,8,0" +) +xt "99000,82550,102500,83450" +st "ipbus_i" +blo "99000,83250" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "ipbus_i" +t "ipb_wbus" +preAdd 0 +o 17 +suid 16,0 +) +) +) +*224 (CptPort +uid 10494,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 10495,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "150000,95625,150750,96375" +) +tg (CPTG +uid 10496,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 10497,0 +va (VaSet +font "courier,8,0" +) +xt "145500,95550,149000,96450" +st "ipbus_o" +ju 2 +blo "149000,96250" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "ipbus_o" +t "ipb_rbus" +o 18 +suid 17,0 +) +) +) +*225 (CptPort +uid 10498,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 10499,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "97250,92625,98000,93375" +) +tg (CPTG +uid 10500,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 10501,0 +va (VaSet +font "courier,8,0" +) +xt "99000,92550,118000,93450" +st "shutter_cnt_i : (g_COUNTER_WIDTH-1:0)" +blo "99000,93250" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "shutter_cnt_i" +t "std_logic_vector" +b "(g_COUNTER_WIDTH-1 DOWNTO 0)" +o 10 +suid 18,0 +) +) +) +*226 (CptPort +uid 10502,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 10503,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "97250,95625,98000,96375" +) +tg (CPTG +uid 10504,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 10505,0 +va (VaSet +font "courier,8,0" +) +xt "99000,95550,103500,96450" +st "shutter_i" +blo "99000,96250" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "shutter_i" +t "std_logic" +o 9 +suid 19,0 +) +) +) +*227 (CptPort +uid 10506,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 10507,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "97250,98625,98000,99375" +) +tg (CPTG +uid 10508,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 10509,0 +va (VaSet +font "courier,8,0" +) +xt "99000,98550,117000,99450" +st "spill_cnt_i : (g_COUNTER_WIDTH-1:0)" +blo "99000,99250" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "spill_cnt_i" +t "std_logic_vector" +b "(g_COUNTER_WIDTH-1 DOWNTO 0)" +o 12 +suid 20,0 +) +) +) +*228 (CptPort +uid 10510,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 10511,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "97250,101625,98000,102375" +) +tg (CPTG +uid 10512,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 10513,0 +va (VaSet +font "courier,8,0" +) +xt "99000,101550,102500,102450" +st "spill_i" +blo "99000,102250" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "spill_i" +t "std_logic" +o 11 +suid 21,0 +) +) +) +*229 (CptPort +uid 10514,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 10515,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "97250,104625,98000,105375" +) +tg (CPTG +uid 10516,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 10517,0 +va (VaSet +font "courier,8,0" +) +xt "99000,104550,120500,105450" +st "trigger_cnt_i : (g_COUNTER_TRIG_WIDTH-1:0)" +blo "99000,105250" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "trigger_cnt_i" +t "std_logic_vector" +b "(g_COUNTER_TRIG_WIDTH-1 DOWNTO 0)" +o 8 +suid 22,0 +) +) +) +*230 (CptPort +uid 10518,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 10519,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "150000,91625,150750,92375" +) +tg (CPTG +uid 10520,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 10521,0 +va (VaSet +font "courier,8,0" +) +xt "130500,91550,149000,92450" +st "event_number_i : (g_IPBUS_WIDTH-1:0)" +ju 2 +blo "149000,92250" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "event_number_i" +t "std_logic_vector" +b "(g_IPBUS_WIDTH-1 DOWNTO 0)" +o 21 +suid 23,0 +) +) +) +] +shape (Rectangle +uid 10523,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "98000,65000,150000,108000" +) +oxt "16000,18000,68000,51000" +ttg (MlTextGroup +uid 10524,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*231 (Text +uid 10525,0 +va (VaSet +font "courier,8,1" +) +xt "106750,70100,108750,71000" +st "work" +blo "106750,70800" +tm "BdLibraryNameMgr" +) +*232 (Text +uid 10526,0 +va (VaSet +font "courier,8,1" +) +xt "106750,71000,114250,71900" +st "eventFormatter" +blo "106750,71700" +tm "CptNameMgr" +) +*233 (Text +uid 10527,0 +va (VaSet +font "courier,8,1" +) +xt "106750,71900,107750,72800" +st "I2" +blo "106750,72600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 10528,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 10529,0 +text (MLText +uid 10530,0 +va (VaSet +font "courier,8,0" +) +xt "98000,56800,157000,64000" +st "g_EVENT_DATA_WIDTH = g_EVENT_DATA_WIDTH ( positive ) +g_IPBUS_WIDTH = g_IPBUS_WIDTH ( positive ) +g_COUNTER_TRIG_WIDTH = g_IPBUS_WIDTH ( positive ) +g_COUNTER_WIDTH = 12 ( positive ) +g_EVTTYPE_WIDTH = 4 ( positive ) -- Width of the event type word +--g_NUM_INPUT_TYPES : positive := 4; -- Number of different input types (trigger, shutter, edge...) +g_NUM_EDGE_INPUTS = g_NUM_EDGE_INPUTS ( positive ) -- Number of edge inputs +g_NUM_TRIG_INPUTS = g_NUM_TRIG_INPUTS ( positive ) -- Number of trigger inputs " +) +header "" +) +elements [ +(GiElement +name "g_EVENT_DATA_WIDTH" +type "positive" +value "g_EVENT_DATA_WIDTH" +) +(GiElement +name "g_IPBUS_WIDTH" +type "positive" +value "g_IPBUS_WIDTH" +) +(GiElement +name "g_COUNTER_TRIG_WIDTH" +type "positive" +value "g_IPBUS_WIDTH" +) +(GiElement +name "g_COUNTER_WIDTH" +type "positive" +value "12" +) +(GiElement +name "g_EVTTYPE_WIDTH" +type "positive" +value "4" +e "-- Width of the event type word" +) +(GiElement +name "g_NUM_EDGE_INPUTS" +type "positive" +value "g_NUM_EDGE_INPUTS" +pr "--g_NUM_INPUT_TYPES : positive := 4; -- Number of different input types (trigger, shutter, edge...)" +apr 0 +e "-- Number of edge inputs" +) +(GiElement +name "g_NUM_TRIG_INPUTS" +type "positive" +value "g_NUM_TRIG_INPUTS" +e "-- Number of trigger inputs" +) +] +) +viewicon (ZoomableIcon +uid 10531,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "98250,106250,99750,107750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +ordering 1 +viewiconposition 0 +portVis (PortSigDisplay +sIVOD 1 +) +archFileType "UNKNOWN" +) +*234 (Net +uid 10532,0 +lang 11 +decl (Decl +n "ipbus_clk_i" +t "std_logic" +o 44 +suid 158,0 +) +declText (MLText +uid 10533,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,55600,9500,56500" +st "SIGNAL ipbus_clk_i : std_logic +" +) +) +*235 (Net +uid 10562,0 +lang 11 +decl (Decl +n "edge_fall_i" +t "std_logic_vector" +b "(g_NUM_EDGE_INPUTS-1 DOWNTO 0)" +eolc "-- ! High when falling edge" +preAdd 0 +posAdd 0 +o 36 +suid 161,0 +) +declText (MLText +uid 10563,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,48400,42000,49300" +st "SIGNAL edge_fall_i : std_logic_vector(g_NUM_EDGE_INPUTS-1 DOWNTO 0) -- ! High when falling edge +" +) +) +*236 (Net +uid 10570,0 +lang 11 +decl (Decl +n "edge_fall_time_i" +t "t_triggerTimeArray" +b "(g_NUM_EDGE_INPUTS-1 DOWNTO 0)" +eolc "-- Array of edge times ( w.r.t. logic_strobe)" +preAdd 0 +posAdd 0 +o 37 +suid 162,0 +) +declText (MLText +uid 10571,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,49300,52000,50200" +st "SIGNAL edge_fall_time_i : t_triggerTimeArray(g_NUM_EDGE_INPUTS-1 DOWNTO 0) -- Array of edge times ( w.r.t. logic_strobe) +" +) +) +*237 (Net +uid 10578,0 +lang 11 +decl (Decl +n "edge_rise_i" +t "std_logic_vector" +b "(g_NUM_EDGE_INPUTS-1 DOWNTO 0)" +eolc "-- ! High when rising edge" +posAdd 0 +o 38 +suid 163,0 +) +declText (MLText +uid 10579,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,50200,41500,51100" +st "SIGNAL edge_rise_i : std_logic_vector(g_NUM_EDGE_INPUTS-1 DOWNTO 0) -- ! High when rising edge +" +) +) +*238 (Net +uid 10586,0 +lang 11 +decl (Decl +n "edge_rise_time_i" +t "t_triggerTimeArray" +b "(g_NUM_EDGE_INPUTS-1 DOWNTO 0)" +eolc "-- Array of edge times ( w.r.t. logic_strobe)" +preAdd 0 +posAdd 0 +o 39 +suid 164,0 +) +declText (MLText +uid 10587,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,51100,52000,52000" +st "SIGNAL edge_rise_time_i : t_triggerTimeArray(g_NUM_EDGE_INPUTS-1 DOWNTO 0) -- Array of edge times ( w.r.t. logic_strobe) +" +) +) +*239 (Net +uid 10594,0 +lang 11 +decl (Decl +n "shutter_cnt_i" +t "std_logic_vector" +b "(g_SPILL_COUNTER_WIDTH-1 DOWNTO 0)" +o 54 +suid 165,0 +) +declText (MLText +uid 10595,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,64600,30000,65500" +st "SIGNAL shutter_cnt_i : std_logic_vector(g_SPILL_COUNTER_WIDTH-1 DOWNTO 0) +" +) +) +*240 (Net +uid 10602,0 +lang 11 +decl (Decl +n "shutter_i" +t "std_logic" +o 55 +suid 166,0 +) +declText (MLText +uid 10603,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,65500,9500,66400" +st "SIGNAL shutter_i : std_logic +" +) +) +*241 (Net +uid 10610,0 +lang 11 +decl (Decl +n "spill_cnt_i" +t "std_logic_vector" +b "(g_SPILL_COUNTER_WIDTH-1 DOWNTO 0)" +o 56 +suid 167,0 +) +declText (MLText +uid 10611,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,66400,30000,67300" +st "SIGNAL spill_cnt_i : std_logic_vector(g_SPILL_COUNTER_WIDTH-1 DOWNTO 0) +" +) +) +*242 (Net +uid 10618,0 +lang 11 +decl (Decl +n "spill_i" +t "std_logic" +o 57 +suid 168,0 +) +declText (MLText +uid 10619,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,67300,9500,68200" +st "SIGNAL spill_i : std_logic +" +) +) +*243 (Net +uid 10626,0 +lang 11 +decl (Decl +n "trigger_cnt_i" +t "std_logic_vector" +b "(g_IPBUS_WIDTH-1 DOWNTO 0)" +o 60 +suid 169,0 +) +declText (MLText +uid 10627,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,70000,26000,70900" +st "SIGNAL trigger_cnt_i : std_logic_vector(g_IPBUS_WIDTH-1 DOWNTO 0) +" +) +) +*244 (SaComponent +uid 11070,0 +optionalChildren [ +*245 (CptPort +uid 11010,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11011,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "164250,43625,165000,44375" +) +tg (CPTG +uid 11012,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11013,0 +va (VaSet +font "courier,8,0" +) +xt "166000,43550,174500,44450" +st "clk_logic_xtal_i" +blo "166000,44250" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "clk_logic_xtal_i" +t "std_logic" +eolc "! 40MHz clock from onboard xtal" +o 5 +suid 1,0 +) +) +) +*246 (CptPort +uid 11014,0 +ps "OnEdgeStrategy" +shape (Diamond +uid 11015,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "164250,36625,165000,37375" +) +tg (CPTG +uid 11016,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11017,0 +va (VaSet +font "courier,8,0" +) +xt "166000,36550,171500,37450" +st "extclk_p_b" +blo "166000,37250" +) +) +thePort (LogicalPort +lang 11 +m 2 +decl (Decl +n "extclk_p_b" +t "std_logic" +eolc "either external clock in, or a clock being driven out" +preAdd 0 +o 11 +suid 2,0 +) +) +) +*247 (CptPort +uid 11018,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11019,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "196000,35625,196750,36375" +) +tg (CPTG +uid 11020,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 11021,0 +va (VaSet +font "courier,8,0" +) +xt "187500,35550,195000,36450" +st "clk_4x_logic_o" +ju 2 +blo "195000,36250" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "clk_4x_logic_o" +t "std_logic" +eolc "160MHz clock" +preAdd 0 +o 7 +suid 3,0 +) +) +) +*248 (CptPort +uid 11022,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11023,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "196000,38625,196750,39375" +) +tg (CPTG +uid 11024,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 11025,0 +va (VaSet +font "courier,8,0" +) +xt "186000,38550,195000,39450" +st "strobe_4x_logic_o" +ju 2 +blo "195000,39250" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "strobe_4x_logic_o" +t "std_logic" +eolc "-- one pulse every 4 cycles of clk_4x" +preAdd 0 +posAdd 0 +o 10 +suid 4,0 +) +) +) +*249 (CptPort +uid 11026,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11027,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "196000,41625,196750,42375" +) +tg (CPTG +uid 11028,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 11029,0 +va (VaSet +font "courier,8,0" +) +xt "187000,41550,195000,42450" +st "clk_16x_logic_o" +ju 2 +blo "195000,42250" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "clk_16x_logic_o" +t "std_logic" +eolc "-- 640MHz clock" +posAdd 0 +o 6 +suid 5,0 +) +) +) +*250 (CptPort +uid 11030,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11031,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "196000,43625,196750,44375" +) +tg (CPTG +uid 11032,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 11033,0 +va (VaSet +font "courier,8,0" +) +xt "185500,43550,195000,44450" +st "strobe_16x_logic_o" +ju 2 +blo "195000,44250" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "strobe_16x_logic_o" +t "std_logic" +eolc "-- strobes once every 4 cycles of clk_16x" +posAdd 0 +o 9 +suid 6,0 +) +) +) +*251 (CptPort +uid 11034,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11035,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "164250,46625,165000,47375" +) +tg (CPTG +uid 11036,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11037,0 +va (VaSet +font "courier,8,0" +) +xt "166000,46550,172000,47450" +st "ipbus_clk_i" +blo "166000,47250" +) +) +thePort (LogicalPort +decl (Decl +n "ipbus_clk_i" +t "std_logic" +o 1 +suid 7,0 +) +) +) +*252 (CptPort +uid 11038,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11039,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "164250,50625,165000,51375" +) +tg (CPTG +uid 11040,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11041,0 +va (VaSet +font "courier,8,0" +) +xt "166000,50550,169500,51450" +st "ipbus_i" +blo "166000,51250" +) +) +thePort (LogicalPort +decl (Decl +n "ipbus_i" +t "ipb_wbus" +o 2 +suid 8,0 +) +) +) +*253 (CptPort +uid 11042,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11043,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "196000,46625,196750,47375" +) +tg (CPTG +uid 11044,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 11045,0 +va (VaSet +font "courier,8,0" +) +xt "191500,46550,195000,47450" +st "ipbus_o" +ju 2 +blo "195000,47250" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "ipbus_o" +t "ipb_rbus" +o 8 +suid 9,0 +) +) +) +*254 (CptPort +uid 11046,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11047,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "164250,53625,165000,54375" +) +tg (CPTG +uid 11048,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11049,0 +va (VaSet +font "courier,8,0" +) +xt "166000,53550,173000,54450" +st "ipbus_reset_i" +blo "166000,54250" +) +) +thePort (LogicalPort +decl (Decl +n "ipbus_reset_i" +t "std_logic" +o 3 +suid 10,0 +) +) +) +*255 (CptPort +uid 11050,0 +ps "OnEdgeStrategy" +shape (Diamond +uid 11051,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "164250,39625,165000,40375" +) +tg (CPTG +uid 11052,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11053,0 +va (VaSet +font "courier,8,0" +) +xt "166000,39550,171500,40450" +st "extclk_n_b" +blo "166000,40250" +) +) +thePort (LogicalPort +lang 11 +m 2 +decl (Decl +n "extclk_n_b" +t "std_logic" +o 12 +suid 11,0 +) +) +) +*256 (CptPort +uid 11054,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11055,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "196000,48625,196750,49375" +) +tg (CPTG +uid 11056,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 11057,0 +va (VaSet +font "courier,8,0" +) +xt "189000,48550,195000,49450" +st "clk_logic_o" +ju 2 +blo "195000,49250" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "clk_logic_o" +t "std_logic" +o 13 +suid 13,0 +) +) +) +*257 (CptPort +uid 11058,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11059,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "196000,51625,196750,52375" +) +tg (CPTG +uid 11060,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 11061,0 +va (VaSet +font "courier,8,0" +) +xt "184000,51550,195000,52450" +st "logic_clocks_locked_o" +ju 2 +blo "195000,52250" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "logic_clocks_locked_o" +t "std_logic" +o 14 +suid 14,0 +) +) +) +*258 (CptPort +uid 11062,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11063,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "196000,54625,196750,55375" +) +tg (CPTG +uid 11064,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 11065,0 +va (VaSet +font "courier,8,0" +) +xt "188000,54550,195000,55450" +st "logic_reset_o" +ju 2 +blo "195000,55250" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "logic_reset_o" +t "std_logic" +eolc "Goes high to reset counters etc. Sync with clk_4x_logic" +o 15 +suid 15,0 +) +) +) +*259 (CptPort +uid 11066,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11067,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "164250,54625,165000,55375" +) +tg (CPTG +uid 11068,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11069,0 +va (VaSet +font "courier,8,0" +) +xt "166000,54550,169500,55450" +st "Reset_i" +blo "166000,55250" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "Reset_i" +t "std_logic" +o 4 +suid 16,0 +) +) +) +] +shape (Rectangle +uid 11071,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "165000,35000,196000,60000" +) +oxt "11000,20000,42000,41000" +ttg (MlTextGroup +uid 11072,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*260 (Text +uid 11073,0 +va (VaSet +font "courier,8,1" +) +xt "176750,37100,178750,38000" +st "work" +blo "176750,37800" +tm "BdLibraryNameMgr" +) +*261 (Text +uid 11074,0 +va (VaSet +font "courier,8,1" +) +xt "176750,38000,183250,38900" +st "logic_clocks" +blo "176750,38700" +tm "CptNameMgr" +) +*262 (Text +uid 11075,0 +va (VaSet +font "courier,8,1" +) +xt "176750,38900,177750,39800" +st "I6" +blo "176750,39600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 11076,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 11077,0 +text (MLText +uid 11078,0 +va (VaSet +font "courier,8,0" +) +xt "175000,34000,175000,34000" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +uid 11079,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "165250,58250,166750,59750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +ordering 1 +viewiconposition 0 +portVis (PortSigDisplay +sIVOD 1 +) +archFileType "UNKNOWN" +) +*263 (MWC +uid 11097,0 +optionalChildren [ +*264 (CptPort +uid 11088,0 +optionalChildren [ +*265 (Line +uid 11092,0 +layer 5 +sl 0 +va (VaSet +vasetType 3 +) +xt "154000,55000,155000,55000" +pts [ +"154000,55000" +"155000,55000" +] +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 11089,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,65535" +) +xt "153250,54625,154000,55375" +) +tg (CPTG +uid 11090,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11091,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "358964,483595,360964,484495" +st "dout" +blo "358964,484295" +) +s (Text +uid 11106,0 +sl 0 +va (VaSet +font "courier,8,0" +) +xt "358964,484495,358964,484495" +blo "358964,484495" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "dout" +t "std_logic" +eolc "Goes high to reset counters etc. Sync with clk_4x_logic" +o 48 +suid 1,0 +) +) +) +*266 (CommentGraphic +uid 11093,0 +shape (PolyLine2D +pts [ +"155000,55000" +"155000,56000" +] +uid 11094,0 +layer 0 +sl 0 +va (VaSet +vasetType 1 +transparent 1 +fg "49152,49152,49152" +) +xt "155000,55000,155000,56000" +) +oxt "7000,7000,7000,8000" +) +*267 (CommentGraphic +uid 11095,0 +shape (CustomPolygon +pts [ +"154000,56000" +"156000,56000" +"155000,57000" +"154000,56000" +] +uid 11096,0 +layer 0 +sl 0 +va (VaSet +vasetType 1 +fg "32768,32768,32768" +bg "0,0,0" +lineWidth -1 +) +xt "154000,56000,156000,57000" +) +oxt "6000,8000,8000,9000" +) +] +shape (Rectangle +uid 11098,0 +va (VaSet +vasetType 1 +transparent 1 +fg "0,65535,0" +lineColor "65535,65535,65535" +lineWidth -1 +) +xt "154000,54000,157000,57000" +fos 1 +) +showPorts 0 +oxt "6000,6000,9000,9000" +ttg (MlTextGroup +uid 11099,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*268 (Text +uid 11100,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "156450,54795,161950,55695" +st "moduleware" +blo "156450,55495" +) +*269 (Text +uid 11101,0 +va (VaSet +font "courier,8,0" +) +xt "156450,55695,157950,56595" +st "gnd" +blo "156450,56395" +) +*270 (Text +uid 11102,0 +va (VaSet +font "courier,8,0" +) +xt "156450,56595,157450,57495" +st "I9" +blo "156450,57295" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 11103,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 11104,0 +text (MLText +uid 11105,0 +va (VaSet +font "courier,8,0" +) +xt "149000,34300,149000,34300" +) +header "" +) +elements [ +] +) +sed 1 +awe 1 +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +selT 0 +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +*271 (MWC +uid 11116,0 +optionalChildren [ +*272 (CptPort +uid 11107,0 +optionalChildren [ +*273 (Line +uid 11111,0 +layer 5 +sl 0 +va (VaSet +vasetType 3 +) +xt "87000,105000,89000,105000" +pts [ +"89000,105000" +"87000,105000" +] +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 11108,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,65535" +) +xt "89000,104625,89750,105375" +) +tg (CPTG +uid 11109,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 11110,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "89964,104595,91964,105495" +st "dout" +ju 2 +blo "91964,105295" +) +s (Text +uid 11125,0 +sl 0 +va (VaSet +font "courier,8,0" +) +xt "91964,105495,91964,105495" +ju 2 +blo "91964,105495" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "dout" +t "std_logic_vector" +b "(g_IPBUS_WIDTH-1 DOWNTO 0)" +o 60 +suid 1,0 +) +) +) +*274 (CommentGraphic +uid 11112,0 +shape (PolyLine2D +pts [ +"87000,105000" +"87000,106000" +] +uid 11113,0 +layer 0 +sl 0 +va (VaSet +vasetType 1 +transparent 1 +fg "49152,49152,49152" +) +xt "87000,105000,87000,106000" +) +oxt "7000,7000,7000,8000" +) +*275 (CommentGraphic +uid 11114,0 +shape (CustomPolygon +pts [ +"86000,106000" +"88000,106000" +"87000,107000" +"86000,106000" +] +uid 11115,0 +layer 0 +sl 0 +va (VaSet +vasetType 1 +fg "32768,32768,32768" +bg "0,0,0" +lineWidth -1 +) +xt "86000,106000,88000,107000" +) +oxt "6000,8000,8000,9000" +) +] +shape (Rectangle +uid 11117,0 +va (VaSet +vasetType 1 +transparent 1 +fg "0,65535,0" +lineColor "65535,65535,65535" +lineWidth -1 +) +xt "86000,104000,89000,107000" +fos 1 +) +showPorts 0 +oxt "6000,6000,9000,9000" +ttg (MlTextGroup +uid 11118,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*276 (Text +uid 11119,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "88450,104795,93950,105695" +st "moduleware" +blo "88450,105495" +) +*277 (Text +uid 11120,0 +va (VaSet +font "courier,8,0" +) +xt "88450,105695,89950,106595" +st "gnd" +blo "88450,106395" +) +*278 (Text +uid 11121,0 +va (VaSet +font "courier,8,0" +) +xt "88450,106595,89950,107495" +st "I10" +blo "88450,107295" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 11122,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 11123,0 +text (MLText +uid 11124,0 +va (VaSet +font "courier,8,0" +) +xt "81000,84300,81000,84300" +) +header "" +) +elements [ +] +) +sed 1 +awe 1 +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +selT 0 +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +*279 (MWC +uid 11135,0 +optionalChildren [ +*280 (CptPort +uid 11126,0 +optionalChildren [ +*281 (Line +uid 11130,0 +layer 5 +sl 0 +va (VaSet +vasetType 3 +) +xt "87000,102000,89000,102000" +pts [ +"89000,102000" +"87000,102000" +] +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 11127,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,65535" +) +xt "89000,101625,89750,102375" +) +tg (CPTG +uid 11128,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 11129,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "89964,101595,91964,102495" +st "dout" +ju 2 +blo "91964,102295" +) +s (Text +uid 11144,0 +sl 0 +va (VaSet +font "courier,8,0" +) +xt "91964,102495,91964,102495" +ju 2 +blo "91964,102495" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "dout" +t "std_logic" +o 57 +suid 1,0 +) +) +) +*282 (CommentGraphic +uid 11131,0 +shape (PolyLine2D +pts [ +"87000,102000" +"87000,103000" +] +uid 11132,0 +layer 0 +sl 0 +va (VaSet +vasetType 1 +transparent 1 +fg "49152,49152,49152" +) +xt "87000,102000,87000,103000" +) +oxt "7000,7000,7000,8000" +) +*283 (CommentGraphic +uid 11133,0 +shape (CustomPolygon +pts [ +"86000,103000" +"88000,103000" +"87000,104000" +"86000,103000" +] +uid 11134,0 +layer 0 +sl 0 +va (VaSet +vasetType 1 +fg "32768,32768,32768" +bg "0,0,0" +lineWidth -1 +) +xt "86000,103000,88000,104000" +) +oxt "6000,8000,8000,9000" +) +] +shape (Rectangle +uid 11136,0 +va (VaSet +vasetType 1 +transparent 1 +fg "0,65535,0" +lineColor "65535,65535,65535" +lineWidth -1 +) +xt "86000,101000,89000,104000" +fos 1 +) +showPorts 0 +oxt "6000,6000,9000,9000" +ttg (MlTextGroup +uid 11137,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*284 (Text +uid 11138,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "88450,101795,93950,102695" +st "moduleware" +blo "88450,102495" +) +*285 (Text +uid 11139,0 +va (VaSet +font "courier,8,0" +) +xt "88450,102695,89950,103595" +st "gnd" +blo "88450,103395" +) +*286 (Text +uid 11140,0 +va (VaSet +font "courier,8,0" +) +xt "88450,103595,89950,104495" +st "I11" +blo "88450,104295" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 11141,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 11142,0 +text (MLText +uid 11143,0 +va (VaSet +font "courier,8,0" +) +xt "81000,81300,81000,81300" +) +header "" +) +elements [ +] +) +sed 1 +awe 1 +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +selT 0 +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +*287 (MWC +uid 11154,0 +optionalChildren [ +*288 (CptPort +uid 11145,0 +optionalChildren [ +*289 (Line +uid 11149,0 +layer 5 +sl 0 +va (VaSet +vasetType 3 +) +xt "87000,99000,89000,99000" +pts [ +"89000,99000" +"87000,99000" +] +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 11146,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,65535" +) +xt "89000,98625,89750,99375" +) +tg (CPTG +uid 11147,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 11148,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "89964,98595,91964,99495" +st "dout" +ju 2 +blo "91964,99295" +) +s (Text +uid 11163,0 +sl 0 +va (VaSet +font "courier,8,0" +) +xt "91964,99495,91964,99495" +ju 2 +blo "91964,99495" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "dout" +t "std_logic_vector" +b "(g_SPILL_COUNTER_WIDTH-1 DOWNTO 0)" +o 56 +suid 1,0 +) +) +) +*290 (CommentGraphic +uid 11150,0 +shape (PolyLine2D +pts [ +"87000,99000" +"87000,100000" +] +uid 11151,0 +layer 0 +sl 0 +va (VaSet +vasetType 1 +transparent 1 +fg "49152,49152,49152" +) +xt "87000,99000,87000,100000" +) +oxt "7000,7000,7000,8000" +) +*291 (CommentGraphic +uid 11152,0 +shape (CustomPolygon +pts [ +"86000,100000" +"88000,100000" +"87000,101000" +"86000,100000" +] +uid 11153,0 +layer 0 +sl 0 +va (VaSet +vasetType 1 +fg "32768,32768,32768" +bg "0,0,0" +lineWidth -1 +) +xt "86000,100000,88000,101000" +) +oxt "6000,8000,8000,9000" +) +] +shape (Rectangle +uid 11155,0 +va (VaSet +vasetType 1 +transparent 1 +fg "0,65535,0" +lineColor "65535,65535,65535" +lineWidth -1 +) +xt "86000,98000,89000,101000" +fos 1 +) +showPorts 0 +oxt "6000,6000,9000,9000" +ttg (MlTextGroup +uid 11156,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*292 (Text +uid 11157,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "88450,98795,93950,99695" +st "moduleware" +blo "88450,99495" +) +*293 (Text +uid 11158,0 +va (VaSet +font "courier,8,0" +) +xt "88450,99695,89950,100595" +st "gnd" +blo "88450,100395" +) +*294 (Text +uid 11159,0 +va (VaSet +font "courier,8,0" +) +xt "88450,100595,89950,101495" +st "I12" +blo "88450,101295" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 11160,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 11161,0 +text (MLText +uid 11162,0 +va (VaSet +font "courier,8,0" +) +xt "81000,78300,81000,78300" +) +header "" +) +elements [ +] +) +sed 1 +awe 1 +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +selT 0 +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +*295 (MWC +uid 11173,0 +optionalChildren [ +*296 (CptPort +uid 11164,0 +optionalChildren [ +*297 (Line +uid 11168,0 +layer 5 +sl 0 +va (VaSet +vasetType 3 +) +xt "87000,96000,89000,96000" +pts [ +"89000,96000" +"87000,96000" +] +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 11165,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,65535" +) +xt "89000,95625,89750,96375" +) +tg (CPTG +uid 11166,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 11167,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "89964,95595,91964,96495" +st "dout" +ju 2 +blo "91964,96295" +) +s (Text +uid 11182,0 +sl 0 +va (VaSet +font "courier,8,0" +) +xt "91964,96495,91964,96495" +ju 2 +blo "91964,96495" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "dout" +t "std_logic" +o 55 +suid 1,0 +) +) +) +*298 (CommentGraphic +uid 11169,0 +shape (PolyLine2D +pts [ +"87000,96000" +"87000,97000" +] +uid 11170,0 +layer 0 +sl 0 +va (VaSet +vasetType 1 +transparent 1 +fg "49152,49152,49152" +) +xt "87000,96000,87000,97000" +) +oxt "7000,7000,7000,8000" +) +*299 (CommentGraphic +uid 11171,0 +shape (CustomPolygon +pts [ +"86000,97000" +"88000,97000" +"87000,98000" +"86000,97000" +] +uid 11172,0 +layer 0 +sl 0 +va (VaSet +vasetType 1 +fg "32768,32768,32768" +bg "0,0,0" +lineWidth -1 +) +xt "86000,97000,88000,98000" +) +oxt "6000,8000,8000,9000" +) +] +shape (Rectangle +uid 11174,0 +va (VaSet +vasetType 1 +transparent 1 +fg "0,65535,0" +lineColor "65535,65535,65535" +lineWidth -1 +) +xt "86000,95000,89000,98000" +fos 1 +) +showPorts 0 +oxt "6000,6000,9000,9000" +ttg (MlTextGroup +uid 11175,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*300 (Text +uid 11176,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "88450,95795,93950,96695" +st "moduleware" +blo "88450,96495" +) +*301 (Text +uid 11177,0 +va (VaSet +font "courier,8,0" +) +xt "88450,96695,89950,97595" +st "gnd" +blo "88450,97395" +) +*302 (Text +uid 11178,0 +va (VaSet +font "courier,8,0" +) +xt "88450,97595,89950,98495" +st "I13" +blo "88450,98295" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 11179,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 11180,0 +text (MLText +uid 11181,0 +va (VaSet +font "courier,8,0" +) +xt "81000,75300,81000,75300" +) +header "" +) +elements [ +] +) +sed 1 +awe 1 +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +selT 0 +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +*303 (MWC +uid 11192,0 +optionalChildren [ +*304 (CptPort +uid 11183,0 +optionalChildren [ +*305 (Line +uid 11187,0 +layer 5 +sl 0 +va (VaSet +vasetType 3 +) +xt "87000,93000,89000,93000" +pts [ +"89000,93000" +"87000,93000" +] +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 11184,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,65535" +) +xt "89000,92625,89750,93375" +) +tg (CPTG +uid 11185,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 11186,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "266964,277595,268964,278495" +st "dout" +ju 2 +blo "268964,278295" +) +s (Text +uid 11201,0 +sl 0 +va (VaSet +font "courier,8,0" +) +xt "268964,278495,268964,278495" +ju 2 +blo "268964,278495" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "dout" +t "std_logic_vector" +b "(g_SPILL_COUNTER_WIDTH-1 DOWNTO 0)" +o 54 +suid 1,0 +) +) +) +*306 (CommentGraphic +uid 11188,0 +shape (PolyLine2D +pts [ +"87000,93000" +"87000,94000" +] +uid 11189,0 +layer 0 +sl 0 +va (VaSet +vasetType 1 +transparent 1 +fg "49152,49152,49152" +) +xt "87000,93000,87000,94000" +) +oxt "7000,7000,7000,8000" +) +*307 (CommentGraphic +uid 11190,0 +shape (CustomPolygon +pts [ +"86000,94000" +"88000,94000" +"87000,95000" +"86000,94000" +] +uid 11191,0 +layer 0 +sl 0 +va (VaSet +vasetType 1 +fg "32768,32768,32768" +bg "0,0,0" +lineWidth -1 +) +xt "86000,94000,88000,95000" +) +oxt "6000,8000,8000,9000" +) +] +shape (Rectangle +uid 11193,0 +va (VaSet +vasetType 1 +transparent 1 +fg "0,65535,0" +lineColor "65535,65535,65535" +lineWidth -1 +) +xt "86000,92000,89000,95000" +fos 1 +) +showPorts 0 +oxt "6000,6000,9000,9000" +ttg (MlTextGroup +uid 11194,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*308 (Text +uid 11195,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "88450,92795,93950,93695" +st "moduleware" +blo "88450,93495" +) +*309 (Text +uid 11196,0 +va (VaSet +font "courier,8,0" +) +xt "88450,93695,89950,94595" +st "gnd" +blo "88450,94395" +) +*310 (Text +uid 11197,0 +va (VaSet +font "courier,8,0" +) +xt "88450,94595,89950,95495" +st "I14" +blo "88450,95295" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 11198,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 11199,0 +text (MLText +uid 11200,0 +va (VaSet +font "courier,8,0" +) +xt "81000,72300,81000,72300" +) +header "" +) +elements [ +] +) +sed 1 +awe 1 +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +selT 0 +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +*311 (Net +uid 11202,0 +decl (Decl +n "logic_clocks_reset" +t "std_logic" +eolc "Goes high to reset counters etc. Sync with clk_4x_logic" +o 48 +suid 171,0 +) +declText (MLText +uid 11203,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,59200,39000,60100" +st "SIGNAL logic_clocks_reset : std_logic -- Goes high to reset counters etc. Sync with clk_4x_logic +" +) +) +*312 (PortIoOut +uid 11458,0 +shape (CompositeShape +uid 11459,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 11460,0 +sl 0 +ro 270 +xt "73500,151625,75000,152375" +) +(Line +uid 11461,0 +sl 0 +ro 270 +xt "73000,152000,73500,152000" +pts [ +"73000,152000" +"73500,152000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 11462,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 11463,0 +va (VaSet +font "courier,8,0" +) +xt "76000,151550,80000,152450" +st "gpio_hdr" +blo "76000,152250" +tm "WireNameMgr" +) +) +) +*313 (Net +uid 11470,0 +lang 2 +decl (Decl +n "gpio_hdr" +t "std_logic_vector" +b "(7 DOWNTO 0)" +o 20 +suid 172,0 +) +declText (MLText +uid 11471,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,33100,15500,34000" +st "gpio_hdr : std_logic_vector(7 DOWNTO 0) +" +) +) +*314 (SaComponent +uid 11619,0 +optionalChildren [ +*315 (CptPort +uid 11542,0 +optionalChildren [ +*316 (FFT +pts [ +"13750,127000" +"13000,127375" +"13000,126625" +] +uid 11546,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "13000,126625,13750,127375" +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 11543,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "12250,126625,13000,127375" +) +tg (CPTG +uid 11544,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11545,0 +va (VaSet +font "courier,8,0" +) +xt "14000,126550,20500,127450" +st "clk_4x_logic" +blo "14000,127250" +) +) +thePort (LogicalPort +lang 2 +decl (Decl +n "clk_4x_logic" +t "std_logic" +eolc "! Rising edge active" +preAdd 0 +posAdd 0 +o 3 +suid 1,0 +) +) +) +*317 (CptPort +uid 11547,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11548,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "12250,128625,13000,129375" +) +tg (CPTG +uid 11549,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11550,0 +va (VaSet +font "courier,8,0" +) +xt "14000,128550,23000,129450" +st "strobe_4x_logic_i" +blo "14000,129250" +) +) +thePort (LogicalPort +lang 2 +decl (Decl +n "strobe_4x_logic_i" +t "std_logic" +eolc "! Pulses high once every 4 cycles of clk_4x_logic" +preAdd 0 +posAdd 0 +o 4 +suid 2,0 +) +) +) +*318 (CptPort +uid 11551,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11552,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "12250,135625,13000,136375" +) +tg (CPTG +uid 11553,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11554,0 +va (VaSet +font "courier,8,0" +) +xt "14000,135550,34500,136450" +st "threshold_discr_p_i : (g_NUM_INPUTS-1:0)" +blo "14000,136250" +) +) +thePort (LogicalPort +lang 2 +decl (Decl +n "threshold_discr_p_i" +t "std_logic_vector" +b "(g_NUM_INPUTS-1 DOWNTO 0)" +eolc "! inputs from threshold comparators" +preAdd 0 +posAdd 0 +o 5 +suid 3,0 +) +) +) +*319 (CptPort +uid 11555,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11556,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "12250,139625,13000,140375" +) +tg (CPTG +uid 11557,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11558,0 +va (VaSet +font "courier,8,0" +) +xt "14000,139550,31500,140450" +st "cfd_discr_p_i : (g_NUM_INPUTS-1:0)" +blo "14000,140250" +) +) +thePort (LogicalPort +lang 2 +decl (Decl +n "cfd_discr_p_i" +t "std_logic_vector" +b "(g_NUM_INPUTS-1 DOWNTO 0)" +posAdd 0 +o 1 +suid 4,0 +) +) +) +*320 (CptPort +uid 11559,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11560,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "58000,129625,58750,130375" +) +tg (CPTG +uid 11561,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 11562,0 +va (VaSet +font "courier,8,0" +) +xt "38500,129550,57000,130450" +st "trigger_times_o : (g_NUM_INPUTS-1:0)" +ju 2 +blo "57000,130250" +) +) +thePort (LogicalPort +lang 2 +m 1 +decl (Decl +n "trigger_times_o" +t "t_triggerTimeArray" +b "(g_NUM_INPUTS-1 DOWNTO 0)" +eolc "! trigger arrival time ( w.r.t. logic_strobe)" +preAdd 0 +posAdd 0 +o 7 +suid 5,0 +) +) +) +*321 (CptPort +uid 11563,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11564,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "58000,137625,58750,138375" +) +tg (CPTG +uid 11565,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 11566,0 +va (VaSet +font "courier,8,0" +) +xt "41500,137550,57000,138450" +st "trigger_o : (g_NUM_INPUTS-1:0)" +ju 2 +blo "57000,138250" +) +) +thePort (LogicalPort +lang 2 +m 1 +decl (Decl +n "trigger_o" +t "std_logic_vector" +b "(g_NUM_INPUTS-1 DOWNTO 0)" +eolc "! High when trigger active" +o 8 +suid 7,0 +) +) +) +*322 (CptPort +uid 11567,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11568,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "12250,144625,13000,145375" +) +tg (CPTG +uid 11569,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11570,0 +va (VaSet +font "courier,8,0" +) +xt "14000,144550,20000,145450" +st "ipbus_clk_i" +blo "14000,145250" +) +) +thePort (LogicalPort +lang 2 +decl (Decl +n "ipbus_clk_i" +t "std_logic" +preAdd 0 +o 14 +suid 8,0 +) +) +) +*323 (CptPort +uid 11571,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11572,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "12250,147625,13000,148375" +) +tg (CPTG +uid 11573,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11574,0 +va (VaSet +font "courier,8,0" +) +xt "14000,147550,17500,148450" +st "ipbus_i" +blo "14000,148250" +) +) +thePort (LogicalPort +lang 2 +decl (Decl +n "ipbus_i" +t "ipb_wbus" +eolc "Signals from IPBus core to slave" +o 16 +suid 9,0 +) +) +) +*324 (CptPort +uid 11575,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11576,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "58000,146625,58750,147375" +) +tg (CPTG +uid 11577,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 11578,0 +va (VaSet +font "courier,8,0" +) +xt "53500,146550,57000,147450" +st "ipbus_o" +ju 2 +blo "57000,147250" +) +) +thePort (LogicalPort +lang 2 +m 1 +decl (Decl +n "ipbus_o" +t "ipb_rbus" +eolc "signals from slave to IPBus core" +o 17 +suid 10,0 +) +) +) +*325 (CptPort +uid 11579,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11580,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "12250,149625,13000,150375" +) +tg (CPTG +uid 11581,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11582,0 +va (VaSet +font "courier,8,0" +) +xt "14000,149550,21000,150450" +st "ipbus_reset_i" +blo "14000,150250" +) +) +thePort (LogicalPort +lang 2 +decl (Decl +n "ipbus_reset_i" +t "std_logic" +o 15 +suid 11,0 +) +) +) +*326 (CptPort +uid 11583,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11584,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "12250,131625,13000,132375" +) +tg (CPTG +uid 11585,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11586,0 +va (VaSet +font "courier,8,0" +) +xt "14000,131550,22000,132450" +st "clk_16x_logic_i" +blo "14000,132250" +) +) +thePort (LogicalPort +lang 2 +decl (Decl +n "clk_16x_logic_i" +t "std_logic" +eolc "--! 640MHz clock ( 16x 40MHz )" +o 18 +suid 13,0 +) +) +) +*327 (CptPort +uid 11587,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11588,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "12250,133625,13000,134375" +) +tg (CPTG +uid 11589,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11590,0 +va (VaSet +font "courier,8,0" +) +xt "14000,133550,23500,134450" +st "strobe_16x_logic_i" +blo "14000,134250" +) +) +thePort (LogicalPort +lang 2 +decl (Decl +n "strobe_16x_logic_i" +t "std_logic" +eolc "--! Pulses one cycle every 4 of 16x clock." +o 19 +suid 14,0 +) +) +) +*328 (CptPort +uid 11591,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11592,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "12250,140625,13000,141375" +) +tg (CPTG +uid 11593,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11594,0 +va (VaSet +font "courier,8,0" +) +xt "14000,140550,31500,141450" +st "cfd_discr_n_i : (g_NUM_INPUTS-1:0)" +blo "14000,141250" +) +) +thePort (LogicalPort +lang 2 +decl (Decl +n "cfd_discr_n_i" +t "std_logic_vector" +b "(g_NUM_INPUTS-1 DOWNTO 0)" +posAdd 0 +o 2 +suid 15,0 +) +) +) +*329 (CptPort +uid 11595,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11596,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "12250,136625,13000,137375" +) +tg (CPTG +uid 11597,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11598,0 +va (VaSet +font "courier,8,0" +) +xt "14000,136550,34500,137450" +st "threshold_discr_n_i : (g_NUM_INPUTS-1:0)" +blo "14000,137250" +) +) +thePort (LogicalPort +lang 2 +decl (Decl +n "threshold_discr_n_i" +t "std_logic_vector" +b "(g_NUM_INPUTS-1 DOWNTO 0)" +eolc "! inputs from threshold comparators" +preAdd 0 +posAdd 0 +o 6 +suid 16,0 +) +) +) +*330 (CptPort +uid 11599,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11600,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "58000,147625,58750,148375" +) +tg (CPTG +uid 11601,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 11602,0 +va (VaSet +font "courier,8,0" +) +xt "39000,147550,57000,148450" +st "edge_falling_o : (g_NUM_INPUTS-1:0)" +ju 2 +blo "57000,148250" +) +) +thePort (LogicalPort +lang 2 +m 1 +decl (Decl +n "edge_falling_o" +t "std_logic_vector" +b "(g_NUM_INPUTS-1 DOWNTO 0)" +eolc "-- ! High when falling edge" +preAdd 0 +posAdd 0 +o 13 +suid 17,0 +) +) +) +*331 (CptPort +uid 11603,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11604,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "58000,148625,58750,149375" +) +tg (CPTG +uid 11605,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 11606,0 +va (VaSet +font "courier,8,0" +) +xt "36000,148550,57000,149450" +st "edge_falling_times_o : (g_NUM_INPUTS-1:0)" +ju 2 +blo "57000,149250" +) +) +thePort (LogicalPort +lang 2 +m 1 +decl (Decl +n "edge_falling_times_o" +t "t_triggerTimeArray" +b "(g_NUM_INPUTS-1 DOWNTO 0)" +eolc "-- ! edge arrival time ( w.r.t. logic_strobe)" +preAdd 0 +posAdd 0 +o 11 +suid 18,0 +) +) +) +*332 (CptPort +uid 11607,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11608,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "58000,149625,58750,150375" +) +tg (CPTG +uid 11609,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 11610,0 +va (VaSet +font "courier,8,0" +) +xt "39500,149550,57000,150450" +st "edge_rising_o : (g_NUM_INPUTS-1:0)" +ju 2 +blo "57000,150250" +) +) +thePort (LogicalPort +lang 2 +m 1 +decl (Decl +n "edge_rising_o" +t "std_logic_vector" +b "(g_NUM_INPUTS-1 DOWNTO 0)" +eolc "-- ! High when rising edge" +preAdd 0 +posAdd 0 +o 12 +suid 19,0 +) +) +) +*333 (CptPort +uid 11611,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11612,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "58000,150625,58750,151375" +) +tg (CPTG +uid 11613,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 11614,0 +va (VaSet +font "courier,8,0" +) +xt "36500,150550,57000,151450" +st "edge_rising_times_o : (g_NUM_INPUTS-1:0)" +ju 2 +blo "57000,151250" +) +) +thePort (LogicalPort +lang 2 +m 1 +decl (Decl +n "edge_rising_times_o" +t "t_triggerTimeArray" +b "(g_NUM_INPUTS-1 DOWNTO 0)" +eolc "-- ! edge arrival time ( w.r.t. logic_strobe)" +preAdd 0 +posAdd 0 +o 10 +suid 20,0 +) +) +) +*334 (CptPort +uid 11615,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11616,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "58000,151625,58750,152375" +) +tg (CPTG +uid 11617,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 11618,0 +va (VaSet +font "courier,8,0" +) +xt "35500,151550,57000,152450" +st "trigger_debug_o : (((2*g_NUM_INPUTS)-1):0)" +ju 2 +blo "57000,152250" +) +) +thePort (LogicalPort +lang 2 +m 1 +decl (Decl +n "trigger_debug_o" +t "std_logic_vector" +b "( ((2*g_NUM_INPUTS)-1) DOWNTO 0)" +eolc "-- ! Copy of input trigger level. High bits CFD, Low threshold" +posAdd 0 +o 9 +suid 21,0 +) +) +) +] +shape (Rectangle +uid 11620,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "13000,126000,58000,153000" +) +oxt "7000,16000,52000,43000" +ttg (MlTextGroup +uid 11621,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*335 (Text +uid 11622,0 +va (VaSet +font "courier,8,1" +) +xt "29750,141100,31750,142000" +st "work" +blo "29750,141800" +tm "BdLibraryNameMgr" +) +*336 (Text +uid 11623,0 +va (VaSet +font "courier,8,1" +) +xt "29750,142000,36750,142900" +st "triggerInputs" +blo "29750,142700" +tm "CptNameMgr" +) +*337 (Text +uid 11624,0 +va (VaSet +font "courier,8,1" +) +xt "29750,142900,30750,143800" +st "I1" +blo "29750,143600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 11625,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 11626,0 +text (MLText +uid 11627,0 +va (VaSet +font "courier,8,0" +) +xt "26000,124100,51000,125000" +st "g_NUM_INPUTS = g_NUM_TRIG_INPUTS ( natural ) " +) +header "" +) +elements [ +(GiElement +name "g_NUM_INPUTS" +type "natural" +value "g_NUM_TRIG_INPUTS" +) +] +) +viewicon (ZoomableIcon +uid 11628,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "13250,151250,14750,152750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +ordering 1 +viewiconposition 0 +portVis (PortSigDisplay +sIVOD 1 +) +archFileType "UNKNOWN" +) +*338 (Wire +uid 29,0 +shape (OrthoPolyLine +uid 30,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "5000,140000,12250,140000" +pts [ +"5000,140000" +"12250,140000" +] +) +start &1 +end &319 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 33,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 34,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "7000,139100,14000,140000" +st "cfd_discr_p_i" +blo "7000,139800" +tm "WireNameMgr" +) +) +on &2 +) +*339 (Wire +uid 57,0 +shape (OrthoPolyLine +uid 58,0 +va (VaSet +vasetType 3 +) +xt "128750,18000,135000,18000" +pts [ +"135000,18000" +"128750,18000" +] +) +start &3 +end &62 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 61,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 62,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "134000,17100,141500,18000" +st "gmii_gtx_clk_o" +blo "134000,17800" +tm "WireNameMgr" +) +) +on &4 +) +*340 (Wire +uid 71,0 +shape (OrthoPolyLine +uid 72,0 +va (VaSet +vasetType 3 +) +xt "80000,10000,94250,10000" +pts [ +"80000,10000" +"94250,10000" +] +) +start &5 +end &54 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 75,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 76,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "82000,9100,89000,10000" +st "gmii_rx_clk_i" +blo "82000,9800" +tm "WireNameMgr" +) +) +on &6 +) +*341 (Wire +uid 85,0 +shape (OrthoPolyLine +uid 86,0 +va (VaSet +vasetType 3 +) +xt "80000,13000,94250,13000" +pts [ +"80000,13000" +"94250,13000" +] +) +start &7 +end &55 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 89,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 90,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "82000,12100,88500,13000" +st "gmii_rx_dv_i" +blo "82000,12800" +tm "WireNameMgr" +) +) +on &8 +) +*342 (Wire +uid 99,0 +shape (OrthoPolyLine +uid 100,0 +va (VaSet +vasetType 3 +) +xt "80000,16000,94250,16000" +pts [ +"80000,16000" +"94250,16000" +] +) +start &9 +end &56 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 103,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 104,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "82000,15100,88500,16000" +st "gmii_rx_er_i" +blo "82000,15800" +tm "WireNameMgr" +) +) +on &10 +) +*343 (Wire +uid 113,0 +shape (OrthoPolyLine +uid 114,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "80000,19000,94250,19000" +pts [ +"80000,19000" +"94250,19000" +] +) +start &11 +end &57 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 117,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 118,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "82000,18100,87500,19000" +st "gmii_rxd_i" +blo "82000,18800" +tm "WireNameMgr" +) +) +on &12 +) +*344 (Wire +uid 127,0 +shape (OrthoPolyLine +uid 128,0 +va (VaSet +vasetType 3 +) +xt "128750,9000,135000,9000" +pts [ +"135000,9000" +"128750,9000" +] +) +start &13 +end &58 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 131,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 132,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "134000,8100,140500,9000" +st "gmii_tx_en_o" +blo "134000,8800" +tm "WireNameMgr" +) +) +on &14 +) +*345 (Wire +uid 141,0 +shape (OrthoPolyLine +uid 142,0 +va (VaSet +vasetType 3 +) +xt "128750,11000,135000,11000" +pts [ +"135000,11000" +"128750,11000" +] +) +start &15 +end &59 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 145,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 146,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "134000,10100,140500,11000" +st "gmii_tx_er_o" +blo "134000,10800" +tm "WireNameMgr" +) +) +on &16 +) +*346 (Wire +uid 155,0 +shape (OrthoPolyLine +uid 156,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "128750,14000,135000,14000" +pts [ +"135000,14000" +"128750,14000" +] +) +start &17 +end &60 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 159,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 160,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "134000,13100,139500,14000" +st "gmii_txd_o" +blo "134000,13800" +tm "WireNameMgr" +) +) +on &18 +) +*347 (Wire +uid 169,0 +shape (OrthoPolyLine +uid 170,0 +va (VaSet +vasetType 3 +) +xt "211750,15000,217000,15000" +pts [ +"217000,15000" +"211750,15000" +] +) +start &155 +sat 32 +eat 16 +st 0 +sf 1 +si 0 +tg (WTG +uid 173,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 174,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "216000,14100,220500,15000" +st "i2c_scl_b" +blo "216000,14800" +tm "WireNameMgr" +) +) +on &19 +) +*348 (Wire +uid 183,0 +shape (OrthoPolyLine +uid 184,0 +va (VaSet +vasetType 3 +) +xt "211750,13000,217000,13000" +pts [ +"217000,13000" +"211750,13000" +] +) +start &20 +sat 32 +eat 16 +st 0 +sf 1 +si 0 +tg (WTG +uid 187,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 188,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "219000,12100,223500,13000" +st "i2c_sda_b" +blo "219000,12800" +tm "WireNameMgr" +) +) +on &21 +) +*349 (Wire +uid 211,0 +shape (OrthoPolyLine +uid 212,0 +va (VaSet +vasetType 3 +) +xt "128750,16000,135000,16000" +pts [ +"135000,16000" +"128750,16000" +] +) +start &22 +end &61 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 215,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 216,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "134000,15100,139500,16000" +st "phy_rstb_o" +blo "134000,15800" +tm "WireNameMgr" +) +) +on &23 +) +*350 (Wire +uid 253,0 +shape (OrthoPolyLine +uid 254,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "5000,136000,12250,136000" +pts [ +"5000,136000" +"12250,136000" +] +) +start &24 +end &318 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 257,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 258,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "7000,135100,17000,136000" +st "threshold_discr_p_i" +blo "7000,135800" +tm "WireNameMgr" +) +) +on &25 +) +*351 (Wire +uid 751,0 +shape (OrthoPolyLine +uid 752,0 +va (VaSet +vasetType 3 +) +xt "80000,22000,94250,22000" +pts [ +"80000,22000" +"94250,22000" +] +) +start &37 +end &63 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 755,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 756,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "82000,21100,87500,22000" +st "sysclk_n_i" +blo "82000,21800" +tm "WireNameMgr" +) +) +on &114 +) +*352 (Wire +uid 765,0 +shape (OrthoPolyLine +uid 766,0 +va (VaSet +vasetType 3 +) +xt "80000,25000,94250,25000" +pts [ +"80000,25000" +"94250,25000" +] +) +start &38 +end &64 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 769,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 770,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "82000,24100,87500,25000" +st "sysclk_p_i" +blo "82000,24800" +tm "WireNameMgr" +) +) +on &113 +) +*353 (Wire +uid 1215,0 +shape (OrthoPolyLine +uid 1216,0 +va (VaSet +vasetType 3 +) +xt "-4000,101000,9250,101000" +pts [ +"9250,101000" +"-4000,101000" +] +) +start &139 +sat 32 +eat 16 +st 0 +sf 1 +tg (WTG +uid 1219,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1220,0 +va (VaSet +font "courier,8,0" +) +xt "250,100100,8250,101000" +st "strobe_4x_logic" +blo "250,100800" +tm "WireNameMgr" +) +) +on &81 +) +*354 (Wire +uid 1304,0 +shape (OrthoPolyLine +uid 1305,0 +va (VaSet +vasetType 3 +) +xt "-4000,99000,9250,99000" +pts [ +"-4000,99000" +"9250,99000" +] +) +end &138 +sat 16 +eat 32 +st 0 +sf 1 +tg (WTG +uid 1308,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1309,0 +va (VaSet +font "courier,8,0" +) +xt "-2000,98100,4500,99000" +st "clk_4x_logic" +blo "-2000,98800" +tm "WireNameMgr" +) +) +on &39 +) +*355 (Wire +uid 1359,0 +shape (OrthoPolyLine +uid 1360,0 +va (VaSet +vasetType 3 +) +xt "128750,20000,136000,20000" +pts [ +"128750,20000" +"136000,20000" +] +) +start &65 +sat 32 +eat 16 +st 0 +sf 1 +tg (WTG +uid 1363,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1364,0 +va (VaSet +font "courier,8,0" +) +xt "130750,19100,135250,20000" +st "ipbus_clk" +blo "130750,19800" +tm "WireNameMgr" +) +) +on &40 +) +*356 (Wire +uid 1367,0 +shape (OrthoPolyLine +uid 1368,0 +va (VaSet +vasetType 3 +) +xt "128750,23000,136000,23000" +pts [ +"128750,23000" +"136000,23000" +] +) +start &66 +sat 32 +eat 16 +st 0 +sf 1 +tg (WTG +uid 1371,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1372,0 +va (VaSet +font "courier,8,0" +) +xt "130750,22100,135250,23000" +st "ipbus_rst" +blo "130750,22800" +tm "WireNameMgr" +) +) +on &154 +) +*357 (Wire +uid 1383,0 +shape (OrthoPolyLine +uid 1384,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "128750,25000,136000,25000" +pts [ +"128750,25000" +"136000,25000" +] +) +start &67 +sat 32 +eat 16 +sty 1 +st 0 +sf 1 +tg (WTG +uid 1387,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1388,0 +va (VaSet +font "courier,8,0" +) +xt "130000,24100,145000,25000" +st "ipbw : (g_NUM_EXT_SLAVES-1:0)" +blo "130000,24800" +tm "WireNameMgr" +) +) +on &42 +) +*358 (Wire +uid 1391,0 +shape (OrthoPolyLine +uid 1392,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "79000,28000,94250,28000" +pts [ +"94250,28000" +"79000,28000" +] +) +start &68 +sat 32 +eat 16 +sty 1 +st 0 +sf 1 +tg (WTG +uid 1395,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1396,0 +va (VaSet +font "courier,8,0" +) +xt "80000,27100,95000,28000" +st "ipbr : (g_NUM_EXT_SLAVES-1:0)" +blo "80000,27800" +tm "WireNameMgr" +) +) +on &43 +) +*359 (Wire +uid 1415,0 +shape (OrthoPolyLine +uid 1416,0 +va (VaSet +vasetType 3 +) +xt "-4000,105000,9250,105000" +pts [ +"9250,105000" +"-4000,105000" +] +) +start &141 +sat 32 +eat 16 +st 0 +sf 1 +tg (WTG +uid 1419,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1420,0 +va (VaSet +font "courier,8,0" +) +xt "-2000,104100,2500,105000" +st "ipbus_clk" +blo "-2000,104800" +tm "WireNameMgr" +) +) +on &40 +) +*360 (Wire +uid 1423,0 +shape (OrthoPolyLine +uid 1424,0 +va (VaSet +vasetType 3 +) +xt "-4000,107000,9250,107000" +pts [ +"9250,107000" +"-4000,107000" +] +) +start &142 +sat 32 +eat 16 +st 0 +sf 1 +tg (WTG +uid 1427,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1428,0 +va (VaSet +font "courier,8,0" +) +xt "-2000,106100,4000,107000" +st "ipbus_reset" +blo "-2000,106800" +tm "WireNameMgr" +) +) +on &41 +) +*361 (Wire +uid 1672,0 +shape (OrthoPolyLine +uid 1673,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "-4000,109000,9250,109000" +pts [ +"-4000,109000" +"9250,109000" +] +) +end &143 +sat 16 +eat 32 +sty 1 +sl "(0)" +st 0 +sf 1 +tg (WTG +uid 1676,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1677,0 +va (VaSet +font "courier,8,0" +) +xt "-2000,108100,14500,109000" +st "ipbw(0) : (g_NUM_EXT_SLAVES-1:0)" +blo "-2000,108800" +tm "WireNameMgr" +) +) +on &42 +) +*362 (Wire +uid 1680,0 +shape (OrthoPolyLine +uid 1681,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "55750,104000,65000,104000" +pts [ +"55750,104000" +"65000,104000" +] +) +start &144 +sat 32 +eat 16 +sty 1 +sl "(0)" +st 0 +sf 1 +tg (WTG +uid 1684,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1685,0 +va (VaSet +font "courier,8,0" +) +xt "58000,103100,74500,104000" +st "ipbr(0) : (g_NUM_EXT_SLAVES-1:0)" +blo "58000,103800" +tm "WireNameMgr" +) +) +on &43 +) +*363 (Wire +uid 1698,0 +shape (OrthoPolyLine +uid 1699,0 +va (VaSet +vasetType 3 +) +xt "2000,127000,12250,127000" +pts [ +"2000,127000" +"12250,127000" +] +) +end &315 +sat 16 +eat 32 +st 0 +sf 1 +tg (WTG +uid 1702,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1703,0 +va (VaSet +font "courier,8,0" +) +xt "4000,126100,10500,127000" +st "clk_4x_logic" +blo "4000,126800" +tm "WireNameMgr" +) +) +on &39 +) +*364 (Wire +uid 1706,0 +shape (OrthoPolyLine +uid 1707,0 +va (VaSet +vasetType 3 +) +xt "2000,129000,12250,129000" +pts [ +"2000,129000" +"12250,129000" +] +) +end &317 +sat 16 +eat 32 +st 0 +sf 1 +tg (WTG +uid 1710,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1711,0 +va (VaSet +font "courier,8,0" +) +xt "4000,128100,12000,129000" +st "strobe_4x_logic" +blo "4000,128800" +tm "WireNameMgr" +) +) +on &81 +) +*365 (Wire +uid 1714,0 +shape (OrthoPolyLine +uid 1715,0 +va (VaSet +vasetType 3 +) +xt "2000,145000,12250,145000" +pts [ +"2000,145000" +"12250,145000" +] +) +end &322 +sat 16 +eat 32 +st 0 +sf 1 +tg (WTG +uid 1718,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1719,0 +va (VaSet +font "courier,8,0" +) +xt "3000,144100,7500,145000" +st "ipbus_clk" +blo "3000,144800" +tm "WireNameMgr" +) +) +on &40 +) +*366 (Wire +uid 1722,0 +shape (OrthoPolyLine +uid 1723,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "2000,148000,12250,148000" +pts [ +"2000,148000" +"12250,148000" +] +) +end &323 +sat 16 +eat 32 +sty 1 +sl "(1)" +st 0 +sf 1 +tg (WTG +uid 1726,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1727,0 +va (VaSet +font "courier,8,0" +) +xt "4000,147100,20500,148000" +st "ipbw(1) : (g_NUM_EXT_SLAVES-1:0)" +blo "4000,147800" +tm "WireNameMgr" +) +) +on &42 +) +*367 (Wire +uid 1730,0 +shape (OrthoPolyLine +uid 1731,0 +va (VaSet +vasetType 3 +) +xt "2000,150000,12250,150000" +pts [ +"2000,150000" +"12250,150000" +] +) +end &325 +sat 16 +eat 32 +st 0 +sf 1 +tg (WTG +uid 1734,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1735,0 +va (VaSet +font "courier,8,0" +) +xt "4000,149100,10000,150000" +st "ipbus_reset" +blo "4000,149800" +tm "WireNameMgr" +) +) +on &41 +) +*368 (Wire +uid 1746,0 +shape (OrthoPolyLine +uid 1747,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "143750,150000,160000,150000" +pts [ +"143750,150000" +"160000,150000" +] +) +start &161 +sat 32 +eat 16 +sty 1 +sl "(2)" +st 0 +sf 1 +tg (WTG +uid 1750,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1751,0 +va (VaSet +font "courier,8,0" +) +xt "150750,149100,167250,150000" +st "ipbr(2) : (g_NUM_EXT_SLAVES-1:0)" +blo "150750,149800" +tm "WireNameMgr" +) +) +on &43 +) +*369 (Wire +uid 1754,0 +shape (OrthoPolyLine +uid 1755,0 +va (VaSet +vasetType 3 +) +xt "98000,132000,105250,132000" +pts [ +"105250,132000" +"98000,132000" +] +) +start &157 +sat 32 +eat 16 +st 0 +sf 1 +tg (WTG +uid 1758,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1759,0 +va (VaSet +font "courier,8,0" +) +xt "97250,131100,103750,132000" +st "clk_4x_logic" +blo "97250,131800" +tm "WireNameMgr" +) +) +on &39 +) +*370 (Wire +uid 1762,0 +shape (OrthoPolyLine +uid 1763,0 +va (VaSet +vasetType 3 +) +xt "98000,135000,105250,135000" +pts [ +"105250,135000" +"98000,135000" +] +) +start &158 +sat 32 +eat 16 +st 0 +sf 1 +tg (WTG +uid 1766,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1767,0 +va (VaSet +font "courier,8,0" +) +xt "96250,134100,104250,135000" +st "strobe_4x_logic" +blo "96250,134800" +tm "WireNameMgr" +) +) +on &81 +) +*371 (Wire +uid 1778,0 +shape (OrthoPolyLine +uid 1779,0 +va (VaSet +vasetType 3 +) +xt "84000,122000,105250,140000" +pts [ +"105250,140000" +"87000,140000" +"87000,122000" +"84000,122000" +] +) +start &164 +end &85 +sat 32 +eat 32 +st 0 +sf 1 +tg (WTG +uid 1782,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1783,0 +va (VaSet +font "courier,8,0" +) +xt "97250,139100,103750,140000" +st "overall_veto" +blo "97250,139800" +tm "WireNameMgr" +) +) +on &45 +) +*372 (Wire +uid 1786,0 +shape (OrthoPolyLine +uid 1787,0 +va (VaSet +vasetType 3 +) +xt "98000,143000,105250,143000" +pts [ +"105250,143000" +"98000,143000" +] +) +start &159 +sat 32 +eat 16 +st 0 +sf 1 +tg (WTG +uid 1790,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1791,0 +va (VaSet +font "courier,8,0" +) +xt "98250,142100,102750,143000" +st "ipbus_clk" +blo "98250,142800" +tm "WireNameMgr" +) +) +on &40 +) +*373 (Wire +uid 1794,0 +shape (OrthoPolyLine +uid 1795,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "98000,145000,105250,145000" +pts [ +"105250,145000" +"98000,145000" +] +) +start &160 +sat 32 +eat 16 +sty 1 +sl "(2)" +st 0 +sf 1 +tg (WTG +uid 1798,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1799,0 +va (VaSet +font "courier,8,0" +) +xt "90000,144100,106500,145000" +st "ipbw(2) : (g_NUM_EXT_SLAVES-1:0)" +blo "90000,144800" +tm "WireNameMgr" +) +) +on &42 +) +*374 (Wire +uid 1802,0 +shape (OrthoPolyLine +uid 1803,0 +va (VaSet +vasetType 3 +) +xt "98000,148000,105250,148000" +pts [ +"105250,148000" +"98000,148000" +] +) +start &162 +sat 32 +eat 16 +st 0 +sf 1 +tg (WTG +uid 1806,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1807,0 +va (VaSet +font "courier,8,0" +) +xt "97250,147100,103250,148000" +st "ipbus_reset" +blo "97250,147800" +tm "WireNameMgr" +) +) +on &41 +) +*375 (Wire +uid 1814,0 +shape (OrthoPolyLine +uid 1815,0 +va (VaSet +vasetType 3 +) +xt "-4000,97000,9250,97000" +pts [ +"9250,97000" +"-4000,97000" +] +) +start &137 +sat 32 +eat 16 +st 0 +sf 1 +tg (WTG +uid 1818,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1819,0 +va (VaSet +font "courier,8,0" +) +xt "-2000,96100,6000,97000" +st "overall_trigger" +blo "-2000,96800" +tm "WireNameMgr" +) +) +on &44 +) +*376 (Wire +uid 2101,0 +optionalChildren [ +*377 (BdJunction +uid 9137,0 +ps "OnConnectorStrategy" +shape (Circle +uid 9138,0 +va (VaSet +vasetType 1 +) +xt "71600,137600,72400,138400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 2102,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "58750,138000,105250,138000" +pts [ +"58750,138000" +"105250,138000" +] +) +start &321 +end &163 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +tg (WTG +uid 2105,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2106,0 +va (VaSet +font "courier,8,0" +) +xt "60000,137100,77500,138000" +st "triggers : (g_NUM_TRIG_INPUTS-1:0)" +blo "60000,137800" +tm "WireNameMgr" +) +) +on &46 +) +*378 (Wire +uid 2109,0 +shape (OrthoPolyLine +uid 2110,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "58750,147000,74000,147000" +pts [ +"58750,147000" +"74000,147000" +] +) +start &324 +sat 32 +eat 16 +sty 1 +sl "(1)" +st 0 +sf 1 +tg (WTG +uid 2113,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2114,0 +va (VaSet +font "courier,8,0" +) +xt "65750,146100,82250,147000" +st "ipbr(1) : (g_NUM_EXT_SLAVES-1:0)" +blo "65750,146800" +tm "WireNameMgr" +) +) +on &43 +) +*379 (Wire +uid 2141,0 +shape (OrthoPolyLine +uid 2142,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "-4000,103000,9250,103000" +pts [ +"9250,103000" +"-4000,103000" +] +) +start &140 +sat 32 +eat 16 +sty 1 +st 0 +sf 1 +tg (WTG +uid 2145,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2146,0 +va (VaSet +font "courier,8,0" +) +xt "-8000,102100,10000,103000" +st "trigger_count : (g_IPBUS_WIDTH-1:0)" +blo "-8000,102800" +tm "WireNameMgr" +) +) +on &47 +) +*380 (Wire +uid 2227,0 +shape (OrthoPolyLine +uid 2228,0 +va (VaSet +vasetType 3 +) +xt "85000,67000,97250,67000" +pts [ +"97250,67000" +"85000,67000" +] +) +start &209 +sat 32 +eat 16 +st 0 +sf 1 +tg (WTG +uid 2231,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2232,0 +va (VaSet +font "courier,8,0" +) +xt "87000,66100,95000,67000" +st "overall_trigger" +blo "87000,66800" +tm "WireNameMgr" +) +) +on &44 +) +*381 (Wire +uid 2235,0 +shape (OrthoPolyLine +uid 2236,0 +va (VaSet +vasetType 3 +) +xt "85000,70000,97250,70000" +pts [ +"97250,70000" +"85000,70000" +] +) +start &210 +sat 32 +eat 16 +st 0 +sf 1 +tg (WTG +uid 2239,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2240,0 +va (VaSet +font "courier,8,0" +) +xt "89250,69100,95750,70000" +st "clk_4x_logic" +blo "89250,69800" +tm "WireNameMgr" +) +) +on &39 +) +*382 (Wire +uid 2243,0 +shape (OrthoPolyLine +uid 2244,0 +va (VaSet +vasetType 3 +) +xt "86000,73000,97250,73000" +pts [ +"97250,73000" +"86000,73000" +] +) +start &211 +sat 32 +eat 16 +st 0 +sf 1 +tg (WTG +uid 2247,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2248,0 +va (VaSet +font "courier,8,0" +) +xt "87000,72100,95000,73000" +st "strobe_4x_logic" +blo "87000,72800" +tm "WireNameMgr" +) +) +on &81 +) +*383 (Wire +uid 2251,0 +shape (OrthoPolyLine +uid 2252,0 +va (VaSet +vasetType 3 +) +xt "150750,69000,165250,69000" +pts [ +"150750,69000" +"158000,69000" +"165250,69000" +] +) +start &212 +end &183 +sat 32 +eat 32 +st 0 +sf 1 +tg (WTG +uid 2255,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2256,0 +va (VaSet +font "courier,8,0" +) +xt "158750,67100,164750,68000" +st "data_strobe" +blo "158750,67800" +tm "WireNameMgr" +) +) +on &48 +) +*384 (Wire +uid 2824,0 +shape (OrthoPolyLine +uid 2825,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "198000,4000,208000,4000" +pts [ +"198000,4000" +"208000,4000" +] +) +end &49 +sat 16 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +uid 2828,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2829,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "200000,3100,207500,4000" +st "leds_o : (3:0)" +blo "200000,3800" +tm "WireNameMgr" +) +) +on &50 +) +*385 (Wire +uid 3327,0 +shape (OrthoPolyLine +uid 3328,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "58750,76000,97250,130000" +pts [ +"58750,130000" +"69000,130000" +"69000,76000" +"97250,76000" +] +) +start &320 +end &214 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +tg (WTG +uid 3329,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3330,0 +va (VaSet +font "courier,8,0" +) +xt "71000,75100,91000,76000" +st "trigger_times : (g_NUM_TRIG_INPUTS-1:0)" +blo "71000,75800" +tm "WireNameMgr" +) +) +on &51 +) +*386 (Wire +uid 3415,0 +shape (OrthoPolyLine +uid 3416,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "150750,77000,165250,84000" +pts [ +"150750,84000" +"155000,84000" +"155000,77000" +"165250,77000" +] +) +start &215 +end &181 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3417,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3418,0 +va (VaSet +font "courier,8,0" +) +xt "152000,83100,157500,84000" +st "event_data" +blo "152000,83800" +tm "WireNameMgr" +) +) +on &52 +) +*387 (Wire +uid 3421,0 +shape (OrthoPolyLine +uid 3422,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "150750,74000,165250,76000" +pts [ +"150750,76000" +"151000,76000" +"151000,74000" +"165250,74000" +] +) +start &213 +end &182 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3423,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3424,0 +va (VaSet +font "courier,8,0" +) +xt "157000,73100,164000,74000" +st "trigger_count" +blo "157000,73800" +tm "WireNameMgr" +) +) +on &47 +) +*388 (Wire +uid 3521,0 +shape (OrthoPolyLine +uid 3522,0 +va (VaSet +vasetType 3 +) +xt "155000,72000,165250,72000" +pts [ +"165250,72000" +"155000,72000" +] +) +start &175 +sat 32 +eat 16 +st 0 +sf 1 +tg (WTG +uid 3525,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3526,0 +va (VaSet +font "courier,8,0" +) +xt "157000,71100,163500,72000" +st "clk_4x_logic" +blo "157000,71800" +tm "WireNameMgr" +) +) +on &39 +) +*389 (Wire +uid 3537,0 +shape (OrthoPolyLine +uid 3538,0 +va (VaSet +vasetType 3 +) +xt "157000,79000,165250,79000" +pts [ +"165250,79000" +"157000,79000" +] +) +start &177 +sat 32 +eat 16 +st 0 +sf 1 +tg (WTG +uid 3541,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3542,0 +va (VaSet +font "courier,8,0" +) +xt "159000,78100,163500,79000" +st "ipbus_clk" +blo "159000,78800" +tm "WireNameMgr" +) +) +on &40 +) +*390 (Wire +uid 3545,0 +shape (OrthoPolyLine +uid 3546,0 +va (VaSet +vasetType 3 +) +xt "157000,84000,165250,84000" +pts [ +"165250,84000" +"157000,84000" +] +) +start &178 +sat 32 +eat 16 +st 0 +sf 1 +tg (WTG +uid 3549,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3550,0 +va (VaSet +font "courier,8,0" +) +xt "159000,83100,165000,84000" +st "ipbus_reset" +blo "159000,83800" +tm "WireNameMgr" +) +) +on &41 +) +*391 (Wire +uid 3553,0 +shape (OrthoPolyLine +uid 3554,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "157000,81000,165250,81000" +pts [ +"165250,81000" +"157000,81000" +] +) +start &179 +sat 32 +eat 16 +sty 1 +sl "(3)" +st 0 +sf 1 +tg (WTG +uid 3557,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3558,0 +va (VaSet +font "courier,8,0" +) +xt "159000,80100,175500,81000" +st "ipbw(3) : (g_NUM_EXT_SLAVES-1:0)" +blo "159000,80800" +tm "WireNameMgr" +) +) +on &42 +) +*392 (Wire +uid 3561,0 +shape (OrthoPolyLine +uid 3562,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "209750,74000,219000,74000" +pts [ +"209750,74000" +"219000,74000" +] +) +start &180 +sat 32 +eat 16 +sty 1 +sl "(3)" +st 0 +sf 1 +tg (WTG +uid 3565,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3566,0 +va (VaSet +font "courier,8,0" +) +xt "211000,73100,227500,74000" +st "ipbr(3) : (g_NUM_EXT_SLAVES-1:0)" +blo "211000,73800" +tm "WireNameMgr" +) +) +on &43 +) +*393 (Wire +uid 4567,0 +shape (OrthoPolyLine +uid 4568,0 +va (VaSet +vasetType 3 +) +xt "196750,36000,219000,36000" +pts [ +"196750,36000" +"219000,36000" +] +) +start &247 +sat 32 +eat 16 +st 0 +sf 1 +tg (WTG +uid 4571,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4572,0 +va (VaSet +font "courier,8,0" +) +xt "198750,35100,205250,36000" +st "clk_4x_logic" +blo "198750,35800" +tm "WireNameMgr" +) +) +on &39 +) +*394 (Wire +uid 4575,0 +shape (OrthoPolyLine +uid 4576,0 +va (VaSet +vasetType 3 +) +xt "196750,39000,219000,39000" +pts [ +"196750,39000" +"219000,39000" +] +) +start &248 +sat 32 +eat 16 +st 0 +sf 1 +tg (WTG +uid 4579,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4580,0 +va (VaSet +font "courier,8,0" +) +xt "198750,38100,206750,39000" +st "strobe_4x_logic" +blo "198750,38800" +tm "WireNameMgr" +) +) +on &81 +) +*395 (Wire +uid 4583,0 +shape (OrthoPolyLine +uid 4584,0 +va (VaSet +vasetType 3 +) +xt "196750,42000,219000,42000" +pts [ +"196750,42000" +"219000,42000" +] +) +start &249 +sat 32 +eat 16 +st 0 +sf 1 +tg (WTG +uid 4587,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4588,0 +va (VaSet +font "courier,8,0" +) +xt "198750,41100,205750,42000" +st "clk_16x_logic" +blo "198750,41800" +tm "WireNameMgr" +) +) +on &82 +) +*396 (Wire +uid 4591,0 +shape (OrthoPolyLine +uid 4592,0 +va (VaSet +vasetType 3 +) +xt "196750,44000,219000,44000" +pts [ +"196750,44000" +"219000,44000" +] +) +start &250 +sat 32 +eat 16 +st 0 +sf 1 +tg (WTG +uid 4595,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4596,0 +va (VaSet +font "courier,8,0" +) +xt "198750,43100,207250,44000" +st "strobe_16x_logic" +blo "198750,43800" +tm "WireNameMgr" +) +) +on &83 +) +*397 (Wire +uid 4599,0 +shape (OrthoPolyLine +uid 4600,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "196750,47000,219000,47000" +pts [ +"196750,47000" +"219000,47000" +] +) +start &253 +sat 32 +eat 16 +sty 1 +sl "(4)" +st 0 +sf 1 +tg (WTG +uid 4603,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4604,0 +va (VaSet +font "courier,8,0" +) +xt "199000,46100,215500,47000" +st "ipbr(4) : (g_NUM_EXT_SLAVES-1:0)" +blo "199000,46800" +tm "WireNameMgr" +) +) +on &43 +) +*398 (Wire +uid 4607,0 +shape (OrthoPolyLine +uid 4608,0 +va (VaSet +vasetType 3 +) +xt "155000,54000,164250,54000" +pts [ +"164250,54000" +"155000,54000" +] +) +start &254 +sat 32 +eat 16 +st 0 +sf 1 +tg (WTG +uid 4611,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4612,0 +va (VaSet +font "courier,8,0" +) +xt "156250,53100,162250,54000" +st "ipbus_reset" +blo "156250,53800" +tm "WireNameMgr" +) +) +on &41 +) +*399 (Wire +uid 4615,0 +shape (OrthoPolyLine +uid 4616,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "155000,51000,164250,51000" +pts [ +"164250,51000" +"155000,51000" +] +) +start &252 +sat 32 +eat 16 +sty 1 +sl "(4)" +st 0 +sf 1 +tg (WTG +uid 4619,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4620,0 +va (VaSet +font "courier,8,0" +) +xt "157000,50100,173500,51000" +st "ipbw(4) : (g_NUM_EXT_SLAVES-1:0)" +blo "157000,50800" +tm "WireNameMgr" +) +) +on &42 +) +*400 (Wire +uid 4623,0 +shape (OrthoPolyLine +uid 4624,0 +va (VaSet +vasetType 3 +) +xt "155000,47000,164250,47000" +pts [ +"164250,47000" +"155000,47000" +] +) +start &251 +sat 32 +eat 16 +st 0 +sf 1 +tg (WTG +uid 4627,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4628,0 +va (VaSet +font "courier,8,0" +) +xt "157250,46100,161750,47000" +st "ipbus_clk" +blo "157250,46800" +tm "WireNameMgr" +) +) +on &40 +) +*401 (Wire +uid 4631,0 +shape (OrthoPolyLine +uid 4632,0 +va (VaSet +vasetType 3 +) +xt "155000,44000,164250,44000" +pts [ +"164250,44000" +"155000,44000" +] +) +start &245 +sat 32 +eat 16 +st 0 +sf 1 +tg (WTG +uid 4635,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4636,0 +va (VaSet +font "courier,8,0" +) +xt "154250,43100,161750,44000" +st "clk_logic_xtal" +blo "154250,43800" +tm "WireNameMgr" +) +) +on &80 +) +*402 (Wire +uid 4657,0 +shape (OrthoPolyLine +uid 4658,0 +va (VaSet +vasetType 3 +) +xt "157000,37000,164250,37000" +pts [ +"157000,37000" +"164250,37000" +] +) +start &76 +end &246 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 4659,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4660,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "159000,36100,164500,37000" +st "extclk_p_b" +blo "159000,36800" +tm "WireNameMgr" +) +) +on &78 +) +*403 (Wire +uid 4663,0 +shape (OrthoPolyLine +uid 4664,0 +va (VaSet +vasetType 3 +) +xt "157000,40000,164250,40000" +pts [ +"157000,40000" +"164250,40000" +] +) +start &77 +end &255 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 4665,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4666,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "159000,39100,164500,40000" +st "extclk_n_b" +blo "159000,39800" +tm "WireNameMgr" +) +) +on &79 +) +*404 (Wire +uid 4699,0 +shape (OrthoPolyLine +uid 4700,0 +va (VaSet +vasetType 3 +) +xt "128750,32000,136000,32000" +pts [ +"128750,32000" +"136000,32000" +] +) +start &72 +sat 32 +eat 16 +st 0 +sf 1 +tg (WTG +uid 4703,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4704,0 +va (VaSet +font "courier,8,0" +) +xt "130750,31100,138250,32000" +st "clk_logic_xtal" +blo "130750,31800" +tm "WireNameMgr" +) +) +on &80 +) +*405 (Wire +uid 4897,0 +shape (OrthoPolyLine +uid 4898,0 +va (VaSet +vasetType 3 +) +xt "157000,86000,165250,86000" +pts [ +"165250,86000" +"157000,86000" +] +) +start &176 +sat 32 +eat 16 +st 0 +sf 1 +tg (WTG +uid 4901,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4902,0 +va (VaSet +font "courier,8,0" +) +xt "155250,85100,163250,86000" +st "strobe_4x_logic" +blo "155250,85800" +tm "WireNameMgr" +) +) +on &81 +) +*406 (Wire +uid 4984,0 +shape (OrthoPolyLine +uid 4985,0 +va (VaSet +vasetType 3 +) +xt "55750,108000,78000,123000" +pts [ +"55750,108000" +"74000,108000" +"74000,123000" +"78000,123000" +] +) +start &145 +end &90 +sat 32 +eat 32 +st 0 +sf 1 +tg (WTG +uid 4986,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4987,0 +va (VaSet +font "courier,8,0" +) +xt "57750,107100,60750,108000" +st "veto_o" +blo "57750,107800" +tm "WireNameMgr" +) +) +on &107 +) +*407 (Wire +uid 4990,0 +shape (OrthoPolyLine +uid 4991,0 +va (VaSet +vasetType 3 +) +xt "77000,85000,211000,121000" +pts [ +"209750,85000" +"211000,85000" +"211000,117000" +"77000,117000" +"77000,121000" +"78000,121000" +] +) +start &184 +end &88 +sat 32 +eat 32 +st 0 +sf 1 +tg (WTG +uid 4992,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4993,0 +va (VaSet +font "courier,8,0" +) +xt "211750,84100,218750,85000" +st "buffer_full_o" +blo "211750,84800" +tm "WireNameMgr" +) +) +on &108 +) +*408 (Wire +uid 5764,0 +shape (OrthoPolyLine +uid 5765,0 +va (VaSet +vasetType 3 +) +xt "2000,132000,12250,132000" +pts [ +"12250,132000" +"2000,132000" +] +) +start &326 +sat 32 +eat 16 +st 0 +sf 1 +tg (WTG +uid 5768,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5769,0 +va (VaSet +font "courier,8,0" +) +xt "3250,131100,10250,132000" +st "clk_16x_logic" +blo "3250,131800" +tm "WireNameMgr" +) +) +on &82 +) +*409 (Wire +uid 5772,0 +shape (OrthoPolyLine +uid 5773,0 +va (VaSet +vasetType 3 +) +xt "2000,134000,12250,134000" +pts [ +"12250,134000" +"2000,134000" +] +) +start &327 +sat 32 +eat 16 +st 0 +sf 1 +tg (WTG +uid 5776,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5777,0 +va (VaSet +font "courier,8,0" +) +xt "1250,133100,9750,134000" +st "strobe_16x_logic" +blo "1250,133800" +tm "WireNameMgr" +) +) +on &83 +) +*410 (Wire +uid 6022,0 +shape (OrthoPolyLine +uid 6023,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "5000,141000,12250,141000" +pts [ +"5000,141000" +"12250,141000" +] +) +start &109 +end &328 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 6026,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6027,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "6000,140100,13000,141000" +st "cfd_discr_n_i" +blo "6000,140800" +tm "WireNameMgr" +) +) +on &110 +) +*411 (Wire +uid 6036,0 +shape (OrthoPolyLine +uid 6037,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "5000,137000,12250,137000" +pts [ +"5000,137000" +"12250,137000" +] +) +start &111 +end &329 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 6040,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6041,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "3000,136100,13000,137000" +st "threshold_discr_n_i" +blo "3000,136800" +tm "WireNameMgr" +) +) +on &112 +) +*412 (Wire +uid 6532,0 +shape (OrthoPolyLine +uid 6533,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "128750,30000,136000,30000" +pts [ +"128750,30000" +"136000,30000" +] +) +start &70 +sat 32 +eat 16 +sty 1 +sl "(2)" +st 0 +sf 1 +tg (WTG +uid 6536,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6537,0 +va (VaSet +font "courier,8,0" +) +xt "130750,29100,139750,30000" +st "leds_o(2) : (3:0)" +blo "130750,29800" +tm "WireNameMgr" +) +) +on &50 +) +*413 (Wire +uid 6540,0 +shape (OrthoPolyLine +uid 6541,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "128750,27000,136000,27000" +pts [ +"128750,27000" +"136000,27000" +] +) +start &69 +sat 32 +eat 16 +sty 1 +sl "(3)" +st 0 +sf 1 +tg (WTG +uid 6544,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6545,0 +va (VaSet +font "courier,8,0" +) +xt "130750,26100,139750,27000" +st "leds_o(3) : (3:0)" +blo "130750,26800" +tm "WireNameMgr" +) +) +on &50 +) +*414 (Wire +uid 6610,0 +shape (OrthoPolyLine +uid 6611,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "196750,52000,219000,52000" +pts [ +"196750,52000" +"219000,52000" +] +) +start &257 +sat 32 +eat 16 +sty 1 +sl "(1)" +st 0 +sf 1 +tg (WTG +uid 6614,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6615,0 +va (VaSet +font "courier,8,0" +) +xt "198750,51100,207750,52000" +st "leds_o(1) : (3:0)" +blo "198750,51800" +tm "WireNameMgr" +) +) +on &50 +) +*415 (Wire +uid 6668,0 +shape (OrthoPolyLine +uid 6669,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "143750,142000,160000,142000" +pts [ +"143750,142000" +"160000,142000" +] +) +start &166 +sat 32 +eat 16 +sty 1 +sl "(0)" +st 0 +sf 1 +tg (WTG +uid 6672,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6673,0 +va (VaSet +font "courier,8,0" +) +xt "145750,141100,154750,142000" +st "leds_o(0) : (3:0)" +blo "145750,141800" +tm "WireNameMgr" +) +) +on &50 +) +*416 (Wire +uid 6708,0 +shape (OrthoPolyLine +uid 6709,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "55750,90000,64000,90000" +pts [ +"55750,90000" +"64000,90000" +] +) +start &133 +end &115 +ss 0 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +uid 6712,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6713,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "56000,89100,72000,90000" +st "triggers_p_o : (g_NUM_DUTS-1:0)" +blo "56000,89800" +tm "WireNameMgr" +) +) +on &116 +) +*417 (Wire +uid 6722,0 +shape (OrthoPolyLine +uid 6723,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "0,89000,9250,89000" +pts [ +"0,89000" +"9250,89000" +] +) +start &117 +end &134 +es 0 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +uid 6726,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6727,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "1000,88100,15000,89000" +st "busy_p_i : (g_NUM_DUTS-1:0)" +blo "1000,88800" +tm "WireNameMgr" +) +) +on &118 +) +*418 (Wire +uid 6736,0 +shape (OrthoPolyLine +uid 6737,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "55750,97000,64000,97000" +pts [ +"55750,97000" +"64000,97000" +] +) +start &135 +end &119 +ss 0 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +uid 6740,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6741,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "56000,96100,74000,97000" +st "reset_or_clk_p_o : (g_NUM_DUTS-1:0)" +blo "56000,96800" +tm "WireNameMgr" +) +) +on &120 +) +*419 (Wire +uid 6750,0 +shape (OrthoPolyLine +uid 6751,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "0,93000,9250,93000" +pts [ +"0,93000" +"9250,93000" +] +) +start &121 +end &136 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +uid 6754,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6755,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "1000,92100,16500,93000" +st "dut_clk_p_i : (g_NUM_DUTS-1:0)" +blo "1000,92800" +tm "WireNameMgr" +) +) +on &122 +) +*420 (Wire +uid 6778,0 +shape (OrthoPolyLine +uid 6779,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "0,91000,9250,91000" +pts [ +"0,91000" +"9250,91000" +] +) +start &124 +end &147 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +uid 6782,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6783,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "1000,90100,15000,91000" +st "busy_n_i : (g_NUM_DUTS-1:0)" +blo "1000,90800" +tm "WireNameMgr" +) +) +on &125 +) +*421 (Wire +uid 6792,0 +shape (OrthoPolyLine +uid 6793,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "55750,99000,64000,99000" +pts [ +"55750,99000" +"64000,99000" +] +) +start &148 +end &126 +ss 0 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +uid 6796,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6797,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "56000,98100,74000,99000" +st "reset_or_clk_n_o : (g_NUM_DUTS-1:0)" +blo "56000,98800" +tm "WireNameMgr" +) +) +on &127 +) +*422 (Wire +uid 6806,0 +shape (OrthoPolyLine +uid 6807,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "55750,92000,64000,92000" +pts [ +"55750,92000" +"64000,92000" +] +) +start &146 +end &128 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +uid 6810,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6811,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "56000,91100,72000,92000" +st "triggers_n_o : (g_NUM_DUTS-1:0)" +blo "56000,91800" +tm "WireNameMgr" +) +) +on &129 +) +*423 (Wire +uid 6820,0 +shape (OrthoPolyLine +uid 6821,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "0,95000,9250,95000" +pts [ +"0,95000" +"9250,95000" +] +) +start &130 +end &149 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +uid 6824,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6825,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "1000,94100,16500,95000" +st "dut_clk_n_i : (g_NUM_DUTS-1:0)" +blo "1000,94800" +tm "WireNameMgr" +) +) +on &131 +) +*424 (Wire +uid 6914,0 +shape (OrthoPolyLine +uid 6915,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "80000,31000,94250,31000" +pts [ +"80000,31000" +"94250,31000" +] +) +start &153 +end &71 +es 0 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +uid 6918,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6919,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "86000,30100,96500,31000" +st "dip_switch_i : (3:0)" +blo "86000,30800" +tm "WireNameMgr" +) +) +on &123 +) +*425 (Wire +uid 8086,0 +shape (OrthoPolyLine +uid 8087,0 +va (VaSet +vasetType 3 +) +xt "160000,10000,171250,10000" +pts [ +"171250,10000" +"160000,10000" +] +) +start &191 +sat 32 +eat 16 +st 0 +sf 1 +tg (WTG +uid 8090,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 8091,0 +va (VaSet +font "courier,8,0" +) +xt "164250,9100,168750,10000" +st "ipbus_clk" +blo "164250,9800" +tm "WireNameMgr" +) +) +on &40 +) +*426 (Wire +uid 8094,0 +shape (OrthoPolyLine +uid 8095,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "160000,17000,171250,17000" +pts [ +"160000,17000" +"171250,17000" +] +) +end &192 +sat 16 +eat 32 +sty 1 +sl "(5)" +st 0 +sf 1 +tg (WTG +uid 8098,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 8099,0 +va (VaSet +font "courier,8,0" +) +xt "162000,16100,178500,17000" +st "ipbw(5) : (g_NUM_EXT_SLAVES-1:0)" +blo "162000,16800" +tm "WireNameMgr" +) +) +on &42 +) +*427 (Wire +uid 8102,0 +shape (OrthoPolyLine +uid 8103,0 +va (VaSet +vasetType 3 +) +xt "160000,24000,171250,24000" +pts [ +"160000,24000" +"171250,24000" +] +) +end &194 +sat 16 +eat 32 +st 0 +sf 1 +tg (WTG +uid 8106,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 8107,0 +va (VaSet +font "courier,8,0" +) +xt "162000,23100,168000,24000" +st "ipbus_reset" +blo "162000,23800" +tm "WireNameMgr" +) +) +on &41 +) +*428 (Wire +uid 8110,0 +shape (OrthoPolyLine +uid 8111,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "196750,10000,205000,10000" +pts [ +"196750,10000" +"205000,10000" +] +) +start &193 +sat 32 +eat 16 +sty 1 +sl "(5)" +st 0 +sf 1 +tg (WTG +uid 8114,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 8115,0 +va (VaSet +font "courier,8,0" +) +xt "198750,9100,215250,10000" +st "ipbr(5) : (g_NUM_EXT_SLAVES-1:0)" +blo "198750,9800" +tm "WireNameMgr" +) +) +on &43 +) +*429 (Wire +uid 9065,0 +shape (OrthoPolyLine +uid 9066,0 +va (VaSet +vasetType 3 +) +xt "143750,137000,160000,137000" +pts [ +"143750,137000" +"160000,137000" +] +) +start &165 +sat 32 +eat 16 +st 0 +sf 1 +tg (WTG +uid 9069,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 9070,0 +va (VaSet +font "courier,8,0" +) +xt "145750,136100,153750,137000" +st "overall_trigger" +blo "145750,136800" +tm "WireNameMgr" +) +) +on &44 +) +*430 (Wire +uid 9133,0 +shape (OrthoPolyLine +uid 9134,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "72000,86000,97250,138000" +pts [ +"72000,138000" +"72000,86000" +"97250,86000" +] +) +start &377 +end &217 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 9135,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 9136,0 +va (VaSet +font "courier,8,0" +) +xt "92250,85100,96250,86000" +st "triggers" +blo "92250,85800" +tm "WireNameMgr" +) +) +on &46 +) +*431 (Wire +uid 9141,0 +shape (OrthoPolyLine +uid 9142,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "143750,92000,165000,146000" +pts [ +"143750,146000" +"165000,146000" +"165000,92000" +"150750,92000" +] +) +start &168 +end &230 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +tg (WTG +uid 9143,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 9144,0 +va (VaSet +font "courier,8,0" +) +xt "145750,145100,164250,146000" +st "event_number_o : (g_IPBUS_WIDTH-1:0)" +blo "145750,145800" +tm "WireNameMgr" +) +) +on &173 +) +*432 (Wire +uid 9375,0 +shape (OrthoPolyLine +uid 9376,0 +va (VaSet +vasetType 3 +) +xt "196750,55000,219000,55000" +pts [ +"196750,55000" +"219000,55000" +] +) +start &258 +sat 32 +eat 16 +st 0 +sf 1 +tg (WTG +uid 9379,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 9380,0 +va (VaSet +font "courier,8,0" +) +xt "199000,54100,205000,55000" +st "logic_reset" +blo "199000,54800" +tm "WireNameMgr" +) +) +on &189 +) +*433 (Wire +uid 9385,0 +shape (OrthoPolyLine +uid 9386,0 +va (VaSet +vasetType 3 +) +xt "157000,89000,165250,89000" +pts [ +"165250,89000" +"157000,89000" +] +) +start &185 +sat 32 +eat 16 +st 0 +sf 1 +tg (WTG +uid 9389,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 9390,0 +va (VaSet +font "courier,8,0" +) +xt "157250,88100,163250,89000" +st "logic_reset" +blo "157250,88800" +tm "WireNameMgr" +) +) +on &189 +) +*434 (Wire +uid 9393,0 +shape (OrthoPolyLine +uid 9394,0 +va (VaSet +vasetType 3 +) +xt "85000,80000,97250,80000" +pts [ +"97250,80000" +"85000,80000" +] +) +start &216 +sat 32 +eat 16 +st 0 +sf 1 +tg (WTG +uid 9397,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 9398,0 +va (VaSet +font "courier,8,0" +) +xt "89250,79100,95250,80000" +st "logic_reset" +blo "89250,79800" +tm "WireNameMgr" +) +) +on &189 +) +*435 (Wire +uid 9401,0 +shape (OrthoPolyLine +uid 9402,0 +va (VaSet +vasetType 3 +) +xt "98000,151000,105250,151000" +pts [ +"105250,151000" +"98000,151000" +] +) +start &169 +sat 32 +eat 16 +st 0 +sf 1 +tg (WTG +uid 9405,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 9406,0 +va (VaSet +font "courier,8,0" +) +xt "97250,150100,103250,151000" +st "logic_reset" +blo "97250,150800" +tm "WireNameMgr" +) +) +on &189 +) +*436 (Wire +uid 10090,0 +shape (OrthoPolyLine +uid 10091,0 +va (VaSet +vasetType 3 +) +xt "196750,19000,206000,19000" +pts [ +"196750,19000" +"206000,19000" +] +) +start &195 +sat 32 +eat 16 +st 0 +sf 1 +tg (WTG +uid 10094,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 10095,0 +va (VaSet +font "courier,8,0" +) +xt "198750,18100,205750,19000" +st "s_i2c_scl_enb" +blo "198750,18800" +tm "WireNameMgr" +) +) +on &206 +) +*437 (Wire +uid 10100,0 +shape (OrthoPolyLine +uid 10101,0 +va (VaSet +vasetType 3 +) +xt "196750,21000,206000,21000" +pts [ +"196750,21000" +"206000,21000" +] +) +start &198 +sat 32 +eat 16 +st 0 +sf 1 +tg (WTG +uid 10104,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 10105,0 +va (VaSet +font "courier,8,0" +) +xt "198750,20100,203250,21000" +st "i2c_scl_b" +blo "198750,20800" +tm "WireNameMgr" +) +) +on &19 +) +*438 (Wire +uid 10108,0 +shape (OrthoPolyLine +uid 10109,0 +va (VaSet +vasetType 3 +) +xt "196750,23000,206000,23000" +pts [ +"196750,23000" +"206000,23000" +] +) +start &196 +sat 32 +eat 16 +st 0 +sf 1 +tg (WTG +uid 10112,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 10113,0 +va (VaSet +font "courier,8,0" +) +xt "198750,22100,203250,23000" +st "i2c_sda_b" +blo "198750,22800" +tm "WireNameMgr" +) +) +on &21 +) +*439 (Wire +uid 10116,0 +shape (OrthoPolyLine +uid 10117,0 +va (VaSet +vasetType 3 +) +xt "196750,25000,206000,25000" +pts [ +"196750,25000" +"206000,25000" +] +) +start &197 +sat 32 +eat 16 +st 0 +sf 1 +tg (WTG +uid 10120,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 10121,0 +va (VaSet +font "courier,8,0" +) +xt "198750,24100,205750,25000" +st "s_i2c_sda_enb" +blo "198750,24800" +tm "WireNameMgr" +) +) +on &207 +) +*440 (Wire +uid 10534,0 +shape (OrthoPolyLine +uid 10535,0 +va (VaSet +vasetType 3 +) +xt "85000,82000,97250,82000" +pts [ +"97250,82000" +"85000,82000" +] +) +start &222 +sat 32 +eat 16 +st 0 +sf 1 +tg (WTG +uid 10538,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 10539,0 +va (VaSet +font "courier,8,0" +) +xt "90250,81100,96250,82000" +st "ipbus_clk_i" +blo "90250,81800" +tm "WireNameMgr" +) +) +on &234 +) +*441 (Wire +uid 10544,0 +shape (OrthoPolyLine +uid 10545,0 +va (VaSet +vasetType 3 +) +xt "85000,83000,97250,83000" +pts [ +"97250,83000" +"85000,83000" +] +) +start &223 +sat 32 +eat 16 +sl "(9)" +st 0 +sf 1 +tg (WTG +uid 10548,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 10549,0 +va (VaSet +font "courier,8,0" +) +xt "81000,82100,97500,83000" +st "ipbw(9) : (g_NUM_EXT_SLAVES-1:0)" +blo "81000,82800" +tm "WireNameMgr" +) +) +on &42 +) +*442 (Wire +uid 10554,0 +shape (OrthoPolyLine +uid 10555,0 +va (VaSet +vasetType 3 +) +xt "150750,96000,163000,96000" +pts [ +"150750,96000" +"163000,96000" +] +) +start &224 +sat 32 +eat 16 +sl "(9)" +st 0 +sf 1 +tg (WTG +uid 10558,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 10559,0 +va (VaSet +font "courier,8,0" +) +xt "152750,95100,169250,96000" +st "ipbr(9) : (g_NUM_EXT_SLAVES-1:0)" +blo "152750,95800" +tm "WireNameMgr" +) +) +on &43 +) +*443 (Wire +uid 10564,0 +shape (OrthoPolyLine +uid 10565,0 +va (VaSet +vasetType 3 +) +xt "91000,87000,97250,87000" +pts [ +"97250,87000" +"91000,87000" +] +) +start &218 +sat 32 +eat 16 +st 0 +sf 1 +tg (WTG +uid 10568,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 10569,0 +va (VaSet +font "courier,8,0" +) +xt "77250,86100,96250,87000" +st "edge_fall_i : (g_NUM_EDGE_INPUTS-1:0)" +blo "77250,86800" +tm "WireNameMgr" +) +) +on &235 +) +*444 (Wire +uid 10572,0 +shape (OrthoPolyLine +uid 10573,0 +va (VaSet +vasetType 3 +) +xt "91000,88000,97250,88000" +pts [ +"97250,88000" +"91000,88000" +] +) +start &219 +sat 32 +eat 16 +st 0 +sf 1 +tg (WTG +uid 10576,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 10577,0 +va (VaSet +font "courier,8,0" +) +xt "74250,87100,95750,88000" +st "edge_fall_time_i : (g_NUM_EDGE_INPUTS-1:0)" +blo "74250,87800" +tm "WireNameMgr" +) +) +on &236 +) +*445 (Wire +uid 10580,0 +shape (OrthoPolyLine +uid 10581,0 +va (VaSet +vasetType 3 +) +xt "91000,89000,97250,89000" +pts [ +"97250,89000" +"91000,89000" +] +) +start &220 +sat 32 +eat 16 +st 0 +sf 1 +tg (WTG +uid 10584,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 10585,0 +va (VaSet +font "courier,8,0" +) +xt "77250,88100,96250,89000" +st "edge_rise_i : (g_NUM_EDGE_INPUTS-1:0)" +blo "77250,88800" +tm "WireNameMgr" +) +) +on &237 +) +*446 (Wire +uid 10588,0 +shape (OrthoPolyLine +uid 10589,0 +va (VaSet +vasetType 3 +) +xt "91000,90000,97250,90000" +pts [ +"97250,90000" +"91000,90000" +] +) +start &221 +sat 32 +eat 16 +st 0 +sf 1 +tg (WTG +uid 10592,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 10593,0 +va (VaSet +font "courier,8,0" +) +xt "74250,89100,95750,90000" +st "edge_rise_time_i : (g_NUM_EDGE_INPUTS-1:0)" +blo "74250,89800" +tm "WireNameMgr" +) +) +on &238 +) +*447 (Wire +uid 10596,0 +shape (OrthoPolyLine +uid 10597,0 +va (VaSet +vasetType 3 +) +xt "89000,93000,97250,93000" +pts [ +"97250,93000" +"89000,93000" +] +) +start &225 +end &304 +es 0 +sat 32 +eat 32 +st 0 +sf 1 +tg (WTG +uid 10600,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 10601,0 +va (VaSet +font "courier,8,0" +) +xt "75000,91100,97000,92000" +st "shutter_cnt_i : (g_SPILL_COUNTER_WIDTH-1:0)" +blo "75000,91800" +tm "WireNameMgr" +) +) +on &239 +) +*448 (Wire +uid 10604,0 +shape (OrthoPolyLine +uid 10605,0 +va (VaSet +vasetType 3 +) +xt "89000,96000,97250,96000" +pts [ +"97250,96000" +"89000,96000" +] +) +start &226 +end &296 +es 0 +sat 32 +eat 32 +st 0 +sf 1 +tg (WTG +uid 10608,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 10609,0 +va (VaSet +font "courier,8,0" +) +xt "89250,95100,93750,96000" +st "shutter_i" +blo "89250,95800" +tm "WireNameMgr" +) +) +on &240 +) +*449 (Wire +uid 10612,0 +shape (OrthoPolyLine +uid 10613,0 +va (VaSet +vasetType 3 +) +xt "89000,99000,97250,99000" +pts [ +"97250,99000" +"89000,99000" +] +) +start &227 +end &288 +es 0 +sat 32 +eat 32 +st 0 +sf 1 +tg (WTG +uid 10616,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 10617,0 +va (VaSet +font "courier,8,0" +) +xt "76000,98100,97000,99000" +st "spill_cnt_i : (g_SPILL_COUNTER_WIDTH-1:0)" +blo "76000,98800" +tm "WireNameMgr" +) +) +on &241 +) +*450 (Wire +uid 10620,0 +shape (OrthoPolyLine +uid 10621,0 +va (VaSet +vasetType 3 +) +xt "89000,102000,97250,102000" +pts [ +"97250,102000" +"89000,102000" +] +) +start &228 +end &280 +sat 32 +eat 32 +st 0 +sf 1 +tg (WTG +uid 10624,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 10625,0 +va (VaSet +font "courier,8,0" +) +xt "90250,101100,93750,102000" +st "spill_i" +blo "90250,101800" +tm "WireNameMgr" +) +) +on &242 +) +*451 (Wire +uid 10628,0 +shape (OrthoPolyLine +uid 10629,0 +va (VaSet +vasetType 3 +) +xt "89000,105000,97250,105000" +pts [ +"97250,105000" +"89000,105000" +] +) +start &229 +end &272 +es 0 +sat 32 +eat 32 +st 0 +sf 1 +tg (WTG +uid 10632,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 10633,0 +va (VaSet +font "courier,8,0" +) +xt "72250,104100,90250,105000" +st "trigger_cnt_i : (g_IPBUS_WIDTH-1:0)" +blo "72250,104800" +tm "WireNameMgr" +) +) +on &243 +) +*452 (Wire +uid 11082,0 +shape (OrthoPolyLine +uid 11083,0 +va (VaSet +vasetType 3 +) +xt "154000,55000,164250,55000" +pts [ +"154000,55000" +"164250,55000" +] +) +start &264 +end &259 +ss 0 +sat 32 +eat 32 +st 0 +sf 1 +tg (WTG +uid 11086,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 11087,0 +va (VaSet +font "courier,8,0" +) +xt "147000,57100,156500,58000" +st "logic_clocks_reset" +blo "147000,57800" +tm "WireNameMgr" +) +) +on &311 +) +*453 (Wire +uid 11464,0 +shape (OrthoPolyLine +uid 11465,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "58750,152000,73000,152000" +pts [ +"58750,152000" +"73000,152000" +] +) +start &334 +end &312 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 11468,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 11469,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "60750,151100,69250,152000" +st "gpio_hdr : (7:0)" +blo "60750,151800" +tm "WireNameMgr" +) +) +on &313 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *454 (PackageList +uid 345,0 +stg "VerticalLayoutStrategy" +textVec [ +*455 (Text +uid 346,0 +va (VaSet +font "courier,8,1" +) +xt "-13000,0,-6500,900" +st "Package List" +blo "-13000,700" +) +*456 (MLText +uid 347,0 +va (VaSet +font "courier,8,0" +) +xt "-13000,900,3000,10800" +st "LIBRARY ieee; +USE ieee.std_logic_1164.all; +USE ieee.numeric_std.all; + +LIBRARY work; +USE work.ipbus.all; +USE work.emac_hostbus_decl.all; + +USE work.fmcTLU.all; +LIBRARY unisim; +USE unisim.vcomponents.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 348,0 +stg "VerticalLayoutStrategy" +textVec [ +*457 (Text +uid 349,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,0,30000,900" +st "Compiler Directives" +blo "20000,700" +) +*458 (Text +uid 350,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,900,31500,1800" +st "Pre-module directives:" +blo "20000,1600" +) +*459 (MLText +uid 351,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "20000,1800,30100,3600" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*460 (Text +uid 352,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,3600,32000,4500" +st "Post-module directives:" +blo "20000,4300" +) +*461 (MLText +uid 353,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*462 (Text +uid 354,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,4500,31500,5400" +st "End-module directives:" +blo "20000,5200" +) +*463 (MLText +uid 355,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "20000,5400,20000,5400" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "312,57,2159,1165" +viewArea "93186,-22041,281149,86408" +cachedDiagramExtent "-13000,0,360964,484495" +pageSetupInfo (PageSetupInfo +fileName "/automount/users/phdgc/hdl_designer_test_print_1.ps" +colour 1 +paperWidth 2384 +paperHeight 3370 +unixPaperWidth 2384 +unixPaperHeight 3370 +paperType "A0 (841mm x 1189mm)" +unixPaperName "A0 (841mm x 1189mm)" +useAdjustTo 0 +panelVisible 1 +allPanelsVisible 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +bestFit 1 +) +hasePageBreakOrigin 1 +pageBreakOrigin "-13000,0" +lastUid 11795,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,4000,1900" +st "Panel0" +blo "1000,1700" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*464 (Text +va (VaSet +font "courier,8,1" +) +xt "1750,3650,6250,4550" +st "<library>" +blo "1750,4350" +tm "BdLibraryNameMgr" +) +*465 (Text +va (VaSet +font "courier,8,1" +) +xt "1750,4550,5250,5450" +st "<block>" +blo "1750,5250" +tm "BlkNameMgr" +) +*466 (Text +va (VaSet +font "courier,8,1" +) +xt "1750,5450,2750,6350" +st "I0" +blo "1750,6150" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "1750,13650,1750,13650" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*467 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,3650,4500,4550" +st "Library" +blo "1000,4350" +) +*468 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,4550,7000,5450" +st "MWComponent" +blo "1000,5250" +) +*469 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,5450,2000,6350" +st "I0" +blo "1000,6150" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6000,1650,-6000,1650" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*470 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,3650,4500,4550" +st "Library" +blo "1000,4350" +tm "BdLibraryNameMgr" +) +*471 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,4550,7000,5450" +st "SaComponent" +blo "1000,5250" +tm "CptNameMgr" +) +*472 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,5450,2000,6350" +st "I0" +blo "1000,6150" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6000,1650,-6000,1650" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +portVis (PortSigDisplay +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*473 (Text +va (VaSet +font "courier,8,1" +) +xt "500,3650,4000,4550" +st "Library" +blo "500,4350" +) +*474 (Text +va (VaSet +font "courier,8,1" +) +xt "500,4550,7500,5450" +st "VhdlComponent" +blo "500,5250" +) +*475 (Text +va (VaSet +font "courier,8,1" +) +xt "500,5450,1500,6350" +st "I0" +blo "500,6150" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6500,1650,-6500,1650" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-750,0,8750,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*476 (Text +va (VaSet +font "courier,8,1" +) +xt "-250,3650,3250,4550" +st "Library" +blo "-250,4350" +) +*477 (Text +va (VaSet +font "courier,8,1" +) +xt "-250,4550,8250,5450" +st "VerilogComponent" +blo "-250,5250" +) +*478 (Text +va (VaSet +font "courier,8,1" +) +xt "-250,5450,750,6350" +st "I0" +blo "-250,6150" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-7250,1650,-7250,1650" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*479 (Text +va (VaSet +font "courier,8,1" +) +xt "3250,4100,4750,5000" +st "eb1" +blo "3250,4800" +tm "HdlTextNameMgr" +) +*480 (Text +va (VaSet +font "courier,8,1" +) +xt "3250,5000,3750,5900" +st "1" +blo "3250,5700" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +font "courier,8,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +font "courier,8,1" +) +xt "-250,-450,250,450" +st "G" +blo "-250,250" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,0,2000,900" +st "sig0" +blo "0,700" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,0,2500,900" +st "dbus0" +blo "0,700" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,0" +) +xt "0,0,3500,900" +st "bundle0" +blo "0,700" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +font "courier,8,0" +) +xt "0,900,1000,1800" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +font "courier,8,0" +) +) +second (MLText +va (VaSet +font "courier,8,0" +) +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,-1000,14500,-100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1350" +) +num (Text +va (VaSet +font "courier,8,0" +) +xt "300,250,800,1150" +st "1" +blo "300,950" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*481 (Text +va (VaSet +font "courier,8,1" +) +xt "12500,20000,22000,20900" +st "Frame Declarations" +blo "12500,20700" +) +*482 (MLText +va (VaSet +font "courier,8,0" +) +xt "12500,20900,12500,20900" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,-1000,9000,-100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1350" +) +num (Text +va (VaSet +font "courier,8,0" +) +xt "300,250,800,1150" +st "1" +blo "300,950" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*483 (Text +va (VaSet +font "courier,8,1" +) +xt "12500,20000,22000,20900" +st "Frame Declarations" +blo "12500,20700" +) +*484 (MLText +va (VaSet +font "courier,8,0" +) +xt "12500,20900,12500,20900" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,2000,1650" +st "Port" +blo "0,1450" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,2000,1650" +st "Port" +blo "0,1450" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "courier,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "-12000,14200,-5500,15100" +st "Declarations" +blo "-12000,14900" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "-12000,15100,-9000,16000" +st "Ports:" +blo "-12000,15800" +) +preUserLabel (Text +uid 4,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-12000,14200,-7500,15100" +st "Pre User:" +blo "-12000,14900" +) +preUserText (MLText +uid 5,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-12000,14200,-12000,14200" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "courier,8,1" +) +xt "-12000,43000,-3500,43900" +st "Diagram Signals:" +blo "-12000,43700" +) +postUserLabel (Text +uid 7,0 +va (VaSet +font "courier,8,1" +) +xt "-12000,74500,-6500,75400" +st "Post User:" +blo "-12000,75200" +) +postUserText (MLText +uid 8,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,61000,-10000,61900" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 173,0 +usingSuid 1 +emptyRow *485 (LEmptyRow +) +uid 358,0 +optionalChildren [ +*486 (RefLabelRowHdr +) +*487 (TitleRowHdr +) +*488 (FilterRowHdr +) +*489 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*490 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*491 (GroupColHdr +tm "GroupColHdrMgr" +) +*492 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*493 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*494 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*495 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*496 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*497 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*498 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "gmii_gtx_clk_o" +t "std_logic" +o 16 +suid 4,0 +) +) +uid 279,0 +) +*499 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "gmii_tx_en_o" +t "std_logic" +o 17 +suid 9,0 +) +) +uid 281,0 +) +*500 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "gmii_tx_er_o" +t "std_logic" +o 18 +suid 10,0 +) +) +uid 283,0 +) +*501 (LeafLogPort +port (LogicalPort +decl (Decl +n "gmii_rx_clk_i" +t "std_logic" +o 8 +suid 5,0 +) +) +uid 285,0 +) +*502 (LeafLogPort +port (LogicalPort +decl (Decl +n "gmii_rx_dv_i" +t "std_logic" +o 9 +suid 6,0 +) +) +uid 287,0 +) +*503 (LeafLogPort +port (LogicalPort +decl (Decl +n "gmii_rx_er_i" +t "std_logic" +o 10 +suid 7,0 +) +) +uid 289,0 +) +*504 (LeafLogPort +port (LogicalPort +decl (Decl +n "gmii_rxd_i" +t "std_logic_vector" +b "(7 DOWNTO 0)" +o 11 +suid 8,0 +) +) +uid 291,0 +) +*505 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "phy_rstb_o" +t "std_logic" +o 22 +suid 15,0 +) +) +uid 293,0 +) +*506 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "gmii_txd_o" +t "std_logic_vector" +b "(7 DOWNTO 0)" +o 19 +suid 11,0 +) +) +uid 295,0 +) +*507 (LeafLogPort +port (LogicalPort +decl (Decl +n "threshold_discr_p_i" +t "std_logic_vector" +b "(g_NUM_TRIG_INPUTS-1 DOWNTO 0)" +o 15 +suid 18,0 +) +) +uid 305,0 +) +*508 (LeafLogPort +port (LogicalPort +decl (Decl +n "cfd_discr_p_i" +t "std_logic_vector" +b "(g_NUM_TRIG_INPUTS-1 DOWNTO 0)" +o 4 +suid 2,0 +) +) +uid 307,0 +) +*509 (LeafLogPort +port (LogicalPort +m 2 +decl (Decl +n "i2c_scl_b" +t "std_logic" +o 29 +suid 12,0 +) +) +uid 309,0 +) +*510 (LeafLogPort +port (LogicalPort +m 2 +decl (Decl +n "i2c_sda_b" +t "std_logic" +o 30 +suid 13,0 +) +) +uid 311,0 +) +*511 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "clk_4x_logic" +t "std_logic" +eolc "--! normally 160MHz" +o 33 +suid 26,0 +) +) +uid 1223,0 +) +*512 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "ipbus_clk" +t "std_logic" +o 43 +suid 39,0 +) +) +uid 2119,0 +) +*513 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "ipbus_reset" +t "std_logic" +o 45 +suid 40,0 +) +) +uid 2121,0 +) +*514 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "ipbw" +t "ipb_wbus_array" +b "(g_NUM_EXT_SLAVES-1 DOWNTO 0)" +eolc "--! IBus write signals" +o 47 +suid 41,0 +) +) +uid 2123,0 +) +*515 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "ipbr" +t "ipb_rbus_array" +b "(g_NUM_EXT_SLAVES-1 DOWNTO 0)" +eolc "--! IPBus read signals" +o 42 +suid 42,0 +) +) +uid 2125,0 +) +*516 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "overall_trigger" +t "std_logic" +eolc "--! goes high to load trigger data" +o 50 +suid 61,0 +) +) +uid 2131,0 +) +*517 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "overall_veto" +t "std_logic" +eolc "--! Halts triggers when high" +o 51 +suid 62,0 +) +) +uid 2133,0 +) +*518 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "triggers" +t "std_logic_vector" +b "(g_NUM_TRIG_INPUTS-1 DOWNTO 0)" +o 63 +suid 68,0 +) +) +uid 2137,0 +) +*519 (LeafLogPort +port (LogicalPort +lang 2 +m 4 +decl (Decl +n "trigger_count" +t "std_logic_vector" +b "(g_IPBUS_WIDTH-1 DOWNTO 0)" +o 61 +suid 77,0 +) +) +uid 2287,0 +) +*520 (LeafLogPort +port (LogicalPort +lang 2 +m 4 +decl (Decl +n "data_strobe" +t "std_logic" +eolc "goes high when data ready to load into event buffer" +o 35 +suid 82,0 +) +) +uid 2362,0 +) +*521 (LeafLogPort +port (LogicalPort +lang 2 +m 1 +decl (Decl +n "leds_o" +t "std_logic_vector" +b "(3 DOWNTO 0)" +o 21 +suid 83,0 +) +) +uid 2814,0 +scheme 0 +) +*522 (LeafLogPort +port (LogicalPort +lang 2 +m 4 +decl (Decl +n "trigger_times" +t "t_triggerTimeArray" +b "(g_NUM_TRIG_INPUTS-1 DOWNTO 0)" +eolc "! trigger arrival time ( w.r.t. logic_strobe)" +preAdd 0 +posAdd 0 +o 62 +suid 86,0 +) +) +uid 3333,0 +) +*523 (LeafLogPort +port (LogicalPort +lang 2 +m 4 +decl (Decl +n "event_data" +t "std_logic_vector" +b "(g_EVENT_DATA_WIDTH-1 DOWNTO 0)" +o 40 +suid 93,0 +) +) +uid 3653,0 +) +*524 (LeafLogPort +port (LogicalPort +m 2 +decl (Decl +n "extclk_p_b" +t "std_logic" +eolc "--! either external clock in, or a clock being driven out" +o 28 +suid 105,0 +) +) +uid 4683,0 +) +*525 (LeafLogPort +port (LogicalPort +m 2 +decl (Decl +n "extclk_n_b" +t "std_logic" +o 27 +suid 106,0 +) +) +uid 4685,0 +) +*526 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "clk_logic_xtal" +t "std_logic" +eolc "! 40MHz clock from onboard xtal" +o 34 +suid 107,0 +) +) +uid 4687,0 +) +*527 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "strobe_4x_logic" +t "std_logic" +eolc "one pulse every 4 cycles of clk_4x" +o 59 +suid 109,0 +) +) +uid 4705,0 +) +*528 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "clk_16x_logic" +t "std_logic" +eolc "640MHz clock" +o 32 +suid 110,0 +) +) +uid 4707,0 +) +*529 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "strobe_16x_logic" +t "std_logic" +eolc "--! Pulses one cycle every 4 of 16x clock." +o 58 +suid 111,0 +) +) +uid 4709,0 +) +*530 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "veto_o" +t "std_logic" +eolc "--! goes high when one or more DUT are busy" +o 64 +suid 116,0 +) +) +uid 4994,0 +) +*531 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "buffer_full_o" +t "std_logic" +eolc "--! Goes high when event buffer almost full" +o 31 +suid 117,0 +) +) +uid 4996,0 +) +*532 (LeafLogPort +port (LogicalPort +decl (Decl +n "cfd_discr_n_i" +t "std_logic_vector" +b "(g_NUM_TRIG_INPUTS-1 DOWNTO 0)" +o 3 +suid 120,0 +) +) +uid 6012,0 +) +*533 (LeafLogPort +port (LogicalPort +decl (Decl +n "threshold_discr_n_i" +t "std_logic_vector" +b "(g_NUM_TRIG_INPUTS-1 DOWNTO 0)" +o 14 +suid 121,0 +) +) +uid 6014,0 +) +*534 (LeafLogPort +port (LogicalPort +decl (Decl +n "sysclk_p_i" +t "std_logic" +o 13 +suid 129,0 +) +) +uid 6680,0 +) +*535 (LeafLogPort +port (LogicalPort +decl (Decl +n "sysclk_n_i" +t "std_logic" +eolc "--! 200 MHz xtal clock" +o 12 +suid 130,0 +) +) +uid 6682,0 +) +*536 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "triggers_p_o" +t "std_logic_vector" +b "(g_NUM_DUTS-1 DOWNTO 0)" +eolc "--! Trigger lines to DUT" +o 26 +suid 131,0 +) +) +uid 6685,0 +) +*537 (LeafLogPort +port (LogicalPort +decl (Decl +n "busy_p_i" +t "std_logic_vector" +b "(g_NUM_DUTS-1 DOWNTO 0)" +eolc "--! Busy lines from DUTs ( active high )" +o 2 +suid 132,0 +) +) +uid 6687,0 +) +*538 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "reset_or_clk_p_o" +t "std_logic_vector" +b "(g_NUM_DUTS-1 DOWNTO 0)" +o 24 +suid 133,0 +) +) +uid 6689,0 +) +*539 (LeafLogPort +port (LogicalPort +decl (Decl +n "dut_clk_p_i" +t "std_logic_vector" +b "(g_NUM_DUTS-1 DOWNTO 0)" +o 7 +suid 134,0 +) +) +uid 6691,0 +) +*540 (LeafLogPort +port (LogicalPort +lang 2 +decl (Decl +n "dip_switch_i" +t "std_logic_vector" +b "(3 DOWNTO 0)" +o 5 +suid 135,0 +) +) +uid 6693,0 +) +*541 (LeafLogPort +port (LogicalPort +decl (Decl +n "busy_n_i" +t "std_logic_vector" +b "(g_NUM_DUTS-1 DOWNTO 0)" +o 1 +suid 136,0 +) +) +uid 6695,0 +) +*542 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "reset_or_clk_n_o" +t "std_logic_vector" +b "(g_NUM_DUTS-1 DOWNTO 0)" +o 23 +suid 137,0 +) +) +uid 6697,0 +) +*543 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "triggers_n_o" +t "std_logic_vector" +b "(g_NUM_DUTS-1 DOWNTO 0)" +o 25 +suid 138,0 +) +) +uid 6699,0 +) +*544 (LeafLogPort +port (LogicalPort +decl (Decl +n "dut_clk_n_i" +t "std_logic_vector" +b "(g_NUM_DUTS-1 DOWNTO 0)" +o 6 +suid 139,0 +) +) +uid 6701,0 +) +*545 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "ipbus_rst" +t "std_logic" +eolc "! IPBus reset to slaves" +o 46 +suid 140,0 +) +) +uid 7101,0 +) +*546 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "event_number_o" +t "std_logic_vector" +b "(g_IPBUS_WIDTH-1 downto 0)" +eolc "starts at one. Increments for each post_veto_trigger" +o 41 +suid 146,0 +) +) +uid 9407,0 +) +*547 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "logic_reset" +t "std_logic" +eolc "Goes high to reset counters etc. Sync with clk_4x_logic" +o 49 +suid 148,0 +) +) +uid 9409,0 +) +*548 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "s_i2c_scl_enb" +t "std_logic" +o 52 +suid 153,0 +) +) +uid 10124,0 +) +*549 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "s_i2c_sda_enb" +t "std_logic" +o 53 +suid 157,0 +) +) +uid 10126,0 +) +*550 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "ipbus_clk_i" +t "std_logic" +o 44 +suid 158,0 +) +) +uid 10540,0 +) +*551 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "edge_fall_i" +t "std_logic_vector" +b "(g_NUM_EDGE_INPUTS-1 DOWNTO 0)" +eolc "-- ! High when falling edge" +preAdd 0 +posAdd 0 +o 36 +suid 161,0 +) +) +uid 10634,0 +) +*552 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "edge_fall_time_i" +t "t_triggerTimeArray" +b "(g_NUM_EDGE_INPUTS-1 DOWNTO 0)" +eolc "-- Array of edge times ( w.r.t. logic_strobe)" +preAdd 0 +posAdd 0 +o 37 +suid 162,0 +) +) +uid 10636,0 +) +*553 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "edge_rise_i" +t "std_logic_vector" +b "(g_NUM_EDGE_INPUTS-1 DOWNTO 0)" +eolc "-- ! High when rising edge" +posAdd 0 +o 38 +suid 163,0 +) +) +uid 10638,0 +) +*554 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "edge_rise_time_i" +t "t_triggerTimeArray" +b "(g_NUM_EDGE_INPUTS-1 DOWNTO 0)" +eolc "-- Array of edge times ( w.r.t. logic_strobe)" +preAdd 0 +posAdd 0 +o 39 +suid 164,0 +) +) +uid 10640,0 +) +*555 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "shutter_cnt_i" +t "std_logic_vector" +b "(g_SPILL_COUNTER_WIDTH-1 DOWNTO 0)" +o 54 +suid 165,0 +) +) +uid 10642,0 +) +*556 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "shutter_i" +t "std_logic" +o 55 +suid 166,0 +) +) +uid 10644,0 +) +*557 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "spill_cnt_i" +t "std_logic_vector" +b "(g_SPILL_COUNTER_WIDTH-1 DOWNTO 0)" +o 56 +suid 167,0 +) +) +uid 10646,0 +) +*558 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "spill_i" +t "std_logic" +o 57 +suid 168,0 +) +) +uid 10648,0 +) +*559 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "trigger_cnt_i" +t "std_logic_vector" +b "(g_IPBUS_WIDTH-1 DOWNTO 0)" +o 60 +suid 169,0 +) +) +uid 10650,0 +) +*560 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "logic_clocks_reset" +t "std_logic" +eolc "Goes high to reset counters etc. Sync with clk_4x_logic" +o 48 +suid 171,0 +) +) +uid 11204,0 +) +*561 (LeafLogPort +port (LogicalPort +lang 2 +m 1 +decl (Decl +n "gpio_hdr" +t "std_logic_vector" +b "(7 DOWNTO 0)" +o 20 +suid 172,0 +) +) +uid 11457,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 371,0 +optionalChildren [ +*562 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *563 (MRCItem +litem &485 +pos 64 +dimension 20 +) +uid 373,0 +optionalChildren [ +*564 (MRCItem +litem &486 +pos 0 +dimension 20 +uid 374,0 +) +*565 (MRCItem +litem &487 +pos 1 +dimension 23 +uid 375,0 +) +*566 (MRCItem +litem &488 +pos 2 +hidden 1 +dimension 20 +uid 376,0 +) +*567 (MRCItem +litem &498 +pos 0 +dimension 20 +uid 280,0 +) +*568 (MRCItem +litem &499 +pos 1 +dimension 20 +uid 282,0 +) +*569 (MRCItem +litem &500 +pos 2 +dimension 20 +uid 284,0 +) +*570 (MRCItem +litem &501 +pos 3 +dimension 20 +uid 286,0 +) +*571 (MRCItem +litem &502 +pos 4 +dimension 20 +uid 288,0 +) +*572 (MRCItem +litem &503 +pos 5 +dimension 20 +uid 290,0 +) +*573 (MRCItem +litem &504 +pos 6 +dimension 20 +uid 292,0 +) +*574 (MRCItem +litem &505 +pos 7 +dimension 20 +uid 294,0 +) +*575 (MRCItem +litem &506 +pos 8 +dimension 20 +uid 296,0 +) +*576 (MRCItem +litem &507 +pos 17 +dimension 20 +uid 306,0 +) +*577 (MRCItem +litem &508 +pos 19 +dimension 20 +uid 308,0 +) +*578 (MRCItem +litem &509 +pos 21 +dimension 20 +uid 310,0 +) +*579 (MRCItem +litem &510 +pos 22 +dimension 20 +uid 312,0 +) +*580 (MRCItem +litem &511 +pos 30 +dimension 20 +uid 1224,0 +) +*581 (MRCItem +litem &512 +pos 31 +dimension 20 +uid 2120,0 +) +*582 (MRCItem +litem &513 +pos 32 +dimension 20 +uid 2122,0 +) +*583 (MRCItem +litem &514 +pos 33 +dimension 20 +uid 2124,0 +) +*584 (MRCItem +litem &515 +pos 34 +dimension 20 +uid 2126,0 +) +*585 (MRCItem +litem &516 +pos 35 +dimension 20 +uid 2132,0 +) +*586 (MRCItem +litem &517 +pos 36 +dimension 20 +uid 2134,0 +) +*587 (MRCItem +litem &518 +pos 37 +dimension 20 +uid 2138,0 +) +*588 (MRCItem +litem &519 +pos 38 +dimension 20 +uid 2288,0 +) +*589 (MRCItem +litem &520 +pos 39 +dimension 20 +uid 2363,0 +) +*590 (MRCItem +litem &521 +pos 23 +dimension 20 +uid 2815,0 +) +*591 (MRCItem +litem &522 +pos 40 +dimension 20 +uid 3334,0 +) +*592 (MRCItem +litem &523 +pos 41 +dimension 20 +uid 3654,0 +) +*593 (MRCItem +litem &524 +pos 24 +dimension 20 +uid 4684,0 +) +*594 (MRCItem +litem &525 +pos 25 +dimension 20 +uid 4686,0 +) +*595 (MRCItem +litem &526 +pos 42 +dimension 20 +uid 4688,0 +) +*596 (MRCItem +litem &527 +pos 43 +dimension 20 +uid 4706,0 +) +*597 (MRCItem +litem &528 +pos 44 +dimension 20 +uid 4708,0 +) +*598 (MRCItem +litem &529 +pos 45 +dimension 20 +uid 4710,0 +) +*599 (MRCItem +litem &530 +pos 46 +dimension 20 +uid 4995,0 +) +*600 (MRCItem +litem &531 +pos 47 +dimension 20 +uid 4997,0 +) +*601 (MRCItem +litem &532 +pos 20 +dimension 20 +uid 6013,0 +) +*602 (MRCItem +litem &533 +pos 18 +dimension 20 +uid 6015,0 +) +*603 (MRCItem +litem &534 +pos 26 +dimension 20 +uid 6681,0 +) +*604 (MRCItem +litem &535 +pos 27 +dimension 20 +uid 6683,0 +) +*605 (MRCItem +litem &536 +pos 9 +dimension 20 +uid 6684,0 +) +*606 (MRCItem +litem &537 +pos 11 +dimension 20 +uid 6686,0 +) +*607 (MRCItem +litem &538 +pos 13 +dimension 20 +uid 6688,0 +) +*608 (MRCItem +litem &539 +pos 15 +dimension 20 +uid 6690,0 +) +*609 (MRCItem +litem &540 +pos 28 +dimension 20 +uid 6692,0 +) +*610 (MRCItem +litem &541 +pos 12 +dimension 20 +uid 6694,0 +) +*611 (MRCItem +litem &542 +pos 14 +dimension 20 +uid 6696,0 +) +*612 (MRCItem +litem &543 +pos 10 +dimension 20 +uid 6698,0 +) +*613 (MRCItem +litem &544 +pos 16 +dimension 20 +uid 6700,0 +) +*614 (MRCItem +litem &545 +pos 48 +dimension 20 +uid 7102,0 +) +*615 (MRCItem +litem &546 +pos 49 +dimension 20 +uid 9408,0 +) +*616 (MRCItem +litem &547 +pos 50 +dimension 20 +uid 9410,0 +) +*617 (MRCItem +litem &548 +pos 51 +dimension 20 +uid 10125,0 +) +*618 (MRCItem +litem &549 +pos 52 +dimension 20 +uid 10127,0 +) +*619 (MRCItem +litem &550 +pos 53 +dimension 20 +uid 10541,0 +) +*620 (MRCItem +litem &551 +pos 54 +dimension 20 +uid 10635,0 +) +*621 (MRCItem +litem &552 +pos 55 +dimension 20 +uid 10637,0 +) +*622 (MRCItem +litem &553 +pos 56 +dimension 20 +uid 10639,0 +) +*623 (MRCItem +litem &554 +pos 57 +dimension 20 +uid 10641,0 +) +*624 (MRCItem +litem &555 +pos 58 +dimension 20 +uid 10643,0 +) +*625 (MRCItem +litem &556 +pos 59 +dimension 20 +uid 10645,0 +) +*626 (MRCItem +litem &557 +pos 60 +dimension 20 +uid 10647,0 +) +*627 (MRCItem +litem &558 +pos 61 +dimension 20 +uid 10649,0 +) +*628 (MRCItem +litem &559 +pos 62 +dimension 20 +uid 10651,0 +) +*629 (MRCItem +litem &560 +pos 63 +dimension 20 +uid 11205,0 +) +*630 (MRCItem +litem &561 +pos 29 +dimension 20 +uid 11456,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 377,0 +optionalChildren [ +*631 (MRCItem +litem &489 +pos 0 +dimension 20 +uid 378,0 +) +*632 (MRCItem +litem &491 +pos 1 +dimension 50 +uid 379,0 +) +*633 (MRCItem +litem &492 +pos 2 +dimension 176 +uid 380,0 +) +*634 (MRCItem +litem &493 +pos 3 +dimension 50 +uid 381,0 +) +*635 (MRCItem +litem &494 +pos 4 +dimension 100 +uid 382,0 +) +*636 (MRCItem +litem &495 +pos 5 +dimension 213 +uid 383,0 +) +*637 (MRCItem +litem &496 +pos 6 +dimension 50 +uid 384,0 +) +*638 (MRCItem +litem &497 +pos 7 +dimension 711 +uid 385,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 372,0 +vaOverrides [ +] +) +] +) +uid 357,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *639 (LEmptyRow +) +uid 387,0 +optionalChildren [ +*640 (RefLabelRowHdr +) +*641 (TitleRowHdr +) +*642 (FilterRowHdr +) +*643 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*644 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*645 (GroupColHdr +tm "GroupColHdrMgr" +) +*646 (NameColHdr +tm "GenericNameColHdrMgr" +) +*647 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*648 (InitColHdr +tm "GenericValueColHdrMgr" +) +*649 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*650 (EolColHdr +tm "GenericEolColHdrMgr" +) +*651 (LogGeneric +generic (GiElement +name "g_NUM_DUTS" +type "positive" +value "3" +) +uid 738,0 +) +*652 (LogGeneric +generic (GiElement +name "g_NUM_TRIG_INPUTS" +type "positive" +value "4" +) +uid 740,0 +) +*653 (LogGeneric +generic (GiElement +name "g_NUM_EXT_SLAVES" +type "positive" +value "10" +e "! Number of slaves outside IPBus interface" +) +uid 2456,0 +) +*654 (LogGeneric +generic (GiElement +name "g_EVENT_DATA_WIDTH" +type "positive" +value "64" +) +uid 2458,0 +) +*655 (LogGeneric +generic (GiElement +name "g_IPBUS_WIDTH" +type "positive" +value "32" +) +uid 2460,0 +) +*656 (LogGeneric +generic (GiElement +name "g_NUM_EDGE_INPUTS" +type "positive" +value "4" +) +uid 10652,0 +) +*657 (LogGeneric +generic (GiElement +name "g_SPILL_COUNTER_WIDTH" +type "positive" +value "12" +) +uid 10832,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 399,0 +optionalChildren [ +*658 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *659 (MRCItem +litem &639 +pos 7 +dimension 20 +) +uid 401,0 +optionalChildren [ +*660 (MRCItem +litem &640 +pos 0 +dimension 20 +uid 402,0 +) +*661 (MRCItem +litem &641 +pos 1 +dimension 23 +uid 403,0 +) +*662 (MRCItem +litem &642 +pos 2 +hidden 1 +dimension 20 +uid 404,0 +) +*663 (MRCItem +litem &651 +pos 0 +dimension 20 +uid 737,0 +) +*664 (MRCItem +litem &652 +pos 1 +dimension 20 +uid 739,0 +) +*665 (MRCItem +litem &653 +pos 2 +dimension 20 +uid 2457,0 +) +*666 (MRCItem +litem &654 +pos 3 +dimension 20 +uid 2459,0 +) +*667 (MRCItem +litem &655 +pos 4 +dimension 20 +uid 2461,0 +) +*668 (MRCItem +litem &656 +pos 5 +dimension 20 +uid 10653,0 +) +*669 (MRCItem +litem &657 +pos 6 +dimension 20 +uid 10831,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 405,0 +optionalChildren [ +*670 (MRCItem +litem &643 +pos 0 +dimension 20 +uid 406,0 +) +*671 (MRCItem +litem &645 +pos 1 +dimension 50 +uid 407,0 +) +*672 (MRCItem +litem &646 +pos 2 +dimension 247 +uid 408,0 +) +*673 (MRCItem +litem &647 +pos 3 +dimension 100 +uid 409,0 +) +*674 (MRCItem +litem &648 +pos 4 +dimension 50 +uid 410,0 +) +*675 (MRCItem +litem &649 +pos 5 +dimension 50 +uid 411,0 +) +*676 (MRCItem +litem &650 +pos 6 +dimension 602 +uid 412,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 400,0 +vaOverrides [ +] +) +] +) +uid 386,0 +type 1 +) +activeModelName "BlockDiag:CDM" +) diff --git a/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/top_extphy/struct.bd.bak b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/top_extphy/struct.bd.bak new file mode 100644 index 00000000..e175e0e4 --- /dev/null +++ b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/top_extphy/struct.bd.bak @@ -0,0 +1,17784 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "work" +unitName "ipbus" +) +(DmPackageRef +library "work" +unitName "emac_hostbus_decl" +) +(DmPackageRef +library "work" +unitName "fmcTLU" +) +(DmPackageRef +library "unisim" +unitName "vcomponents" +) +] +instances [ +(Instance +name "I4" +duLibraryName "work" +duName "IPBusInterface" +elements [ +(GiElement +name "NUM_EXT_SLAVES" +type "positive" +value "g_NUM_EXT_SLAVES" +) +] +mwi 0 +uid 4555,0 +) +(Instance +name "I8" +duLibraryName "moduleware" +duName "sor" +elements [ +] +mwi 1 +uid 4949,0 +) +(Instance +name "I0" +duLibraryName "work" +duName "DUTInterfaces" +elements [ +(GiElement +name "g_NUM_DUTS" +type "positive" +value "g_NUM_DUTS" +) +(GiElement +name "g_IPBUS_WIDTH" +type "positive" +value "g_IPBUS_WIDTH" +) +] +mwi 0 +uid 6898,0 +) +(Instance +name "I3" +duLibraryName "work" +duName "triggerLogic" +elements [ +(GiElement +name "g_NUM_INPUTS" +type "positive" +value "g_NUM_TRIG_INPUTS" +) +(GiElement +name "g_IPBUS_WIDTH" +type "positive" +value "g_IPBUS_WIDTH" +) +] +mwi 0 +uid 9123,0 +) +(Instance +name "I5" +duLibraryName "work" +duName "eventBuffer" +elements [ +(GiElement +name "g_EVENT_DATA_WIDTH" +type "positive" +value "g_EVENT_DATA_WIDTH" +) +(GiElement +name "g_IPBUS_WIDTH" +type "positive" +value "g_IPBUS_WIDTH" +) +(GiElement +name "g_WRITE_COUNTER_WIDTH" +type "positive" +value "13" +) +(GiElement +name "g_READ_COUNTER_WIDTH" +type "positive" +value "14" +) +] +mwi 0 +uid 9363,0 +) +(Instance +name "I7" +duLibraryName "work" +duName "i2c_master" +elements [ +] +mwi 0 +uid 10058,0 +) +(Instance +name "I2" +duLibraryName "work" +duName "eventFormatter" +elements [ +(GiElement +name "g_EVENT_DATA_WIDTH" +type "positive" +value "g_EVENT_DATA_WIDTH" +) +(GiElement +name "g_IPBUS_WIDTH" +type "positive" +value "g_IPBUS_WIDTH" +) +(GiElement +name "g_COUNTER_TRIG_WIDTH" +type "positive" +value "g_IPBUS_WIDTH" +) +(GiElement +name "g_COUNTER_WIDTH" +type "positive" +value "12" +) +(GiElement +name "g_EVTTYPE_WIDTH" +type "positive" +value "4" +e "-- Width of the event type word" +) +(GiElement +name "g_NUM_EDGE_INPUTS" +type "positive" +value "g_NUM_EDGE_INPUTS" +pr "--g_NUM_INPUT_TYPES : positive := 4; -- Number of different input types (trigger, shutter, edge...)" +apr 0 +e "-- Number of edge inputs" +) +(GiElement +name "g_NUM_TRIG_INPUTS" +type "positive" +value "g_NUM_TRIG_INPUTS" +e "-- Number of trigger inputs" +) +] +mwi 0 +uid 10522,0 +) +(Instance +name "I6" +duLibraryName "work" +duName "logic_clocks" +elements [ +] +mwi 0 +uid 11070,0 +) +(Instance +name "I9" +duLibraryName "moduleware" +duName "gnd" +elements [ +] +mwi 1 +uid 11097,0 +) +(Instance +name "I10" +duLibraryName "moduleware" +duName "gnd" +elements [ +] +mwi 1 +uid 11116,0 +) +(Instance +name "I11" +duLibraryName "moduleware" +duName "gnd" +elements [ +] +mwi 1 +uid 11135,0 +) +(Instance +name "I12" +duLibraryName "moduleware" +duName "gnd" +elements [ +] +mwi 1 +uid 11154,0 +) +(Instance +name "I13" +duLibraryName "moduleware" +duName "gnd" +elements [ +] +mwi 1 +uid 11173,0 +) +(Instance +name "I14" +duLibraryName "moduleware" +duName "gnd" +elements [ +] +mwi 1 +uid 11192,0 +) +(Instance +name "I1" +duLibraryName "work" +duName "triggerInputs" +elements [ +(GiElement +name "g_NUM_INPUTS" +type "natural" +value "g_NUM_TRIG_INPUTS" +) +] +mwi 0 +uid 11619,0 +) +] +embeddedInstances [ +(EmbeddedInstance +name "i2c_tristate" +number "1" +) +] +libraryRefs [ +"ieee" +"work" +"unisim" +] +) +version "30.1" +appVersion "2012.2b (Build 5)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl" +) +(vvPair +variable "HDSDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/top_extphy/struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/top_extphy/struct.bd.user" +) +(vvPair +variable "SourceDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "config" +value "%(unit)_config" +) +(vvPair +variable "d" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/top_extphy" +) +(vvPair +variable "d_logical" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/top_extphy" +) +(vvPair +variable "date" +value "01/24/14" +) +(vvPair +variable "day" +value "Fri" +) +(vvPair +variable "day_long" +value "Friday" +) +(vvPair +variable "dd" +value "24" +) +(vvPair +variable "entity_name" +value "top_extphy" +) +(vvPair +variable "ext" +value "<TBD>" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "phdgc" +) +(vvPair +variable "graphical_source_date" +value "07/24/13" +) +(vvPair +variable "graphical_source_group" +value "users" +) +(vvPair +variable "graphical_source_time" +value "18:45:06" +) +(vvPair +variable "group" +value "users" +) +(vvPair +variable "host" +value "fortis.phy.bris.ac.uk" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "work" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/designcheck" +) +(vvPair +variable "library_downstream_ISEPARInvoke" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/ise" +) +(vvPair +variable "library_downstream_ImpactInvoke" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/ise" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/work" +) +(vvPair +variable "library_downstream_XSTDataPrep" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/ise" +) +(vvPair +variable "mm" +value "01" +) +(vvPair +variable "module_name" +value "top_extphy" +) +(vvPair +variable "month" +value "Jan" +) +(vvPair +variable "month_long" +value "January" +) +(vvPair +variable "p" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/top_extphy/struct.bd" +) +(vvPair +variable "p_logical" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/top_extphy/struct.bd" +) +(vvPair +variable "package_name" +value "<Undefined Variable>" +) +(vvPair +variable "project_name" +value "fmc_mTLU" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "<TBD>" +) +(vvPair +variable "task_DesignCompilerPath" +value "<TBD>" +) +(vvPair +variable "task_LeonardoPath" +value "/opt/CAD/Mentor/LeonardoSpectrum_2008a/bin" +) +(vvPair +variable "task_ModelSimPath" +value "/opt/CAD/Mentor/Modelsim/6.4a/modeltech/bin" +) +(vvPair +variable "task_NC-SimPath" +value "<TBD>" +) +(vvPair +variable "task_PrecisionRTLPath" +value "/opt/CAD/Mentor/Precis_Synth_2009a_update2/Mgc_home/bin" +) +(vvPair +variable "task_QuestaSimPath" +value "/opt/CAD/Mentor/HDS2008.1b/questasim/bin" +) +(vvPair +variable "task_VCSPath" +value "<TBD>" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "16:06:32" +) +(vvPair +variable "unit" +value "top_extphy" +) +(vvPair +variable "user" +value "phdgc" +) +(vvPair +variable "version" +value "2012.2b (Build 5)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2014" +) +(vvPair +variable "yy" +value "14" +) +] +) +LanguageMgr "VhdlLangMgr" +uid 356,0 +optionalChildren [ +*1 (PortIoIn +uid 23,0 +shape (CompositeShape +uid 24,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 25,0 +sl 0 +ro 270 +xt "3000,139625,4500,140375" +) +(Line +uid 26,0 +sl 0 +ro 270 +xt "4500,140000,5000,140000" +pts [ +"4500,140000" +"5000,140000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 27,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 28,0 +va (VaSet +font "courier,8,0" +) +xt "-5000,139550,2000,140450" +st "cfd_discr_p_i" +ju 2 +blo "2000,140250" +tm "WireNameMgr" +) +) +) +*2 (Net +uid 35,0 +decl (Decl +n "cfd_discr_p_i" +t "std_logic_vector" +b "(g_NUM_TRIG_INPUTS-1 DOWNTO 0)" +o 4 +suid 2,0 +) +declText (MLText +uid 36,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,18700,24500,19600" +st "cfd_discr_p_i : std_logic_vector(g_NUM_TRIG_INPUTS-1 DOWNTO 0) +" +) +) +*3 (PortIoOut +uid 51,0 +shape (CompositeShape +uid 52,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 53,0 +sl 0 +ro 270 +xt "135500,17625,137000,18375" +) +(Line +uid 54,0 +sl 0 +ro 270 +xt "135000,18000,135500,18000" +pts [ +"135000,18000" +"135500,18000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 55,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 56,0 +va (VaSet +font "courier,8,0" +) +xt "138000,17550,145500,18450" +st "gmii_gtx_clk_o" +blo "138000,18250" +tm "WireNameMgr" +) +) +) +*4 (Net +uid 63,0 +decl (Decl +n "gmii_gtx_clk_o" +t "std_logic" +o 16 +suid 4,0 +) +declText (MLText +uid 64,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,29500,6000,30400" +st "gmii_gtx_clk_o : std_logic +" +) +) +*5 (PortIoIn +uid 65,0 +shape (CompositeShape +uid 66,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 67,0 +sl 0 +ro 270 +xt "78000,9625,79500,10375" +) +(Line +uid 68,0 +sl 0 +ro 270 +xt "79500,10000,80000,10000" +pts [ +"79500,10000" +"80000,10000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 69,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 70,0 +va (VaSet +font "courier,8,0" +) +xt "70000,9550,77000,10450" +st "gmii_rx_clk_i" +ju 2 +blo "77000,10250" +tm "WireNameMgr" +) +) +) +*6 (Net +uid 77,0 +decl (Decl +n "gmii_rx_clk_i" +t "std_logic" +o 8 +suid 5,0 +) +declText (MLText +uid 78,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,22300,6000,23200" +st "gmii_rx_clk_i : std_logic +" +) +) +*7 (PortIoIn +uid 79,0 +shape (CompositeShape +uid 80,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 81,0 +sl 0 +ro 270 +xt "78000,12625,79500,13375" +) +(Line +uid 82,0 +sl 0 +ro 270 +xt "79500,13000,80000,13000" +pts [ +"79500,13000" +"80000,13000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 83,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 84,0 +va (VaSet +font "courier,8,0" +) +xt "70500,12550,77000,13450" +st "gmii_rx_dv_i" +ju 2 +blo "77000,13250" +tm "WireNameMgr" +) +) +) +*8 (Net +uid 91,0 +decl (Decl +n "gmii_rx_dv_i" +t "std_logic" +o 9 +suid 6,0 +) +declText (MLText +uid 92,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,23200,6000,24100" +st "gmii_rx_dv_i : std_logic +" +) +) +*9 (PortIoIn +uid 93,0 +shape (CompositeShape +uid 94,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 95,0 +sl 0 +ro 270 +xt "78000,15625,79500,16375" +) +(Line +uid 96,0 +sl 0 +ro 270 +xt "79500,16000,80000,16000" +pts [ +"79500,16000" +"80000,16000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 97,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 98,0 +va (VaSet +font "courier,8,0" +) +xt "70500,15550,77000,16450" +st "gmii_rx_er_i" +ju 2 +blo "77000,16250" +tm "WireNameMgr" +) +) +) +*10 (Net +uid 105,0 +decl (Decl +n "gmii_rx_er_i" +t "std_logic" +o 10 +suid 7,0 +) +declText (MLText +uid 106,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,24100,6000,25000" +st "gmii_rx_er_i : std_logic +" +) +) +*11 (PortIoIn +uid 107,0 +shape (CompositeShape +uid 108,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 109,0 +sl 0 +ro 270 +xt "78000,18625,79500,19375" +) +(Line +uid 110,0 +sl 0 +ro 270 +xt "79500,19000,80000,19000" +pts [ +"79500,19000" +"80000,19000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 111,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 112,0 +va (VaSet +font "courier,8,0" +) +xt "71500,18550,77000,19450" +st "gmii_rxd_i" +ju 2 +blo "77000,19250" +tm "WireNameMgr" +) +) +) +*12 (Net +uid 119,0 +decl (Decl +n "gmii_rxd_i" +t "std_logic_vector" +b "(7 DOWNTO 0)" +o 11 +suid 8,0 +) +declText (MLText +uid 120,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,25000,15500,25900" +st "gmii_rxd_i : std_logic_vector(7 DOWNTO 0) +" +) +) +*13 (PortIoOut +uid 121,0 +shape (CompositeShape +uid 122,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 123,0 +sl 0 +ro 270 +xt "135500,8625,137000,9375" +) +(Line +uid 124,0 +sl 0 +ro 270 +xt "135000,9000,135500,9000" +pts [ +"135000,9000" +"135500,9000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 125,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 126,0 +va (VaSet +font "courier,8,0" +) +xt "138000,8550,144500,9450" +st "gmii_tx_en_o" +blo "138000,9250" +tm "WireNameMgr" +) +) +) +*14 (Net +uid 133,0 +decl (Decl +n "gmii_tx_en_o" +t "std_logic" +o 17 +suid 9,0 +) +declText (MLText +uid 134,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,30400,6000,31300" +st "gmii_tx_en_o : std_logic +" +) +) +*15 (PortIoOut +uid 135,0 +shape (CompositeShape +uid 136,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 137,0 +sl 0 +ro 270 +xt "135500,10625,137000,11375" +) +(Line +uid 138,0 +sl 0 +ro 270 +xt "135000,11000,135500,11000" +pts [ +"135000,11000" +"135500,11000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 139,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 140,0 +va (VaSet +font "courier,8,0" +) +xt "138000,10550,144500,11450" +st "gmii_tx_er_o" +blo "138000,11250" +tm "WireNameMgr" +) +) +) +*16 (Net +uid 147,0 +decl (Decl +n "gmii_tx_er_o" +t "std_logic" +o 18 +suid 10,0 +) +declText (MLText +uid 148,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,31300,6000,32200" +st "gmii_tx_er_o : std_logic +" +) +) +*17 (PortIoOut +uid 149,0 +shape (CompositeShape +uid 150,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 151,0 +sl 0 +ro 270 +xt "135500,13625,137000,14375" +) +(Line +uid 152,0 +sl 0 +ro 270 +xt "135000,14000,135500,14000" +pts [ +"135000,14000" +"135500,14000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 153,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 154,0 +va (VaSet +font "courier,8,0" +) +xt "138000,13550,143500,14450" +st "gmii_txd_o" +blo "138000,14250" +tm "WireNameMgr" +) +) +) +*18 (Net +uid 161,0 +decl (Decl +n "gmii_txd_o" +t "std_logic_vector" +b "(7 DOWNTO 0)" +o 19 +suid 11,0 +) +declText (MLText +uid 162,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,32200,15500,33100" +st "gmii_txd_o : std_logic_vector(7 DOWNTO 0) +" +) +) +*19 (Net +uid 175,0 +decl (Decl +n "i2c_scl_b" +t "std_logic" +o 29 +suid 12,0 +) +declText (MLText +uid 176,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,41200,6000,42100" +st "i2c_scl_b : std_logic +" +) +) +*20 (PortIoInOut +uid 177,0 +shape (CompositeShape +uid 178,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +uid 179,0 +sl 0 +xt "217500,12625,219000,13375" +) +(Line +uid 180,0 +sl 0 +xt "217000,13000,217500,13000" +pts [ +"217000,13000" +"217500,13000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 181,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 182,0 +va (VaSet +font "courier,8,0" +) +xt "220000,12550,224500,13450" +st "i2c_sda_b" +blo "220000,13250" +tm "WireNameMgr" +) +) +) +*21 (Net +uid 189,0 +decl (Decl +n "i2c_sda_b" +t "std_logic" +o 30 +suid 13,0 +) +declText (MLText +uid 190,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,42100,6000,43000" +st "i2c_sda_b : std_logic +" +) +) +*22 (PortIoOut +uid 205,0 +shape (CompositeShape +uid 206,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 207,0 +sl 0 +ro 270 +xt "135500,15625,137000,16375" +) +(Line +uid 208,0 +sl 0 +ro 270 +xt "135000,16000,135500,16000" +pts [ +"135000,16000" +"135500,16000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 209,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 210,0 +va (VaSet +font "courier,8,0" +) +xt "138000,15550,143500,16450" +st "phy_rstb_o" +blo "138000,16250" +tm "WireNameMgr" +) +) +) +*23 (Net +uid 217,0 +decl (Decl +n "phy_rstb_o" +t "std_logic" +o 22 +suid 15,0 +) +declText (MLText +uid 218,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,34900,6000,35800" +st "phy_rstb_o : std_logic +" +) +) +*24 (PortIoIn +uid 247,0 +shape (CompositeShape +uid 248,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 249,0 +sl 0 +ro 270 +xt "3000,135625,4500,136375" +) +(Line +uid 250,0 +sl 0 +ro 270 +xt "4500,136000,5000,136000" +pts [ +"4500,136000" +"5000,136000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 251,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 252,0 +va (VaSet +font "courier,8,0" +) +xt "-8000,135550,2000,136450" +st "threshold_discr_p_i" +ju 2 +blo "2000,136250" +tm "WireNameMgr" +) +) +) +*25 (Net +uid 259,0 +decl (Decl +n "threshold_discr_p_i" +t "std_logic_vector" +b "(g_NUM_TRIG_INPUTS-1 DOWNTO 0)" +o 15 +suid 18,0 +) +declText (MLText +uid 260,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,28600,24500,29500" +st "threshold_discr_p_i : std_logic_vector(g_NUM_TRIG_INPUTS-1 DOWNTO 0) +" +) +) +*26 (Grouping +uid 313,0 +optionalChildren [ +*27 (CommentText +uid 315,0 +shape (Rectangle +uid 316,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "183000,161000,200000,162000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 317,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "183200,161050,195200,161950" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*28 (CommentText +uid 318,0 +shape (Rectangle +uid 319,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "200000,157000,204000,158000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 320,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "200200,157050,204200,157950" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*29 (CommentText +uid 321,0 +shape (Rectangle +uid 322,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "183000,159000,200000,160000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 323,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "183200,159050,188700,159950" +st " +top_extphy +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*30 (CommentText +uid 324,0 +shape (Rectangle +uid 325,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "179000,159000,183000,160000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 326,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "179200,159050,182200,159950" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*31 (CommentText +uid 327,0 +shape (Rectangle +uid 328,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "200000,158000,220000,162000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 329,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "200200,158200,213700,160900" +st " +Top level of AIDA Mini-TLU +(double-height FMC coupled +to Xilinx SP60X ) + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*32 (CommentText +uid 330,0 +shape (Rectangle +uid 331,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "204000,157000,220000,158000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 332,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "204200,157050,208200,157950" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*33 (CommentText +uid 333,0 +shape (Rectangle +uid 334,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "179000,157000,200000,159000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 335,0 +va (VaSet +fg "32768,0,0" +) +xt "182600,157000,196400,159000" +st " +University of Bristol +High Energy Physics +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*34 (CommentText +uid 336,0 +shape (Rectangle +uid 337,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "179000,160000,183000,161000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 338,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "179200,160050,181700,160950" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*35 (CommentText +uid 339,0 +shape (Rectangle +uid 340,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "179000,161000,183000,162000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 341,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "179200,161050,182700,161950" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*36 (CommentText +uid 342,0 +shape (Rectangle +uid 343,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "183000,160000,200000,161000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 344,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "183200,160050,194700,160950" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 314,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "179000,157000,220000,162000" +) +oxt "14000,66000,55000,71000" +) +*37 (PortIoIn +uid 745,0 +shape (CompositeShape +uid 746,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 747,0 +sl 0 +ro 270 +xt "78000,21625,79500,22375" +) +(Line +uid 748,0 +sl 0 +ro 270 +xt "79500,22000,80000,22000" +pts [ +"79500,22000" +"80000,22000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 749,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 750,0 +va (VaSet +font "courier,8,0" +) +xt "71500,21550,77000,22450" +st "sysclk_n_i" +ju 2 +blo "77000,22250" +tm "WireNameMgr" +) +) +) +*38 (PortIoIn +uid 759,0 +shape (CompositeShape +uid 760,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 761,0 +sl 0 +ro 270 +xt "78000,24625,79500,25375" +) +(Line +uid 762,0 +sl 0 +ro 270 +xt "79500,25000,80000,25000" +pts [ +"79500,25000" +"80000,25000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 763,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 764,0 +va (VaSet +font "courier,8,0" +) +xt "71500,24550,77000,25450" +st "sysclk_p_i" +ju 2 +blo "77000,25250" +tm "WireNameMgr" +) +) +) +*39 (Net +uid 1205,0 +decl (Decl +n "clk_4x_logic" +t "std_logic" +eolc "--! normally 160MHz" +o 33 +suid 26,0 +) +declText (MLText +uid 1206,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,45700,19500,46600" +st "SIGNAL clk_4x_logic : std_logic --! normally 160MHz +" +) +) +*40 (Net +uid 1429,0 +decl (Decl +n "ipbus_clk" +t "std_logic" +o 43 +suid 39,0 +) +declText (MLText +uid 1430,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,54700,9500,55600" +st "SIGNAL ipbus_clk : std_logic +" +) +) +*41 (Net +uid 1431,0 +decl (Decl +n "ipbus_reset" +t "std_logic" +o 45 +suid 40,0 +) +declText (MLText +uid 1432,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,56500,9500,57400" +st "SIGNAL ipbus_reset : std_logic +" +) +) +*42 (Net +uid 1588,0 +decl (Decl +n "ipbw" +t "ipb_wbus_array" +b "(g_NUM_EXT_SLAVES-1 DOWNTO 0)" +eolc "--! IBus write signals" +o 47 +suid 41,0 +) +declText (MLText +uid 1589,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,58300,38000,59200" +st "SIGNAL ipbw : ipb_wbus_array(g_NUM_EXT_SLAVES-1 DOWNTO 0) --! IBus write signals +" +) +) +*43 (Net +uid 1668,0 +decl (Decl +n "ipbr" +t "ipb_rbus_array" +b "(g_NUM_EXT_SLAVES-1 DOWNTO 0)" +eolc "--! IPBus read signals" +o 42 +suid 42,0 +) +declText (MLText +uid 1669,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,53800,38000,54700" +st "SIGNAL ipbr : ipb_rbus_array(g_NUM_EXT_SLAVES-1 DOWNTO 0) --! IPBus read signals +" +) +) +*44 (Net +uid 1808,0 +decl (Decl +n "overall_trigger" +t "std_logic" +eolc "--! goes high to load trigger data" +o 50 +suid 61,0 +) +declText (MLText +uid 1809,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,61000,27000,61900" +st "SIGNAL overall_trigger : std_logic --! goes high to load trigger data +" +) +) +*45 (Net +uid 1810,0 +decl (Decl +n "overall_veto" +t "std_logic" +eolc "--! Halts triggers when high" +o 51 +suid 62,0 +) +declText (MLText +uid 1811,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,61900,24000,62800" +st "SIGNAL overall_veto : std_logic --! Halts triggers when high +" +) +) +*46 (Net +uid 2117,0 +decl (Decl +n "triggers" +t "std_logic_vector" +b "(g_NUM_TRIG_INPUTS-1 DOWNTO 0)" +o 63 +suid 68,0 +) +declText (MLText +uid 2118,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,72700,28000,73600" +st "SIGNAL triggers : std_logic_vector(g_NUM_TRIG_INPUTS-1 DOWNTO 0) +" +) +) +*47 (Net +uid 2273,0 +lang 2 +decl (Decl +n "trigger_count" +t "std_logic_vector" +b "(g_IPBUS_WIDTH-1 DOWNTO 0)" +o 61 +suid 77,0 +) +declText (MLText +uid 2274,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,70900,26000,71800" +st "SIGNAL trigger_count : std_logic_vector(g_IPBUS_WIDTH-1 DOWNTO 0) +" +) +) +*48 (Net +uid 2360,0 +lang 2 +decl (Decl +n "data_strobe" +t "std_logic" +eolc "goes high when data ready to load into event buffer" +o 35 +suid 82,0 +) +declText (MLText +uid 2361,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,47500,37000,48400" +st "SIGNAL data_strobe : std_logic -- goes high when data ready to load into event buffer +" +) +) +*49 (PortIoOut +uid 2818,0 +shape (CompositeShape +uid 2819,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 2820,0 +sl 0 +ro 270 +xt "208500,3625,210000,4375" +) +(Line +uid 2821,0 +sl 0 +ro 270 +xt "208000,4000,208500,4000" +pts [ +"208000,4000" +"208500,4000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 2822,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2823,0 +va (VaSet +font "courier,8,0" +) +xt "211000,3500,214000,4400" +st "leds_o" +blo "211000,4200" +tm "WireNameMgr" +) +) +) +*50 (Net +uid 2830,0 +lang 2 +decl (Decl +n "leds_o" +t "std_logic_vector" +b "(3 DOWNTO 0)" +o 21 +suid 83,0 +) +declText (MLText +uid 2831,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,34000,15500,34900" +st "leds_o : std_logic_vector(3 DOWNTO 0) +" +) +) +*51 (Net +uid 3331,0 +lang 2 +decl (Decl +n "trigger_times" +t "t_triggerTimeArray" +b "(g_NUM_TRIG_INPUTS-1 DOWNTO 0)" +eolc "! trigger arrival time ( w.r.t. logic_strobe)" +preAdd 0 +posAdd 0 +o 62 +suid 86,0 +) +declText (MLText +uid 3332,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,71800,53500,72700" +st "SIGNAL trigger_times : t_triggerTimeArray(g_NUM_TRIG_INPUTS-1 DOWNTO 0) -- ! trigger arrival time ( w.r.t. logic_strobe) +" +) +) +*52 (Net +uid 3567,0 +lang 2 +decl (Decl +n "event_data" +t "std_logic_vector" +b "(g_EVENT_DATA_WIDTH-1 DOWNTO 0)" +o 40 +suid 93,0 +) +declText (MLText +uid 3568,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,52000,28500,52900" +st "SIGNAL event_data : std_logic_vector(g_EVENT_DATA_WIDTH-1 DOWNTO 0) +" +) +) +*53 (SaComponent +uid 4555,0 +optionalChildren [ +*54 (CptPort +uid 4479,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4480,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "94250,9625,95000,10375" +) +tg (CPTG +uid 4481,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4482,0 +va (VaSet +font "courier,8,0" +) +xt "96000,9550,103000,10450" +st "gmii_rx_clk_i" +blo "96000,10250" +) +) +thePort (LogicalPort +decl (Decl +n "gmii_rx_clk_i" +t "std_logic" +o 1 +suid 2,0 +) +) +) +*55 (CptPort +uid 4483,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4484,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "94250,12625,95000,13375" +) +tg (CPTG +uid 4485,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4486,0 +va (VaSet +font "courier,8,0" +) +xt "96000,12550,102500,13450" +st "gmii_rx_dv_i" +blo "96000,13250" +) +) +thePort (LogicalPort +decl (Decl +n "gmii_rx_dv_i" +t "std_logic" +o 2 +suid 3,0 +) +) +) +*56 (CptPort +uid 4487,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4488,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "94250,15625,95000,16375" +) +tg (CPTG +uid 4489,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4490,0 +va (VaSet +font "courier,8,0" +) +xt "96000,15550,102500,16450" +st "gmii_rx_er_i" +blo "96000,16250" +) +) +thePort (LogicalPort +decl (Decl +n "gmii_rx_er_i" +t "std_logic" +o 3 +suid 4,0 +) +) +) +*57 (CptPort +uid 4491,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4492,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "94250,18625,95000,19375" +) +tg (CPTG +uid 4493,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4494,0 +va (VaSet +font "courier,8,0" +) +xt "96000,18550,105500,19450" +st "gmii_rxd_i : (7:0)" +blo "96000,19250" +) +) +thePort (LogicalPort +decl (Decl +n "gmii_rxd_i" +t "std_logic_vector" +b "(7 DOWNTO 0)" +o 4 +suid 5,0 +) +) +) +*58 (CptPort +uid 4495,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4496,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "128000,8625,128750,9375" +) +tg (CPTG +uid 4497,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4498,0 +va (VaSet +font "courier,8,0" +) +xt "120500,8550,127000,9450" +st "gmii_tx_en_o" +ju 2 +blo "127000,9250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "gmii_tx_en_o" +t "std_logic" +o 10 +suid 6,0 +) +) +) +*59 (CptPort +uid 4499,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4500,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "128000,10625,128750,11375" +) +tg (CPTG +uid 4501,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4502,0 +va (VaSet +font "courier,8,0" +) +xt "120500,10550,127000,11450" +st "gmii_tx_er_o" +ju 2 +blo "127000,11250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "gmii_tx_er_o" +t "std_logic" +o 11 +suid 7,0 +) +) +) +*60 (CptPort +uid 4503,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4504,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "128000,13625,128750,14375" +) +tg (CPTG +uid 4505,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4506,0 +va (VaSet +font "courier,8,0" +) +xt "117500,13550,127000,14450" +st "gmii_txd_o : (7:0)" +ju 2 +blo "127000,14250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "gmii_txd_o" +t "std_logic_vector" +b "(7 DOWNTO 0)" +o 12 +suid 8,0 +) +) +) +*61 (CptPort +uid 4507,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4508,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "128000,15625,128750,16375" +) +tg (CPTG +uid 4509,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4510,0 +va (VaSet +font "courier,8,0" +) +xt "121500,15550,127000,16450" +st "phy_rstb_o" +ju 2 +blo "127000,16250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "phy_rstb_o" +t "std_logic" +o 17 +suid 10,0 +) +) +) +*62 (CptPort +uid 4511,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4512,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "128000,17625,128750,18375" +) +tg (CPTG +uid 4513,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4514,0 +va (VaSet +font "courier,8,0" +) +xt "119500,17550,127000,18450" +st "gmii_gtx_clk_o" +ju 2 +blo "127000,18250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "gmii_gtx_clk_o" +t "std_logic" +o 9 +suid 1,0 +) +) +) +*63 (CptPort +uid 4515,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4516,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "94250,21625,95000,22375" +) +tg (CPTG +uid 4517,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4518,0 +va (VaSet +font "courier,8,0" +) +xt "96000,21550,101500,22450" +st "sysclk_n_i" +blo "96000,22250" +) +) +thePort (LogicalPort +decl (Decl +n "sysclk_n_i" +t "std_logic" +o 6 +suid 12,0 +) +) +) +*64 (CptPort +uid 4519,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4520,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "94250,24625,95000,25375" +) +tg (CPTG +uid 4521,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4522,0 +va (VaSet +font "courier,8,0" +) +xt "96000,24550,101500,25450" +st "sysclk_p_i" +blo "96000,25250" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "sysclk_p_i" +t "std_logic" +eolc "! 200 MHz xtal clock" +o 7 +suid 13,0 +) +) +) +*65 (CptPort +uid 4523,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4524,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "128000,19625,128750,20375" +) +tg (CPTG +uid 4525,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4526,0 +va (VaSet +font "courier,8,0" +) +xt "122500,19550,127000,20450" +st "ipb_clk_o" +ju 2 +blo "127000,20250" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "ipb_clk_o" +t "std_logic" +eolc "! IPBus clock to slaves" +o 13 +suid 14,0 +) +) +) +*66 (CptPort +uid 4527,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4528,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "128000,22625,128750,23375" +) +tg (CPTG +uid 4529,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4530,0 +va (VaSet +font "courier,8,0" +) +xt "122500,22550,127000,23450" +st "ipb_rst_o" +ju 2 +blo "127000,23250" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "ipb_rst_o" +t "std_logic" +eolc "! IPBus reset to slaves" +o 14 +suid 15,0 +) +) +) +*67 (CptPort +uid 4531,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4532,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "128000,24625,128750,25375" +) +tg (CPTG +uid 4533,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4534,0 +va (VaSet +font "courier,8,0" +) +xt "112000,24550,127000,25450" +st "ipbw_o : (NUM_EXT_SLAVES-1:0)" +ju 2 +blo "127000,25250" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "ipbw_o" +t "ipb_wbus_array" +b "(NUM_EXT_SLAVES-1 DOWNTO 0)" +eolc "! IBus write signals" +o 15 +suid 16,0 +) +) +) +*68 (CptPort +uid 4535,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4536,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "94250,27625,95000,28375" +) +tg (CPTG +uid 4537,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4538,0 +va (VaSet +font "courier,8,0" +) +xt "96000,27550,111000,28450" +st "ipbr_i : (NUM_EXT_SLAVES-1:0)" +blo "96000,28250" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "ipbr_i" +t "ipb_rbus_array" +b "(NUM_EXT_SLAVES-1 DOWNTO 0)" +eolc "! IPBus read signals" +o 5 +suid 19,0 +) +) +) +*69 (CptPort +uid 4539,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4540,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "128000,26625,128750,27375" +) +tg (CPTG +uid 4541,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4542,0 +va (VaSet +font "courier,8,0" +) +xt "123500,26550,127000,27450" +st "onehz_o" +ju 2 +blo "127000,27250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "onehz_o" +t "std_logic" +o 16 +suid 22,0 +) +) +) +*70 (CptPort +uid 4543,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4544,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "128000,29625,128750,30375" +) +tg (CPTG +uid 4545,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4546,0 +va (VaSet +font "courier,8,0" +) +xt "119000,29550,127000,30450" +st "clocks_locked_o" +ju 2 +blo "127000,30250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "clocks_locked_o" +t "std_logic" +o 8 +suid 23,0 +) +) +) +*71 (CptPort +uid 4547,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4548,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "94250,30625,95000,31375" +) +tg (CPTG +uid 4549,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4550,0 +va (VaSet +font "courier,8,0" +) +xt "96000,30550,106500,31450" +st "dip_switch_i : (3:0)" +blo "96000,31250" +) +) +thePort (LogicalPort +decl (Decl +n "dip_switch_i" +t "std_logic_vector" +b "(3 DOWNTO 0)" +o 18 +suid 25,0 +) +) +) +*72 (CptPort +uid 4551,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4552,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "128000,31625,128750,32375" +) +tg (CPTG +uid 4553,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4554,0 +va (VaSet +font "courier,8,0" +) +xt "118500,31550,127000,32450" +st "clk_logic_xtal_o" +ju 2 +blo "127000,32250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "clk_logic_xtal_o" +t "std_logic" +o 19 +suid 26,0 +) +) +) +] +shape (Rectangle +uid 4556,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "95000,8000,128000,33000" +) +oxt "5000,21000,38000,46000" +ttg (MlTextGroup +uid 4557,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*73 (Text +uid 4558,0 +va (VaSet +font "courier,8,1" +) +xt "104750,19100,106750,20000" +st "work" +blo "104750,19800" +tm "BdLibraryNameMgr" +) +*74 (Text +uid 4559,0 +va (VaSet +font "courier,8,1" +) +xt "104750,20000,112250,20900" +st "IPBusInterface" +blo "104750,20700" +tm "CptNameMgr" +) +*75 (Text +uid 4560,0 +va (VaSet +font "courier,8,1" +) +xt "104750,20900,105750,21800" +st "I4" +blo "104750,21600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 4561,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 4562,0 +text (MLText +uid 4563,0 +va (VaSet +font "courier,8,0" +) +xt "103000,8100,129000,9000" +st "NUM_EXT_SLAVES = g_NUM_EXT_SLAVES ( positive ) " +) +header "" +) +elements [ +(GiElement +name "NUM_EXT_SLAVES" +type "positive" +value "g_NUM_EXT_SLAVES" +) +] +) +viewicon (ZoomableIcon +uid 4564,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "95250,31250,96750,32750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +ordering 1 +viewiconposition 0 +portVis (PortSigDisplay +sIVOD 1 +) +archFileType "UNKNOWN" +) +*76 (PortIoInOut +uid 4643,0 +shape (CompositeShape +uid 4644,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +uid 4645,0 +sl 0 +ro 180 +xt "155000,36625,156500,37375" +) +(Line +uid 4646,0 +sl 0 +ro 180 +xt "156500,37000,157000,37000" +pts [ +"157000,37000" +"156500,37000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 4647,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4648,0 +va (VaSet +font "courier,8,0" +) +xt "148500,36550,154000,37450" +st "extclk_p_b" +ju 2 +blo "154000,37250" +tm "WireNameMgr" +) +) +) +*77 (PortIoInOut +uid 4649,0 +shape (CompositeShape +uid 4650,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +uid 4651,0 +sl 0 +ro 180 +xt "155000,39625,156500,40375" +) +(Line +uid 4652,0 +sl 0 +ro 180 +xt "156500,40000,157000,40000" +pts [ +"157000,40000" +"156500,40000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 4653,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4654,0 +va (VaSet +font "courier,8,0" +) +xt "148500,39550,154000,40450" +st "extclk_n_b" +ju 2 +blo "154000,40250" +tm "WireNameMgr" +) +) +) +*78 (Net +uid 4655,0 +decl (Decl +n "extclk_p_b" +t "std_logic" +eolc "--! either external clock in, or a clock being driven out" +o 28 +suid 105,0 +) +declText (MLText +uid 4656,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,40300,35000,41200" +st "extclk_p_b : std_logic --! either external clock in, or a clock being driven out +" +) +) +*79 (Net +uid 4661,0 +decl (Decl +n "extclk_n_b" +t "std_logic" +o 27 +suid 106,0 +) +declText (MLText +uid 4662,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,39400,6000,40300" +st "extclk_n_b : std_logic +" +) +) +*80 (Net +uid 4667,0 +decl (Decl +n "clk_logic_xtal" +t "std_logic" +eolc "! 40MHz clock from onboard xtal" +o 34 +suid 107,0 +) +declText (MLText +uid 4668,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,46600,27000,47500" +st "SIGNAL clk_logic_xtal : std_logic -- ! 40MHz clock from onboard xtal +" +) +) +*81 (Net +uid 4691,0 +lang 11 +decl (Decl +n "strobe_4x_logic" +t "std_logic" +eolc "one pulse every 4 cycles of clk_4x" +o 59 +suid 109,0 +) +declText (MLText +uid 4692,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,69100,28500,70000" +st "SIGNAL strobe_4x_logic : std_logic -- one pulse every 4 cycles of clk_4x +" +) +) +*82 (Net +uid 4693,0 +lang 11 +decl (Decl +n "clk_16x_logic" +t "std_logic" +eolc "640MHz clock" +o 32 +suid 110,0 +) +declText (MLText +uid 4694,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,44800,17500,45700" +st "SIGNAL clk_16x_logic : std_logic -- 640MHz clock +" +) +) +*83 (Net +uid 4695,0 +decl (Decl +n "strobe_16x_logic" +t "std_logic" +eolc "--! Pulses one cycle every 4 of 16x clock." +o 58 +suid 111,0 +) +declText (MLText +uid 4696,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,68200,31000,69100" +st "SIGNAL strobe_16x_logic : std_logic --! Pulses one cycle every 4 of 16x clock. +" +) +) +*84 (MWC +uid 4949,0 +optionalChildren [ +*85 (CptPort +uid 4913,0 +optionalChildren [ +*86 (Line +uid 4917,0 +layer 5 +sl 0 +va (VaSet +vasetType 3 +) +xt "83000,122000,84000,122000" +pts [ +"84000,122000" +"83000,122000" +] +) +*87 (Property +uid 4918,0 +pclass "_MW_GEOM_" +pname "fixed" +ptn "String" +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 4914,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,65535" +) +xt "84000,121625,84750,122375" +) +tg (CPTG +uid 4915,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4916,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "85219,121342,87219,122242" +st "dout" +ju 2 +blo "87219,122042" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "dout" +t "std_logic" +eolc "--! Halts triggers when high" +o 51 +suid 1,0 +) +) +) +*88 (CptPort +uid 4919,0 +optionalChildren [ +*89 (Line +uid 4923,0 +layer 5 +sl 0 +va (VaSet +vasetType 3 +) +xt "78000,121000,79589,121000" +pts [ +"78000,121000" +"79589,121000" +] +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 4920,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,65535" +) +xt "77250,120625,78000,121375" +) +tg (CPTG +uid 4921,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4922,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "74885,120294,76885,121194" +st "din0" +blo "74885,120994" +) +) +thePort (LogicalPort +decl (Decl +n "din0" +t "std_logic" +eolc "--! Goes high when event buffer almost full" +o 31 +suid 2,0 +) +) +) +*90 (CptPort +uid 4924,0 +optionalChildren [ +*91 (Line +uid 4928,0 +layer 5 +sl 0 +va (VaSet +vasetType 3 +) +xt "78000,123000,79589,123000" +pts [ +"78000,123000" +"79589,123000" +] +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 4925,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,65535" +) +xt "77250,122625,78000,123375" +) +tg (CPTG +uid 4926,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4927,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "75000,122700,77000,123600" +st "din1" +blo "75000,123400" +) +) +thePort (LogicalPort +decl (Decl +n "din1" +t "std_logic" +eolc "goes high when one or more DUT are busy" +o 64 +suid 3,0 +) +) +) +*92 (CommentGraphic +uid 4929,0 +optionalChildren [ +*93 (Property +uid 4931,0 +pclass "_MW_GEOM_" +pname "expand" +ptn "String" +) +] +shape (PolyLine2D +pts [ +"79000,124000" +"79000,124000" +] +uid 4930,0 +layer 0 +sl 0 +va (VaSet +vasetType 1 +transparent 1 +fg "49152,49152,49152" +) +xt "79000,124000,79000,124000" +) +oxt "7000,10000,7000,10000" +) +*94 (CommentGraphic +uid 4932,0 +optionalChildren [ +*95 (Property +uid 4934,0 +pclass "_MW_GEOM_" +pname "expand" +ptn "String" +) +] +shape (PolyLine2D +pts [ +"79000,120000" +"79000,120000" +] +uid 4933,0 +layer 0 +sl 0 +va (VaSet +vasetType 1 +transparent 1 +fg "49152,49152,49152" +) +xt "79000,120000,79000,120000" +) +oxt "7000,6000,7000,6000" +) +*96 (CommentGraphic +uid 4935,0 +shape (Arc2D +pts [ +"79000,120004" +"81263,120521" +"83000,122000" +] +uid 4936,0 +layer 8 +sl 0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +bg "0,65535,65535" +lineColor "26368,26368,26368" +) +xt "79000,120003,83000,122000" +) +oxt "7000,6003,11000,8000" +) +*97 (Grouping +uid 4937,0 +optionalChildren [ +*98 (CommentGraphic +uid 4939,0 +optionalChildren [ +*99 (Property +uid 4941,0 +pclass "_MW_GEOM_" +pname "arc" +ptn "String" +) +] +shape (CustomPolygon +pts [ +"79000,123998" +"79000,120000" +"80183,120211" +"81952,121156" +"83000,122000" +"81048,123132" +"79000,123998" +] +uid 4940,0 +layer 0 +sl 0 +va (VaSet +vasetType 1 +transparent 1 +fg "0,65535,65535" +lineColor "32768,0,32768" +fillStyle 1 +) +xt "79000,120000,83000,123998" +) +oxt "7000,6000,11000,9998" +) +*100 (CommentGraphic +uid 4942,0 +optionalChildren [ +*101 (Property +uid 4944,0 +pclass "_MW_GEOM_" +pname "arc" +ptn "String" +) +] +shape (Arc2D +pts [ +"79000,120000" +"79763,122001" +"79000,124000" +] +uid 4943,0 +layer 0 +sl 0 +va (VaSet +vasetType 1 +transparent 1 +fg "0,65535,65535" +bg "0,65535,65535" +lineColor "26368,26368,26368" +fillStyle 1 +) +xt "79000,120000,79762,124000" +) +oxt "7000,6000,7762,10000" +) +] +shape (GroupingShape +uid 4938,0 +sl 0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "79000,120000,83000,124000" +) +oxt "7000,6000,11000,10000" +) +*102 (CommentGraphic +uid 4945,0 +shape (Arc2D +pts [ +"83000,122005" +"81449,123394" +"78996,123998" +] +uid 4946,0 +layer 0 +sl 0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +bg "0,65535,65535" +lineColor "26368,26368,26368" +) +xt "78996,122005,83000,124000" +) +oxt "6996,8005,11000,10000" +) +*103 (CommentGraphic +uid 4947,0 +shape (PolyLine2D +pts [ +"83000,122000" +"83000,122000" +] +uid 4948,0 +layer 0 +sl 0 +va (VaSet +vasetType 1 +transparent 1 +fg "49152,49152,49152" +) +xt "83000,122000,83000,122000" +) +oxt "11000,8000,11000,8000" +) +] +shape (Rectangle +uid 4950,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +lineWidth -1 +) +xt "78000,120000,84000,124000" +fos 1 +) +showPorts 0 +oxt "6000,6000,12000,10000" +ttg (MlTextGroup +uid 4951,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*104 (Text +uid 4952,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "79500,122600,85000,123500" +st "moduleware" +blo "79500,123300" +) +*105 (Text +uid 4953,0 +va (VaSet +font "courier,8,0" +) +xt "79500,123500,81000,124400" +st "sor" +blo "79500,124200" +) +*106 (Text +uid 4954,0 +va (VaSet +font "courier,8,0" +) +xt "79500,124400,80500,125300" +st "I8" +blo "79500,125100" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 4955,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 4956,0 +text (MLText +uid 4957,0 +va (VaSet +font "courier,8,0" +) +xt "63000,110900,63000,110900" +) +header "" +) +elements [ +] +) +sed 1 +awe 1 +portVis (PortSigDisplay +sN 0 +sTC 0 +selT 0 +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +de 2 +visOptions (mwParamsVisibilityOptions +) +) +*107 (Net +uid 4982,0 +decl (Decl +n "veto_o" +t "std_logic" +eolc "goes high when one or more DUT are busy" +o 64 +suid 116,0 +) +declText (MLText +uid 4983,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,73600,31000,74500" +st "SIGNAL veto_o : std_logic -- goes high when one or more DUT are busy +" +) +) +*108 (Net +uid 4988,0 +decl (Decl +n "buffer_full_o" +t "std_logic" +eolc "--! Goes high when event buffer almost full" +o 31 +suid 117,0 +) +declText (MLText +uid 4989,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,43900,31500,44800" +st "SIGNAL buffer_full_o : std_logic --! Goes high when event buffer almost full +" +) +) +*109 (PortIoIn +uid 6016,0 +shape (CompositeShape +uid 6017,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 6018,0 +sl 0 +ro 270 +xt "3000,140625,4500,141375" +) +(Line +uid 6019,0 +sl 0 +ro 270 +xt "4500,141000,5000,141000" +pts [ +"4500,141000" +"5000,141000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 6020,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6021,0 +va (VaSet +font "courier,8,0" +) +xt "-5000,140550,2000,141450" +st "cfd_discr_n_i" +ju 2 +blo "2000,141250" +tm "WireNameMgr" +) +) +) +*110 (Net +uid 6028,0 +decl (Decl +n "cfd_discr_n_i" +t "std_logic_vector" +b "(g_NUM_TRIG_INPUTS-1 DOWNTO 0)" +o 3 +suid 120,0 +) +declText (MLText +uid 6029,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,17800,24500,18700" +st "cfd_discr_n_i : std_logic_vector(g_NUM_TRIG_INPUTS-1 DOWNTO 0) +" +) +) +*111 (PortIoIn +uid 6030,0 +shape (CompositeShape +uid 6031,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 6032,0 +sl 0 +ro 270 +xt "3000,136625,4500,137375" +) +(Line +uid 6033,0 +sl 0 +ro 270 +xt "4500,137000,5000,137000" +pts [ +"4500,137000" +"5000,137000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 6034,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6035,0 +va (VaSet +font "courier,8,0" +) +xt "-8000,136550,2000,137450" +st "threshold_discr_n_i" +ju 2 +blo "2000,137250" +tm "WireNameMgr" +) +) +) +*112 (Net +uid 6042,0 +decl (Decl +n "threshold_discr_n_i" +t "std_logic_vector" +b "(g_NUM_TRIG_INPUTS-1 DOWNTO 0)" +o 14 +suid 121,0 +) +declText (MLText +uid 6043,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,27700,24500,28600" +st "threshold_discr_n_i : std_logic_vector(g_NUM_TRIG_INPUTS-1 DOWNTO 0) +" +) +) +*113 (Net +uid 6676,0 +decl (Decl +n "sysclk_p_i" +t "std_logic" +o 13 +suid 129,0 +) +declText (MLText +uid 6677,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,26800,6000,27700" +st "sysclk_p_i : std_logic +" +) +) +*114 (Net +uid 6678,0 +decl (Decl +n "sysclk_n_i" +t "std_logic" +eolc "--! 200 MHz xtal clock" +o 12 +suid 130,0 +) +declText (MLText +uid 6679,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,25900,17500,26800" +st "sysclk_n_i : std_logic --! 200 MHz xtal clock +" +) +) +*115 (PortIoOut +uid 6702,0 +shape (CompositeShape +uid 6703,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 6704,0 +sl 0 +ro 270 +xt "64500,89625,66000,90375" +) +(Line +uid 6705,0 +sl 0 +ro 270 +xt "64000,90000,64500,90000" +pts [ +"64000,90000" +"64500,90000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 6706,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6707,0 +va (VaSet +font "courier,8,0" +) +xt "57000,88550,63500,89450" +st "triggers_p_o" +blo "57000,89250" +tm "WireNameMgr" +) +) +) +*116 (Net +uid 6714,0 +decl (Decl +n "triggers_p_o" +t "std_logic_vector" +b "(g_NUM_DUTS-1 DOWNTO 0)" +eolc "--! Trigger lines to DUT" +o 26 +suid 131,0 +) +declText (MLText +uid 6715,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,38500,33500,39400" +st "triggers_p_o : std_logic_vector(g_NUM_DUTS-1 DOWNTO 0) --! Trigger lines to DUT +" +) +) +*117 (PortIoIn +uid 6716,0 +shape (CompositeShape +uid 6717,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 6718,0 +sl 0 +ro 270 +xt "-2000,88625,-500,89375" +) +(Line +uid 6719,0 +sl 0 +ro 270 +xt "-500,89000,0,89000" +pts [ +"-500,89000" +"0,89000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 6720,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6721,0 +va (VaSet +font "courier,8,0" +) +xt "-7000,88500,-3000,89400" +st "busy_p_i" +ju 2 +blo "-3000,89200" +tm "WireNameMgr" +) +) +) +*118 (Net +uid 6728,0 +decl (Decl +n "busy_p_i" +t "std_logic_vector" +b "(g_NUM_DUTS-1 DOWNTO 0)" +eolc "--! Busy lines from DUTs ( active high )" +o 2 +suid 132,0 +) +declText (MLText +uid 6729,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,16900,41500,17800" +st "busy_p_i : std_logic_vector(g_NUM_DUTS-1 DOWNTO 0) --! Busy lines from DUTs ( active high ) +" +) +) +*119 (PortIoOut +uid 6730,0 +shape (CompositeShape +uid 6731,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 6732,0 +sl 0 +ro 270 +xt "64500,96625,66000,97375" +) +(Line +uid 6733,0 +sl 0 +ro 270 +xt "64000,97000,64500,97000" +pts [ +"64000,97000" +"64500,97000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 6734,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6735,0 +va (VaSet +font "courier,8,0" +) +xt "57000,95550,65500,96450" +st "reset_or_clk_p_o" +blo "57000,96250" +tm "WireNameMgr" +) +) +) +*120 (Net +uid 6742,0 +decl (Decl +n "reset_or_clk_p_o" +t "std_logic_vector" +b "(g_NUM_DUTS-1 DOWNTO 0)" +o 24 +suid 133,0 +) +declText (MLText +uid 6743,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,36700,21000,37600" +st "reset_or_clk_p_o : std_logic_vector(g_NUM_DUTS-1 DOWNTO 0) +" +) +) +*121 (PortIoIn +uid 6744,0 +shape (CompositeShape +uid 6745,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 6746,0 +sl 0 +ro 270 +xt "-2000,92625,-500,93375" +) +(Line +uid 6747,0 +sl 0 +ro 270 +xt "-500,93000,0,93000" +pts [ +"-500,93000" +"0,93000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 6748,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6749,0 +va (VaSet +font "courier,8,0" +) +xt "-9000,92500,-3000,93400" +st "dut_clk_p_i" +ju 2 +blo "-3000,93200" +tm "WireNameMgr" +) +) +) +*122 (Net +uid 6756,0 +decl (Decl +n "dut_clk_p_i" +t "std_logic_vector" +b "(g_NUM_DUTS-1 DOWNTO 0)" +o 7 +suid 134,0 +) +declText (MLText +uid 6757,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,21400,21000,22300" +st "dut_clk_p_i : std_logic_vector(g_NUM_DUTS-1 DOWNTO 0) +" +) +) +*123 (Net +uid 6770,0 +lang 2 +decl (Decl +n "dip_switch_i" +t "std_logic_vector" +b "(3 DOWNTO 0)" +o 5 +suid 135,0 +) +declText (MLText +uid 6771,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,19600,15500,20500" +st "dip_switch_i : std_logic_vector(3 DOWNTO 0) +" +) +) +*124 (PortIoIn +uid 6772,0 +shape (CompositeShape +uid 6773,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 6774,0 +sl 0 +ro 270 +xt "-2000,90625,-500,91375" +) +(Line +uid 6775,0 +sl 0 +ro 270 +xt "-500,91000,0,91000" +pts [ +"-500,91000" +"0,91000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 6776,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6777,0 +va (VaSet +font "courier,8,0" +) +xt "-7000,90500,-3000,91400" +st "busy_n_i" +ju 2 +blo "-3000,91200" +tm "WireNameMgr" +) +) +) +*125 (Net +uid 6784,0 +decl (Decl +n "busy_n_i" +t "std_logic_vector" +b "(g_NUM_DUTS-1 DOWNTO 0)" +o 1 +suid 136,0 +) +declText (MLText +uid 6785,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,16000,21000,16900" +st "busy_n_i : std_logic_vector(g_NUM_DUTS-1 DOWNTO 0) +" +) +) +*126 (PortIoOut +uid 6786,0 +shape (CompositeShape +uid 6787,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 6788,0 +sl 0 +ro 270 +xt "64500,98625,66000,99375" +) +(Line +uid 6789,0 +sl 0 +ro 270 +xt "64000,99000,64500,99000" +pts [ +"64000,99000" +"64500,99000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 6790,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6791,0 +va (VaSet +font "courier,8,0" +) +xt "57000,97550,65500,98450" +st "reset_or_clk_n_o" +blo "57000,98250" +tm "WireNameMgr" +) +) +) +*127 (Net +uid 6798,0 +decl (Decl +n "reset_or_clk_n_o" +t "std_logic_vector" +b "(g_NUM_DUTS-1 DOWNTO 0)" +o 23 +suid 137,0 +) +declText (MLText +uid 6799,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,35800,21000,36700" +st "reset_or_clk_n_o : std_logic_vector(g_NUM_DUTS-1 DOWNTO 0) +" +) +) +*128 (PortIoOut +uid 6800,0 +shape (CompositeShape +uid 6801,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 6802,0 +sl 0 +ro 270 +xt "64500,91625,66000,92375" +) +(Line +uid 6803,0 +sl 0 +ro 270 +xt "64000,92000,64500,92000" +pts [ +"64000,92000" +"64500,92000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 6804,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6805,0 +va (VaSet +font "courier,8,0" +) +xt "57000,90550,63500,91450" +st "triggers_n_o" +blo "57000,91250" +tm "WireNameMgr" +) +) +) +*129 (Net +uid 6812,0 +decl (Decl +n "triggers_n_o" +t "std_logic_vector" +b "(g_NUM_DUTS-1 DOWNTO 0)" +o 25 +suid 138,0 +) +declText (MLText +uid 6813,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,37600,21000,38500" +st "triggers_n_o : std_logic_vector(g_NUM_DUTS-1 DOWNTO 0) +" +) +) +*130 (PortIoIn +uid 6814,0 +shape (CompositeShape +uid 6815,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 6816,0 +sl 0 +ro 270 +xt "-2000,94625,-500,95375" +) +(Line +uid 6817,0 +sl 0 +ro 270 +xt "-500,95000,0,95000" +pts [ +"-500,95000" +"0,95000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 6818,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6819,0 +va (VaSet +font "courier,8,0" +) +xt "-9000,94500,-3000,95400" +st "dut_clk_n_i" +ju 2 +blo "-3000,95200" +tm "WireNameMgr" +) +) +) +*131 (Net +uid 6826,0 +decl (Decl +n "dut_clk_n_i" +t "std_logic_vector" +b "(g_NUM_DUTS-1 DOWNTO 0)" +o 6 +suid 139,0 +) +declText (MLText +uid 6827,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,20500,21000,21400" +st "dut_clk_n_i : std_logic_vector(g_NUM_DUTS-1 DOWNTO 0) +" +) +) +*132 (SaComponent +uid 6898,0 +optionalChildren [ +*133 (CptPort +uid 6830,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6831,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "55000,89625,55750,90375" +) +tg (CPTG +uid 6832,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 6833,0 +va (VaSet +font "courier,8,0" +) +xt "35000,89550,54000,90450" +st "trigger_to_dut_p_o : (g_NUM_DUTS-1:0)" +ju 2 +blo "54000,90250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "trigger_to_dut_p_o" +t "std_logic_vector" +b "(g_NUM_DUTS-1 DOWNTO 0)" +eolc "! Trigger output" +o 16 +suid 1,0 +) +) +) +*134 (CptPort +uid 6834,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6835,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "9250,88625,10000,89375" +) +tg (CPTG +uid 6836,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6837,0 +va (VaSet +font "courier,8,0" +) +xt "11000,88550,29500,89450" +st "busy_from_dut_p_i : (g_NUM_DUTS-1:0)" +blo "11000,89250" +) +) +thePort (LogicalPort +decl (Decl +n "busy_from_dut_p_i" +t "std_logic_vector" +b "(g_NUM_DUTS-1 DOWNTO 0)" +eolc "BUSY input from DUTs" +o 2 +suid 2,0 +) +) +) +*135 (CptPort +uid 6838,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6839,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "55000,96625,55750,97375" +) +tg (CPTG +uid 6840,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 6841,0 +va (VaSet +font "courier,8,0" +) +xt "32500,96550,54000,97450" +st "reset_or_clk_to_dut_p_o : (g_NUM_DUTS-1:0)" +ju 2 +blo "54000,97250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "reset_or_clk_to_dut_p_o" +t "std_logic_vector" +b "(g_NUM_DUTS-1 DOWNTO 0)" +eolc "! Either reset line or trigger" +o 14 +suid 3,0 +) +) +) +*136 (CptPort +uid 6842,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6843,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "9250,92625,10000,93375" +) +tg (CPTG +uid 6844,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6845,0 +va (VaSet +font "courier,8,0" +) +xt "11000,92550,29000,93450" +st "clk_from_dut_p_i : (g_NUM_DUTS-1:0)" +blo "11000,93250" +) +) +thePort (LogicalPort +decl (Decl +n "clk_from_dut_p_i" +t "std_logic_vector" +b "(g_NUM_DUTS-1 DOWNTO 0)" +eolc "clocks trigger data when in EUDET mode" +o 4 +suid 4,0 +) +) +) +*137 (CptPort +uid 6846,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6847,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "9250,96625,10000,97375" +) +tg (CPTG +uid 6848,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6849,0 +va (VaSet +font "courier,8,0" +) +xt "11000,96550,15500,97450" +st "trigger_i" +blo "11000,97250" +) +) +thePort (LogicalPort +decl (Decl +n "trigger_i" +t "std_logic" +eolc "goes high when trigger logic issues a trigger" +o 11 +suid 5,0 +) +) +) +*138 (CptPort +uid 6850,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6851,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "9250,98625,10000,99375" +) +tg (CPTG +uid 6852,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6853,0 +va (VaSet +font "courier,8,0" +) +xt "11000,98550,18500,99450" +st "clk_4x_logic_i" +blo "11000,99250" +) +) +thePort (LogicalPort +decl (Decl +n "clk_4x_logic_i" +t "std_logic" +o 3 +suid 6,0 +) +) +) +*139 (CptPort +uid 6854,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6855,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "9250,100625,10000,101375" +) +tg (CPTG +uid 6856,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6857,0 +va (VaSet +font "courier,8,0" +) +xt "11000,100550,20000,101450" +st "strobe_4x_logic_i" +blo "11000,101250" +) +) +thePort (LogicalPort +decl (Decl +n "strobe_4x_logic_i" +t "std_logic" +eolc "! goes high every 4th clock cycle" +o 9 +suid 7,0 +) +) +) +*140 (CptPort +uid 6858,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6859,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "9250,102625,10000,103375" +) +tg (CPTG +uid 6860,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6861,0 +va (VaSet +font "courier,8,0" +) +xt "11000,102550,31000,103450" +st "trigger_counter_i : (g_IPBUS_WIDTH-1:0)" +blo "11000,103250" +) +) +thePort (LogicalPort +decl (Decl +n "trigger_counter_i" +t "std_logic_vector" +b "(g_IPBUS_WIDTH-1 DOWNTO 0)" +o 10 +suid 8,0 +) +) +) +*141 (CptPort +uid 6862,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6863,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "9250,104625,10000,105375" +) +tg (CPTG +uid 6864,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6865,0 +va (VaSet +font "courier,8,0" +) +xt "11000,104550,17000,105450" +st "ipbus_clk_i" +blo "11000,105250" +) +) +thePort (LogicalPort +decl (Decl +n "ipbus_clk_i" +t "std_logic" +o 6 +suid 9,0 +) +) +) +*142 (CptPort +uid 6866,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6867,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "9250,106625,10000,107375" +) +tg (CPTG +uid 6868,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6869,0 +va (VaSet +font "courier,8,0" +) +xt "11000,106550,18000,107450" +st "ipbus_reset_i" +blo "11000,107250" +) +) +thePort (LogicalPort +decl (Decl +n "ipbus_reset_i" +t "std_logic" +o 8 +suid 10,0 +) +) +) +*143 (CptPort +uid 6870,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6871,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "9250,108625,10000,109375" +) +tg (CPTG +uid 6872,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6873,0 +va (VaSet +font "courier,8,0" +) +xt "11000,108550,14500,109450" +st "ipbus_i" +blo "11000,109250" +) +) +thePort (LogicalPort +decl (Decl +n "ipbus_i" +t "ipb_wbus" +eolc "Signals from IPBus core to slave" +o 7 +suid 11,0 +) +) +) +*144 (CptPort +uid 6874,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6875,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "55000,103625,55750,104375" +) +tg (CPTG +uid 6876,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 6877,0 +va (VaSet +font "courier,8,0" +) +xt "50500,103550,54000,104450" +st "ipbus_o" +ju 2 +blo "54000,104250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "ipbus_o" +t "ipb_rbus" +eolc "signals from slave to IPBus core" +o 12 +suid 12,0 +) +) +) +*145 (CptPort +uid 6878,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6879,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "55000,107625,55750,108375" +) +tg (CPTG +uid 6880,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 6881,0 +va (VaSet +font "courier,8,0" +) +xt "51000,107550,54000,108450" +st "veto_o" +ju 2 +blo "54000,108250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "veto_o" +t "std_logic" +eolc "goes high when one or more DUT are busy" +o 17 +suid 13,0 +) +) +) +*146 (CptPort +uid 6882,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6883,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "55000,91625,55750,92375" +) +tg (CPTG +uid 6884,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 6885,0 +va (VaSet +font "courier,8,0" +) +xt "35000,91550,54000,92450" +st "trigger_to_dut_n_o : (g_NUM_DUTS-1:0)" +ju 2 +blo "54000,92250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "trigger_to_dut_n_o" +t "std_logic_vector" +b "(g_NUM_DUTS-1 DOWNTO 0)" +eolc "! Trigger output" +o 15 +suid 14,0 +) +) +) +*147 (CptPort +uid 6886,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6887,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "9250,90625,10000,91375" +) +tg (CPTG +uid 6888,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6889,0 +va (VaSet +font "courier,8,0" +) +xt "11000,90550,29500,91450" +st "busy_from_dut_n_i : (g_NUM_DUTS-1:0)" +blo "11000,91250" +) +) +thePort (LogicalPort +decl (Decl +n "busy_from_dut_n_i" +t "std_logic_vector" +b "(g_NUM_DUTS-1 DOWNTO 0)" +eolc "BUSY input from DUTs" +o 1 +suid 15,0 +) +) +) +*148 (CptPort +uid 6890,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6891,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "55000,98625,55750,99375" +) +tg (CPTG +uid 6892,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 6893,0 +va (VaSet +font "courier,8,0" +) +xt "32500,98550,54000,99450" +st "reset_or_clk_to_dut_n_o : (g_NUM_DUTS-1:0)" +ju 2 +blo "54000,99250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "reset_or_clk_to_dut_n_o" +t "std_logic_vector" +b "(g_NUM_DUTS-1 DOWNTO 0)" +eolc "! Either reset line or trigger" +o 13 +suid 16,0 +) +) +) +*149 (CptPort +uid 6894,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6895,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "9250,94625,10000,95375" +) +tg (CPTG +uid 6896,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6897,0 +va (VaSet +font "courier,8,0" +) +xt "11000,94550,29000,95450" +st "clk_from_dut_n_i : (g_NUM_DUTS-1:0)" +blo "11000,95250" +) +) +thePort (LogicalPort +decl (Decl +n "clk_from_dut_n_i" +t "std_logic_vector" +b "(g_NUM_DUTS-1 DOWNTO 0)" +eolc "clocks trigger data when in EUDET mode" +o 5 +suid 17,0 +) +) +) +] +shape (Rectangle +uid 6899,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "10000,88000,55000,110000" +) +oxt "14000,17000,59000,39000" +ttg (MlTextGroup +uid 6900,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*150 (Text +uid 6901,0 +va (VaSet +font "courier,8,1" +) +xt "19750,97100,21750,98000" +st "work" +blo "19750,97800" +tm "BdLibraryNameMgr" +) +*151 (Text +uid 6902,0 +va (VaSet +font "courier,8,1" +) +xt "19750,98000,26750,98900" +st "DUTInterfaces" +blo "19750,98700" +tm "CptNameMgr" +) +*152 (Text +uid 6903,0 +va (VaSet +font "courier,8,1" +) +xt "19750,98900,20750,99800" +st "I0" +blo "19750,99600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 6904,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 6905,0 +text (MLText +uid 6906,0 +va (VaSet +font "courier,8,0" +) +xt "18000,86200,42000,88000" +st "g_NUM_DUTS = g_NUM_DUTS ( positive ) +g_IPBUS_WIDTH = g_IPBUS_WIDTH ( positive ) " +) +header "" +) +elements [ +(GiElement +name "g_NUM_DUTS" +type "positive" +value "g_NUM_DUTS" +) +(GiElement +name "g_IPBUS_WIDTH" +type "positive" +value "g_IPBUS_WIDTH" +) +] +) +viewicon (ZoomableIcon +uid 6907,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "10250,108250,11750,109750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +sIVOD 1 +) +archFileType "UNKNOWN" +) +*153 (PortIoIn +uid 6908,0 +shape (CompositeShape +uid 6909,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 6910,0 +sl 0 +ro 270 +xt "78000,30625,79500,31375" +) +(Line +uid 6911,0 +sl 0 +ro 270 +xt "79500,31000,80000,31000" +pts [ +"79500,31000" +"80000,31000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 6912,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6913,0 +va (VaSet +font "courier,8,0" +) +xt "70500,30500,77000,31400" +st "dip_switch_i" +ju 2 +blo "77000,31200" +tm "WireNameMgr" +) +) +) +*154 (Net +uid 7099,0 +decl (Decl +n "ipbus_rst" +t "std_logic" +eolc "! IPBus reset to slaves" +o 46 +suid 140,0 +) +declText (MLText +uid 7100,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,57400,23000,58300" +st "SIGNAL ipbus_rst : std_logic -- ! IPBus reset to slaves +" +) +) +*155 (PortIoInOut +uid 7881,0 +shape (CompositeShape +uid 7882,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +uid 7883,0 +sl 0 +xt "217500,14625,219000,15375" +) +(Line +uid 7884,0 +sl 0 +xt "217000,15000,217500,15000" +pts [ +"217000,15000" +"217500,15000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 7885,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 7886,0 +va (VaSet +font "courier,8,0" +) +xt "220000,14550,224500,15450" +st "i2c_scl_b" +blo "220000,15250" +tm "WireNameMgr" +) +) +) +*156 (SaComponent +uid 9123,0 +optionalChildren [ +*157 (CptPort +uid 9071,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9072,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "105250,131625,106000,132375" +) +tg (CPTG +uid 9073,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 9074,0 +va (VaSet +font "courier,8,0" +) +xt "107000,131550,114500,132450" +st "clk_4x_logic_i" +blo "107000,132250" +) +) +thePort (LogicalPort +lang 2 +decl (Decl +n "clk_4x_logic_i" +t "std_logic" +eolc "! Rising edge active" +preAdd 0 +posAdd 0 +o 1 +suid 1,0 +) +) +) +*158 (CptPort +uid 9075,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9076,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "105250,134625,106000,135375" +) +tg (CPTG +uid 9077,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 9078,0 +va (VaSet +font "courier,8,0" +) +xt "107000,134550,114500,135450" +st "logic_strobe_i" +blo "107000,135250" +) +) +thePort (LogicalPort +lang 2 +decl (Decl +n "logic_strobe_i" +t "std_logic" +eolc "! Pulses high once every 4 cycles of clk_4x_logic" +preAdd 0 +posAdd 0 +o 5 +suid 2,0 +) +) +) +*159 (CptPort +uid 9079,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9080,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "105250,142625,106000,143375" +) +tg (CPTG +uid 9081,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 9082,0 +va (VaSet +font "courier,8,0" +) +xt "107000,142550,113000,143450" +st "ipbus_clk_i" +blo "107000,143250" +) +) +thePort (LogicalPort +decl (Decl +n "ipbus_clk_i" +t "std_logic" +o 2 +suid 3,0 +) +) +) +*160 (CptPort +uid 9083,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9084,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "105250,144625,106000,145375" +) +tg (CPTG +uid 9085,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 9086,0 +va (VaSet +font "courier,8,0" +) +xt "107000,144550,110500,145450" +st "ipbus_i" +blo "107000,145250" +) +) +thePort (LogicalPort +decl (Decl +n "ipbus_i" +t "ipb_wbus" +eolc "Signals from IPBus core to slave" +o 3 +suid 4,0 +) +) +) +*161 (CptPort +uid 9087,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9088,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "143000,149625,143750,150375" +) +tg (CPTG +uid 9089,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 9090,0 +va (VaSet +font "courier,8,0" +) +xt "138500,149550,142000,150450" +st "ipbus_o" +ju 2 +blo "142000,150250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "ipbus_o" +t "ipb_rbus" +eolc "signals from slave to IPBus core" +o 6 +suid 5,0 +) +) +) +*162 (CptPort +uid 9091,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9092,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "105250,147625,106000,148375" +) +tg (CPTG +uid 9093,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 9094,0 +va (VaSet +font "courier,8,0" +) +xt "107000,147550,114000,148450" +st "ipbus_reset_i" +blo "107000,148250" +) +) +thePort (LogicalPort +decl (Decl +n "ipbus_reset_i" +t "std_logic" +o 4 +suid 6,0 +) +) +) +*163 (CptPort +uid 9095,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9096,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "105250,137625,106000,138375" +) +tg (CPTG +uid 9097,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 9098,0 +va (VaSet +font "courier,8,0" +) +xt "107000,137550,122500,138450" +st "trigger_i : (g_NUM_INPUTS-1:0)" +blo "107000,138250" +) +) +thePort (LogicalPort +lang 2 +decl (Decl +n "trigger_i" +t "std_logic_vector" +b "(g_NUM_INPUTS-1 DOWNTO 0)" +eolc "! High when trigger from input conector active" +o 7 +suid 7,0 +) +) +) +*164 (CptPort +uid 9099,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9100,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "105250,139625,106000,140375" +) +tg (CPTG +uid 9101,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 9102,0 +va (VaSet +font "courier,8,0" +) +xt "107000,139550,110000,140450" +st "veto_i" +blo "107000,140250" +) +) +thePort (LogicalPort +decl (Decl +n "veto_i" +t "std_logic" +eolc "! Halts triggers when high" +o 8 +suid 8,0 +) +) +) +*165 (CptPort +uid 9103,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9104,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "143000,136625,143750,137375" +) +tg (CPTG +uid 9105,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 9106,0 +va (VaSet +font "courier,8,0" +) +xt "132000,136550,142000,137450" +st "post_veto_trigger_o" +ju 2 +blo "142000,137250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "post_veto_trigger_o" +t "std_logic" +eolc "! goes high when trigger passes" +o 9 +suid 9,0 +) +) +) +*166 (CptPort +uid 9107,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9108,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "143000,141625,143750,142375" +) +tg (CPTG +uid 9109,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 9110,0 +va (VaSet +font "courier,8,0" +) +xt "133500,141550,142000,142450" +st "trigger_active_o" +ju 2 +blo "142000,142250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "trigger_active_o" +t "std_logic" +eolc "--! Goes high when triggers are active ( ie. not veoted)" +o 10 +suid 10,0 +) +) +) +*167 (CptPort +uid 9111,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9112,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "143000,132625,143750,133375" +) +tg (CPTG +uid 9113,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 9114,0 +va (VaSet +font "courier,8,0" +) +xt "132500,132550,142000,133450" +st "pre_veto_trigger_o" +ju 2 +blo "142000,133250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "pre_veto_trigger_o" +t "std_logic" +o 11 +suid 11,0 +) +) +) +*168 (CptPort +uid 9115,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9116,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "143000,145625,143750,146375" +) +tg (CPTG +uid 9117,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 9118,0 +va (VaSet +font "courier,8,0" +) +xt "123500,145550,142000,146450" +st "event_number_o : (g_IPBUS_WIDTH-1:0)" +ju 2 +blo "142000,146250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "event_number_o" +t "std_logic_vector" +b "(g_IPBUS_WIDTH-1 downto 0)" +eolc "starts at one. Increments for each post_veto_trigger" +o 12 +suid 12,0 +) +) +) +*169 (CptPort +uid 9119,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9120,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "105250,150625,106000,151375" +) +tg (CPTG +uid 9121,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 9122,0 +va (VaSet +font "courier,8,0" +) +xt "107000,150550,114000,151450" +st "logic_reset_i" +blo "107000,151250" +) +) +thePort (LogicalPort +decl (Decl +n "logic_reset_i" +t "std_logic" +eolc "active high. Synchronous with clk_4x_logic" +o 13 +suid 13,0 +) +) +) +] +shape (Rectangle +uid 9124,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "106000,131000,143000,152000" +) +oxt "8000,17000,45000,38000" +ttg (MlTextGroup +uid 9125,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*170 (Text +uid 9126,0 +va (VaSet +font "courier,8,1" +) +xt "115750,140100,117750,141000" +st "work" +blo "115750,140800" +tm "BdLibraryNameMgr" +) +*171 (Text +uid 9127,0 +va (VaSet +font "courier,8,1" +) +xt "115750,141000,122250,141900" +st "triggerLogic" +blo "115750,141700" +tm "CptNameMgr" +) +*172 (Text +uid 9128,0 +va (VaSet +font "courier,8,1" +) +xt "115750,141900,116750,142800" +st "I3" +blo "115750,142600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 9129,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 9130,0 +text (MLText +uid 9131,0 +va (VaSet +font "courier,8,0" +) +xt "116000,129200,142000,131000" +st "g_NUM_INPUTS = g_NUM_TRIG_INPUTS ( positive ) +g_IPBUS_WIDTH = g_IPBUS_WIDTH ( positive ) " +) +header "" +) +elements [ +(GiElement +name "g_NUM_INPUTS" +type "positive" +value "g_NUM_TRIG_INPUTS" +) +(GiElement +name "g_IPBUS_WIDTH" +type "positive" +value "g_IPBUS_WIDTH" +) +] +) +viewicon (ZoomableIcon +uid 9132,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "106250,150250,107750,151750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +sIVOD 1 +) +archFileType "UNKNOWN" +) +*173 (Net +uid 9139,0 +decl (Decl +n "event_number_o" +t "std_logic_vector" +b "(g_IPBUS_WIDTH-1 downto 0)" +eolc "starts at one. Increments for each post_veto_trigger" +o 41 +suid 146,0 +) +declText (MLText +uid 9140,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,52900,54000,53800" +st "SIGNAL event_number_o : std_logic_vector(g_IPBUS_WIDTH-1 downto 0) -- starts at one. Increments for each post_veto_trigger +" +) +) +*174 (SaComponent +uid 9363,0 +optionalChildren [ +*175 (CptPort +uid 9319,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9320,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "165250,71625,166000,72375" +) +tg (CPTG +uid 9321,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 9322,0 +va (VaSet +font "courier,8,0" +) +xt "167000,71550,174500,72450" +st "clk_4x_logic_i" +blo "167000,72250" +) +) +thePort (LogicalPort +decl (Decl +n "clk_4x_logic_i" +t "std_logic" +o 1 +suid 1,0 +) +) +) +*176 (CptPort +uid 9323,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9324,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "165250,85625,166000,86375" +) +tg (CPTG +uid 9325,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 9326,0 +va (VaSet +font "courier,8,0" +) +xt "167000,85550,176000,86450" +st "strobe_4x_logic_i" +blo "167000,86250" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "strobe_4x_logic_i" +t "std_logic" +o 7 +suid 2,0 +) +) +) +*177 (CptPort +uid 9327,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9328,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "165250,78625,166000,79375" +) +tg (CPTG +uid 9329,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 9330,0 +va (VaSet +font "courier,8,0" +) +xt "167000,78550,173000,79450" +st "ipbus_clk_i" +blo "167000,79250" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "ipbus_clk_i" +t "std_logic" +o 4 +suid 3,0 +) +) +) +*178 (CptPort +uid 9331,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9332,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "165250,83625,166000,84375" +) +tg (CPTG +uid 9333,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 9334,0 +va (VaSet +font "courier,8,0" +) +xt "167000,83550,174000,84450" +st "ipbus_reset_i" +blo "167000,84250" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "ipbus_reset_i" +t "std_logic" +o 6 +suid 4,0 +) +) +) +*179 (CptPort +uid 9335,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9336,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "165250,80625,166000,81375" +) +tg (CPTG +uid 9337,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 9338,0 +va (VaSet +font "courier,8,0" +) +xt "167000,80550,170500,81450" +st "ipbus_i" +blo "167000,81250" +) +) +thePort (LogicalPort +decl (Decl +n "ipbus_i" +t "ipb_wbus" +o 5 +suid 5,0 +) +) +) +*180 (CptPort +uid 9339,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9340,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "209000,73625,209750,74375" +) +tg (CPTG +uid 9341,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 9342,0 +va (VaSet +font "courier,8,0" +) +xt "204500,73550,208000,74450" +st "ipbus_o" +ju 2 +blo "208000,74250" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "ipbus_o" +t "ipb_rbus" +o 10 +suid 6,0 +) +) +) +*181 (CptPort +uid 9343,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9344,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "165250,76625,166000,77375" +) +tg (CPTG +uid 9345,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 9346,0 +va (VaSet +font "courier,8,0" +) +xt "167000,76550,187000,77450" +st "event_data_i : (g_EVENT_DATA_WIDTH-1:0)" +blo "167000,77250" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "event_data_i" +t "std_logic_vector" +b "(g_EVENT_DATA_WIDTH-1 DOWNTO 0)" +o 3 +suid 7,0 +) +) +) +*182 (CptPort +uid 9347,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9348,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "165250,73625,166000,74375" +) +tg (CPTG +uid 9349,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 9350,0 +va (VaSet +font "courier,8,0" +) +xt "167000,73550,186000,74450" +st "trigger_count_i : (g_IPBUS_WIDTH-1:0)" +blo "167000,74250" +) +) +thePort (LogicalPort +decl (Decl +n "trigger_count_i" +t "std_logic_vector" +b "(g_IPBUS_WIDTH-1 DOWNTO 0)" +o 8 +suid 8,0 +) +) +) +*183 (CptPort +uid 9351,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9352,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "165250,68625,166000,69375" +) +tg (CPTG +uid 9353,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 9354,0 +va (VaSet +font "courier,8,0" +) +xt "167000,68550,174000,69450" +st "data_strobe_i" +blo "167000,69250" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "data_strobe_i" +t "std_logic" +eolc "Indicates data to transfer" +o 2 +suid 9,0 +) +) +) +*184 (CptPort +uid 9355,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9356,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "209000,84625,209750,85375" +) +tg (CPTG +uid 9357,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 9358,0 +va (VaSet +font "courier,8,0" +) +xt "201000,84550,208000,85450" +st "buffer_full_o" +ju 2 +blo "208000,85250" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "buffer_full_o" +t "std_logic" +eolc "--! Goes high when event buffer almost full" +o 9 +suid 10,0 +) +) +) +*185 (CptPort +uid 9359,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9360,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "165250,88625,166000,89375" +) +tg (CPTG +uid 9361,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 9362,0 +va (VaSet +font "courier,8,0" +) +xt "167000,88550,174000,89450" +st "logic_reset_i" +blo "167000,89250" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "logic_reset_i" +t "std_logic" +eolc "reset buffers when high. Synch withclk_4x_logic" +o 11 +suid 11,0 +) +) +) +] +shape (Rectangle +uid 9364,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "166000,68000,209000,90000" +) +oxt "16000,16000,59000,38000" +ttg (MlTextGroup +uid 9365,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*186 (Text +uid 9366,0 +va (VaSet +font "courier,8,1" +) +xt "182750,71100,184750,72000" +st "work" +blo "182750,71800" +tm "BdLibraryNameMgr" +) +*187 (Text +uid 9367,0 +va (VaSet +font "courier,8,1" +) +xt "182750,72000,188750,72900" +st "eventBuffer" +blo "182750,72700" +tm "CptNameMgr" +) +*188 (Text +uid 9368,0 +va (VaSet +font "courier,8,1" +) +xt "182750,72900,183750,73800" +st "I5" +blo "182750,73600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 9369,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 9370,0 +text (MLText +uid 9371,0 +va (VaSet +font "courier,8,0" +) +xt "173000,63400,203500,67000" +st "g_EVENT_DATA_WIDTH = g_EVENT_DATA_WIDTH ( positive ) +g_IPBUS_WIDTH = g_IPBUS_WIDTH ( positive ) +g_WRITE_COUNTER_WIDTH = 13 ( positive ) +g_READ_COUNTER_WIDTH = 14 ( positive ) " +) +header "" +) +elements [ +(GiElement +name "g_EVENT_DATA_WIDTH" +type "positive" +value "g_EVENT_DATA_WIDTH" +) +(GiElement +name "g_IPBUS_WIDTH" +type "positive" +value "g_IPBUS_WIDTH" +) +(GiElement +name "g_WRITE_COUNTER_WIDTH" +type "positive" +value "13" +) +(GiElement +name "g_READ_COUNTER_WIDTH" +type "positive" +value "14" +) +] +) +viewicon (ZoomableIcon +uid 9372,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "166250,88250,167750,89750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +ordering 1 +viewiconposition 0 +portVis (PortSigDisplay +sIVOD 1 +) +archFileType "UNKNOWN" +) +*189 (Net +uid 9381,0 +decl (Decl +n "logic_reset" +t "std_logic" +eolc "Goes high to reset counters etc. Sync with clk_4x_logic" +o 49 +suid 148,0 +) +declText (MLText +uid 9382,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,60100,39000,61000" +st "SIGNAL logic_reset : std_logic -- Goes high to reset counters etc. Sync with clk_4x_logic +" +) +) +*190 (SaComponent +uid 10058,0 +optionalChildren [ +*191 (CptPort +uid 10026,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 10027,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "171250,9625,172000,10375" +) +tg (CPTG +uid 10028,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 10029,0 +va (VaSet +font "courier,8,0" +) +xt "173000,9550,179000,10450" +st "ipbus_clk_i" +blo "173000,10250" +) +) +thePort (LogicalPort +decl (Decl +n "ipbus_clk_i" +t "std_logic" +o 1 +suid 1,0 +) +) +) +*192 (CptPort +uid 10030,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 10031,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "171250,16625,172000,17375" +) +tg (CPTG +uid 10032,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 10033,0 +va (VaSet +font "courier,8,0" +) +xt "173000,16550,176500,17450" +st "ipbus_i" +blo "173000,17250" +) +) +thePort (LogicalPort +decl (Decl +n "ipbus_i" +t "ipb_wbus" +eolc "Signals from IPBus core to slave" +o 2 +suid 2,0 +) +) +) +*193 (CptPort +uid 10034,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 10035,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "196000,9625,196750,10375" +) +tg (CPTG +uid 10036,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 10037,0 +va (VaSet +font "courier,8,0" +) +xt "191500,9550,195000,10450" +st "ipbus_o" +ju 2 +blo "195000,10250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "ipbus_o" +t "ipb_rbus" +eolc "signals from slave to IPBus core" +o 4 +suid 3,0 +) +) +) +*194 (CptPort +uid 10038,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 10039,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "171250,23625,172000,24375" +) +tg (CPTG +uid 10040,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 10041,0 +va (VaSet +font "courier,8,0" +) +xt "173000,23550,180000,24450" +st "ipbus_reset_i" +blo "173000,24250" +) +) +thePort (LogicalPort +decl (Decl +n "ipbus_reset_i" +t "std_logic" +o 3 +suid 4,0 +) +) +) +*195 (CptPort +uid 10042,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 10043,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "196000,18625,196750,19375" +) +tg (CPTG +uid 10044,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 10045,0 +va (VaSet +font "courier,8,0" +) +xt "188000,18550,195000,19450" +st "i2c_scl_enb_o" +ju 2 +blo "195000,19250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "i2c_scl_enb_o" +t "std_logic" +o 5 +suid 5,0 +) +) +) +*196 (CptPort +uid 10046,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 10047,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "196000,22625,196750,23375" +) +tg (CPTG +uid 10048,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 10049,0 +va (VaSet +font "courier,8,0" +) +xt "190500,22550,195000,23450" +st "i2c_sda_i" +ju 2 +blo "195000,23250" +) +) +thePort (LogicalPort +decl (Decl +n "i2c_sda_i" +t "std_logic" +o 6 +suid 6,0 +) +) +) +*197 (CptPort +uid 10050,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 10051,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "196000,24625,196750,25375" +) +tg (CPTG +uid 10052,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 10053,0 +va (VaSet +font "courier,8,0" +) +xt "188000,24550,195000,25450" +st "i2c_sda_enb_o" +ju 2 +blo "195000,25250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "i2c_sda_enb_o" +t "std_logic" +o 7 +suid 7,0 +) +) +) +*198 (CptPort +uid 10054,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 10055,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "196000,20625,196750,21375" +) +tg (CPTG +uid 10056,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 10057,0 +va (VaSet +font "courier,8,0" +) +xt "190500,20550,195000,21450" +st "i2c_scl_i" +ju 2 +blo "195000,21250" +) +) +thePort (LogicalPort +decl (Decl +n "i2c_scl_i" +t "std_logic" +o 8 +suid 8,0 +) +) +) +] +shape (Rectangle +uid 10059,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "172000,7000,196000,27000" +) +oxt "21000,15000,45000,35000" +ttg (MlTextGroup +uid 10060,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*199 (Text +uid 10061,0 +va (VaSet +font "courier,8,1" +) +xt "181750,16100,183750,17000" +st "work" +blo "181750,16800" +tm "BdLibraryNameMgr" +) +*200 (Text +uid 10062,0 +va (VaSet +font "courier,8,1" +) +xt "181750,17000,187250,17900" +st "i2c_master" +blo "181750,17700" +tm "CptNameMgr" +) +*201 (Text +uid 10063,0 +va (VaSet +font "courier,8,1" +) +xt "181750,17900,182750,18800" +st "I7" +blo "181750,18600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 10064,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 10065,0 +text (MLText +uid 10066,0 +va (VaSet +font "courier,8,0" +) +xt "157000,13000,157000,13000" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +uid 10067,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "172250,25250,173750,26750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +sIVOD 1 +) +archFileType "UNKNOWN" +) +*202 (HdlText +uid 10078,0 +optionalChildren [ +*203 (EmbeddedText +uid 10084,0 +commentText (CommentText +uid 10085,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 10086,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "208000,21000,226000,28000" +) +oxt "0,0,18000,5000" +text (MLText +uid 10087,0 +va (VaSet +font "courier,8,0" +) +xt "208200,21200,224100,27500" +st " +-- eb1 1 +i2c_scl_b <= '0' when (s_i2c_scl_enb = '0') else 'Z'; +i2c_sda_b <= '0' when (s_i2c_sda_enb = '0') else 'Z'; + + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 7000 +visibleWidth 18000 +) +) +) +] +shape (Rectangle +uid 10079,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "208000,19000,226000,28000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 10080,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*204 (Text +uid 10081,0 +va (VaSet +font "courier,8,1" +) +xt "208250,19100,214750,20000" +st "i2c_tristate" +blo "208250,19800" +tm "HdlTextNameMgr" +) +*205 (Text +uid 10082,0 +va (VaSet +font "courier,8,1" +) +xt "208250,20000,208750,20900" +st "1" +blo "208250,20700" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 10083,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "208250,26250,209750,27750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*206 (Net +uid 10096,0 +decl (Decl +n "s_i2c_scl_enb" +t "std_logic" +o 52 +suid 153,0 +) +declText (MLText +uid 10097,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,62800,9500,63700" +st "SIGNAL s_i2c_scl_enb : std_logic +" +) +) +*207 (Net +uid 10122,0 +decl (Decl +n "s_i2c_sda_enb" +t "std_logic" +o 53 +suid 157,0 +) +declText (MLText +uid 10123,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,63700,9500,64600" +st "SIGNAL s_i2c_sda_enb : std_logic +" +) +) +*208 (SaComponent +uid 10522,0 +optionalChildren [ +*209 (CptPort +uid 10434,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 10435,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "97250,66625,98000,67375" +) +tg (CPTG +uid 10436,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 10437,0 +va (VaSet +font "courier,8,0" +) +xt "99000,66550,103500,67450" +st "trigger_i" +blo "99000,67250" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "trigger_i" +t "std_logic" +eolc "--! goes high to load trigger data. One cycle of clk_4x_logic" +posAdd 0 +o 5 +suid 1,0 +) +) +) +*210 (CptPort +uid 10438,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 10439,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "97250,69625,98000,70375" +) +tg (CPTG +uid 10440,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 10441,0 +va (VaSet +font "courier,8,0" +) +xt "99000,69550,106500,70450" +st "clk_4x_logic_i" +blo "99000,70250" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "clk_4x_logic_i" +t "std_logic" +eolc "! Rising edge active" +preAdd 0 +posAdd 0 +o 1 +suid 2,0 +) +) +) +*211 (CptPort +uid 10442,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 10443,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "97250,72625,98000,73375" +) +tg (CPTG +uid 10444,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 10445,0 +va (VaSet +font "courier,8,0" +) +xt "99000,72550,106500,73450" +st "logic_strobe_i" +blo "99000,73250" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "logic_strobe_i" +t "std_logic" +eolc "! Pulses high once every 4 cycles of clk_4x_logic" +preAdd 0 +posAdd 0 +o 3 +suid 3,0 +) +) +) +*212 (CptPort +uid 10446,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 10447,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "150000,68625,150750,69375" +) +tg (CPTG +uid 10448,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 10449,0 +va (VaSet +font "courier,8,0" +) +xt "142000,68550,149000,69450" +st "data_strobe_o" +ju 2 +blo "149000,69250" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "data_strobe_o" +t "std_logic" +eolc "goes high when data ready to load into event buffer" +o 19 +suid 4,0 +) +) +) +*213 (CptPort +uid 10450,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 10451,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "150000,75625,150750,76375" +) +tg (CPTG +uid 10452,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 10453,0 +va (VaSet +font "courier,8,0" +) +xt "130000,75550,149000,76450" +st "trigger_count_o : (g_IPBUS_WIDTH-1:0)" +ju 2 +blo "149000,76250" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "trigger_count_o" +t "std_logic_vector" +b "(g_IPBUS_WIDTH-1 DOWNTO 0)" +o 22 +suid 5,0 +) +) +) +*214 (CptPort +uid 10454,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 10455,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "97250,75625,98000,76375" +) +tg (CPTG +uid 10456,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 10457,0 +va (VaSet +font "courier,8,0" +) +xt "99000,75550,120000,76450" +st "trigger_times_i : (g_NUM_TRIG_INPUTS-1:0)" +blo "99000,76250" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "trigger_times_i" +t "t_triggerTimeArray" +b "(g_NUM_TRIG_INPUTS-1 DOWNTO 0)" +eolc "Array of trigger times ( w.r.t. logic_strobe)" +preAdd 0 +o 6 +suid 6,0 +) +) +) +*215 (CptPort +uid 10458,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 10459,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "150000,83625,150750,84375" +) +tg (CPTG +uid 10460,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 10461,0 +va (VaSet +font "courier,8,0" +) +xt "129000,83550,149000,84450" +st "event_data_o : (g_EVENT_DATA_WIDTH-1:0)" +ju 2 +blo "149000,84250" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "event_data_o" +t "std_logic_vector" +b "(g_EVENT_DATA_WIDTH-1 DOWNTO 0)" +o 20 +suid 7,0 +) +) +) +*216 (CptPort +uid 10462,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 10463,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "97250,79625,98000,80375" +) +tg (CPTG +uid 10464,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 10465,0 +va (VaSet +font "courier,8,0" +) +xt "99000,79550,106000,80450" +st "logic_reset_i" +blo "99000,80250" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "logic_reset_i" +t "std_logic" +eolc "goes high to reset counters. Synchronous with clk_4x_logic" +o 4 +suid 9,0 +) +) +) +*217 (CptPort +uid 10466,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 10467,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "97250,85625,98000,86375" +) +tg (CPTG +uid 10468,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 10469,0 +va (VaSet +font "courier,8,0" +) +xt "99000,85550,123500,86450" +st "trigger_inputs_fired_i : (g_NUM_TRIG_INPUTS-1:0)" +blo "99000,86250" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "trigger_inputs_fired_i" +t "std_logic_vector" +b "(g_NUM_TRIG_INPUTS-1 DOWNTO 0)" +eolc "high for each input that \"fired\"" +o 7 +suid 10,0 +) +) +) +*218 (CptPort +uid 10470,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 10471,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "97250,86625,98000,87375" +) +tg (CPTG +uid 10472,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 10473,0 +va (VaSet +font "courier,8,0" +) +xt "99000,86550,118000,87450" +st "edge_fall_i : (g_NUM_EDGE_INPUTS-1:0)" +blo "99000,87250" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "edge_fall_i" +t "std_logic_vector" +b "(g_NUM_EDGE_INPUTS-1 DOWNTO 0)" +eolc "-- ! High when falling edge" +preAdd 0 +posAdd 0 +o 14 +suid 11,0 +) +) +) +*219 (CptPort +uid 10474,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 10475,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "97250,87625,98000,88375" +) +tg (CPTG +uid 10476,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 10477,0 +va (VaSet +font "courier,8,0" +) +xt "99000,87550,120500,88450" +st "edge_fall_time_i : (g_NUM_EDGE_INPUTS-1:0)" +blo "99000,88250" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "edge_fall_time_i" +t "t_triggerTimeArray" +b "(g_NUM_EDGE_INPUTS-1 DOWNTO 0)" +eolc "-- Array of edge times ( w.r.t. logic_strobe)" +preAdd 0 +posAdd 0 +o 16 +suid 12,0 +) +) +) +*220 (CptPort +uid 10478,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 10479,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "97250,88625,98000,89375" +) +tg (CPTG +uid 10480,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 10481,0 +va (VaSet +font "courier,8,0" +) +xt "99000,88550,118000,89450" +st "edge_rise_i : (g_NUM_EDGE_INPUTS-1:0)" +blo "99000,89250" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "edge_rise_i" +t "std_logic_vector" +b "(g_NUM_EDGE_INPUTS-1 DOWNTO 0)" +eolc "-- ! High when rising edge" +posAdd 0 +o 13 +suid 13,0 +) +) +) +*221 (CptPort +uid 10482,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 10483,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "97250,89625,98000,90375" +) +tg (CPTG +uid 10484,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 10485,0 +va (VaSet +font "courier,8,0" +) +xt "99000,89550,120500,90450" +st "edge_rise_time_i : (g_NUM_EDGE_INPUTS-1:0)" +blo "99000,90250" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "edge_rise_time_i" +t "t_triggerTimeArray" +b "(g_NUM_EDGE_INPUTS-1 DOWNTO 0)" +eolc "-- Array of edge times ( w.r.t. logic_strobe)" +preAdd 0 +posAdd 0 +o 15 +suid 14,0 +) +) +) +*222 (CptPort +uid 10486,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 10487,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "97250,81625,98000,82375" +) +tg (CPTG +uid 10488,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 10489,0 +va (VaSet +font "courier,8,0" +) +xt "99000,81550,105000,82450" +st "ipbus_clk_i" +blo "99000,82250" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "ipbus_clk_i" +t "std_logic" +o 2 +suid 15,0 +) +) +) +*223 (CptPort +uid 10490,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 10491,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "97250,82625,98000,83375" +) +tg (CPTG +uid 10492,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 10493,0 +va (VaSet +font "courier,8,0" +) +xt "99000,82550,102500,83450" +st "ipbus_i" +blo "99000,83250" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "ipbus_i" +t "ipb_wbus" +preAdd 0 +o 17 +suid 16,0 +) +) +) +*224 (CptPort +uid 10494,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 10495,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "150000,95625,150750,96375" +) +tg (CPTG +uid 10496,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 10497,0 +va (VaSet +font "courier,8,0" +) +xt "145500,95550,149000,96450" +st "ipbus_o" +ju 2 +blo "149000,96250" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "ipbus_o" +t "ipb_rbus" +o 18 +suid 17,0 +) +) +) +*225 (CptPort +uid 10498,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 10499,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "97250,92625,98000,93375" +) +tg (CPTG +uid 10500,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 10501,0 +va (VaSet +font "courier,8,0" +) +xt "99000,92550,118000,93450" +st "shutter_cnt_i : (g_COUNTER_WIDTH-1:0)" +blo "99000,93250" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "shutter_cnt_i" +t "std_logic_vector" +b "(g_COUNTER_WIDTH-1 DOWNTO 0)" +o 10 +suid 18,0 +) +) +) +*226 (CptPort +uid 10502,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 10503,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "97250,95625,98000,96375" +) +tg (CPTG +uid 10504,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 10505,0 +va (VaSet +font "courier,8,0" +) +xt "99000,95550,103500,96450" +st "shutter_i" +blo "99000,96250" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "shutter_i" +t "std_logic" +o 9 +suid 19,0 +) +) +) +*227 (CptPort +uid 10506,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 10507,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "97250,98625,98000,99375" +) +tg (CPTG +uid 10508,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 10509,0 +va (VaSet +font "courier,8,0" +) +xt "99000,98550,117000,99450" +st "spill_cnt_i : (g_COUNTER_WIDTH-1:0)" +blo "99000,99250" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "spill_cnt_i" +t "std_logic_vector" +b "(g_COUNTER_WIDTH-1 DOWNTO 0)" +o 12 +suid 20,0 +) +) +) +*228 (CptPort +uid 10510,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 10511,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "97250,101625,98000,102375" +) +tg (CPTG +uid 10512,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 10513,0 +va (VaSet +font "courier,8,0" +) +xt "99000,101550,102500,102450" +st "spill_i" +blo "99000,102250" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "spill_i" +t "std_logic" +o 11 +suid 21,0 +) +) +) +*229 (CptPort +uid 10514,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 10515,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "97250,104625,98000,105375" +) +tg (CPTG +uid 10516,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 10517,0 +va (VaSet +font "courier,8,0" +) +xt "99000,104550,120500,105450" +st "trigger_cnt_i : (g_COUNTER_TRIG_WIDTH-1:0)" +blo "99000,105250" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "trigger_cnt_i" +t "std_logic_vector" +b "(g_COUNTER_TRIG_WIDTH-1 DOWNTO 0)" +o 8 +suid 22,0 +) +) +) +*230 (CptPort +uid 10518,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 10519,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "150000,91625,150750,92375" +) +tg (CPTG +uid 10520,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 10521,0 +va (VaSet +font "courier,8,0" +) +xt "130500,91550,149000,92450" +st "event_number_i : (g_IPBUS_WIDTH-1:0)" +ju 2 +blo "149000,92250" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "event_number_i" +t "std_logic_vector" +b "(g_IPBUS_WIDTH-1 DOWNTO 0)" +o 21 +suid 23,0 +) +) +) +] +shape (Rectangle +uid 10523,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "98000,65000,150000,108000" +) +oxt "16000,18000,68000,51000" +ttg (MlTextGroup +uid 10524,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*231 (Text +uid 10525,0 +va (VaSet +font "courier,8,1" +) +xt "106750,70100,108750,71000" +st "work" +blo "106750,70800" +tm "BdLibraryNameMgr" +) +*232 (Text +uid 10526,0 +va (VaSet +font "courier,8,1" +) +xt "106750,71000,114250,71900" +st "eventFormatter" +blo "106750,71700" +tm "CptNameMgr" +) +*233 (Text +uid 10527,0 +va (VaSet +font "courier,8,1" +) +xt "106750,71900,107750,72800" +st "I2" +blo "106750,72600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 10528,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 10529,0 +text (MLText +uid 10530,0 +va (VaSet +font "courier,8,0" +) +xt "98000,56800,157000,64000" +st "g_EVENT_DATA_WIDTH = g_EVENT_DATA_WIDTH ( positive ) +g_IPBUS_WIDTH = g_IPBUS_WIDTH ( positive ) +g_COUNTER_TRIG_WIDTH = g_IPBUS_WIDTH ( positive ) +g_COUNTER_WIDTH = 12 ( positive ) +g_EVTTYPE_WIDTH = 4 ( positive ) -- Width of the event type word +--g_NUM_INPUT_TYPES : positive := 4; -- Number of different input types (trigger, shutter, edge...) +g_NUM_EDGE_INPUTS = g_NUM_EDGE_INPUTS ( positive ) -- Number of edge inputs +g_NUM_TRIG_INPUTS = g_NUM_TRIG_INPUTS ( positive ) -- Number of trigger inputs " +) +header "" +) +elements [ +(GiElement +name "g_EVENT_DATA_WIDTH" +type "positive" +value "g_EVENT_DATA_WIDTH" +) +(GiElement +name "g_IPBUS_WIDTH" +type "positive" +value "g_IPBUS_WIDTH" +) +(GiElement +name "g_COUNTER_TRIG_WIDTH" +type "positive" +value "g_IPBUS_WIDTH" +) +(GiElement +name "g_COUNTER_WIDTH" +type "positive" +value "12" +) +(GiElement +name "g_EVTTYPE_WIDTH" +type "positive" +value "4" +e "-- Width of the event type word" +) +(GiElement +name "g_NUM_EDGE_INPUTS" +type "positive" +value "g_NUM_EDGE_INPUTS" +pr "--g_NUM_INPUT_TYPES : positive := 4; -- Number of different input types (trigger, shutter, edge...)" +apr 0 +e "-- Number of edge inputs" +) +(GiElement +name "g_NUM_TRIG_INPUTS" +type "positive" +value "g_NUM_TRIG_INPUTS" +e "-- Number of trigger inputs" +) +] +) +viewicon (ZoomableIcon +uid 10531,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "98250,106250,99750,107750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +ordering 1 +viewiconposition 0 +portVis (PortSigDisplay +sIVOD 1 +) +archFileType "UNKNOWN" +) +*234 (Net +uid 10532,0 +lang 11 +decl (Decl +n "ipbus_clk_i" +t "std_logic" +o 44 +suid 158,0 +) +declText (MLText +uid 10533,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,55600,9500,56500" +st "SIGNAL ipbus_clk_i : std_logic +" +) +) +*235 (Net +uid 10562,0 +lang 11 +decl (Decl +n "edge_fall_i" +t "std_logic_vector" +b "(g_NUM_EDGE_INPUTS-1 DOWNTO 0)" +eolc "-- ! High when falling edge" +preAdd 0 +posAdd 0 +o 36 +suid 161,0 +) +declText (MLText +uid 10563,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,48400,42000,49300" +st "SIGNAL edge_fall_i : std_logic_vector(g_NUM_EDGE_INPUTS-1 DOWNTO 0) -- ! High when falling edge +" +) +) +*236 (Net +uid 10570,0 +lang 11 +decl (Decl +n "edge_fall_time_i" +t "t_triggerTimeArray" +b "(g_NUM_EDGE_INPUTS-1 DOWNTO 0)" +eolc "-- Array of edge times ( w.r.t. logic_strobe)" +preAdd 0 +posAdd 0 +o 37 +suid 162,0 +) +declText (MLText +uid 10571,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,49300,52000,50200" +st "SIGNAL edge_fall_time_i : t_triggerTimeArray(g_NUM_EDGE_INPUTS-1 DOWNTO 0) -- Array of edge times ( w.r.t. logic_strobe) +" +) +) +*237 (Net +uid 10578,0 +lang 11 +decl (Decl +n "edge_rise_i" +t "std_logic_vector" +b "(g_NUM_EDGE_INPUTS-1 DOWNTO 0)" +eolc "-- ! High when rising edge" +posAdd 0 +o 38 +suid 163,0 +) +declText (MLText +uid 10579,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,50200,41500,51100" +st "SIGNAL edge_rise_i : std_logic_vector(g_NUM_EDGE_INPUTS-1 DOWNTO 0) -- ! High when rising edge +" +) +) +*238 (Net +uid 10586,0 +lang 11 +decl (Decl +n "edge_rise_time_i" +t "t_triggerTimeArray" +b "(g_NUM_EDGE_INPUTS-1 DOWNTO 0)" +eolc "-- Array of edge times ( w.r.t. logic_strobe)" +preAdd 0 +posAdd 0 +o 39 +suid 164,0 +) +declText (MLText +uid 10587,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,51100,52000,52000" +st "SIGNAL edge_rise_time_i : t_triggerTimeArray(g_NUM_EDGE_INPUTS-1 DOWNTO 0) -- Array of edge times ( w.r.t. logic_strobe) +" +) +) +*239 (Net +uid 10594,0 +lang 11 +decl (Decl +n "shutter_cnt_i" +t "std_logic_vector" +b "(g_SPILL_COUNTER_WIDTH-1 DOWNTO 0)" +o 54 +suid 165,0 +) +declText (MLText +uid 10595,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,64600,30000,65500" +st "SIGNAL shutter_cnt_i : std_logic_vector(g_SPILL_COUNTER_WIDTH-1 DOWNTO 0) +" +) +) +*240 (Net +uid 10602,0 +lang 11 +decl (Decl +n "shutter_i" +t "std_logic" +o 55 +suid 166,0 +) +declText (MLText +uid 10603,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,65500,9500,66400" +st "SIGNAL shutter_i : std_logic +" +) +) +*241 (Net +uid 10610,0 +lang 11 +decl (Decl +n "spill_cnt_i" +t "std_logic_vector" +b "(g_SPILL_COUNTER_WIDTH-1 DOWNTO 0)" +o 56 +suid 167,0 +) +declText (MLText +uid 10611,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,66400,30000,67300" +st "SIGNAL spill_cnt_i : std_logic_vector(g_SPILL_COUNTER_WIDTH-1 DOWNTO 0) +" +) +) +*242 (Net +uid 10618,0 +lang 11 +decl (Decl +n "spill_i" +t "std_logic" +o 57 +suid 168,0 +) +declText (MLText +uid 10619,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,67300,9500,68200" +st "SIGNAL spill_i : std_logic +" +) +) +*243 (Net +uid 10626,0 +lang 11 +decl (Decl +n "trigger_cnt_i" +t "std_logic_vector" +b "(g_IPBUS_WIDTH-1 DOWNTO 0)" +o 60 +suid 169,0 +) +declText (MLText +uid 10627,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,70000,26000,70900" +st "SIGNAL trigger_cnt_i : std_logic_vector(g_IPBUS_WIDTH-1 DOWNTO 0) +" +) +) +*244 (SaComponent +uid 11070,0 +optionalChildren [ +*245 (CptPort +uid 11010,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11011,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "164250,43625,165000,44375" +) +tg (CPTG +uid 11012,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11013,0 +va (VaSet +font "courier,8,0" +) +xt "166000,43550,174500,44450" +st "clk_logic_xtal_i" +blo "166000,44250" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "clk_logic_xtal_i" +t "std_logic" +eolc "! 40MHz clock from onboard xtal" +o 5 +suid 1,0 +) +) +) +*246 (CptPort +uid 11014,0 +ps "OnEdgeStrategy" +shape (Diamond +uid 11015,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "164250,36625,165000,37375" +) +tg (CPTG +uid 11016,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11017,0 +va (VaSet +font "courier,8,0" +) +xt "166000,36550,171500,37450" +st "extclk_p_b" +blo "166000,37250" +) +) +thePort (LogicalPort +lang 11 +m 2 +decl (Decl +n "extclk_p_b" +t "std_logic" +eolc "either external clock in, or a clock being driven out" +preAdd 0 +o 11 +suid 2,0 +) +) +) +*247 (CptPort +uid 11018,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11019,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "196000,35625,196750,36375" +) +tg (CPTG +uid 11020,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 11021,0 +va (VaSet +font "courier,8,0" +) +xt "187500,35550,195000,36450" +st "clk_4x_logic_o" +ju 2 +blo "195000,36250" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "clk_4x_logic_o" +t "std_logic" +eolc "160MHz clock" +preAdd 0 +o 7 +suid 3,0 +) +) +) +*248 (CptPort +uid 11022,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11023,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "196000,38625,196750,39375" +) +tg (CPTG +uid 11024,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 11025,0 +va (VaSet +font "courier,8,0" +) +xt "186000,38550,195000,39450" +st "strobe_4x_logic_o" +ju 2 +blo "195000,39250" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "strobe_4x_logic_o" +t "std_logic" +eolc "-- one pulse every 4 cycles of clk_4x" +preAdd 0 +posAdd 0 +o 10 +suid 4,0 +) +) +) +*249 (CptPort +uid 11026,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11027,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "196000,41625,196750,42375" +) +tg (CPTG +uid 11028,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 11029,0 +va (VaSet +font "courier,8,0" +) +xt "187000,41550,195000,42450" +st "clk_16x_logic_o" +ju 2 +blo "195000,42250" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "clk_16x_logic_o" +t "std_logic" +eolc "-- 640MHz clock" +posAdd 0 +o 6 +suid 5,0 +) +) +) +*250 (CptPort +uid 11030,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11031,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "196000,43625,196750,44375" +) +tg (CPTG +uid 11032,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 11033,0 +va (VaSet +font "courier,8,0" +) +xt "185500,43550,195000,44450" +st "strobe_16x_logic_o" +ju 2 +blo "195000,44250" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "strobe_16x_logic_o" +t "std_logic" +eolc "-- strobes once every 4 cycles of clk_16x" +posAdd 0 +o 9 +suid 6,0 +) +) +) +*251 (CptPort +uid 11034,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11035,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "164250,46625,165000,47375" +) +tg (CPTG +uid 11036,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11037,0 +va (VaSet +font "courier,8,0" +) +xt "166000,46550,172000,47450" +st "ipbus_clk_i" +blo "166000,47250" +) +) +thePort (LogicalPort +decl (Decl +n "ipbus_clk_i" +t "std_logic" +o 1 +suid 7,0 +) +) +) +*252 (CptPort +uid 11038,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11039,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "164250,50625,165000,51375" +) +tg (CPTG +uid 11040,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11041,0 +va (VaSet +font "courier,8,0" +) +xt "166000,50550,169500,51450" +st "ipbus_i" +blo "166000,51250" +) +) +thePort (LogicalPort +decl (Decl +n "ipbus_i" +t "ipb_wbus" +o 2 +suid 8,0 +) +) +) +*253 (CptPort +uid 11042,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11043,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "196000,46625,196750,47375" +) +tg (CPTG +uid 11044,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 11045,0 +va (VaSet +font "courier,8,0" +) +xt "191500,46550,195000,47450" +st "ipbus_o" +ju 2 +blo "195000,47250" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "ipbus_o" +t "ipb_rbus" +o 8 +suid 9,0 +) +) +) +*254 (CptPort +uid 11046,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11047,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "164250,53625,165000,54375" +) +tg (CPTG +uid 11048,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11049,0 +va (VaSet +font "courier,8,0" +) +xt "166000,53550,173000,54450" +st "ipbus_reset_i" +blo "166000,54250" +) +) +thePort (LogicalPort +decl (Decl +n "ipbus_reset_i" +t "std_logic" +o 3 +suid 10,0 +) +) +) +*255 (CptPort +uid 11050,0 +ps "OnEdgeStrategy" +shape (Diamond +uid 11051,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "164250,39625,165000,40375" +) +tg (CPTG +uid 11052,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11053,0 +va (VaSet +font "courier,8,0" +) +xt "166000,39550,171500,40450" +st "extclk_n_b" +blo "166000,40250" +) +) +thePort (LogicalPort +lang 11 +m 2 +decl (Decl +n "extclk_n_b" +t "std_logic" +o 12 +suid 11,0 +) +) +) +*256 (CptPort +uid 11054,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11055,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "196000,48625,196750,49375" +) +tg (CPTG +uid 11056,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 11057,0 +va (VaSet +font "courier,8,0" +) +xt "189000,48550,195000,49450" +st "clk_logic_o" +ju 2 +blo "195000,49250" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "clk_logic_o" +t "std_logic" +o 13 +suid 13,0 +) +) +) +*257 (CptPort +uid 11058,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11059,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "196000,51625,196750,52375" +) +tg (CPTG +uid 11060,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 11061,0 +va (VaSet +font "courier,8,0" +) +xt "184000,51550,195000,52450" +st "logic_clocks_locked_o" +ju 2 +blo "195000,52250" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "logic_clocks_locked_o" +t "std_logic" +o 14 +suid 14,0 +) +) +) +*258 (CptPort +uid 11062,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11063,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "196000,54625,196750,55375" +) +tg (CPTG +uid 11064,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 11065,0 +va (VaSet +font "courier,8,0" +) +xt "188000,54550,195000,55450" +st "logic_reset_o" +ju 2 +blo "195000,55250" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "logic_reset_o" +t "std_logic" +eolc "Goes high to reset counters etc. Sync with clk_4x_logic" +o 15 +suid 15,0 +) +) +) +*259 (CptPort +uid 11066,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11067,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "164250,54625,165000,55375" +) +tg (CPTG +uid 11068,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11069,0 +va (VaSet +font "courier,8,0" +) +xt "166000,54550,169500,55450" +st "Reset_i" +blo "166000,55250" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "Reset_i" +t "std_logic" +o 4 +suid 16,0 +) +) +) +] +shape (Rectangle +uid 11071,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "165000,35000,196000,60000" +) +oxt "11000,20000,42000,41000" +ttg (MlTextGroup +uid 11072,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*260 (Text +uid 11073,0 +va (VaSet +font "courier,8,1" +) +xt "176750,37100,178750,38000" +st "work" +blo "176750,37800" +tm "BdLibraryNameMgr" +) +*261 (Text +uid 11074,0 +va (VaSet +font "courier,8,1" +) +xt "176750,38000,183250,38900" +st "logic_clocks" +blo "176750,38700" +tm "CptNameMgr" +) +*262 (Text +uid 11075,0 +va (VaSet +font "courier,8,1" +) +xt "176750,38900,177750,39800" +st "I6" +blo "176750,39600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 11076,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 11077,0 +text (MLText +uid 11078,0 +va (VaSet +font "courier,8,0" +) +xt "175000,34000,175000,34000" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +uid 11079,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "165250,58250,166750,59750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +ordering 1 +viewiconposition 0 +portVis (PortSigDisplay +sIVOD 1 +) +archFileType "UNKNOWN" +) +*263 (MWC +uid 11097,0 +optionalChildren [ +*264 (CptPort +uid 11088,0 +optionalChildren [ +*265 (Line +uid 11092,0 +layer 5 +sl 0 +va (VaSet +vasetType 3 +) +xt "154000,55000,155000,55000" +pts [ +"154000,55000" +"155000,55000" +] +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 11089,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,65535" +) +xt "153250,54625,154000,55375" +) +tg (CPTG +uid 11090,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11091,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "358964,483595,360964,484495" +st "dout" +blo "358964,484295" +) +s (Text +uid 11106,0 +sl 0 +va (VaSet +font "courier,8,0" +) +xt "358964,484495,358964,484495" +blo "358964,484495" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "dout" +t "std_logic" +eolc "Goes high to reset counters etc. Sync with clk_4x_logic" +o 48 +suid 1,0 +) +) +) +*266 (CommentGraphic +uid 11093,0 +shape (PolyLine2D +pts [ +"155000,55000" +"155000,56000" +] +uid 11094,0 +layer 0 +sl 0 +va (VaSet +vasetType 1 +transparent 1 +fg "49152,49152,49152" +) +xt "155000,55000,155000,56000" +) +oxt "7000,7000,7000,8000" +) +*267 (CommentGraphic +uid 11095,0 +shape (CustomPolygon +pts [ +"154000,56000" +"156000,56000" +"155000,57000" +"154000,56000" +] +uid 11096,0 +layer 0 +sl 0 +va (VaSet +vasetType 1 +fg "32768,32768,32768" +bg "0,0,0" +lineWidth -1 +) +xt "154000,56000,156000,57000" +) +oxt "6000,8000,8000,9000" +) +] +shape (Rectangle +uid 11098,0 +va (VaSet +vasetType 1 +transparent 1 +fg "0,65535,0" +lineColor "65535,65535,65535" +lineWidth -1 +) +xt "154000,54000,157000,57000" +fos 1 +) +showPorts 0 +oxt "6000,6000,9000,9000" +ttg (MlTextGroup +uid 11099,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*268 (Text +uid 11100,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "156450,54795,161950,55695" +st "moduleware" +blo "156450,55495" +) +*269 (Text +uid 11101,0 +va (VaSet +font "courier,8,0" +) +xt "156450,55695,157950,56595" +st "gnd" +blo "156450,56395" +) +*270 (Text +uid 11102,0 +va (VaSet +font "courier,8,0" +) +xt "156450,56595,157450,57495" +st "I9" +blo "156450,57295" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 11103,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 11104,0 +text (MLText +uid 11105,0 +va (VaSet +font "courier,8,0" +) +xt "149000,34300,149000,34300" +) +header "" +) +elements [ +] +) +sed 1 +awe 1 +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +selT 0 +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +*271 (MWC +uid 11116,0 +optionalChildren [ +*272 (CptPort +uid 11107,0 +optionalChildren [ +*273 (Line +uid 11111,0 +layer 5 +sl 0 +va (VaSet +vasetType 3 +) +xt "87000,105000,89000,105000" +pts [ +"89000,105000" +"87000,105000" +] +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 11108,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,65535" +) +xt "89000,104625,89750,105375" +) +tg (CPTG +uid 11109,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 11110,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "89964,104595,91964,105495" +st "dout" +ju 2 +blo "91964,105295" +) +s (Text +uid 11125,0 +sl 0 +va (VaSet +font "courier,8,0" +) +xt "91964,105495,91964,105495" +ju 2 +blo "91964,105495" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "dout" +t "std_logic_vector" +b "(g_IPBUS_WIDTH-1 DOWNTO 0)" +o 60 +suid 1,0 +) +) +) +*274 (CommentGraphic +uid 11112,0 +shape (PolyLine2D +pts [ +"87000,105000" +"87000,106000" +] +uid 11113,0 +layer 0 +sl 0 +va (VaSet +vasetType 1 +transparent 1 +fg "49152,49152,49152" +) +xt "87000,105000,87000,106000" +) +oxt "7000,7000,7000,8000" +) +*275 (CommentGraphic +uid 11114,0 +shape (CustomPolygon +pts [ +"86000,106000" +"88000,106000" +"87000,107000" +"86000,106000" +] +uid 11115,0 +layer 0 +sl 0 +va (VaSet +vasetType 1 +fg "32768,32768,32768" +bg "0,0,0" +lineWidth -1 +) +xt "86000,106000,88000,107000" +) +oxt "6000,8000,8000,9000" +) +] +shape (Rectangle +uid 11117,0 +va (VaSet +vasetType 1 +transparent 1 +fg "0,65535,0" +lineColor "65535,65535,65535" +lineWidth -1 +) +xt "86000,104000,89000,107000" +fos 1 +) +showPorts 0 +oxt "6000,6000,9000,9000" +ttg (MlTextGroup +uid 11118,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*276 (Text +uid 11119,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "88450,104795,93950,105695" +st "moduleware" +blo "88450,105495" +) +*277 (Text +uid 11120,0 +va (VaSet +font "courier,8,0" +) +xt "88450,105695,89950,106595" +st "gnd" +blo "88450,106395" +) +*278 (Text +uid 11121,0 +va (VaSet +font "courier,8,0" +) +xt "88450,106595,89950,107495" +st "I10" +blo "88450,107295" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 11122,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 11123,0 +text (MLText +uid 11124,0 +va (VaSet +font "courier,8,0" +) +xt "81000,84300,81000,84300" +) +header "" +) +elements [ +] +) +sed 1 +awe 1 +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +selT 0 +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +*279 (MWC +uid 11135,0 +optionalChildren [ +*280 (CptPort +uid 11126,0 +optionalChildren [ +*281 (Line +uid 11130,0 +layer 5 +sl 0 +va (VaSet +vasetType 3 +) +xt "87000,102000,89000,102000" +pts [ +"89000,102000" +"87000,102000" +] +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 11127,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,65535" +) +xt "89000,101625,89750,102375" +) +tg (CPTG +uid 11128,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 11129,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "89964,101595,91964,102495" +st "dout" +ju 2 +blo "91964,102295" +) +s (Text +uid 11144,0 +sl 0 +va (VaSet +font "courier,8,0" +) +xt "91964,102495,91964,102495" +ju 2 +blo "91964,102495" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "dout" +t "std_logic" +o 57 +suid 1,0 +) +) +) +*282 (CommentGraphic +uid 11131,0 +shape (PolyLine2D +pts [ +"87000,102000" +"87000,103000" +] +uid 11132,0 +layer 0 +sl 0 +va (VaSet +vasetType 1 +transparent 1 +fg "49152,49152,49152" +) +xt "87000,102000,87000,103000" +) +oxt "7000,7000,7000,8000" +) +*283 (CommentGraphic +uid 11133,0 +shape (CustomPolygon +pts [ +"86000,103000" +"88000,103000" +"87000,104000" +"86000,103000" +] +uid 11134,0 +layer 0 +sl 0 +va (VaSet +vasetType 1 +fg "32768,32768,32768" +bg "0,0,0" +lineWidth -1 +) +xt "86000,103000,88000,104000" +) +oxt "6000,8000,8000,9000" +) +] +shape (Rectangle +uid 11136,0 +va (VaSet +vasetType 1 +transparent 1 +fg "0,65535,0" +lineColor "65535,65535,65535" +lineWidth -1 +) +xt "86000,101000,89000,104000" +fos 1 +) +showPorts 0 +oxt "6000,6000,9000,9000" +ttg (MlTextGroup +uid 11137,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*284 (Text +uid 11138,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "88450,101795,93950,102695" +st "moduleware" +blo "88450,102495" +) +*285 (Text +uid 11139,0 +va (VaSet +font "courier,8,0" +) +xt "88450,102695,89950,103595" +st "gnd" +blo "88450,103395" +) +*286 (Text +uid 11140,0 +va (VaSet +font "courier,8,0" +) +xt "88450,103595,89950,104495" +st "I11" +blo "88450,104295" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 11141,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 11142,0 +text (MLText +uid 11143,0 +va (VaSet +font "courier,8,0" +) +xt "81000,81300,81000,81300" +) +header "" +) +elements [ +] +) +sed 1 +awe 1 +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +selT 0 +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +*287 (MWC +uid 11154,0 +optionalChildren [ +*288 (CptPort +uid 11145,0 +optionalChildren [ +*289 (Line +uid 11149,0 +layer 5 +sl 0 +va (VaSet +vasetType 3 +) +xt "87000,99000,89000,99000" +pts [ +"89000,99000" +"87000,99000" +] +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 11146,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,65535" +) +xt "89000,98625,89750,99375" +) +tg (CPTG +uid 11147,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 11148,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "89964,98595,91964,99495" +st "dout" +ju 2 +blo "91964,99295" +) +s (Text +uid 11163,0 +sl 0 +va (VaSet +font "courier,8,0" +) +xt "91964,99495,91964,99495" +ju 2 +blo "91964,99495" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "dout" +t "std_logic_vector" +b "(g_SPILL_COUNTER_WIDTH-1 DOWNTO 0)" +o 56 +suid 1,0 +) +) +) +*290 (CommentGraphic +uid 11150,0 +shape (PolyLine2D +pts [ +"87000,99000" +"87000,100000" +] +uid 11151,0 +layer 0 +sl 0 +va (VaSet +vasetType 1 +transparent 1 +fg "49152,49152,49152" +) +xt "87000,99000,87000,100000" +) +oxt "7000,7000,7000,8000" +) +*291 (CommentGraphic +uid 11152,0 +shape (CustomPolygon +pts [ +"86000,100000" +"88000,100000" +"87000,101000" +"86000,100000" +] +uid 11153,0 +layer 0 +sl 0 +va (VaSet +vasetType 1 +fg "32768,32768,32768" +bg "0,0,0" +lineWidth -1 +) +xt "86000,100000,88000,101000" +) +oxt "6000,8000,8000,9000" +) +] +shape (Rectangle +uid 11155,0 +va (VaSet +vasetType 1 +transparent 1 +fg "0,65535,0" +lineColor "65535,65535,65535" +lineWidth -1 +) +xt "86000,98000,89000,101000" +fos 1 +) +showPorts 0 +oxt "6000,6000,9000,9000" +ttg (MlTextGroup +uid 11156,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*292 (Text +uid 11157,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "88450,98795,93950,99695" +st "moduleware" +blo "88450,99495" +) +*293 (Text +uid 11158,0 +va (VaSet +font "courier,8,0" +) +xt "88450,99695,89950,100595" +st "gnd" +blo "88450,100395" +) +*294 (Text +uid 11159,0 +va (VaSet +font "courier,8,0" +) +xt "88450,100595,89950,101495" +st "I12" +blo "88450,101295" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 11160,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 11161,0 +text (MLText +uid 11162,0 +va (VaSet +font "courier,8,0" +) +xt "81000,78300,81000,78300" +) +header "" +) +elements [ +] +) +sed 1 +awe 1 +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +selT 0 +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +*295 (MWC +uid 11173,0 +optionalChildren [ +*296 (CptPort +uid 11164,0 +optionalChildren [ +*297 (Line +uid 11168,0 +layer 5 +sl 0 +va (VaSet +vasetType 3 +) +xt "87000,96000,89000,96000" +pts [ +"89000,96000" +"87000,96000" +] +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 11165,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,65535" +) +xt "89000,95625,89750,96375" +) +tg (CPTG +uid 11166,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 11167,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "89964,95595,91964,96495" +st "dout" +ju 2 +blo "91964,96295" +) +s (Text +uid 11182,0 +sl 0 +va (VaSet +font "courier,8,0" +) +xt "91964,96495,91964,96495" +ju 2 +blo "91964,96495" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "dout" +t "std_logic" +o 55 +suid 1,0 +) +) +) +*298 (CommentGraphic +uid 11169,0 +shape (PolyLine2D +pts [ +"87000,96000" +"87000,97000" +] +uid 11170,0 +layer 0 +sl 0 +va (VaSet +vasetType 1 +transparent 1 +fg "49152,49152,49152" +) +xt "87000,96000,87000,97000" +) +oxt "7000,7000,7000,8000" +) +*299 (CommentGraphic +uid 11171,0 +shape (CustomPolygon +pts [ +"86000,97000" +"88000,97000" +"87000,98000" +"86000,97000" +] +uid 11172,0 +layer 0 +sl 0 +va (VaSet +vasetType 1 +fg "32768,32768,32768" +bg "0,0,0" +lineWidth -1 +) +xt "86000,97000,88000,98000" +) +oxt "6000,8000,8000,9000" +) +] +shape (Rectangle +uid 11174,0 +va (VaSet +vasetType 1 +transparent 1 +fg "0,65535,0" +lineColor "65535,65535,65535" +lineWidth -1 +) +xt "86000,95000,89000,98000" +fos 1 +) +showPorts 0 +oxt "6000,6000,9000,9000" +ttg (MlTextGroup +uid 11175,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*300 (Text +uid 11176,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "88450,95795,93950,96695" +st "moduleware" +blo "88450,96495" +) +*301 (Text +uid 11177,0 +va (VaSet +font "courier,8,0" +) +xt "88450,96695,89950,97595" +st "gnd" +blo "88450,97395" +) +*302 (Text +uid 11178,0 +va (VaSet +font "courier,8,0" +) +xt "88450,97595,89950,98495" +st "I13" +blo "88450,98295" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 11179,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 11180,0 +text (MLText +uid 11181,0 +va (VaSet +font "courier,8,0" +) +xt "81000,75300,81000,75300" +) +header "" +) +elements [ +] +) +sed 1 +awe 1 +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +selT 0 +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +*303 (MWC +uid 11192,0 +optionalChildren [ +*304 (CptPort +uid 11183,0 +optionalChildren [ +*305 (Line +uid 11187,0 +layer 5 +sl 0 +va (VaSet +vasetType 3 +) +xt "87000,93000,89000,93000" +pts [ +"89000,93000" +"87000,93000" +] +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 11184,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,65535" +) +xt "89000,92625,89750,93375" +) +tg (CPTG +uid 11185,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 11186,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "266964,277595,268964,278495" +st "dout" +ju 2 +blo "268964,278295" +) +s (Text +uid 11201,0 +sl 0 +va (VaSet +font "courier,8,0" +) +xt "268964,278495,268964,278495" +ju 2 +blo "268964,278495" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "dout" +t "std_logic_vector" +b "(g_SPILL_COUNTER_WIDTH-1 DOWNTO 0)" +o 54 +suid 1,0 +) +) +) +*306 (CommentGraphic +uid 11188,0 +shape (PolyLine2D +pts [ +"87000,93000" +"87000,94000" +] +uid 11189,0 +layer 0 +sl 0 +va (VaSet +vasetType 1 +transparent 1 +fg "49152,49152,49152" +) +xt "87000,93000,87000,94000" +) +oxt "7000,7000,7000,8000" +) +*307 (CommentGraphic +uid 11190,0 +shape (CustomPolygon +pts [ +"86000,94000" +"88000,94000" +"87000,95000" +"86000,94000" +] +uid 11191,0 +layer 0 +sl 0 +va (VaSet +vasetType 1 +fg "32768,32768,32768" +bg "0,0,0" +lineWidth -1 +) +xt "86000,94000,88000,95000" +) +oxt "6000,8000,8000,9000" +) +] +shape (Rectangle +uid 11193,0 +va (VaSet +vasetType 1 +transparent 1 +fg "0,65535,0" +lineColor "65535,65535,65535" +lineWidth -1 +) +xt "86000,92000,89000,95000" +fos 1 +) +showPorts 0 +oxt "6000,6000,9000,9000" +ttg (MlTextGroup +uid 11194,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*308 (Text +uid 11195,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "88450,92795,93950,93695" +st "moduleware" +blo "88450,93495" +) +*309 (Text +uid 11196,0 +va (VaSet +font "courier,8,0" +) +xt "88450,93695,89950,94595" +st "gnd" +blo "88450,94395" +) +*310 (Text +uid 11197,0 +va (VaSet +font "courier,8,0" +) +xt "88450,94595,89950,95495" +st "I14" +blo "88450,95295" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 11198,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 11199,0 +text (MLText +uid 11200,0 +va (VaSet +font "courier,8,0" +) +xt "81000,72300,81000,72300" +) +header "" +) +elements [ +] +) +sed 1 +awe 1 +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +selT 0 +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +*311 (Net +uid 11202,0 +decl (Decl +n "logic_clocks_reset" +t "std_logic" +eolc "Goes high to reset counters etc. Sync with clk_4x_logic" +o 48 +suid 171,0 +) +declText (MLText +uid 11203,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,59200,39000,60100" +st "SIGNAL logic_clocks_reset : std_logic -- Goes high to reset counters etc. Sync with clk_4x_logic +" +) +) +*312 (PortIoOut +uid 11458,0 +shape (CompositeShape +uid 11459,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 11460,0 +sl 0 +ro 270 +xt "73500,151625,75000,152375" +) +(Line +uid 11461,0 +sl 0 +ro 270 +xt "73000,152000,73500,152000" +pts [ +"73000,152000" +"73500,152000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 11462,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 11463,0 +va (VaSet +font "courier,8,0" +) +xt "76000,151550,80000,152450" +st "gpio_hdr" +blo "76000,152250" +tm "WireNameMgr" +) +) +) +*313 (Net +uid 11470,0 +lang 2 +decl (Decl +n "gpio_hdr" +t "std_logic_vector" +b "(7 DOWNTO 0)" +o 20 +suid 172,0 +) +declText (MLText +uid 11471,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,33100,15500,34000" +st "gpio_hdr : std_logic_vector(7 DOWNTO 0) +" +) +) +*314 (SaComponent +uid 11619,0 +optionalChildren [ +*315 (CptPort +uid 11542,0 +optionalChildren [ +*316 (FFT +pts [ +"13750,127000" +"13000,127375" +"13000,126625" +] +uid 11546,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "13000,126625,13750,127375" +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 11543,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "12250,126625,13000,127375" +) +tg (CPTG +uid 11544,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11545,0 +va (VaSet +font "courier,8,0" +) +xt "14000,126550,20500,127450" +st "clk_4x_logic" +blo "14000,127250" +) +) +thePort (LogicalPort +lang 2 +decl (Decl +n "clk_4x_logic" +t "std_logic" +eolc "! Rising edge active" +preAdd 0 +posAdd 0 +o 3 +suid 1,0 +) +) +) +*317 (CptPort +uid 11547,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11548,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "12250,128625,13000,129375" +) +tg (CPTG +uid 11549,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11550,0 +va (VaSet +font "courier,8,0" +) +xt "14000,128550,23000,129450" +st "strobe_4x_logic_i" +blo "14000,129250" +) +) +thePort (LogicalPort +lang 2 +decl (Decl +n "strobe_4x_logic_i" +t "std_logic" +eolc "! Pulses high once every 4 cycles of clk_4x_logic" +preAdd 0 +posAdd 0 +o 4 +suid 2,0 +) +) +) +*318 (CptPort +uid 11551,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11552,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "12250,135625,13000,136375" +) +tg (CPTG +uid 11553,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11554,0 +va (VaSet +font "courier,8,0" +) +xt "14000,135550,34500,136450" +st "threshold_discr_p_i : (g_NUM_INPUTS-1:0)" +blo "14000,136250" +) +) +thePort (LogicalPort +lang 2 +decl (Decl +n "threshold_discr_p_i" +t "std_logic_vector" +b "(g_NUM_INPUTS-1 DOWNTO 0)" +eolc "! inputs from threshold comparators" +preAdd 0 +posAdd 0 +o 5 +suid 3,0 +) +) +) +*319 (CptPort +uid 11555,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11556,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "12250,139625,13000,140375" +) +tg (CPTG +uid 11557,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11558,0 +va (VaSet +font "courier,8,0" +) +xt "14000,139550,31500,140450" +st "cfd_discr_p_i : (g_NUM_INPUTS-1:0)" +blo "14000,140250" +) +) +thePort (LogicalPort +lang 2 +decl (Decl +n "cfd_discr_p_i" +t "std_logic_vector" +b "(g_NUM_INPUTS-1 DOWNTO 0)" +posAdd 0 +o 1 +suid 4,0 +) +) +) +*320 (CptPort +uid 11559,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11560,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "58000,129625,58750,130375" +) +tg (CPTG +uid 11561,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 11562,0 +va (VaSet +font "courier,8,0" +) +xt "38500,129550,57000,130450" +st "trigger_times_o : (g_NUM_INPUTS-1:0)" +ju 2 +blo "57000,130250" +) +) +thePort (LogicalPort +lang 2 +m 1 +decl (Decl +n "trigger_times_o" +t "t_triggerTimeArray" +b "(g_NUM_INPUTS-1 DOWNTO 0)" +eolc "! trigger arrival time ( w.r.t. logic_strobe)" +preAdd 0 +posAdd 0 +o 7 +suid 5,0 +) +) +) +*321 (CptPort +uid 11563,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11564,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "58000,137625,58750,138375" +) +tg (CPTG +uid 11565,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 11566,0 +va (VaSet +font "courier,8,0" +) +xt "41500,137550,57000,138450" +st "trigger_o : (g_NUM_INPUTS-1:0)" +ju 2 +blo "57000,138250" +) +) +thePort (LogicalPort +lang 2 +m 1 +decl (Decl +n "trigger_o" +t "std_logic_vector" +b "(g_NUM_INPUTS-1 DOWNTO 0)" +eolc "! High when trigger active" +o 8 +suid 7,0 +) +) +) +*322 (CptPort +uid 11567,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11568,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "12250,144625,13000,145375" +) +tg (CPTG +uid 11569,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11570,0 +va (VaSet +font "courier,8,0" +) +xt "14000,144550,20000,145450" +st "ipbus_clk_i" +blo "14000,145250" +) +) +thePort (LogicalPort +lang 2 +decl (Decl +n "ipbus_clk_i" +t "std_logic" +preAdd 0 +o 14 +suid 8,0 +) +) +) +*323 (CptPort +uid 11571,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11572,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "12250,147625,13000,148375" +) +tg (CPTG +uid 11573,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11574,0 +va (VaSet +font "courier,8,0" +) +xt "14000,147550,17500,148450" +st "ipbus_i" +blo "14000,148250" +) +) +thePort (LogicalPort +lang 2 +decl (Decl +n "ipbus_i" +t "ipb_wbus" +eolc "Signals from IPBus core to slave" +o 16 +suid 9,0 +) +) +) +*324 (CptPort +uid 11575,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11576,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "58000,146625,58750,147375" +) +tg (CPTG +uid 11577,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 11578,0 +va (VaSet +font "courier,8,0" +) +xt "53500,146550,57000,147450" +st "ipbus_o" +ju 2 +blo "57000,147250" +) +) +thePort (LogicalPort +lang 2 +m 1 +decl (Decl +n "ipbus_o" +t "ipb_rbus" +eolc "signals from slave to IPBus core" +o 17 +suid 10,0 +) +) +) +*325 (CptPort +uid 11579,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11580,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "12250,149625,13000,150375" +) +tg (CPTG +uid 11581,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11582,0 +va (VaSet +font "courier,8,0" +) +xt "14000,149550,21000,150450" +st "ipbus_reset_i" +blo "14000,150250" +) +) +thePort (LogicalPort +lang 2 +decl (Decl +n "ipbus_reset_i" +t "std_logic" +o 15 +suid 11,0 +) +) +) +*326 (CptPort +uid 11583,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11584,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "12250,131625,13000,132375" +) +tg (CPTG +uid 11585,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11586,0 +va (VaSet +font "courier,8,0" +) +xt "14000,131550,22000,132450" +st "clk_16x_logic_i" +blo "14000,132250" +) +) +thePort (LogicalPort +lang 2 +decl (Decl +n "clk_16x_logic_i" +t "std_logic" +eolc "--! 640MHz clock ( 16x 40MHz )" +o 18 +suid 13,0 +) +) +) +*327 (CptPort +uid 11587,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11588,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "12250,133625,13000,134375" +) +tg (CPTG +uid 11589,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11590,0 +va (VaSet +font "courier,8,0" +) +xt "14000,133550,23500,134450" +st "strobe_16x_logic_i" +blo "14000,134250" +) +) +thePort (LogicalPort +lang 2 +decl (Decl +n "strobe_16x_logic_i" +t "std_logic" +eolc "--! Pulses one cycle every 4 of 16x clock." +o 19 +suid 14,0 +) +) +) +*328 (CptPort +uid 11591,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11592,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "12250,140625,13000,141375" +) +tg (CPTG +uid 11593,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11594,0 +va (VaSet +font "courier,8,0" +) +xt "14000,140550,31500,141450" +st "cfd_discr_n_i : (g_NUM_INPUTS-1:0)" +blo "14000,141250" +) +) +thePort (LogicalPort +lang 2 +decl (Decl +n "cfd_discr_n_i" +t "std_logic_vector" +b "(g_NUM_INPUTS-1 DOWNTO 0)" +posAdd 0 +o 2 +suid 15,0 +) +) +) +*329 (CptPort +uid 11595,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11596,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "12250,136625,13000,137375" +) +tg (CPTG +uid 11597,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11598,0 +va (VaSet +font "courier,8,0" +) +xt "14000,136550,34500,137450" +st "threshold_discr_n_i : (g_NUM_INPUTS-1:0)" +blo "14000,137250" +) +) +thePort (LogicalPort +lang 2 +decl (Decl +n "threshold_discr_n_i" +t "std_logic_vector" +b "(g_NUM_INPUTS-1 DOWNTO 0)" +eolc "! inputs from threshold comparators" +preAdd 0 +posAdd 0 +o 6 +suid 16,0 +) +) +) +*330 (CptPort +uid 11599,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11600,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "58000,147625,58750,148375" +) +tg (CPTG +uid 11601,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 11602,0 +va (VaSet +font "courier,8,0" +) +xt "39000,147550,57000,148450" +st "edge_falling_o : (g_NUM_INPUTS-1:0)" +ju 2 +blo "57000,148250" +) +) +thePort (LogicalPort +lang 2 +m 1 +decl (Decl +n "edge_falling_o" +t "std_logic_vector" +b "(g_NUM_INPUTS-1 DOWNTO 0)" +eolc "-- ! High when falling edge" +preAdd 0 +posAdd 0 +o 13 +suid 17,0 +) +) +) +*331 (CptPort +uid 11603,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11604,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "58000,148625,58750,149375" +) +tg (CPTG +uid 11605,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 11606,0 +va (VaSet +font "courier,8,0" +) +xt "36000,148550,57000,149450" +st "edge_falling_times_o : (g_NUM_INPUTS-1:0)" +ju 2 +blo "57000,149250" +) +) +thePort (LogicalPort +lang 2 +m 1 +decl (Decl +n "edge_falling_times_o" +t "t_triggerTimeArray" +b "(g_NUM_INPUTS-1 DOWNTO 0)" +eolc "-- ! edge arrival time ( w.r.t. logic_strobe)" +preAdd 0 +posAdd 0 +o 11 +suid 18,0 +) +) +) +*332 (CptPort +uid 11607,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11608,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "58000,149625,58750,150375" +) +tg (CPTG +uid 11609,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 11610,0 +va (VaSet +font "courier,8,0" +) +xt "39500,149550,57000,150450" +st "edge_rising_o : (g_NUM_INPUTS-1:0)" +ju 2 +blo "57000,150250" +) +) +thePort (LogicalPort +lang 2 +m 1 +decl (Decl +n "edge_rising_o" +t "std_logic_vector" +b "(g_NUM_INPUTS-1 DOWNTO 0)" +eolc "-- ! High when rising edge" +preAdd 0 +posAdd 0 +o 12 +suid 19,0 +) +) +) +*333 (CptPort +uid 11611,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11612,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "58000,150625,58750,151375" +) +tg (CPTG +uid 11613,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 11614,0 +va (VaSet +font "courier,8,0" +) +xt "36500,150550,57000,151450" +st "edge_rising_times_o : (g_NUM_INPUTS-1:0)" +ju 2 +blo "57000,151250" +) +) +thePort (LogicalPort +lang 2 +m 1 +decl (Decl +n "edge_rising_times_o" +t "t_triggerTimeArray" +b "(g_NUM_INPUTS-1 DOWNTO 0)" +eolc "-- ! edge arrival time ( w.r.t. logic_strobe)" +preAdd 0 +posAdd 0 +o 10 +suid 20,0 +) +) +) +*334 (CptPort +uid 11615,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11616,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "58000,151625,58750,152375" +) +tg (CPTG +uid 11617,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 11618,0 +va (VaSet +font "courier,8,0" +) +xt "35500,151550,57000,152450" +st "trigger_debug_o : (((2*g_NUM_INPUTS)-1):0)" +ju 2 +blo "57000,152250" +) +) +thePort (LogicalPort +lang 2 +m 1 +decl (Decl +n "trigger_debug_o" +t "std_logic_vector" +b "( ((2*g_NUM_INPUTS)-1) DOWNTO 0)" +eolc "-- ! Copy of input trigger level. High bits CFD, Low threshold" +posAdd 0 +o 9 +suid 21,0 +) +) +) +] +shape (Rectangle +uid 11620,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "13000,126000,58000,153000" +) +oxt "7000,16000,52000,43000" +ttg (MlTextGroup +uid 11621,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*335 (Text +uid 11622,0 +va (VaSet +font "courier,8,1" +) +xt "29750,141100,31750,142000" +st "work" +blo "29750,141800" +tm "BdLibraryNameMgr" +) +*336 (Text +uid 11623,0 +va (VaSet +font "courier,8,1" +) +xt "29750,142000,36750,142900" +st "triggerInputs" +blo "29750,142700" +tm "CptNameMgr" +) +*337 (Text +uid 11624,0 +va (VaSet +font "courier,8,1" +) +xt "29750,142900,30750,143800" +st "I1" +blo "29750,143600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 11625,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 11626,0 +text (MLText +uid 11627,0 +va (VaSet +font "courier,8,0" +) +xt "26000,124100,51000,125000" +st "g_NUM_INPUTS = g_NUM_TRIG_INPUTS ( natural ) " +) +header "" +) +elements [ +(GiElement +name "g_NUM_INPUTS" +type "natural" +value "g_NUM_TRIG_INPUTS" +) +] +) +viewicon (ZoomableIcon +uid 11628,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "13250,151250,14750,152750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +ordering 1 +viewiconposition 0 +portVis (PortSigDisplay +sIVOD 1 +) +archFileType "UNKNOWN" +) +*338 (Wire +uid 29,0 +shape (OrthoPolyLine +uid 30,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "5000,140000,12250,140000" +pts [ +"5000,140000" +"12250,140000" +] +) +start &1 +end &319 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 33,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 34,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "7000,139100,14000,140000" +st "cfd_discr_p_i" +blo "7000,139800" +tm "WireNameMgr" +) +) +on &2 +) +*339 (Wire +uid 57,0 +shape (OrthoPolyLine +uid 58,0 +va (VaSet +vasetType 3 +) +xt "128750,18000,135000,18000" +pts [ +"135000,18000" +"128750,18000" +] +) +start &3 +end &62 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 61,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 62,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "134000,17100,141500,18000" +st "gmii_gtx_clk_o" +blo "134000,17800" +tm "WireNameMgr" +) +) +on &4 +) +*340 (Wire +uid 71,0 +shape (OrthoPolyLine +uid 72,0 +va (VaSet +vasetType 3 +) +xt "80000,10000,94250,10000" +pts [ +"80000,10000" +"94250,10000" +] +) +start &5 +end &54 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 75,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 76,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "82000,9100,89000,10000" +st "gmii_rx_clk_i" +blo "82000,9800" +tm "WireNameMgr" +) +) +on &6 +) +*341 (Wire +uid 85,0 +shape (OrthoPolyLine +uid 86,0 +va (VaSet +vasetType 3 +) +xt "80000,13000,94250,13000" +pts [ +"80000,13000" +"94250,13000" +] +) +start &7 +end &55 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 89,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 90,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "82000,12100,88500,13000" +st "gmii_rx_dv_i" +blo "82000,12800" +tm "WireNameMgr" +) +) +on &8 +) +*342 (Wire +uid 99,0 +shape (OrthoPolyLine +uid 100,0 +va (VaSet +vasetType 3 +) +xt "80000,16000,94250,16000" +pts [ +"80000,16000" +"94250,16000" +] +) +start &9 +end &56 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 103,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 104,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "82000,15100,88500,16000" +st "gmii_rx_er_i" +blo "82000,15800" +tm "WireNameMgr" +) +) +on &10 +) +*343 (Wire +uid 113,0 +shape (OrthoPolyLine +uid 114,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "80000,19000,94250,19000" +pts [ +"80000,19000" +"94250,19000" +] +) +start &11 +end &57 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 117,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 118,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "82000,18100,87500,19000" +st "gmii_rxd_i" +blo "82000,18800" +tm "WireNameMgr" +) +) +on &12 +) +*344 (Wire +uid 127,0 +shape (OrthoPolyLine +uid 128,0 +va (VaSet +vasetType 3 +) +xt "128750,9000,135000,9000" +pts [ +"135000,9000" +"128750,9000" +] +) +start &13 +end &58 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 131,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 132,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "134000,8100,140500,9000" +st "gmii_tx_en_o" +blo "134000,8800" +tm "WireNameMgr" +) +) +on &14 +) +*345 (Wire +uid 141,0 +shape (OrthoPolyLine +uid 142,0 +va (VaSet +vasetType 3 +) +xt "128750,11000,135000,11000" +pts [ +"135000,11000" +"128750,11000" +] +) +start &15 +end &59 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 145,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 146,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "134000,10100,140500,11000" +st "gmii_tx_er_o" +blo "134000,10800" +tm "WireNameMgr" +) +) +on &16 +) +*346 (Wire +uid 155,0 +shape (OrthoPolyLine +uid 156,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "128750,14000,135000,14000" +pts [ +"135000,14000" +"128750,14000" +] +) +start &17 +end &60 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 159,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 160,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "134000,13100,139500,14000" +st "gmii_txd_o" +blo "134000,13800" +tm "WireNameMgr" +) +) +on &18 +) +*347 (Wire +uid 169,0 +shape (OrthoPolyLine +uid 170,0 +va (VaSet +vasetType 3 +) +xt "211750,15000,217000,15000" +pts [ +"217000,15000" +"211750,15000" +] +) +start &155 +sat 32 +eat 16 +st 0 +sf 1 +si 0 +tg (WTG +uid 173,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 174,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "216000,14100,220500,15000" +st "i2c_scl_b" +blo "216000,14800" +tm "WireNameMgr" +) +) +on &19 +) +*348 (Wire +uid 183,0 +shape (OrthoPolyLine +uid 184,0 +va (VaSet +vasetType 3 +) +xt "211750,13000,217000,13000" +pts [ +"217000,13000" +"211750,13000" +] +) +start &20 +sat 32 +eat 16 +st 0 +sf 1 +si 0 +tg (WTG +uid 187,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 188,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "219000,12100,223500,13000" +st "i2c_sda_b" +blo "219000,12800" +tm "WireNameMgr" +) +) +on &21 +) +*349 (Wire +uid 211,0 +shape (OrthoPolyLine +uid 212,0 +va (VaSet +vasetType 3 +) +xt "128750,16000,135000,16000" +pts [ +"135000,16000" +"128750,16000" +] +) +start &22 +end &61 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 215,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 216,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "134000,15100,139500,16000" +st "phy_rstb_o" +blo "134000,15800" +tm "WireNameMgr" +) +) +on &23 +) +*350 (Wire +uid 253,0 +shape (OrthoPolyLine +uid 254,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "5000,136000,12250,136000" +pts [ +"5000,136000" +"12250,136000" +] +) +start &24 +end &318 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 257,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 258,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "7000,135100,17000,136000" +st "threshold_discr_p_i" +blo "7000,135800" +tm "WireNameMgr" +) +) +on &25 +) +*351 (Wire +uid 751,0 +shape (OrthoPolyLine +uid 752,0 +va (VaSet +vasetType 3 +) +xt "80000,22000,94250,22000" +pts [ +"80000,22000" +"94250,22000" +] +) +start &37 +end &63 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 755,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 756,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "82000,21100,87500,22000" +st "sysclk_n_i" +blo "82000,21800" +tm "WireNameMgr" +) +) +on &114 +) +*352 (Wire +uid 765,0 +shape (OrthoPolyLine +uid 766,0 +va (VaSet +vasetType 3 +) +xt "80000,25000,94250,25000" +pts [ +"80000,25000" +"94250,25000" +] +) +start &38 +end &64 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 769,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 770,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "82000,24100,87500,25000" +st "sysclk_p_i" +blo "82000,24800" +tm "WireNameMgr" +) +) +on &113 +) +*353 (Wire +uid 1215,0 +shape (OrthoPolyLine +uid 1216,0 +va (VaSet +vasetType 3 +) +xt "-4000,101000,9250,101000" +pts [ +"9250,101000" +"-4000,101000" +] +) +start &139 +sat 32 +eat 16 +st 0 +sf 1 +tg (WTG +uid 1219,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1220,0 +va (VaSet +font "courier,8,0" +) +xt "250,100100,8250,101000" +st "strobe_4x_logic" +blo "250,100800" +tm "WireNameMgr" +) +) +on &81 +) +*354 (Wire +uid 1304,0 +shape (OrthoPolyLine +uid 1305,0 +va (VaSet +vasetType 3 +) +xt "-4000,99000,9250,99000" +pts [ +"-4000,99000" +"9250,99000" +] +) +end &138 +sat 16 +eat 32 +st 0 +sf 1 +tg (WTG +uid 1308,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1309,0 +va (VaSet +font "courier,8,0" +) +xt "-2000,98100,4500,99000" +st "clk_4x_logic" +blo "-2000,98800" +tm "WireNameMgr" +) +) +on &39 +) +*355 (Wire +uid 1359,0 +shape (OrthoPolyLine +uid 1360,0 +va (VaSet +vasetType 3 +) +xt "128750,20000,136000,20000" +pts [ +"128750,20000" +"136000,20000" +] +) +start &65 +sat 32 +eat 16 +st 0 +sf 1 +tg (WTG +uid 1363,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1364,0 +va (VaSet +font "courier,8,0" +) +xt "130750,19100,135250,20000" +st "ipbus_clk" +blo "130750,19800" +tm "WireNameMgr" +) +) +on &40 +) +*356 (Wire +uid 1367,0 +shape (OrthoPolyLine +uid 1368,0 +va (VaSet +vasetType 3 +) +xt "128750,23000,136000,23000" +pts [ +"128750,23000" +"136000,23000" +] +) +start &66 +sat 32 +eat 16 +st 0 +sf 1 +tg (WTG +uid 1371,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1372,0 +va (VaSet +font "courier,8,0" +) +xt "130750,22100,135250,23000" +st "ipbus_rst" +blo "130750,22800" +tm "WireNameMgr" +) +) +on &154 +) +*357 (Wire +uid 1383,0 +shape (OrthoPolyLine +uid 1384,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "128750,25000,136000,25000" +pts [ +"128750,25000" +"136000,25000" +] +) +start &67 +sat 32 +eat 16 +sty 1 +st 0 +sf 1 +tg (WTG +uid 1387,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1388,0 +va (VaSet +font "courier,8,0" +) +xt "130000,24100,145000,25000" +st "ipbw : (g_NUM_EXT_SLAVES-1:0)" +blo "130000,24800" +tm "WireNameMgr" +) +) +on &42 +) +*358 (Wire +uid 1391,0 +shape (OrthoPolyLine +uid 1392,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "79000,28000,94250,28000" +pts [ +"94250,28000" +"79000,28000" +] +) +start &68 +sat 32 +eat 16 +sty 1 +st 0 +sf 1 +tg (WTG +uid 1395,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1396,0 +va (VaSet +font "courier,8,0" +) +xt "80000,27100,95000,28000" +st "ipbr : (g_NUM_EXT_SLAVES-1:0)" +blo "80000,27800" +tm "WireNameMgr" +) +) +on &43 +) +*359 (Wire +uid 1415,0 +shape (OrthoPolyLine +uid 1416,0 +va (VaSet +vasetType 3 +) +xt "-4000,105000,9250,105000" +pts [ +"9250,105000" +"-4000,105000" +] +) +start &141 +sat 32 +eat 16 +st 0 +sf 1 +tg (WTG +uid 1419,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1420,0 +va (VaSet +font "courier,8,0" +) +xt "-2000,104100,2500,105000" +st "ipbus_clk" +blo "-2000,104800" +tm "WireNameMgr" +) +) +on &40 +) +*360 (Wire +uid 1423,0 +shape (OrthoPolyLine +uid 1424,0 +va (VaSet +vasetType 3 +) +xt "-4000,107000,9250,107000" +pts [ +"9250,107000" +"-4000,107000" +] +) +start &142 +sat 32 +eat 16 +st 0 +sf 1 +tg (WTG +uid 1427,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1428,0 +va (VaSet +font "courier,8,0" +) +xt "-2000,106100,4000,107000" +st "ipbus_reset" +blo "-2000,106800" +tm "WireNameMgr" +) +) +on &41 +) +*361 (Wire +uid 1672,0 +shape (OrthoPolyLine +uid 1673,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "-4000,109000,9250,109000" +pts [ +"-4000,109000" +"9250,109000" +] +) +end &143 +sat 16 +eat 32 +sty 1 +sl "(0)" +st 0 +sf 1 +tg (WTG +uid 1676,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1677,0 +va (VaSet +font "courier,8,0" +) +xt "-2000,108100,14500,109000" +st "ipbw(0) : (g_NUM_EXT_SLAVES-1:0)" +blo "-2000,108800" +tm "WireNameMgr" +) +) +on &42 +) +*362 (Wire +uid 1680,0 +shape (OrthoPolyLine +uid 1681,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "55750,104000,65000,104000" +pts [ +"55750,104000" +"65000,104000" +] +) +start &144 +sat 32 +eat 16 +sty 1 +sl "(0)" +st 0 +sf 1 +tg (WTG +uid 1684,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1685,0 +va (VaSet +font "courier,8,0" +) +xt "58000,103100,74500,104000" +st "ipbr(0) : (g_NUM_EXT_SLAVES-1:0)" +blo "58000,103800" +tm "WireNameMgr" +) +) +on &43 +) +*363 (Wire +uid 1698,0 +shape (OrthoPolyLine +uid 1699,0 +va (VaSet +vasetType 3 +) +xt "2000,127000,12250,127000" +pts [ +"2000,127000" +"12250,127000" +] +) +end &315 +sat 16 +eat 32 +st 0 +sf 1 +tg (WTG +uid 1702,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1703,0 +va (VaSet +font "courier,8,0" +) +xt "4000,126100,10500,127000" +st "clk_4x_logic" +blo "4000,126800" +tm "WireNameMgr" +) +) +on &39 +) +*364 (Wire +uid 1706,0 +shape (OrthoPolyLine +uid 1707,0 +va (VaSet +vasetType 3 +) +xt "2000,129000,12250,129000" +pts [ +"2000,129000" +"12250,129000" +] +) +end &317 +sat 16 +eat 32 +st 0 +sf 1 +tg (WTG +uid 1710,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1711,0 +va (VaSet +font "courier,8,0" +) +xt "4000,128100,12000,129000" +st "strobe_4x_logic" +blo "4000,128800" +tm "WireNameMgr" +) +) +on &81 +) +*365 (Wire +uid 1714,0 +shape (OrthoPolyLine +uid 1715,0 +va (VaSet +vasetType 3 +) +xt "2000,145000,12250,145000" +pts [ +"2000,145000" +"12250,145000" +] +) +end &322 +sat 16 +eat 32 +st 0 +sf 1 +tg (WTG +uid 1718,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1719,0 +va (VaSet +font "courier,8,0" +) +xt "3000,144100,7500,145000" +st "ipbus_clk" +blo "3000,144800" +tm "WireNameMgr" +) +) +on &40 +) +*366 (Wire +uid 1722,0 +shape (OrthoPolyLine +uid 1723,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "2000,148000,12250,148000" +pts [ +"2000,148000" +"12250,148000" +] +) +end &323 +sat 16 +eat 32 +sty 1 +sl "(1)" +st 0 +sf 1 +tg (WTG +uid 1726,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1727,0 +va (VaSet +font "courier,8,0" +) +xt "4000,147100,20500,148000" +st "ipbw(1) : (g_NUM_EXT_SLAVES-1:0)" +blo "4000,147800" +tm "WireNameMgr" +) +) +on &42 +) +*367 (Wire +uid 1730,0 +shape (OrthoPolyLine +uid 1731,0 +va (VaSet +vasetType 3 +) +xt "2000,150000,12250,150000" +pts [ +"2000,150000" +"12250,150000" +] +) +end &325 +sat 16 +eat 32 +st 0 +sf 1 +tg (WTG +uid 1734,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1735,0 +va (VaSet +font "courier,8,0" +) +xt "4000,149100,10000,150000" +st "ipbus_reset" +blo "4000,149800" +tm "WireNameMgr" +) +) +on &41 +) +*368 (Wire +uid 1746,0 +shape (OrthoPolyLine +uid 1747,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "143750,150000,160000,150000" +pts [ +"143750,150000" +"160000,150000" +] +) +start &161 +sat 32 +eat 16 +sty 1 +sl "(2)" +st 0 +sf 1 +tg (WTG +uid 1750,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1751,0 +va (VaSet +font "courier,8,0" +) +xt "150750,149100,167250,150000" +st "ipbr(2) : (g_NUM_EXT_SLAVES-1:0)" +blo "150750,149800" +tm "WireNameMgr" +) +) +on &43 +) +*369 (Wire +uid 1754,0 +shape (OrthoPolyLine +uid 1755,0 +va (VaSet +vasetType 3 +) +xt "98000,132000,105250,132000" +pts [ +"105250,132000" +"98000,132000" +] +) +start &157 +sat 32 +eat 16 +st 0 +sf 1 +tg (WTG +uid 1758,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1759,0 +va (VaSet +font "courier,8,0" +) +xt "97250,131100,103750,132000" +st "clk_4x_logic" +blo "97250,131800" +tm "WireNameMgr" +) +) +on &39 +) +*370 (Wire +uid 1762,0 +shape (OrthoPolyLine +uid 1763,0 +va (VaSet +vasetType 3 +) +xt "98000,135000,105250,135000" +pts [ +"105250,135000" +"98000,135000" +] +) +start &158 +sat 32 +eat 16 +st 0 +sf 1 +tg (WTG +uid 1766,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1767,0 +va (VaSet +font "courier,8,0" +) +xt "96250,134100,104250,135000" +st "strobe_4x_logic" +blo "96250,134800" +tm "WireNameMgr" +) +) +on &81 +) +*371 (Wire +uid 1778,0 +shape (OrthoPolyLine +uid 1779,0 +va (VaSet +vasetType 3 +) +xt "84000,122000,105250,140000" +pts [ +"105250,140000" +"87000,140000" +"87000,122000" +"84000,122000" +] +) +start &164 +end &85 +sat 32 +eat 32 +st 0 +sf 1 +tg (WTG +uid 1782,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1783,0 +va (VaSet +font "courier,8,0" +) +xt "97250,139100,103750,140000" +st "overall_veto" +blo "97250,139800" +tm "WireNameMgr" +) +) +on &45 +) +*372 (Wire +uid 1786,0 +shape (OrthoPolyLine +uid 1787,0 +va (VaSet +vasetType 3 +) +xt "98000,143000,105250,143000" +pts [ +"105250,143000" +"98000,143000" +] +) +start &159 +sat 32 +eat 16 +st 0 +sf 1 +tg (WTG +uid 1790,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1791,0 +va (VaSet +font "courier,8,0" +) +xt "98250,142100,102750,143000" +st "ipbus_clk" +blo "98250,142800" +tm "WireNameMgr" +) +) +on &40 +) +*373 (Wire +uid 1794,0 +shape (OrthoPolyLine +uid 1795,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "98000,145000,105250,145000" +pts [ +"105250,145000" +"98000,145000" +] +) +start &160 +sat 32 +eat 16 +sty 1 +sl "(2)" +st 0 +sf 1 +tg (WTG +uid 1798,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1799,0 +va (VaSet +font "courier,8,0" +) +xt "90000,144100,106500,145000" +st "ipbw(2) : (g_NUM_EXT_SLAVES-1:0)" +blo "90000,144800" +tm "WireNameMgr" +) +) +on &42 +) +*374 (Wire +uid 1802,0 +shape (OrthoPolyLine +uid 1803,0 +va (VaSet +vasetType 3 +) +xt "98000,148000,105250,148000" +pts [ +"105250,148000" +"98000,148000" +] +) +start &162 +sat 32 +eat 16 +st 0 +sf 1 +tg (WTG +uid 1806,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1807,0 +va (VaSet +font "courier,8,0" +) +xt "97250,147100,103250,148000" +st "ipbus_reset" +blo "97250,147800" +tm "WireNameMgr" +) +) +on &41 +) +*375 (Wire +uid 1814,0 +shape (OrthoPolyLine +uid 1815,0 +va (VaSet +vasetType 3 +) +xt "-4000,97000,9250,97000" +pts [ +"9250,97000" +"-4000,97000" +] +) +start &137 +sat 32 +eat 16 +st 0 +sf 1 +tg (WTG +uid 1818,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1819,0 +va (VaSet +font "courier,8,0" +) +xt "-2000,96100,6000,97000" +st "overall_trigger" +blo "-2000,96800" +tm "WireNameMgr" +) +) +on &44 +) +*376 (Wire +uid 2101,0 +optionalChildren [ +*377 (BdJunction +uid 9137,0 +ps "OnConnectorStrategy" +shape (Circle +uid 9138,0 +va (VaSet +vasetType 1 +) +xt "71600,137600,72400,138400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 2102,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "58750,138000,105250,138000" +pts [ +"58750,138000" +"105250,138000" +] +) +start &321 +end &163 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +tg (WTG +uid 2105,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2106,0 +va (VaSet +font "courier,8,0" +) +xt "60000,137100,77500,138000" +st "triggers : (g_NUM_TRIG_INPUTS-1:0)" +blo "60000,137800" +tm "WireNameMgr" +) +) +on &46 +) +*378 (Wire +uid 2109,0 +shape (OrthoPolyLine +uid 2110,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "58750,147000,74000,147000" +pts [ +"58750,147000" +"74000,147000" +] +) +start &324 +sat 32 +eat 16 +sty 1 +sl "(1)" +st 0 +sf 1 +tg (WTG +uid 2113,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2114,0 +va (VaSet +font "courier,8,0" +) +xt "65750,146100,82250,147000" +st "ipbr(1) : (g_NUM_EXT_SLAVES-1:0)" +blo "65750,146800" +tm "WireNameMgr" +) +) +on &43 +) +*379 (Wire +uid 2141,0 +shape (OrthoPolyLine +uid 2142,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "-4000,103000,9250,103000" +pts [ +"9250,103000" +"-4000,103000" +] +) +start &140 +sat 32 +eat 16 +sty 1 +st 0 +sf 1 +tg (WTG +uid 2145,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2146,0 +va (VaSet +font "courier,8,0" +) +xt "-8000,102100,10000,103000" +st "trigger_count : (g_IPBUS_WIDTH-1:0)" +blo "-8000,102800" +tm "WireNameMgr" +) +) +on &47 +) +*380 (Wire +uid 2227,0 +shape (OrthoPolyLine +uid 2228,0 +va (VaSet +vasetType 3 +) +xt "85000,67000,97250,67000" +pts [ +"97250,67000" +"85000,67000" +] +) +start &209 +sat 32 +eat 16 +st 0 +sf 1 +tg (WTG +uid 2231,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2232,0 +va (VaSet +font "courier,8,0" +) +xt "87000,66100,95000,67000" +st "overall_trigger" +blo "87000,66800" +tm "WireNameMgr" +) +) +on &44 +) +*381 (Wire +uid 2235,0 +shape (OrthoPolyLine +uid 2236,0 +va (VaSet +vasetType 3 +) +xt "85000,70000,97250,70000" +pts [ +"97250,70000" +"85000,70000" +] +) +start &210 +sat 32 +eat 16 +st 0 +sf 1 +tg (WTG +uid 2239,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2240,0 +va (VaSet +font "courier,8,0" +) +xt "89250,69100,95750,70000" +st "clk_4x_logic" +blo "89250,69800" +tm "WireNameMgr" +) +) +on &39 +) +*382 (Wire +uid 2243,0 +shape (OrthoPolyLine +uid 2244,0 +va (VaSet +vasetType 3 +) +xt "86000,73000,97250,73000" +pts [ +"97250,73000" +"86000,73000" +] +) +start &211 +sat 32 +eat 16 +st 0 +sf 1 +tg (WTG +uid 2247,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2248,0 +va (VaSet +font "courier,8,0" +) +xt "87000,72100,95000,73000" +st "strobe_4x_logic" +blo "87000,72800" +tm "WireNameMgr" +) +) +on &81 +) +*383 (Wire +uid 2251,0 +shape (OrthoPolyLine +uid 2252,0 +va (VaSet +vasetType 3 +) +xt "150750,69000,165250,69000" +pts [ +"150750,69000" +"158000,69000" +"165250,69000" +] +) +start &212 +end &183 +sat 32 +eat 32 +st 0 +sf 1 +tg (WTG +uid 2255,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2256,0 +va (VaSet +font "courier,8,0" +) +xt "158750,67100,164750,68000" +st "data_strobe" +blo "158750,67800" +tm "WireNameMgr" +) +) +on &48 +) +*384 (Wire +uid 2824,0 +shape (OrthoPolyLine +uid 2825,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "198000,4000,208000,4000" +pts [ +"198000,4000" +"208000,4000" +] +) +end &49 +sat 16 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +uid 2828,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2829,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "200000,3100,207500,4000" +st "leds_o : (3:0)" +blo "200000,3800" +tm "WireNameMgr" +) +) +on &50 +) +*385 (Wire +uid 3327,0 +shape (OrthoPolyLine +uid 3328,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "58750,76000,97250,130000" +pts [ +"58750,130000" +"69000,130000" +"69000,76000" +"97250,76000" +] +) +start &320 +end &214 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +tg (WTG +uid 3329,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3330,0 +va (VaSet +font "courier,8,0" +) +xt "71000,75100,91000,76000" +st "trigger_times : (g_NUM_TRIG_INPUTS-1:0)" +blo "71000,75800" +tm "WireNameMgr" +) +) +on &51 +) +*386 (Wire +uid 3415,0 +shape (OrthoPolyLine +uid 3416,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "150750,77000,165250,84000" +pts [ +"150750,84000" +"155000,84000" +"155000,77000" +"165250,77000" +] +) +start &215 +end &181 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3417,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3418,0 +va (VaSet +font "courier,8,0" +) +xt "152000,83100,157500,84000" +st "event_data" +blo "152000,83800" +tm "WireNameMgr" +) +) +on &52 +) +*387 (Wire +uid 3421,0 +shape (OrthoPolyLine +uid 3422,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "150750,74000,165250,76000" +pts [ +"150750,76000" +"151000,76000" +"151000,74000" +"165250,74000" +] +) +start &213 +end &182 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3423,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3424,0 +va (VaSet +font "courier,8,0" +) +xt "157000,73100,164000,74000" +st "trigger_count" +blo "157000,73800" +tm "WireNameMgr" +) +) +on &47 +) +*388 (Wire +uid 3521,0 +shape (OrthoPolyLine +uid 3522,0 +va (VaSet +vasetType 3 +) +xt "155000,72000,165250,72000" +pts [ +"165250,72000" +"155000,72000" +] +) +start &175 +sat 32 +eat 16 +st 0 +sf 1 +tg (WTG +uid 3525,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3526,0 +va (VaSet +font "courier,8,0" +) +xt "157000,71100,163500,72000" +st "clk_4x_logic" +blo "157000,71800" +tm "WireNameMgr" +) +) +on &39 +) +*389 (Wire +uid 3537,0 +shape (OrthoPolyLine +uid 3538,0 +va (VaSet +vasetType 3 +) +xt "157000,79000,165250,79000" +pts [ +"165250,79000" +"157000,79000" +] +) +start &177 +sat 32 +eat 16 +st 0 +sf 1 +tg (WTG +uid 3541,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3542,0 +va (VaSet +font "courier,8,0" +) +xt "159000,78100,163500,79000" +st "ipbus_clk" +blo "159000,78800" +tm "WireNameMgr" +) +) +on &40 +) +*390 (Wire +uid 3545,0 +shape (OrthoPolyLine +uid 3546,0 +va (VaSet +vasetType 3 +) +xt "157000,84000,165250,84000" +pts [ +"165250,84000" +"157000,84000" +] +) +start &178 +sat 32 +eat 16 +st 0 +sf 1 +tg (WTG +uid 3549,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3550,0 +va (VaSet +font "courier,8,0" +) +xt "159000,83100,165000,84000" +st "ipbus_reset" +blo "159000,83800" +tm "WireNameMgr" +) +) +on &41 +) +*391 (Wire +uid 3553,0 +shape (OrthoPolyLine +uid 3554,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "157000,81000,165250,81000" +pts [ +"165250,81000" +"157000,81000" +] +) +start &179 +sat 32 +eat 16 +sty 1 +sl "(3)" +st 0 +sf 1 +tg (WTG +uid 3557,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3558,0 +va (VaSet +font "courier,8,0" +) +xt "159000,80100,175500,81000" +st "ipbw(3) : (g_NUM_EXT_SLAVES-1:0)" +blo "159000,80800" +tm "WireNameMgr" +) +) +on &42 +) +*392 (Wire +uid 3561,0 +shape (OrthoPolyLine +uid 3562,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "209750,74000,219000,74000" +pts [ +"209750,74000" +"219000,74000" +] +) +start &180 +sat 32 +eat 16 +sty 1 +sl "(3)" +st 0 +sf 1 +tg (WTG +uid 3565,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3566,0 +va (VaSet +font "courier,8,0" +) +xt "211000,73100,227500,74000" +st "ipbr(3) : (g_NUM_EXT_SLAVES-1:0)" +blo "211000,73800" +tm "WireNameMgr" +) +) +on &43 +) +*393 (Wire +uid 4567,0 +shape (OrthoPolyLine +uid 4568,0 +va (VaSet +vasetType 3 +) +xt "196750,36000,219000,36000" +pts [ +"196750,36000" +"219000,36000" +] +) +start &247 +sat 32 +eat 16 +st 0 +sf 1 +tg (WTG +uid 4571,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4572,0 +va (VaSet +font "courier,8,0" +) +xt "198750,35100,205250,36000" +st "clk_4x_logic" +blo "198750,35800" +tm "WireNameMgr" +) +) +on &39 +) +*394 (Wire +uid 4575,0 +shape (OrthoPolyLine +uid 4576,0 +va (VaSet +vasetType 3 +) +xt "196750,39000,219000,39000" +pts [ +"196750,39000" +"219000,39000" +] +) +start &248 +sat 32 +eat 16 +st 0 +sf 1 +tg (WTG +uid 4579,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4580,0 +va (VaSet +font "courier,8,0" +) +xt "198750,38100,206750,39000" +st "strobe_4x_logic" +blo "198750,38800" +tm "WireNameMgr" +) +) +on &81 +) +*395 (Wire +uid 4583,0 +shape (OrthoPolyLine +uid 4584,0 +va (VaSet +vasetType 3 +) +xt "196750,42000,219000,42000" +pts [ +"196750,42000" +"219000,42000" +] +) +start &249 +sat 32 +eat 16 +st 0 +sf 1 +tg (WTG +uid 4587,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4588,0 +va (VaSet +font "courier,8,0" +) +xt "198750,41100,205750,42000" +st "clk_16x_logic" +blo "198750,41800" +tm "WireNameMgr" +) +) +on &82 +) +*396 (Wire +uid 4591,0 +shape (OrthoPolyLine +uid 4592,0 +va (VaSet +vasetType 3 +) +xt "196750,44000,219000,44000" +pts [ +"196750,44000" +"219000,44000" +] +) +start &250 +sat 32 +eat 16 +st 0 +sf 1 +tg (WTG +uid 4595,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4596,0 +va (VaSet +font "courier,8,0" +) +xt "198750,43100,207250,44000" +st "strobe_16x_logic" +blo "198750,43800" +tm "WireNameMgr" +) +) +on &83 +) +*397 (Wire +uid 4599,0 +shape (OrthoPolyLine +uid 4600,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "196750,47000,219000,47000" +pts [ +"196750,47000" +"219000,47000" +] +) +start &253 +sat 32 +eat 16 +sty 1 +sl "(4)" +st 0 +sf 1 +tg (WTG +uid 4603,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4604,0 +va (VaSet +font "courier,8,0" +) +xt "199000,46100,215500,47000" +st "ipbr(4) : (g_NUM_EXT_SLAVES-1:0)" +blo "199000,46800" +tm "WireNameMgr" +) +) +on &43 +) +*398 (Wire +uid 4607,0 +shape (OrthoPolyLine +uid 4608,0 +va (VaSet +vasetType 3 +) +xt "155000,54000,164250,54000" +pts [ +"164250,54000" +"155000,54000" +] +) +start &254 +sat 32 +eat 16 +st 0 +sf 1 +tg (WTG +uid 4611,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4612,0 +va (VaSet +font "courier,8,0" +) +xt "156250,53100,162250,54000" +st "ipbus_reset" +blo "156250,53800" +tm "WireNameMgr" +) +) +on &41 +) +*399 (Wire +uid 4615,0 +shape (OrthoPolyLine +uid 4616,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "155000,51000,164250,51000" +pts [ +"164250,51000" +"155000,51000" +] +) +start &252 +sat 32 +eat 16 +sty 1 +sl "(4)" +st 0 +sf 1 +tg (WTG +uid 4619,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4620,0 +va (VaSet +font "courier,8,0" +) +xt "157000,50100,173500,51000" +st "ipbw(4) : (g_NUM_EXT_SLAVES-1:0)" +blo "157000,50800" +tm "WireNameMgr" +) +) +on &42 +) +*400 (Wire +uid 4623,0 +shape (OrthoPolyLine +uid 4624,0 +va (VaSet +vasetType 3 +) +xt "155000,47000,164250,47000" +pts [ +"164250,47000" +"155000,47000" +] +) +start &251 +sat 32 +eat 16 +st 0 +sf 1 +tg (WTG +uid 4627,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4628,0 +va (VaSet +font "courier,8,0" +) +xt "157250,46100,161750,47000" +st "ipbus_clk" +blo "157250,46800" +tm "WireNameMgr" +) +) +on &40 +) +*401 (Wire +uid 4631,0 +shape (OrthoPolyLine +uid 4632,0 +va (VaSet +vasetType 3 +) +xt "155000,44000,164250,44000" +pts [ +"164250,44000" +"155000,44000" +] +) +start &245 +sat 32 +eat 16 +st 0 +sf 1 +tg (WTG +uid 4635,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4636,0 +va (VaSet +font "courier,8,0" +) +xt "154250,43100,161750,44000" +st "clk_logic_xtal" +blo "154250,43800" +tm "WireNameMgr" +) +) +on &80 +) +*402 (Wire +uid 4657,0 +shape (OrthoPolyLine +uid 4658,0 +va (VaSet +vasetType 3 +) +xt "157000,37000,164250,37000" +pts [ +"157000,37000" +"164250,37000" +] +) +start &76 +end &246 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 4659,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4660,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "159000,36100,164500,37000" +st "extclk_p_b" +blo "159000,36800" +tm "WireNameMgr" +) +) +on &78 +) +*403 (Wire +uid 4663,0 +shape (OrthoPolyLine +uid 4664,0 +va (VaSet +vasetType 3 +) +xt "157000,40000,164250,40000" +pts [ +"157000,40000" +"164250,40000" +] +) +start &77 +end &255 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 4665,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4666,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "159000,39100,164500,40000" +st "extclk_n_b" +blo "159000,39800" +tm "WireNameMgr" +) +) +on &79 +) +*404 (Wire +uid 4699,0 +shape (OrthoPolyLine +uid 4700,0 +va (VaSet +vasetType 3 +) +xt "128750,32000,136000,32000" +pts [ +"128750,32000" +"136000,32000" +] +) +start &72 +sat 32 +eat 16 +st 0 +sf 1 +tg (WTG +uid 4703,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4704,0 +va (VaSet +font "courier,8,0" +) +xt "130750,31100,138250,32000" +st "clk_logic_xtal" +blo "130750,31800" +tm "WireNameMgr" +) +) +on &80 +) +*405 (Wire +uid 4897,0 +shape (OrthoPolyLine +uid 4898,0 +va (VaSet +vasetType 3 +) +xt "157000,86000,165250,86000" +pts [ +"165250,86000" +"157000,86000" +] +) +start &176 +sat 32 +eat 16 +st 0 +sf 1 +tg (WTG +uid 4901,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4902,0 +va (VaSet +font "courier,8,0" +) +xt "155250,85100,163250,86000" +st "strobe_4x_logic" +blo "155250,85800" +tm "WireNameMgr" +) +) +on &81 +) +*406 (Wire +uid 4984,0 +shape (OrthoPolyLine +uid 4985,0 +va (VaSet +vasetType 3 +) +xt "55750,108000,78000,123000" +pts [ +"55750,108000" +"74000,108000" +"74000,123000" +"78000,123000" +] +) +start &145 +end &90 +sat 32 +eat 32 +st 0 +sf 1 +tg (WTG +uid 4986,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4987,0 +va (VaSet +font "courier,8,0" +) +xt "57750,107100,60750,108000" +st "veto_o" +blo "57750,107800" +tm "WireNameMgr" +) +) +on &107 +) +*407 (Wire +uid 4990,0 +shape (OrthoPolyLine +uid 4991,0 +va (VaSet +vasetType 3 +) +xt "77000,85000,211000,121000" +pts [ +"209750,85000" +"211000,85000" +"211000,117000" +"77000,117000" +"77000,121000" +"78000,121000" +] +) +start &184 +end &88 +sat 32 +eat 32 +st 0 +sf 1 +tg (WTG +uid 4992,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4993,0 +va (VaSet +font "courier,8,0" +) +xt "211750,84100,218750,85000" +st "buffer_full_o" +blo "211750,84800" +tm "WireNameMgr" +) +) +on &108 +) +*408 (Wire +uid 5764,0 +shape (OrthoPolyLine +uid 5765,0 +va (VaSet +vasetType 3 +) +xt "2000,132000,12250,132000" +pts [ +"12250,132000" +"2000,132000" +] +) +start &326 +sat 32 +eat 16 +st 0 +sf 1 +tg (WTG +uid 5768,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5769,0 +va (VaSet +font "courier,8,0" +) +xt "3250,131100,10250,132000" +st "clk_16x_logic" +blo "3250,131800" +tm "WireNameMgr" +) +) +on &82 +) +*409 (Wire +uid 5772,0 +shape (OrthoPolyLine +uid 5773,0 +va (VaSet +vasetType 3 +) +xt "2000,134000,12250,134000" +pts [ +"12250,134000" +"2000,134000" +] +) +start &327 +sat 32 +eat 16 +st 0 +sf 1 +tg (WTG +uid 5776,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5777,0 +va (VaSet +font "courier,8,0" +) +xt "1250,133100,9750,134000" +st "strobe_16x_logic" +blo "1250,133800" +tm "WireNameMgr" +) +) +on &83 +) +*410 (Wire +uid 6022,0 +shape (OrthoPolyLine +uid 6023,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "5000,141000,12250,141000" +pts [ +"5000,141000" +"12250,141000" +] +) +start &109 +end &328 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 6026,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6027,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "6000,140100,13000,141000" +st "cfd_discr_n_i" +blo "6000,140800" +tm "WireNameMgr" +) +) +on &110 +) +*411 (Wire +uid 6036,0 +shape (OrthoPolyLine +uid 6037,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "5000,137000,12250,137000" +pts [ +"5000,137000" +"12250,137000" +] +) +start &111 +end &329 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 6040,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6041,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "3000,136100,13000,137000" +st "threshold_discr_n_i" +blo "3000,136800" +tm "WireNameMgr" +) +) +on &112 +) +*412 (Wire +uid 6532,0 +shape (OrthoPolyLine +uid 6533,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "128750,30000,136000,30000" +pts [ +"128750,30000" +"136000,30000" +] +) +start &70 +sat 32 +eat 16 +sty 1 +sl "(2)" +st 0 +sf 1 +tg (WTG +uid 6536,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6537,0 +va (VaSet +font "courier,8,0" +) +xt "130750,29100,139750,30000" +st "leds_o(2) : (3:0)" +blo "130750,29800" +tm "WireNameMgr" +) +) +on &50 +) +*413 (Wire +uid 6540,0 +shape (OrthoPolyLine +uid 6541,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "128750,27000,136000,27000" +pts [ +"128750,27000" +"136000,27000" +] +) +start &69 +sat 32 +eat 16 +sty 1 +sl "(3)" +st 0 +sf 1 +tg (WTG +uid 6544,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6545,0 +va (VaSet +font "courier,8,0" +) +xt "130750,26100,139750,27000" +st "leds_o(3) : (3:0)" +blo "130750,26800" +tm "WireNameMgr" +) +) +on &50 +) +*414 (Wire +uid 6610,0 +shape (OrthoPolyLine +uid 6611,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "196750,52000,219000,52000" +pts [ +"196750,52000" +"219000,52000" +] +) +start &257 +sat 32 +eat 16 +sty 1 +sl "(1)" +st 0 +sf 1 +tg (WTG +uid 6614,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6615,0 +va (VaSet +font "courier,8,0" +) +xt "198750,51100,207750,52000" +st "leds_o(1) : (3:0)" +blo "198750,51800" +tm "WireNameMgr" +) +) +on &50 +) +*415 (Wire +uid 6668,0 +shape (OrthoPolyLine +uid 6669,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "143750,142000,160000,142000" +pts [ +"143750,142000" +"160000,142000" +] +) +start &166 +sat 32 +eat 16 +sty 1 +sl "(0)" +st 0 +sf 1 +tg (WTG +uid 6672,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6673,0 +va (VaSet +font "courier,8,0" +) +xt "145750,141100,154750,142000" +st "leds_o(0) : (3:0)" +blo "145750,141800" +tm "WireNameMgr" +) +) +on &50 +) +*416 (Wire +uid 6708,0 +shape (OrthoPolyLine +uid 6709,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "55750,90000,64000,90000" +pts [ +"55750,90000" +"64000,90000" +] +) +start &133 +end &115 +ss 0 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +uid 6712,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6713,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "56000,89100,72000,90000" +st "triggers_p_o : (g_NUM_DUTS-1:0)" +blo "56000,89800" +tm "WireNameMgr" +) +) +on &116 +) +*417 (Wire +uid 6722,0 +shape (OrthoPolyLine +uid 6723,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "0,89000,9250,89000" +pts [ +"0,89000" +"9250,89000" +] +) +start &117 +end &134 +es 0 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +uid 6726,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6727,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "1000,88100,15000,89000" +st "busy_p_i : (g_NUM_DUTS-1:0)" +blo "1000,88800" +tm "WireNameMgr" +) +) +on &118 +) +*418 (Wire +uid 6736,0 +shape (OrthoPolyLine +uid 6737,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "55750,97000,64000,97000" +pts [ +"55750,97000" +"64000,97000" +] +) +start &135 +end &119 +ss 0 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +uid 6740,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6741,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "56000,96100,74000,97000" +st "reset_or_clk_p_o : (g_NUM_DUTS-1:0)" +blo "56000,96800" +tm "WireNameMgr" +) +) +on &120 +) +*419 (Wire +uid 6750,0 +shape (OrthoPolyLine +uid 6751,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "0,93000,9250,93000" +pts [ +"0,93000" +"9250,93000" +] +) +start &121 +end &136 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +uid 6754,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6755,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "1000,92100,16500,93000" +st "dut_clk_p_i : (g_NUM_DUTS-1:0)" +blo "1000,92800" +tm "WireNameMgr" +) +) +on &122 +) +*420 (Wire +uid 6778,0 +shape (OrthoPolyLine +uid 6779,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "0,91000,9250,91000" +pts [ +"0,91000" +"9250,91000" +] +) +start &124 +end &147 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +uid 6782,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6783,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "1000,90100,15000,91000" +st "busy_n_i : (g_NUM_DUTS-1:0)" +blo "1000,90800" +tm "WireNameMgr" +) +) +on &125 +) +*421 (Wire +uid 6792,0 +shape (OrthoPolyLine +uid 6793,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "55750,99000,64000,99000" +pts [ +"55750,99000" +"64000,99000" +] +) +start &148 +end &126 +ss 0 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +uid 6796,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6797,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "56000,98100,74000,99000" +st "reset_or_clk_n_o : (g_NUM_DUTS-1:0)" +blo "56000,98800" +tm "WireNameMgr" +) +) +on &127 +) +*422 (Wire +uid 6806,0 +shape (OrthoPolyLine +uid 6807,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "55750,92000,64000,92000" +pts [ +"55750,92000" +"64000,92000" +] +) +start &146 +end &128 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +uid 6810,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6811,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "56000,91100,72000,92000" +st "triggers_n_o : (g_NUM_DUTS-1:0)" +blo "56000,91800" +tm "WireNameMgr" +) +) +on &129 +) +*423 (Wire +uid 6820,0 +shape (OrthoPolyLine +uid 6821,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "0,95000,9250,95000" +pts [ +"0,95000" +"9250,95000" +] +) +start &130 +end &149 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +uid 6824,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6825,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "1000,94100,16500,95000" +st "dut_clk_n_i : (g_NUM_DUTS-1:0)" +blo "1000,94800" +tm "WireNameMgr" +) +) +on &131 +) +*424 (Wire +uid 6914,0 +shape (OrthoPolyLine +uid 6915,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "80000,31000,94250,31000" +pts [ +"80000,31000" +"94250,31000" +] +) +start &153 +end &71 +es 0 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +uid 6918,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6919,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "86000,30100,96500,31000" +st "dip_switch_i : (3:0)" +blo "86000,30800" +tm "WireNameMgr" +) +) +on &123 +) +*425 (Wire +uid 8086,0 +shape (OrthoPolyLine +uid 8087,0 +va (VaSet +vasetType 3 +) +xt "160000,10000,171250,10000" +pts [ +"171250,10000" +"160000,10000" +] +) +start &191 +sat 32 +eat 16 +st 0 +sf 1 +tg (WTG +uid 8090,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 8091,0 +va (VaSet +font "courier,8,0" +) +xt "164250,9100,168750,10000" +st "ipbus_clk" +blo "164250,9800" +tm "WireNameMgr" +) +) +on &40 +) +*426 (Wire +uid 8094,0 +shape (OrthoPolyLine +uid 8095,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "160000,17000,171250,17000" +pts [ +"160000,17000" +"171250,17000" +] +) +end &192 +sat 16 +eat 32 +sty 1 +sl "(5)" +st 0 +sf 1 +tg (WTG +uid 8098,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 8099,0 +va (VaSet +font "courier,8,0" +) +xt "162000,16100,178500,17000" +st "ipbw(5) : (g_NUM_EXT_SLAVES-1:0)" +blo "162000,16800" +tm "WireNameMgr" +) +) +on &42 +) +*427 (Wire +uid 8102,0 +shape (OrthoPolyLine +uid 8103,0 +va (VaSet +vasetType 3 +) +xt "160000,24000,171250,24000" +pts [ +"160000,24000" +"171250,24000" +] +) +end &194 +sat 16 +eat 32 +st 0 +sf 1 +tg (WTG +uid 8106,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 8107,0 +va (VaSet +font "courier,8,0" +) +xt "162000,23100,168000,24000" +st "ipbus_reset" +blo "162000,23800" +tm "WireNameMgr" +) +) +on &41 +) +*428 (Wire +uid 8110,0 +shape (OrthoPolyLine +uid 8111,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "196750,10000,205000,10000" +pts [ +"196750,10000" +"205000,10000" +] +) +start &193 +sat 32 +eat 16 +sty 1 +sl "(5)" +st 0 +sf 1 +tg (WTG +uid 8114,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 8115,0 +va (VaSet +font "courier,8,0" +) +xt "198750,9100,215250,10000" +st "ipbr(5) : (g_NUM_EXT_SLAVES-1:0)" +blo "198750,9800" +tm "WireNameMgr" +) +) +on &43 +) +*429 (Wire +uid 9065,0 +shape (OrthoPolyLine +uid 9066,0 +va (VaSet +vasetType 3 +) +xt "143750,137000,160000,137000" +pts [ +"143750,137000" +"160000,137000" +] +) +start &165 +sat 32 +eat 16 +st 0 +sf 1 +tg (WTG +uid 9069,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 9070,0 +va (VaSet +font "courier,8,0" +) +xt "145750,136100,153750,137000" +st "overall_trigger" +blo "145750,136800" +tm "WireNameMgr" +) +) +on &44 +) +*430 (Wire +uid 9133,0 +shape (OrthoPolyLine +uid 9134,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "72000,86000,97250,138000" +pts [ +"72000,138000" +"72000,86000" +"97250,86000" +] +) +start &377 +end &217 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 9135,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 9136,0 +va (VaSet +font "courier,8,0" +) +xt "92250,85100,96250,86000" +st "triggers" +blo "92250,85800" +tm "WireNameMgr" +) +) +on &46 +) +*431 (Wire +uid 9141,0 +shape (OrthoPolyLine +uid 9142,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "143750,92000,165000,146000" +pts [ +"143750,146000" +"165000,146000" +"165000,92000" +"150750,92000" +] +) +start &168 +end &230 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +tg (WTG +uid 9143,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 9144,0 +va (VaSet +font "courier,8,0" +) +xt "145750,145100,164250,146000" +st "event_number_o : (g_IPBUS_WIDTH-1:0)" +blo "145750,145800" +tm "WireNameMgr" +) +) +on &173 +) +*432 (Wire +uid 9375,0 +shape (OrthoPolyLine +uid 9376,0 +va (VaSet +vasetType 3 +) +xt "196750,55000,219000,55000" +pts [ +"196750,55000" +"219000,55000" +] +) +start &258 +sat 32 +eat 16 +st 0 +sf 1 +tg (WTG +uid 9379,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 9380,0 +va (VaSet +font "courier,8,0" +) +xt "199000,54100,205000,55000" +st "logic_reset" +blo "199000,54800" +tm "WireNameMgr" +) +) +on &189 +) +*433 (Wire +uid 9385,0 +shape (OrthoPolyLine +uid 9386,0 +va (VaSet +vasetType 3 +) +xt "157000,89000,165250,89000" +pts [ +"165250,89000" +"157000,89000" +] +) +start &185 +sat 32 +eat 16 +st 0 +sf 1 +tg (WTG +uid 9389,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 9390,0 +va (VaSet +font "courier,8,0" +) +xt "157250,88100,163250,89000" +st "logic_reset" +blo "157250,88800" +tm "WireNameMgr" +) +) +on &189 +) +*434 (Wire +uid 9393,0 +shape (OrthoPolyLine +uid 9394,0 +va (VaSet +vasetType 3 +) +xt "85000,80000,97250,80000" +pts [ +"97250,80000" +"85000,80000" +] +) +start &216 +sat 32 +eat 16 +st 0 +sf 1 +tg (WTG +uid 9397,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 9398,0 +va (VaSet +font "courier,8,0" +) +xt "89250,79100,95250,80000" +st "logic_reset" +blo "89250,79800" +tm "WireNameMgr" +) +) +on &189 +) +*435 (Wire +uid 9401,0 +shape (OrthoPolyLine +uid 9402,0 +va (VaSet +vasetType 3 +) +xt "98000,151000,105250,151000" +pts [ +"105250,151000" +"98000,151000" +] +) +start &169 +sat 32 +eat 16 +st 0 +sf 1 +tg (WTG +uid 9405,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 9406,0 +va (VaSet +font "courier,8,0" +) +xt "97250,150100,103250,151000" +st "logic_reset" +blo "97250,150800" +tm "WireNameMgr" +) +) +on &189 +) +*436 (Wire +uid 10090,0 +shape (OrthoPolyLine +uid 10091,0 +va (VaSet +vasetType 3 +) +xt "196750,19000,206000,19000" +pts [ +"196750,19000" +"206000,19000" +] +) +start &195 +sat 32 +eat 16 +st 0 +sf 1 +tg (WTG +uid 10094,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 10095,0 +va (VaSet +font "courier,8,0" +) +xt "198750,18100,205750,19000" +st "s_i2c_scl_enb" +blo "198750,18800" +tm "WireNameMgr" +) +) +on &206 +) +*437 (Wire +uid 10100,0 +shape (OrthoPolyLine +uid 10101,0 +va (VaSet +vasetType 3 +) +xt "196750,21000,206000,21000" +pts [ +"196750,21000" +"206000,21000" +] +) +start &198 +sat 32 +eat 16 +st 0 +sf 1 +tg (WTG +uid 10104,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 10105,0 +va (VaSet +font "courier,8,0" +) +xt "198750,20100,203250,21000" +st "i2c_scl_b" +blo "198750,20800" +tm "WireNameMgr" +) +) +on &19 +) +*438 (Wire +uid 10108,0 +shape (OrthoPolyLine +uid 10109,0 +va (VaSet +vasetType 3 +) +xt "196750,23000,206000,23000" +pts [ +"196750,23000" +"206000,23000" +] +) +start &196 +sat 32 +eat 16 +st 0 +sf 1 +tg (WTG +uid 10112,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 10113,0 +va (VaSet +font "courier,8,0" +) +xt "198750,22100,203250,23000" +st "i2c_sda_b" +blo "198750,22800" +tm "WireNameMgr" +) +) +on &21 +) +*439 (Wire +uid 10116,0 +shape (OrthoPolyLine +uid 10117,0 +va (VaSet +vasetType 3 +) +xt "196750,25000,206000,25000" +pts [ +"196750,25000" +"206000,25000" +] +) +start &197 +sat 32 +eat 16 +st 0 +sf 1 +tg (WTG +uid 10120,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 10121,0 +va (VaSet +font "courier,8,0" +) +xt "198750,24100,205750,25000" +st "s_i2c_sda_enb" +blo "198750,24800" +tm "WireNameMgr" +) +) +on &207 +) +*440 (Wire +uid 10534,0 +shape (OrthoPolyLine +uid 10535,0 +va (VaSet +vasetType 3 +) +xt "85000,82000,97250,82000" +pts [ +"97250,82000" +"85000,82000" +] +) +start &222 +sat 32 +eat 16 +st 0 +sf 1 +tg (WTG +uid 10538,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 10539,0 +va (VaSet +font "courier,8,0" +) +xt "90250,81100,96250,82000" +st "ipbus_clk_i" +blo "90250,81800" +tm "WireNameMgr" +) +) +on &234 +) +*441 (Wire +uid 10544,0 +shape (OrthoPolyLine +uid 10545,0 +va (VaSet +vasetType 3 +) +xt "85000,83000,97250,83000" +pts [ +"97250,83000" +"85000,83000" +] +) +start &223 +sat 32 +eat 16 +sl "(9)" +st 0 +sf 1 +tg (WTG +uid 10548,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 10549,0 +va (VaSet +font "courier,8,0" +) +xt "81000,82100,97500,83000" +st "ipbw(9) : (g_NUM_EXT_SLAVES-1:0)" +blo "81000,82800" +tm "WireNameMgr" +) +) +on &42 +) +*442 (Wire +uid 10554,0 +shape (OrthoPolyLine +uid 10555,0 +va (VaSet +vasetType 3 +) +xt "150750,96000,163000,96000" +pts [ +"150750,96000" +"163000,96000" +] +) +start &224 +sat 32 +eat 16 +sl "(9)" +st 0 +sf 1 +tg (WTG +uid 10558,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 10559,0 +va (VaSet +font "courier,8,0" +) +xt "152750,95100,169250,96000" +st "ipbr(9) : (g_NUM_EXT_SLAVES-1:0)" +blo "152750,95800" +tm "WireNameMgr" +) +) +on &43 +) +*443 (Wire +uid 10564,0 +shape (OrthoPolyLine +uid 10565,0 +va (VaSet +vasetType 3 +) +xt "91000,87000,97250,87000" +pts [ +"97250,87000" +"91000,87000" +] +) +start &218 +sat 32 +eat 16 +st 0 +sf 1 +tg (WTG +uid 10568,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 10569,0 +va (VaSet +font "courier,8,0" +) +xt "77250,86100,96250,87000" +st "edge_fall_i : (g_NUM_EDGE_INPUTS-1:0)" +blo "77250,86800" +tm "WireNameMgr" +) +) +on &235 +) +*444 (Wire +uid 10572,0 +shape (OrthoPolyLine +uid 10573,0 +va (VaSet +vasetType 3 +) +xt "91000,88000,97250,88000" +pts [ +"97250,88000" +"91000,88000" +] +) +start &219 +sat 32 +eat 16 +st 0 +sf 1 +tg (WTG +uid 10576,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 10577,0 +va (VaSet +font "courier,8,0" +) +xt "74250,87100,95750,88000" +st "edge_fall_time_i : (g_NUM_EDGE_INPUTS-1:0)" +blo "74250,87800" +tm "WireNameMgr" +) +) +on &236 +) +*445 (Wire +uid 10580,0 +shape (OrthoPolyLine +uid 10581,0 +va (VaSet +vasetType 3 +) +xt "91000,89000,97250,89000" +pts [ +"97250,89000" +"91000,89000" +] +) +start &220 +sat 32 +eat 16 +st 0 +sf 1 +tg (WTG +uid 10584,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 10585,0 +va (VaSet +font "courier,8,0" +) +xt "77250,88100,96250,89000" +st "edge_rise_i : (g_NUM_EDGE_INPUTS-1:0)" +blo "77250,88800" +tm "WireNameMgr" +) +) +on &237 +) +*446 (Wire +uid 10588,0 +shape (OrthoPolyLine +uid 10589,0 +va (VaSet +vasetType 3 +) +xt "91000,90000,97250,90000" +pts [ +"97250,90000" +"91000,90000" +] +) +start &221 +sat 32 +eat 16 +st 0 +sf 1 +tg (WTG +uid 10592,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 10593,0 +va (VaSet +font "courier,8,0" +) +xt "74250,89100,95750,90000" +st "edge_rise_time_i : (g_NUM_EDGE_INPUTS-1:0)" +blo "74250,89800" +tm "WireNameMgr" +) +) +on &238 +) +*447 (Wire +uid 10596,0 +shape (OrthoPolyLine +uid 10597,0 +va (VaSet +vasetType 3 +) +xt "89000,93000,97250,93000" +pts [ +"97250,93000" +"89000,93000" +] +) +start &225 +end &304 +es 0 +sat 32 +eat 32 +st 0 +sf 1 +tg (WTG +uid 10600,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 10601,0 +va (VaSet +font "courier,8,0" +) +xt "75000,91100,97000,92000" +st "shutter_cnt_i : (g_SPILL_COUNTER_WIDTH-1:0)" +blo "75000,91800" +tm "WireNameMgr" +) +) +on &239 +) +*448 (Wire +uid 10604,0 +shape (OrthoPolyLine +uid 10605,0 +va (VaSet +vasetType 3 +) +xt "89000,96000,97250,96000" +pts [ +"97250,96000" +"89000,96000" +] +) +start &226 +end &296 +es 0 +sat 32 +eat 32 +st 0 +sf 1 +tg (WTG +uid 10608,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 10609,0 +va (VaSet +font "courier,8,0" +) +xt "89250,95100,93750,96000" +st "shutter_i" +blo "89250,95800" +tm "WireNameMgr" +) +) +on &240 +) +*449 (Wire +uid 10612,0 +shape (OrthoPolyLine +uid 10613,0 +va (VaSet +vasetType 3 +) +xt "89000,99000,97250,99000" +pts [ +"97250,99000" +"89000,99000" +] +) +start &227 +end &288 +es 0 +sat 32 +eat 32 +st 0 +sf 1 +tg (WTG +uid 10616,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 10617,0 +va (VaSet +font "courier,8,0" +) +xt "76000,98100,97000,99000" +st "spill_cnt_i : (g_SPILL_COUNTER_WIDTH-1:0)" +blo "76000,98800" +tm "WireNameMgr" +) +) +on &241 +) +*450 (Wire +uid 10620,0 +shape (OrthoPolyLine +uid 10621,0 +va (VaSet +vasetType 3 +) +xt "89000,102000,97250,102000" +pts [ +"97250,102000" +"89000,102000" +] +) +start &228 +end &280 +sat 32 +eat 32 +st 0 +sf 1 +tg (WTG +uid 10624,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 10625,0 +va (VaSet +font "courier,8,0" +) +xt "90250,101100,93750,102000" +st "spill_i" +blo "90250,101800" +tm "WireNameMgr" +) +) +on &242 +) +*451 (Wire +uid 10628,0 +shape (OrthoPolyLine +uid 10629,0 +va (VaSet +vasetType 3 +) +xt "89000,105000,97250,105000" +pts [ +"97250,105000" +"89000,105000" +] +) +start &229 +end &272 +es 0 +sat 32 +eat 32 +st 0 +sf 1 +tg (WTG +uid 10632,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 10633,0 +va (VaSet +font "courier,8,0" +) +xt "72250,104100,90250,105000" +st "trigger_cnt_i : (g_IPBUS_WIDTH-1:0)" +blo "72250,104800" +tm "WireNameMgr" +) +) +on &243 +) +*452 (Wire +uid 11082,0 +shape (OrthoPolyLine +uid 11083,0 +va (VaSet +vasetType 3 +) +xt "154000,55000,164250,55000" +pts [ +"154000,55000" +"164250,55000" +] +) +start &264 +end &259 +ss 0 +sat 32 +eat 32 +st 0 +sf 1 +tg (WTG +uid 11086,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 11087,0 +va (VaSet +font "courier,8,0" +) +xt "147000,57100,156500,58000" +st "logic_clocks_reset" +blo "147000,57800" +tm "WireNameMgr" +) +) +on &311 +) +*453 (Wire +uid 11464,0 +shape (OrthoPolyLine +uid 11465,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "58750,152000,73000,152000" +pts [ +"58750,152000" +"73000,152000" +] +) +start &334 +end &312 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 11468,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 11469,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "60750,151100,69250,152000" +st "gpio_hdr : (7:0)" +blo "60750,151800" +tm "WireNameMgr" +) +) +on &313 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *454 (PackageList +uid 345,0 +stg "VerticalLayoutStrategy" +textVec [ +*455 (Text +uid 346,0 +va (VaSet +font "courier,8,1" +) +xt "-13000,0,-6500,900" +st "Package List" +blo "-13000,700" +) +*456 (MLText +uid 347,0 +va (VaSet +font "courier,8,0" +) +xt "-13000,900,3000,10800" +st "LIBRARY ieee; +USE ieee.std_logic_1164.all; +USE ieee.numeric_std.all; + +LIBRARY work; +USE work.ipbus.all; +USE work.emac_hostbus_decl.all; + +USE work.fmcTLU.all; +LIBRARY unisim; +USE unisim.vcomponents.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 348,0 +stg "VerticalLayoutStrategy" +textVec [ +*457 (Text +uid 349,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,0,30000,900" +st "Compiler Directives" +blo "20000,700" +) +*458 (Text +uid 350,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,900,31500,1800" +st "Pre-module directives:" +blo "20000,1600" +) +*459 (MLText +uid 351,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "20000,1800,30100,3600" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*460 (Text +uid 352,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,3600,32000,4500" +st "Post-module directives:" +blo "20000,4300" +) +*461 (MLText +uid 353,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*462 (Text +uid 354,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,4500,31500,5400" +st "End-module directives:" +blo "20000,5200" +) +*463 (MLText +uid 355,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "20000,5400,20000,5400" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "79,10,1926,1118" +viewArea "93186,-22041,281149,86408" +cachedDiagramExtent "-13000,0,360964,484495" +pageSetupInfo (PageSetupInfo +fileName "/automount/users/phdgc/hdl_designer_test_print_1.ps" +colour 1 +paperWidth 2384 +paperHeight 3370 +unixPaperWidth 2384 +unixPaperHeight 3370 +paperType "A0 (841mm x 1189mm)" +unixPaperName "A0 (841mm x 1189mm)" +useAdjustTo 0 +panelVisible 1 +allPanelsVisible 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +bestFit 1 +) +hasePageBreakOrigin 1 +pageBreakOrigin "-13000,0" +lastUid 11795,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,4000,1900" +st "Panel0" +blo "1000,1700" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*464 (Text +va (VaSet +font "courier,8,1" +) +xt "1750,3650,6250,4550" +st "<library>" +blo "1750,4350" +tm "BdLibraryNameMgr" +) +*465 (Text +va (VaSet +font "courier,8,1" +) +xt "1750,4550,5250,5450" +st "<block>" +blo "1750,5250" +tm "BlkNameMgr" +) +*466 (Text +va (VaSet +font "courier,8,1" +) +xt "1750,5450,2750,6350" +st "I0" +blo "1750,6150" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "1750,13650,1750,13650" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*467 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,3650,4500,4550" +st "Library" +blo "1000,4350" +) +*468 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,4550,7000,5450" +st "MWComponent" +blo "1000,5250" +) +*469 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,5450,2000,6350" +st "I0" +blo "1000,6150" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6000,1650,-6000,1650" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*470 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,3650,4500,4550" +st "Library" +blo "1000,4350" +tm "BdLibraryNameMgr" +) +*471 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,4550,7000,5450" +st "SaComponent" +blo "1000,5250" +tm "CptNameMgr" +) +*472 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,5450,2000,6350" +st "I0" +blo "1000,6150" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6000,1650,-6000,1650" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +portVis (PortSigDisplay +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*473 (Text +va (VaSet +font "courier,8,1" +) +xt "500,3650,4000,4550" +st "Library" +blo "500,4350" +) +*474 (Text +va (VaSet +font "courier,8,1" +) +xt "500,4550,7500,5450" +st "VhdlComponent" +blo "500,5250" +) +*475 (Text +va (VaSet +font "courier,8,1" +) +xt "500,5450,1500,6350" +st "I0" +blo "500,6150" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6500,1650,-6500,1650" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-750,0,8750,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*476 (Text +va (VaSet +font "courier,8,1" +) +xt "-250,3650,3250,4550" +st "Library" +blo "-250,4350" +) +*477 (Text +va (VaSet +font "courier,8,1" +) +xt "-250,4550,8250,5450" +st "VerilogComponent" +blo "-250,5250" +) +*478 (Text +va (VaSet +font "courier,8,1" +) +xt "-250,5450,750,6350" +st "I0" +blo "-250,6150" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-7250,1650,-7250,1650" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*479 (Text +va (VaSet +font "courier,8,1" +) +xt "3250,4100,4750,5000" +st "eb1" +blo "3250,4800" +tm "HdlTextNameMgr" +) +*480 (Text +va (VaSet +font "courier,8,1" +) +xt "3250,5000,3750,5900" +st "1" +blo "3250,5700" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +font "courier,8,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +font "courier,8,1" +) +xt "-250,-450,250,450" +st "G" +blo "-250,250" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,0,2000,900" +st "sig0" +blo "0,700" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,0,2500,900" +st "dbus0" +blo "0,700" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,0" +) +xt "0,0,3500,900" +st "bundle0" +blo "0,700" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +font "courier,8,0" +) +xt "0,900,1000,1800" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +font "courier,8,0" +) +) +second (MLText +va (VaSet +font "courier,8,0" +) +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,-1000,14500,-100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1350" +) +num (Text +va (VaSet +font "courier,8,0" +) +xt "300,250,800,1150" +st "1" +blo "300,950" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*481 (Text +va (VaSet +font "courier,8,1" +) +xt "12500,20000,22000,20900" +st "Frame Declarations" +blo "12500,20700" +) +*482 (MLText +va (VaSet +font "courier,8,0" +) +xt "12500,20900,12500,20900" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,-1000,9000,-100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1350" +) +num (Text +va (VaSet +font "courier,8,0" +) +xt "300,250,800,1150" +st "1" +blo "300,950" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*483 (Text +va (VaSet +font "courier,8,1" +) +xt "12500,20000,22000,20900" +st "Frame Declarations" +blo "12500,20700" +) +*484 (MLText +va (VaSet +font "courier,8,0" +) +xt "12500,20900,12500,20900" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,2000,1650" +st "Port" +blo "0,1450" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,2000,1650" +st "Port" +blo "0,1450" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "courier,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "-12000,14200,-5500,15100" +st "Declarations" +blo "-12000,14900" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "-12000,15100,-9000,16000" +st "Ports:" +blo "-12000,15800" +) +preUserLabel (Text +uid 4,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-12000,14200,-7500,15100" +st "Pre User:" +blo "-12000,14900" +) +preUserText (MLText +uid 5,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-12000,14200,-12000,14200" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "courier,8,1" +) +xt "-12000,43000,-3500,43900" +st "Diagram Signals:" +blo "-12000,43700" +) +postUserLabel (Text +uid 7,0 +va (VaSet +font "courier,8,1" +) +xt "-12000,74500,-6500,75400" +st "Post User:" +blo "-12000,75200" +) +postUserText (MLText +uid 8,0 +va (VaSet +font "courier,8,0" +) +xt "-10000,61000,-10000,61900" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 173,0 +usingSuid 1 +emptyRow *485 (LEmptyRow +) +uid 358,0 +optionalChildren [ +*486 (RefLabelRowHdr +) +*487 (TitleRowHdr +) +*488 (FilterRowHdr +) +*489 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*490 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*491 (GroupColHdr +tm "GroupColHdrMgr" +) +*492 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*493 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*494 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*495 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*496 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*497 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*498 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "gmii_gtx_clk_o" +t "std_logic" +o 16 +suid 4,0 +) +) +uid 279,0 +) +*499 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "gmii_tx_en_o" +t "std_logic" +o 17 +suid 9,0 +) +) +uid 281,0 +) +*500 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "gmii_tx_er_o" +t "std_logic" +o 18 +suid 10,0 +) +) +uid 283,0 +) +*501 (LeafLogPort +port (LogicalPort +decl (Decl +n "gmii_rx_clk_i" +t "std_logic" +o 8 +suid 5,0 +) +) +uid 285,0 +) +*502 (LeafLogPort +port (LogicalPort +decl (Decl +n "gmii_rx_dv_i" +t "std_logic" +o 9 +suid 6,0 +) +) +uid 287,0 +) +*503 (LeafLogPort +port (LogicalPort +decl (Decl +n "gmii_rx_er_i" +t "std_logic" +o 10 +suid 7,0 +) +) +uid 289,0 +) +*504 (LeafLogPort +port (LogicalPort +decl (Decl +n "gmii_rxd_i" +t "std_logic_vector" +b "(7 DOWNTO 0)" +o 11 +suid 8,0 +) +) +uid 291,0 +) +*505 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "phy_rstb_o" +t "std_logic" +o 22 +suid 15,0 +) +) +uid 293,0 +) +*506 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "gmii_txd_o" +t "std_logic_vector" +b "(7 DOWNTO 0)" +o 19 +suid 11,0 +) +) +uid 295,0 +) +*507 (LeafLogPort +port (LogicalPort +decl (Decl +n "threshold_discr_p_i" +t "std_logic_vector" +b "(g_NUM_TRIG_INPUTS-1 DOWNTO 0)" +o 15 +suid 18,0 +) +) +uid 305,0 +) +*508 (LeafLogPort +port (LogicalPort +decl (Decl +n "cfd_discr_p_i" +t "std_logic_vector" +b "(g_NUM_TRIG_INPUTS-1 DOWNTO 0)" +o 4 +suid 2,0 +) +) +uid 307,0 +) +*509 (LeafLogPort +port (LogicalPort +m 2 +decl (Decl +n "i2c_scl_b" +t "std_logic" +o 29 +suid 12,0 +) +) +uid 309,0 +) +*510 (LeafLogPort +port (LogicalPort +m 2 +decl (Decl +n "i2c_sda_b" +t "std_logic" +o 30 +suid 13,0 +) +) +uid 311,0 +) +*511 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "clk_4x_logic" +t "std_logic" +eolc "--! normally 160MHz" +o 33 +suid 26,0 +) +) +uid 1223,0 +) +*512 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "ipbus_clk" +t "std_logic" +o 43 +suid 39,0 +) +) +uid 2119,0 +) +*513 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "ipbus_reset" +t "std_logic" +o 45 +suid 40,0 +) +) +uid 2121,0 +) +*514 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "ipbw" +t "ipb_wbus_array" +b "(g_NUM_EXT_SLAVES-1 DOWNTO 0)" +eolc "--! IBus write signals" +o 47 +suid 41,0 +) +) +uid 2123,0 +) +*515 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "ipbr" +t "ipb_rbus_array" +b "(g_NUM_EXT_SLAVES-1 DOWNTO 0)" +eolc "--! IPBus read signals" +o 42 +suid 42,0 +) +) +uid 2125,0 +) +*516 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "overall_trigger" +t "std_logic" +eolc "--! goes high to load trigger data" +o 50 +suid 61,0 +) +) +uid 2131,0 +) +*517 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "overall_veto" +t "std_logic" +eolc "--! Halts triggers when high" +o 51 +suid 62,0 +) +) +uid 2133,0 +) +*518 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "triggers" +t "std_logic_vector" +b "(g_NUM_TRIG_INPUTS-1 DOWNTO 0)" +o 63 +suid 68,0 +) +) +uid 2137,0 +) +*519 (LeafLogPort +port (LogicalPort +lang 2 +m 4 +decl (Decl +n "trigger_count" +t "std_logic_vector" +b "(g_IPBUS_WIDTH-1 DOWNTO 0)" +o 61 +suid 77,0 +) +) +uid 2287,0 +) +*520 (LeafLogPort +port (LogicalPort +lang 2 +m 4 +decl (Decl +n "data_strobe" +t "std_logic" +eolc "goes high when data ready to load into event buffer" +o 35 +suid 82,0 +) +) +uid 2362,0 +) +*521 (LeafLogPort +port (LogicalPort +lang 2 +m 1 +decl (Decl +n "leds_o" +t "std_logic_vector" +b "(3 DOWNTO 0)" +o 21 +suid 83,0 +) +) +uid 2814,0 +scheme 0 +) +*522 (LeafLogPort +port (LogicalPort +lang 2 +m 4 +decl (Decl +n "trigger_times" +t "t_triggerTimeArray" +b "(g_NUM_TRIG_INPUTS-1 DOWNTO 0)" +eolc "! trigger arrival time ( w.r.t. logic_strobe)" +preAdd 0 +posAdd 0 +o 62 +suid 86,0 +) +) +uid 3333,0 +) +*523 (LeafLogPort +port (LogicalPort +lang 2 +m 4 +decl (Decl +n "event_data" +t "std_logic_vector" +b "(g_EVENT_DATA_WIDTH-1 DOWNTO 0)" +o 40 +suid 93,0 +) +) +uid 3653,0 +) +*524 (LeafLogPort +port (LogicalPort +m 2 +decl (Decl +n "extclk_p_b" +t "std_logic" +eolc "--! either external clock in, or a clock being driven out" +o 28 +suid 105,0 +) +) +uid 4683,0 +) +*525 (LeafLogPort +port (LogicalPort +m 2 +decl (Decl +n "extclk_n_b" +t "std_logic" +o 27 +suid 106,0 +) +) +uid 4685,0 +) +*526 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "clk_logic_xtal" +t "std_logic" +eolc "! 40MHz clock from onboard xtal" +o 34 +suid 107,0 +) +) +uid 4687,0 +) +*527 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "strobe_4x_logic" +t "std_logic" +eolc "one pulse every 4 cycles of clk_4x" +o 59 +suid 109,0 +) +) +uid 4705,0 +) +*528 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "clk_16x_logic" +t "std_logic" +eolc "640MHz clock" +o 32 +suid 110,0 +) +) +uid 4707,0 +) +*529 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "strobe_16x_logic" +t "std_logic" +eolc "--! Pulses one cycle every 4 of 16x clock." +o 58 +suid 111,0 +) +) +uid 4709,0 +) +*530 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "veto_o" +t "std_logic" +eolc "goes high when one or more DUT are busy" +o 64 +suid 116,0 +) +) +uid 4994,0 +) +*531 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "buffer_full_o" +t "std_logic" +eolc "--! Goes high when event buffer almost full" +o 31 +suid 117,0 +) +) +uid 4996,0 +) +*532 (LeafLogPort +port (LogicalPort +decl (Decl +n "cfd_discr_n_i" +t "std_logic_vector" +b "(g_NUM_TRIG_INPUTS-1 DOWNTO 0)" +o 3 +suid 120,0 +) +) +uid 6012,0 +) +*533 (LeafLogPort +port (LogicalPort +decl (Decl +n "threshold_discr_n_i" +t "std_logic_vector" +b "(g_NUM_TRIG_INPUTS-1 DOWNTO 0)" +o 14 +suid 121,0 +) +) +uid 6014,0 +) +*534 (LeafLogPort +port (LogicalPort +decl (Decl +n "sysclk_p_i" +t "std_logic" +o 13 +suid 129,0 +) +) +uid 6680,0 +) +*535 (LeafLogPort +port (LogicalPort +decl (Decl +n "sysclk_n_i" +t "std_logic" +eolc "--! 200 MHz xtal clock" +o 12 +suid 130,0 +) +) +uid 6682,0 +) +*536 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "triggers_p_o" +t "std_logic_vector" +b "(g_NUM_DUTS-1 DOWNTO 0)" +eolc "--! Trigger lines to DUT" +o 26 +suid 131,0 +) +) +uid 6685,0 +) +*537 (LeafLogPort +port (LogicalPort +decl (Decl +n "busy_p_i" +t "std_logic_vector" +b "(g_NUM_DUTS-1 DOWNTO 0)" +eolc "--! Busy lines from DUTs ( active high )" +o 2 +suid 132,0 +) +) +uid 6687,0 +) +*538 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "reset_or_clk_p_o" +t "std_logic_vector" +b "(g_NUM_DUTS-1 DOWNTO 0)" +o 24 +suid 133,0 +) +) +uid 6689,0 +) +*539 (LeafLogPort +port (LogicalPort +decl (Decl +n "dut_clk_p_i" +t "std_logic_vector" +b "(g_NUM_DUTS-1 DOWNTO 0)" +o 7 +suid 134,0 +) +) +uid 6691,0 +) +*540 (LeafLogPort +port (LogicalPort +lang 2 +decl (Decl +n "dip_switch_i" +t "std_logic_vector" +b "(3 DOWNTO 0)" +o 5 +suid 135,0 +) +) +uid 6693,0 +) +*541 (LeafLogPort +port (LogicalPort +decl (Decl +n "busy_n_i" +t "std_logic_vector" +b "(g_NUM_DUTS-1 DOWNTO 0)" +o 1 +suid 136,0 +) +) +uid 6695,0 +) +*542 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "reset_or_clk_n_o" +t "std_logic_vector" +b "(g_NUM_DUTS-1 DOWNTO 0)" +o 23 +suid 137,0 +) +) +uid 6697,0 +) +*543 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "triggers_n_o" +t "std_logic_vector" +b "(g_NUM_DUTS-1 DOWNTO 0)" +o 25 +suid 138,0 +) +) +uid 6699,0 +) +*544 (LeafLogPort +port (LogicalPort +decl (Decl +n "dut_clk_n_i" +t "std_logic_vector" +b "(g_NUM_DUTS-1 DOWNTO 0)" +o 6 +suid 139,0 +) +) +uid 6701,0 +) +*545 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "ipbus_rst" +t "std_logic" +eolc "! IPBus reset to slaves" +o 46 +suid 140,0 +) +) +uid 7101,0 +) +*546 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "event_number_o" +t "std_logic_vector" +b "(g_IPBUS_WIDTH-1 downto 0)" +eolc "starts at one. Increments for each post_veto_trigger" +o 41 +suid 146,0 +) +) +uid 9407,0 +) +*547 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "logic_reset" +t "std_logic" +eolc "Goes high to reset counters etc. Sync with clk_4x_logic" +o 49 +suid 148,0 +) +) +uid 9409,0 +) +*548 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "s_i2c_scl_enb" +t "std_logic" +o 52 +suid 153,0 +) +) +uid 10124,0 +) +*549 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "s_i2c_sda_enb" +t "std_logic" +o 53 +suid 157,0 +) +) +uid 10126,0 +) +*550 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "ipbus_clk_i" +t "std_logic" +o 44 +suid 158,0 +) +) +uid 10540,0 +) +*551 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "edge_fall_i" +t "std_logic_vector" +b "(g_NUM_EDGE_INPUTS-1 DOWNTO 0)" +eolc "-- ! High when falling edge" +preAdd 0 +posAdd 0 +o 36 +suid 161,0 +) +) +uid 10634,0 +) +*552 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "edge_fall_time_i" +t "t_triggerTimeArray" +b "(g_NUM_EDGE_INPUTS-1 DOWNTO 0)" +eolc "-- Array of edge times ( w.r.t. logic_strobe)" +preAdd 0 +posAdd 0 +o 37 +suid 162,0 +) +) +uid 10636,0 +) +*553 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "edge_rise_i" +t "std_logic_vector" +b "(g_NUM_EDGE_INPUTS-1 DOWNTO 0)" +eolc "-- ! High when rising edge" +posAdd 0 +o 38 +suid 163,0 +) +) +uid 10638,0 +) +*554 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "edge_rise_time_i" +t "t_triggerTimeArray" +b "(g_NUM_EDGE_INPUTS-1 DOWNTO 0)" +eolc "-- Array of edge times ( w.r.t. logic_strobe)" +preAdd 0 +posAdd 0 +o 39 +suid 164,0 +) +) +uid 10640,0 +) +*555 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "shutter_cnt_i" +t "std_logic_vector" +b "(g_SPILL_COUNTER_WIDTH-1 DOWNTO 0)" +o 54 +suid 165,0 +) +) +uid 10642,0 +) +*556 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "shutter_i" +t "std_logic" +o 55 +suid 166,0 +) +) +uid 10644,0 +) +*557 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "spill_cnt_i" +t "std_logic_vector" +b "(g_SPILL_COUNTER_WIDTH-1 DOWNTO 0)" +o 56 +suid 167,0 +) +) +uid 10646,0 +) +*558 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "spill_i" +t "std_logic" +o 57 +suid 168,0 +) +) +uid 10648,0 +) +*559 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "trigger_cnt_i" +t "std_logic_vector" +b "(g_IPBUS_WIDTH-1 DOWNTO 0)" +o 60 +suid 169,0 +) +) +uid 10650,0 +) +*560 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "logic_clocks_reset" +t "std_logic" +eolc "Goes high to reset counters etc. Sync with clk_4x_logic" +o 48 +suid 171,0 +) +) +uid 11204,0 +) +*561 (LeafLogPort +port (LogicalPort +lang 2 +m 1 +decl (Decl +n "gpio_hdr" +t "std_logic_vector" +b "(7 DOWNTO 0)" +o 20 +suid 172,0 +) +) +uid 11457,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 371,0 +optionalChildren [ +*562 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *563 (MRCItem +litem &485 +pos 64 +dimension 20 +) +uid 373,0 +optionalChildren [ +*564 (MRCItem +litem &486 +pos 0 +dimension 20 +uid 374,0 +) +*565 (MRCItem +litem &487 +pos 1 +dimension 23 +uid 375,0 +) +*566 (MRCItem +litem &488 +pos 2 +hidden 1 +dimension 20 +uid 376,0 +) +*567 (MRCItem +litem &498 +pos 0 +dimension 20 +uid 280,0 +) +*568 (MRCItem +litem &499 +pos 1 +dimension 20 +uid 282,0 +) +*569 (MRCItem +litem &500 +pos 2 +dimension 20 +uid 284,0 +) +*570 (MRCItem +litem &501 +pos 3 +dimension 20 +uid 286,0 +) +*571 (MRCItem +litem &502 +pos 4 +dimension 20 +uid 288,0 +) +*572 (MRCItem +litem &503 +pos 5 +dimension 20 +uid 290,0 +) +*573 (MRCItem +litem &504 +pos 6 +dimension 20 +uid 292,0 +) +*574 (MRCItem +litem &505 +pos 7 +dimension 20 +uid 294,0 +) +*575 (MRCItem +litem &506 +pos 8 +dimension 20 +uid 296,0 +) +*576 (MRCItem +litem &507 +pos 17 +dimension 20 +uid 306,0 +) +*577 (MRCItem +litem &508 +pos 19 +dimension 20 +uid 308,0 +) +*578 (MRCItem +litem &509 +pos 21 +dimension 20 +uid 310,0 +) +*579 (MRCItem +litem &510 +pos 22 +dimension 20 +uid 312,0 +) +*580 (MRCItem +litem &511 +pos 30 +dimension 20 +uid 1224,0 +) +*581 (MRCItem +litem &512 +pos 31 +dimension 20 +uid 2120,0 +) +*582 (MRCItem +litem &513 +pos 32 +dimension 20 +uid 2122,0 +) +*583 (MRCItem +litem &514 +pos 33 +dimension 20 +uid 2124,0 +) +*584 (MRCItem +litem &515 +pos 34 +dimension 20 +uid 2126,0 +) +*585 (MRCItem +litem &516 +pos 35 +dimension 20 +uid 2132,0 +) +*586 (MRCItem +litem &517 +pos 36 +dimension 20 +uid 2134,0 +) +*587 (MRCItem +litem &518 +pos 37 +dimension 20 +uid 2138,0 +) +*588 (MRCItem +litem &519 +pos 38 +dimension 20 +uid 2288,0 +) +*589 (MRCItem +litem &520 +pos 39 +dimension 20 +uid 2363,0 +) +*590 (MRCItem +litem &521 +pos 23 +dimension 20 +uid 2815,0 +) +*591 (MRCItem +litem &522 +pos 40 +dimension 20 +uid 3334,0 +) +*592 (MRCItem +litem &523 +pos 41 +dimension 20 +uid 3654,0 +) +*593 (MRCItem +litem &524 +pos 24 +dimension 20 +uid 4684,0 +) +*594 (MRCItem +litem &525 +pos 25 +dimension 20 +uid 4686,0 +) +*595 (MRCItem +litem &526 +pos 42 +dimension 20 +uid 4688,0 +) +*596 (MRCItem +litem &527 +pos 43 +dimension 20 +uid 4706,0 +) +*597 (MRCItem +litem &528 +pos 44 +dimension 20 +uid 4708,0 +) +*598 (MRCItem +litem &529 +pos 45 +dimension 20 +uid 4710,0 +) +*599 (MRCItem +litem &530 +pos 46 +dimension 20 +uid 4995,0 +) +*600 (MRCItem +litem &531 +pos 47 +dimension 20 +uid 4997,0 +) +*601 (MRCItem +litem &532 +pos 20 +dimension 20 +uid 6013,0 +) +*602 (MRCItem +litem &533 +pos 18 +dimension 20 +uid 6015,0 +) +*603 (MRCItem +litem &534 +pos 26 +dimension 20 +uid 6681,0 +) +*604 (MRCItem +litem &535 +pos 27 +dimension 20 +uid 6683,0 +) +*605 (MRCItem +litem &536 +pos 9 +dimension 20 +uid 6684,0 +) +*606 (MRCItem +litem &537 +pos 11 +dimension 20 +uid 6686,0 +) +*607 (MRCItem +litem &538 +pos 13 +dimension 20 +uid 6688,0 +) +*608 (MRCItem +litem &539 +pos 15 +dimension 20 +uid 6690,0 +) +*609 (MRCItem +litem &540 +pos 28 +dimension 20 +uid 6692,0 +) +*610 (MRCItem +litem &541 +pos 12 +dimension 20 +uid 6694,0 +) +*611 (MRCItem +litem &542 +pos 14 +dimension 20 +uid 6696,0 +) +*612 (MRCItem +litem &543 +pos 10 +dimension 20 +uid 6698,0 +) +*613 (MRCItem +litem &544 +pos 16 +dimension 20 +uid 6700,0 +) +*614 (MRCItem +litem &545 +pos 48 +dimension 20 +uid 7102,0 +) +*615 (MRCItem +litem &546 +pos 49 +dimension 20 +uid 9408,0 +) +*616 (MRCItem +litem &547 +pos 50 +dimension 20 +uid 9410,0 +) +*617 (MRCItem +litem &548 +pos 51 +dimension 20 +uid 10125,0 +) +*618 (MRCItem +litem &549 +pos 52 +dimension 20 +uid 10127,0 +) +*619 (MRCItem +litem &550 +pos 53 +dimension 20 +uid 10541,0 +) +*620 (MRCItem +litem &551 +pos 54 +dimension 20 +uid 10635,0 +) +*621 (MRCItem +litem &552 +pos 55 +dimension 20 +uid 10637,0 +) +*622 (MRCItem +litem &553 +pos 56 +dimension 20 +uid 10639,0 +) +*623 (MRCItem +litem &554 +pos 57 +dimension 20 +uid 10641,0 +) +*624 (MRCItem +litem &555 +pos 58 +dimension 20 +uid 10643,0 +) +*625 (MRCItem +litem &556 +pos 59 +dimension 20 +uid 10645,0 +) +*626 (MRCItem +litem &557 +pos 60 +dimension 20 +uid 10647,0 +) +*627 (MRCItem +litem &558 +pos 61 +dimension 20 +uid 10649,0 +) +*628 (MRCItem +litem &559 +pos 62 +dimension 20 +uid 10651,0 +) +*629 (MRCItem +litem &560 +pos 63 +dimension 20 +uid 11205,0 +) +*630 (MRCItem +litem &561 +pos 29 +dimension 20 +uid 11456,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 377,0 +optionalChildren [ +*631 (MRCItem +litem &489 +pos 0 +dimension 20 +uid 378,0 +) +*632 (MRCItem +litem &491 +pos 1 +dimension 50 +uid 379,0 +) +*633 (MRCItem +litem &492 +pos 2 +dimension 176 +uid 380,0 +) +*634 (MRCItem +litem &493 +pos 3 +dimension 50 +uid 381,0 +) +*635 (MRCItem +litem &494 +pos 4 +dimension 100 +uid 382,0 +) +*636 (MRCItem +litem &495 +pos 5 +dimension 213 +uid 383,0 +) +*637 (MRCItem +litem &496 +pos 6 +dimension 50 +uid 384,0 +) +*638 (MRCItem +litem &497 +pos 7 +dimension 711 +uid 385,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 372,0 +vaOverrides [ +] +) +] +) +uid 357,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *639 (LEmptyRow +) +uid 387,0 +optionalChildren [ +*640 (RefLabelRowHdr +) +*641 (TitleRowHdr +) +*642 (FilterRowHdr +) +*643 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*644 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*645 (GroupColHdr +tm "GroupColHdrMgr" +) +*646 (NameColHdr +tm "GenericNameColHdrMgr" +) +*647 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*648 (InitColHdr +tm "GenericValueColHdrMgr" +) +*649 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*650 (EolColHdr +tm "GenericEolColHdrMgr" +) +*651 (LogGeneric +generic (GiElement +name "g_NUM_DUTS" +type "positive" +value "3" +) +uid 738,0 +) +*652 (LogGeneric +generic (GiElement +name "g_NUM_TRIG_INPUTS" +type "positive" +value "4" +) +uid 740,0 +) +*653 (LogGeneric +generic (GiElement +name "g_NUM_EXT_SLAVES" +type "positive" +value "10" +e "! Number of slaves outside IPBus interface" +) +uid 2456,0 +) +*654 (LogGeneric +generic (GiElement +name "g_EVENT_DATA_WIDTH" +type "positive" +value "64" +) +uid 2458,0 +) +*655 (LogGeneric +generic (GiElement +name "g_IPBUS_WIDTH" +type "positive" +value "32" +) +uid 2460,0 +) +*656 (LogGeneric +generic (GiElement +name "g_NUM_EDGE_INPUTS" +type "positive" +value "4" +) +uid 10652,0 +) +*657 (LogGeneric +generic (GiElement +name "g_SPILL_COUNTER_WIDTH" +type "positive" +value "12" +) +uid 10832,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 399,0 +optionalChildren [ +*658 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *659 (MRCItem +litem &639 +pos 7 +dimension 20 +) +uid 401,0 +optionalChildren [ +*660 (MRCItem +litem &640 +pos 0 +dimension 20 +uid 402,0 +) +*661 (MRCItem +litem &641 +pos 1 +dimension 23 +uid 403,0 +) +*662 (MRCItem +litem &642 +pos 2 +hidden 1 +dimension 20 +uid 404,0 +) +*663 (MRCItem +litem &651 +pos 0 +dimension 20 +uid 737,0 +) +*664 (MRCItem +litem &652 +pos 1 +dimension 20 +uid 739,0 +) +*665 (MRCItem +litem &653 +pos 2 +dimension 20 +uid 2457,0 +) +*666 (MRCItem +litem &654 +pos 3 +dimension 20 +uid 2459,0 +) +*667 (MRCItem +litem &655 +pos 4 +dimension 20 +uid 2461,0 +) +*668 (MRCItem +litem &656 +pos 5 +dimension 20 +uid 10653,0 +) +*669 (MRCItem +litem &657 +pos 6 +dimension 20 +uid 10831,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 405,0 +optionalChildren [ +*670 (MRCItem +litem &643 +pos 0 +dimension 20 +uid 406,0 +) +*671 (MRCItem +litem &645 +pos 1 +dimension 50 +uid 407,0 +) +*672 (MRCItem +litem &646 +pos 2 +dimension 247 +uid 408,0 +) +*673 (MRCItem +litem &647 +pos 3 +dimension 100 +uid 409,0 +) +*674 (MRCItem +litem &648 +pos 4 +dimension 50 +uid 410,0 +) +*675 (MRCItem +litem &649 +pos 5 +dimension 50 +uid 411,0 +) +*676 (MRCItem +litem &650 +pos 6 +dimension 602 +uid 412,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 400,0 +vaOverrides [ +] +) +] +) +uid 386,0 +type 1 +) +activeModelName "BlockDiag:CDM" +) diff --git a/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/top_extphy/struct.bd.info/Synthesis/Constraints/top_extphy.ctr b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/top_extphy/struct.bd.info/Synthesis/Constraints/top_extphy.ctr new file mode 100644 index 00000000..76b1ead4 --- /dev/null +++ b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/top_extphy/struct.bd.info/Synthesis/Constraints/top_extphy.ctr @@ -0,0 +1,5 @@ +# set_vendor xilinx +# set_family spartan6 +# set_device 6slx16 +# set_package csg324 +# set_speed -3 diff --git a/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/top_extphy/struct.bd.info/Synthesis/Constraints/top_extphy.sdc b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/top_extphy/struct.bd.info/Synthesis/Constraints/top_extphy.sdc new file mode 100644 index 00000000..76b1ead4 --- /dev/null +++ b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/top_extphy/struct.bd.info/Synthesis/Constraints/top_extphy.sdc @@ -0,0 +1,5 @@ +# set_vendor xilinx +# set_family spartan6 +# set_device 6slx16 +# set_package csg324 +# set_speed -3 diff --git a/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/top_extphy/symbol.sb b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/top_extphy/symbol.sb new file mode 100644 index 00000000..93dda4d2 --- /dev/null +++ b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/top_extphy/symbol.sb @@ -0,0 +1,3403 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "25.1" +appVersion "2012.2b (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 36,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 53,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "sysclk_p_i" +t "std_logic" +o 13 +suid 1,0 +) +) +uid 109,0 +) +*15 (LogPort +port (LogicalPort +lang 2 +m 1 +decl (Decl +n "leds_o" +t "std_logic_vector" +b "(3 DOWNTO 0)" +o 21 +suid 2,0 +) +) +uid 111,0 +) +*16 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "gmii_gtx_clk_o" +t "std_logic" +o 16 +suid 3,0 +) +) +uid 113,0 +) +*17 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "gmii_tx_en_o" +t "std_logic" +o 17 +suid 4,0 +) +) +uid 115,0 +) +*18 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "gmii_tx_er_o" +t "std_logic" +o 18 +suid 5,0 +) +) +uid 117,0 +) +*19 (LogPort +port (LogicalPort +decl (Decl +n "gmii_rx_clk_i" +t "std_logic" +o 8 +suid 6,0 +) +) +uid 119,0 +) +*20 (LogPort +port (LogicalPort +decl (Decl +n "gmii_rx_dv_i" +t "std_logic" +o 9 +suid 7,0 +) +) +uid 121,0 +) +*21 (LogPort +port (LogicalPort +decl (Decl +n "gmii_rx_er_i" +t "std_logic" +o 10 +suid 8,0 +) +) +uid 123,0 +) +*22 (LogPort +port (LogicalPort +decl (Decl +n "gmii_rxd_i" +t "std_logic_vector" +b "(7 DOWNTO 0)" +o 11 +suid 9,0 +) +) +uid 125,0 +) +*23 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "phy_rstb_o" +t "std_logic" +o 22 +suid 10,0 +) +) +uid 127,0 +) +*24 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "gmii_txd_o" +t "std_logic_vector" +b "(7 DOWNTO 0)" +o 19 +suid 11,0 +) +) +uid 129,0 +) +*25 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "triggers_p_o" +t "std_logic_vector" +b "(g_NUM_DUTS-1 DOWNTO 0)" +eolc "--! Trigger lines to DUT" +o 26 +suid 12,0 +) +) +uid 131,0 +) +*26 (LogPort +port (LogicalPort +decl (Decl +n "busy_p_i" +t "std_logic_vector" +b "(g_NUM_DUTS-1 DOWNTO 0)" +eolc "--! Busy lines from DUTs ( active high )" +o 2 +suid 13,0 +) +) +uid 133,0 +) +*27 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "reset_or_clk_p_o" +t "std_logic_vector" +b "(g_NUM_DUTS-1 DOWNTO 0)" +o 24 +suid 14,0 +) +) +uid 135,0 +) +*28 (LogPort +port (LogicalPort +decl (Decl +n "dut_clk_p_i" +t "std_logic_vector" +b "(g_NUM_DUTS-1 DOWNTO 0)" +o 7 +suid 15,0 +) +) +uid 137,0 +) +*29 (LogPort +port (LogicalPort +decl (Decl +n "sysclk_n_i" +t "std_logic" +eolc "--! 200 MHz xtal clock" +o 12 +suid 20,0 +) +) +uid 337,0 +) +*30 (LogPort +port (LogicalPort +m 2 +decl (Decl +n "extclk_p_b" +t "std_logic" +eolc "--! either external clock in, or a clock being driven out" +o 28 +suid 23,0 +) +) +uid 942,0 +) +*31 (LogPort +port (LogicalPort +m 2 +decl (Decl +n "extclk_n_b" +t "std_logic" +o 27 +suid 24,0 +) +) +uid 944,0 +) +*32 (LogPort +port (LogicalPort +decl (Decl +n "threshold_discr_p_i" +t "std_logic_vector" +b "(g_NUM_TRIG_INPUTS-1 DOWNTO 0)" +o 15 +suid 25,0 +) +) +uid 1265,0 +) +*33 (LogPort +port (LogicalPort +decl (Decl +n "cfd_discr_p_i" +t "std_logic_vector" +b "(g_NUM_TRIG_INPUTS-1 DOWNTO 0)" +o 4 +suid 26,0 +) +) +uid 1267,0 +) +*34 (LogPort +port (LogicalPort +decl (Decl +n "cfd_discr_n_i" +t "std_logic_vector" +b "(g_NUM_TRIG_INPUTS-1 DOWNTO 0)" +o 3 +suid 27,0 +) +) +uid 1269,0 +) +*35 (LogPort +port (LogicalPort +decl (Decl +n "threshold_discr_n_i" +t "std_logic_vector" +b "(g_NUM_TRIG_INPUTS-1 DOWNTO 0)" +o 14 +suid 28,0 +) +) +uid 1271,0 +) +*36 (LogPort +port (LogicalPort +decl (Decl +n "busy_n_i" +t "std_logic_vector" +b "(g_NUM_DUTS-1 DOWNTO 0)" +o 1 +suid 29,0 +) +) +uid 1775,0 +) +*37 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "reset_or_clk_n_o" +t "std_logic_vector" +b "(g_NUM_DUTS-1 DOWNTO 0)" +o 23 +suid 30,0 +) +) +uid 1777,0 +) +*38 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "triggers_n_o" +t "std_logic_vector" +b "(g_NUM_DUTS-1 DOWNTO 0)" +o 25 +suid 31,0 +) +) +uid 1779,0 +) +*39 (LogPort +port (LogicalPort +decl (Decl +n "dut_clk_n_i" +t "std_logic_vector" +b "(g_NUM_DUTS-1 DOWNTO 0)" +o 6 +suid 32,0 +) +) +uid 1781,0 +) +*40 (LogPort +port (LogicalPort +m 2 +decl (Decl +n "i2c_sda_b" +t "std_logic" +o 30 +suid 33,0 +) +) +uid 1804,0 +) +*41 (LogPort +port (LogicalPort +lang 2 +decl (Decl +n "dip_switch_i" +t "std_logic_vector" +b "(3 DOWNTO 0)" +o 5 +suid 34,0 +) +) +uid 1811,0 +) +*42 (LogPort +port (LogicalPort +m 2 +decl (Decl +n "i2c_scl_b" +t "std_logic" +o 29 +suid 35,0 +) +) +uid 1841,0 +) +*43 (LogPort +port (LogicalPort +lang 2 +m 1 +decl (Decl +n "gpio_hdr" +t "std_logic_vector" +b "(7 DOWNTO 0)" +o 20 +suid 36,0 +) +) +uid 1989,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 66,0 +optionalChildren [ +*44 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *45 (MRCItem +litem &1 +pos 30 +dimension 20 +) +uid 68,0 +optionalChildren [ +*46 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 69,0 +) +*47 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 70,0 +) +*48 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 71,0 +) +*49 (MRCItem +litem &14 +pos 26 +dimension 20 +uid 108,0 +) +*50 (MRCItem +litem &15 +pos 23 +dimension 20 +uid 110,0 +) +*51 (MRCItem +litem &16 +pos 0 +dimension 20 +uid 112,0 +) +*52 (MRCItem +litem &17 +pos 1 +dimension 20 +uid 114,0 +) +*53 (MRCItem +litem &18 +pos 2 +dimension 20 +uid 116,0 +) +*54 (MRCItem +litem &19 +pos 3 +dimension 20 +uid 118,0 +) +*55 (MRCItem +litem &20 +pos 4 +dimension 20 +uid 120,0 +) +*56 (MRCItem +litem &21 +pos 5 +dimension 20 +uid 122,0 +) +*57 (MRCItem +litem &22 +pos 6 +dimension 20 +uid 124,0 +) +*58 (MRCItem +litem &23 +pos 7 +dimension 20 +uid 126,0 +) +*59 (MRCItem +litem &24 +pos 8 +dimension 20 +uid 128,0 +) +*60 (MRCItem +litem &25 +pos 9 +dimension 20 +uid 130,0 +) +*61 (MRCItem +litem &26 +pos 11 +dimension 20 +uid 132,0 +) +*62 (MRCItem +litem &27 +pos 13 +dimension 20 +uid 134,0 +) +*63 (MRCItem +litem &28 +pos 15 +dimension 20 +uid 136,0 +) +*64 (MRCItem +litem &29 +pos 27 +dimension 20 +uid 338,0 +) +*65 (MRCItem +litem &30 +pos 24 +dimension 20 +uid 941,0 +) +*66 (MRCItem +litem &31 +pos 25 +dimension 20 +uid 943,0 +) +*67 (MRCItem +litem &32 +pos 17 +dimension 20 +uid 1264,0 +) +*68 (MRCItem +litem &33 +pos 19 +dimension 20 +uid 1266,0 +) +*69 (MRCItem +litem &34 +pos 20 +dimension 20 +uid 1268,0 +) +*70 (MRCItem +litem &35 +pos 18 +dimension 20 +uid 1270,0 +) +*71 (MRCItem +litem &36 +pos 12 +dimension 20 +uid 1776,0 +) +*72 (MRCItem +litem &37 +pos 14 +dimension 20 +uid 1778,0 +) +*73 (MRCItem +litem &38 +pos 10 +dimension 20 +uid 1780,0 +) +*74 (MRCItem +litem &39 +pos 16 +dimension 20 +uid 1782,0 +) +*75 (MRCItem +litem &40 +pos 22 +dimension 20 +uid 1803,0 +) +*76 (MRCItem +litem &41 +pos 28 +dimension 20 +uid 1810,0 +) +*77 (MRCItem +litem &42 +pos 21 +dimension 20 +uid 1840,0 +) +*78 (MRCItem +litem &43 +pos 29 +dimension 20 +uid 1990,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 72,0 +optionalChildren [ +*79 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 73,0 +) +*80 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 74,0 +) +*81 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 75,0 +) +*82 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 76,0 +) +*83 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 77,0 +) +*84 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 78,0 +) +*85 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 79,0 +) +*86 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 80,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 67,0 +vaOverrides [ +] +) +] +) +uid 52,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *87 (LEmptyRow +) +uid 82,0 +optionalChildren [ +*88 (RefLabelRowHdr +) +*89 (TitleRowHdr +) +*90 (FilterRowHdr +) +*91 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*92 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*93 (GroupColHdr +tm "GroupColHdrMgr" +) +*94 (NameColHdr +tm "GenericNameColHdrMgr" +) +*95 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*96 (InitColHdr +tm "GenericValueColHdrMgr" +) +*97 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*98 (EolColHdr +tm "GenericEolColHdrMgr" +) +*99 (LogGeneric +generic (GiElement +name "g_NUM_DUTS" +type "positive" +value "3" +) +uid 1209,0 +) +*100 (LogGeneric +generic (GiElement +name "g_NUM_TRIG_INPUTS" +type "positive" +value "4" +) +uid 1211,0 +) +*101 (LogGeneric +generic (GiElement +name "g_NUM_EXT_SLAVES" +type "positive" +value "10" +e "! Number of slaves outside IPBus interface" +) +uid 1213,0 +) +*102 (LogGeneric +generic (GiElement +name "g_EVENT_DATA_WIDTH" +type "positive" +value "64" +) +uid 1215,0 +) +*103 (LogGeneric +generic (GiElement +name "g_IPBUS_WIDTH" +type "positive" +value "32" +) +uid 1217,0 +) +*104 (LogGeneric +generic (GiElement +name "g_NUM_EDGE_INPUTS" +type "positive" +value "4" +) +uid 1940,0 +) +*105 (LogGeneric +generic (GiElement +name "g_SPILL_COUNTER_WIDTH" +type "positive" +value "12" +) +uid 1964,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 94,0 +optionalChildren [ +*106 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *107 (MRCItem +litem &87 +pos 7 +dimension 20 +) +uid 96,0 +optionalChildren [ +*108 (MRCItem +litem &88 +pos 0 +dimension 20 +uid 97,0 +) +*109 (MRCItem +litem &89 +pos 1 +dimension 23 +uid 98,0 +) +*110 (MRCItem +litem &90 +pos 2 +hidden 1 +dimension 20 +uid 99,0 +) +*111 (MRCItem +litem &99 +pos 0 +dimension 20 +uid 1208,0 +) +*112 (MRCItem +litem &100 +pos 1 +dimension 20 +uid 1210,0 +) +*113 (MRCItem +litem &101 +pos 2 +dimension 20 +uid 1212,0 +) +*114 (MRCItem +litem &102 +pos 3 +dimension 20 +uid 1214,0 +) +*115 (MRCItem +litem &103 +pos 4 +dimension 20 +uid 1216,0 +) +*116 (MRCItem +litem &104 +pos 5 +dimension 20 +uid 1939,0 +) +*117 (MRCItem +litem &105 +pos 6 +dimension 20 +uid 1965,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 100,0 +optionalChildren [ +*118 (MRCItem +litem &91 +pos 0 +dimension 20 +uid 101,0 +) +*119 (MRCItem +litem &93 +pos 1 +dimension 50 +uid 102,0 +) +*120 (MRCItem +litem &94 +pos 2 +dimension 183 +uid 103,0 +) +*121 (MRCItem +litem &95 +pos 3 +dimension 100 +uid 104,0 +) +*122 (MRCItem +litem &96 +pos 4 +dimension 50 +uid 105,0 +) +*123 (MRCItem +litem &97 +pos 5 +dimension 50 +uid 106,0 +) +*124 (MRCItem +litem &98 +pos 6 +dimension 80 +uid 107,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 95,0 +vaOverrides [ +] +) +] +) +uid 81,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl" +) +(vvPair +variable "HDSDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/top_extphy/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/top_extphy/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "config" +value "%(unit)_config" +) +(vvPair +variable "d" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/top_extphy" +) +(vvPair +variable "d_logical" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/top_extphy" +) +(vvPair +variable "date" +value "01/24/14" +) +(vvPair +variable "day" +value "Fri" +) +(vvPair +variable "day_long" +value "Friday" +) +(vvPair +variable "dd" +value "24" +) +(vvPair +variable "entity_name" +value "top_extphy" +) +(vvPair +variable "ext" +value "<TBD>" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "phdgc" +) +(vvPair +variable "graphical_source_date" +value "01/24/14" +) +(vvPair +variable "graphical_source_group" +value "users" +) +(vvPair +variable "graphical_source_time" +value "16:06:33" +) +(vvPair +variable "group" +value "users" +) +(vvPair +variable "host" +value "fortis.phy.bris.ac.uk" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "work" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/designcheck" +) +(vvPair +variable "library_downstream_ISEPARInvoke" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/ise" +) +(vvPair +variable "library_downstream_ImpactInvoke" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/ise" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/work" +) +(vvPair +variable "library_downstream_XSTDataPrep" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/ise" +) +(vvPair +variable "mm" +value "01" +) +(vvPair +variable "module_name" +value "top_extphy" +) +(vvPair +variable "month" +value "Jan" +) +(vvPair +variable "month_long" +value "January" +) +(vvPair +variable "p" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/top_extphy/symbol.sb" +) +(vvPair +variable "p_logical" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/top_extphy/symbol.sb" +) +(vvPair +variable "package_name" +value "<Undefined Variable>" +) +(vvPair +variable "project_name" +value "fmc_mTLU" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "<TBD>" +) +(vvPair +variable "task_DesignCompilerPath" +value "<TBD>" +) +(vvPair +variable "task_LeonardoPath" +value "/opt/CAD/Mentor/LeonardoSpectrum_2008a/bin" +) +(vvPair +variable "task_ModelSimPath" +value "/opt/CAD/Mentor/Modelsim/6.4a/modeltech/bin" +) +(vvPair +variable "task_NC-SimPath" +value "<TBD>" +) +(vvPair +variable "task_PrecisionRTLPath" +value "/opt/CAD/Mentor/Precis_Synth_2009a_update2/Mgc_home/bin" +) +(vvPair +variable "task_QuestaSimPath" +value "/opt/CAD/Mentor/HDS2008.1b/questasim/bin" +) +(vvPair +variable "task_VCSPath" +value "<TBD>" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "16:06:33" +) +(vvPair +variable "unit" +value "top_extphy" +) +(vvPair +variable "user" +value "phdgc" +) +(vvPair +variable "version" +value "2012.2b (Build 5)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2014" +) +(vvPair +variable "yy" +value "14" +) +] +) +LanguageMgr "VhdlLangMgr" +uid 51,0 +optionalChildren [ +*125 (SymbolBody +uid 8,0 +optionalChildren [ +*126 (CptPort +uid 146,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 147,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "12250,50625,13000,51375" +) +tg (CPTG +uid 148,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 149,0 +va (VaSet +font "courier,8,0" +) +xt "14000,50550,19500,51450" +st "sysclk_p_i" +blo "14000,51250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 150,0 +va (VaSet +font "courier,8,0" +) +xt "44000,12600,65000,13500" +st "sysclk_p_i : IN std_logic ; +" +) +thePort (LogicalPort +decl (Decl +n "sysclk_p_i" +t "std_logic" +o 13 +suid 1,0 +) +) +) +*127 (CptPort +uid 151,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 152,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "70000,38625,70750,39375" +) +tg (CPTG +uid 153,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 154,0 +va (VaSet +font "courier,8,0" +) +xt "61500,38550,69000,39450" +st "leds_o : (3:0)" +ju 2 +blo "69000,39250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 155,0 +va (VaSet +font "courier,8,0" +) +xt "44000,19800,74500,20700" +st "leds_o : OUT std_logic_vector (3 DOWNTO 0) ; +" +) +thePort (LogicalPort +lang 2 +m 1 +decl (Decl +n "leds_o" +t "std_logic_vector" +b "(3 DOWNTO 0)" +o 21 +suid 2,0 +) +) +) +*128 (CptPort +uid 156,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 157,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "70000,40625,70750,41375" +) +tg (CPTG +uid 158,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 159,0 +va (VaSet +font "courier,8,0" +) +xt "61500,40550,69000,41450" +st "gmii_gtx_clk_o" +ju 2 +blo "69000,41250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 160,0 +va (VaSet +font "courier,8,0" +) +xt "44000,15300,65000,16200" +st "gmii_gtx_clk_o : OUT std_logic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "gmii_gtx_clk_o" +t "std_logic" +o 16 +suid 3,0 +) +) +) +*129 (CptPort +uid 161,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 162,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "70000,42625,70750,43375" +) +tg (CPTG +uid 163,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 164,0 +va (VaSet +font "courier,8,0" +) +xt "62500,42550,69000,43450" +st "gmii_tx_en_o" +ju 2 +blo "69000,43250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 165,0 +va (VaSet +font "courier,8,0" +) +xt "44000,16200,65000,17100" +st "gmii_tx_en_o : OUT std_logic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "gmii_tx_en_o" +t "std_logic" +o 17 +suid 4,0 +) +) +) +*130 (CptPort +uid 166,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 167,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "70000,44625,70750,45375" +) +tg (CPTG +uid 168,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 169,0 +va (VaSet +font "courier,8,0" +) +xt "62500,44550,69000,45450" +st "gmii_tx_er_o" +ju 2 +blo "69000,45250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 170,0 +va (VaSet +font "courier,8,0" +) +xt "44000,17100,65000,18000" +st "gmii_tx_er_o : OUT std_logic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "gmii_tx_er_o" +t "std_logic" +o 18 +suid 5,0 +) +) +) +*131 (CptPort +uid 171,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 172,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "12250,38625,13000,39375" +) +tg (CPTG +uid 173,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 174,0 +va (VaSet +font "courier,8,0" +) +xt "14000,38550,21000,39450" +st "gmii_rx_clk_i" +blo "14000,39250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 175,0 +va (VaSet +font "courier,8,0" +) +xt "44000,8100,65000,9000" +st "gmii_rx_clk_i : IN std_logic ; +" +) +thePort (LogicalPort +decl (Decl +n "gmii_rx_clk_i" +t "std_logic" +o 8 +suid 6,0 +) +) +) +*132 (CptPort +uid 176,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 177,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "12250,40625,13000,41375" +) +tg (CPTG +uid 178,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 179,0 +va (VaSet +font "courier,8,0" +) +xt "14000,40550,20500,41450" +st "gmii_rx_dv_i" +blo "14000,41250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 180,0 +va (VaSet +font "courier,8,0" +) +xt "44000,9000,65000,9900" +st "gmii_rx_dv_i : IN std_logic ; +" +) +thePort (LogicalPort +decl (Decl +n "gmii_rx_dv_i" +t "std_logic" +o 9 +suid 7,0 +) +) +) +*133 (CptPort +uid 181,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 182,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "12250,42625,13000,43375" +) +tg (CPTG +uid 183,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 184,0 +va (VaSet +font "courier,8,0" +) +xt "14000,42550,20500,43450" +st "gmii_rx_er_i" +blo "14000,43250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 185,0 +va (VaSet +font "courier,8,0" +) +xt "44000,9900,65000,10800" +st "gmii_rx_er_i : IN std_logic ; +" +) +thePort (LogicalPort +decl (Decl +n "gmii_rx_er_i" +t "std_logic" +o 10 +suid 8,0 +) +) +) +*134 (CptPort +uid 186,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 187,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "12250,44625,13000,45375" +) +tg (CPTG +uid 188,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 189,0 +va (VaSet +font "courier,8,0" +) +xt "14000,44550,23500,45450" +st "gmii_rxd_i : (7:0)" +blo "14000,45250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 190,0 +va (VaSet +font "courier,8,0" +) +xt "44000,10800,74500,11700" +st "gmii_rxd_i : IN std_logic_vector (7 DOWNTO 0) ; +" +) +thePort (LogicalPort +decl (Decl +n "gmii_rxd_i" +t "std_logic_vector" +b "(7 DOWNTO 0)" +o 11 +suid 9,0 +) +) +) +*135 (CptPort +uid 191,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 192,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "70000,46625,70750,47375" +) +tg (CPTG +uid 193,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 194,0 +va (VaSet +font "courier,8,0" +) +xt "63500,46550,69000,47450" +st "phy_rstb_o" +ju 2 +blo "69000,47250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 195,0 +va (VaSet +font "courier,8,0" +) +xt "44000,20700,65000,21600" +st "phy_rstb_o : OUT std_logic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "phy_rstb_o" +t "std_logic" +o 22 +suid 10,0 +) +) +) +*136 (CptPort +uid 196,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 197,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "70000,48625,70750,49375" +) +tg (CPTG +uid 198,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 199,0 +va (VaSet +font "courier,8,0" +) +xt "59500,48550,69000,49450" +st "gmii_txd_o : (7:0)" +ju 2 +blo "69000,49250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 200,0 +va (VaSet +font "courier,8,0" +) +xt "44000,18000,74500,18900" +st "gmii_txd_o : OUT std_logic_vector (7 DOWNTO 0) ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "gmii_txd_o" +t "std_logic_vector" +b "(7 DOWNTO 0)" +o 19 +suid 11,0 +) +) +) +*137 (CptPort +uid 201,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 202,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "70000,50625,70750,51375" +) +tg (CPTG +uid 203,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 204,0 +va (VaSet +font "courier,8,0" +) +xt "53000,50550,69000,51450" +st "triggers_p_o : (g_NUM_DUTS-1:0)" +ju 2 +blo "69000,51250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 205,0 +va (VaSet +font "courier,8,0" +) +xt "44000,24300,92500,25200" +st "triggers_p_o : OUT std_logic_vector (g_NUM_DUTS-1 DOWNTO 0) ; --! Trigger lines to DUT +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "triggers_p_o" +t "std_logic_vector" +b "(g_NUM_DUTS-1 DOWNTO 0)" +eolc "--! Trigger lines to DUT" +o 26 +suid 12,0 +) +) +) +*138 (CptPort +uid 206,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 207,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "12250,46625,13000,47375" +) +tg (CPTG +uid 208,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 209,0 +va (VaSet +font "courier,8,0" +) +xt "14000,46550,28000,47450" +st "busy_p_i : (g_NUM_DUTS-1:0)" +blo "14000,47250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 210,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2700,100500,3600" +st "busy_p_i : IN std_logic_vector (g_NUM_DUTS-1 DOWNTO 0) ; --! Busy lines from DUTs ( active high ) +" +) +thePort (LogicalPort +decl (Decl +n "busy_p_i" +t "std_logic_vector" +b "(g_NUM_DUTS-1 DOWNTO 0)" +eolc "--! Busy lines from DUTs ( active high )" +o 2 +suid 13,0 +) +) +) +*139 (CptPort +uid 211,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 212,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "70000,53625,70750,54375" +) +tg (CPTG +uid 213,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 214,0 +va (VaSet +font "courier,8,0" +) +xt "51000,53550,69000,54450" +st "reset_or_clk_p_o : (g_NUM_DUTS-1:0)" +ju 2 +blo "69000,54250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 215,0 +va (VaSet +font "courier,8,0" +) +xt "44000,22500,80000,23400" +st "reset_or_clk_p_o : OUT std_logic_vector (g_NUM_DUTS-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "reset_or_clk_p_o" +t "std_logic_vector" +b "(g_NUM_DUTS-1 DOWNTO 0)" +o 24 +suid 14,0 +) +) +) +*140 (CptPort +uid 216,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 217,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "12250,48625,13000,49375" +) +tg (CPTG +uid 218,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 219,0 +va (VaSet +font "courier,8,0" +) +xt "14000,48550,29500,49450" +st "dut_clk_p_i : (g_NUM_DUTS-1:0)" +blo "14000,49250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 220,0 +va (VaSet +font "courier,8,0" +) +xt "44000,7200,80000,8100" +st "dut_clk_p_i : IN std_logic_vector (g_NUM_DUTS-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +decl (Decl +n "dut_clk_p_i" +t "std_logic_vector" +b "(g_NUM_DUTS-1 DOWNTO 0)" +o 7 +suid 15,0 +) +) +) +*141 (CptPort +uid 339,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 340,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "12250,53625,13000,54375" +) +tg (CPTG +uid 341,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 342,0 +va (VaSet +font "courier,8,0" +) +xt "14000,53550,19500,54450" +st "sysclk_n_i" +blo "14000,54250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 343,0 +va (VaSet +font "courier,8,0" +) +xt "44000,11700,76500,12600" +st "sysclk_n_i : IN std_logic ; --! 200 MHz xtal clock +" +) +thePort (LogicalPort +decl (Decl +n "sysclk_n_i" +t "std_logic" +eolc "--! 200 MHz xtal clock" +o 12 +suid 20,0 +) +) +) +*142 (CptPort +uid 945,0 +ps "OnEdgeStrategy" +shape (Diamond +uid 946,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "70000,61625,70750,62375" +) +tg (CPTG +uid 947,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 948,0 +va (VaSet +font "courier,8,0" +) +xt "63500,61550,69000,62450" +st "extclk_p_b" +ju 2 +blo "69000,62250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 949,0 +va (VaSet +font "courier,8,0" +) +xt "44000,26100,94000,27000" +st "extclk_p_b : INOUT std_logic ; --! either external clock in, or a clock being driven out +" +) +thePort (LogicalPort +m 2 +decl (Decl +n "extclk_p_b" +t "std_logic" +eolc "--! either external clock in, or a clock being driven out" +o 28 +suid 23,0 +) +) +) +*143 (CptPort +uid 950,0 +ps "OnEdgeStrategy" +shape (Diamond +uid 951,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "70000,63625,70750,64375" +) +tg (CPTG +uid 952,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 953,0 +va (VaSet +font "courier,8,0" +) +xt "63500,63550,69000,64450" +st "extclk_n_b" +ju 2 +blo "69000,64250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 954,0 +va (VaSet +font "courier,8,0" +) +xt "44000,25200,65000,26100" +st "extclk_n_b : INOUT std_logic ; +" +) +thePort (LogicalPort +m 2 +decl (Decl +n "extclk_n_b" +t "std_logic" +o 27 +suid 24,0 +) +) +) +*144 (CptPort +uid 1272,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1273,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "12250,57625,13000,58375" +) +tg (CPTG +uid 1274,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1275,0 +va (VaSet +font "courier,8,0" +) +xt "14000,57550,37000,58450" +st "threshold_discr_p_i : (g_NUM_TRIG_INPUTS-1:0)" +blo "14000,58250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 1276,0 +va (VaSet +font "courier,8,0" +) +xt "44000,14400,83500,15300" +st "threshold_discr_p_i : IN std_logic_vector (g_NUM_TRIG_INPUTS-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +decl (Decl +n "threshold_discr_p_i" +t "std_logic_vector" +b "(g_NUM_TRIG_INPUTS-1 DOWNTO 0)" +o 15 +suid 25,0 +) +) +) +*145 (CptPort +uid 1277,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1278,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "12250,59625,13000,60375" +) +tg (CPTG +uid 1279,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1280,0 +va (VaSet +font "courier,8,0" +) +xt "14000,59550,34000,60450" +st "cfd_discr_p_i : (g_NUM_TRIG_INPUTS-1:0)" +blo "14000,60250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 1281,0 +va (VaSet +font "courier,8,0" +) +xt "44000,4500,83500,5400" +st "cfd_discr_p_i : IN std_logic_vector (g_NUM_TRIG_INPUTS-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +decl (Decl +n "cfd_discr_p_i" +t "std_logic_vector" +b "(g_NUM_TRIG_INPUTS-1 DOWNTO 0)" +o 4 +suid 26,0 +) +) +) +*146 (CptPort +uid 1282,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1283,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "12250,61625,13000,62375" +) +tg (CPTG +uid 1284,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1285,0 +va (VaSet +font "courier,8,0" +) +xt "14000,61550,34000,62450" +st "cfd_discr_n_i : (g_NUM_TRIG_INPUTS-1:0)" +blo "14000,62250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 1286,0 +va (VaSet +font "courier,8,0" +) +xt "44000,3600,83500,4500" +st "cfd_discr_n_i : IN std_logic_vector (g_NUM_TRIG_INPUTS-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +decl (Decl +n "cfd_discr_n_i" +t "std_logic_vector" +b "(g_NUM_TRIG_INPUTS-1 DOWNTO 0)" +o 3 +suid 27,0 +) +) +) +*147 (CptPort +uid 1287,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1288,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "12250,63625,13000,64375" +) +tg (CPTG +uid 1289,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1290,0 +va (VaSet +font "courier,8,0" +) +xt "14000,63550,37000,64450" +st "threshold_discr_n_i : (g_NUM_TRIG_INPUTS-1:0)" +blo "14000,64250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 1291,0 +va (VaSet +font "courier,8,0" +) +xt "44000,13500,83500,14400" +st "threshold_discr_n_i : IN std_logic_vector (g_NUM_TRIG_INPUTS-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +decl (Decl +n "threshold_discr_n_i" +t "std_logic_vector" +b "(g_NUM_TRIG_INPUTS-1 DOWNTO 0)" +o 14 +suid 28,0 +) +) +) +*148 (CptPort +uid 1783,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1784,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "12250,64625,13000,65375" +) +tg (CPTG +uid 1785,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1786,0 +va (VaSet +font "courier,8,0" +) +xt "14000,64550,28000,65450" +st "busy_n_i : (g_NUM_DUTS-1:0)" +blo "14000,65250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 1787,0 +va (VaSet +font "courier,8,0" +) +xt "44000,1800,80000,2700" +st "busy_n_i : IN std_logic_vector (g_NUM_DUTS-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +decl (Decl +n "busy_n_i" +t "std_logic_vector" +b "(g_NUM_DUTS-1 DOWNTO 0)" +o 1 +suid 29,0 +) +) +) +*149 (CptPort +uid 1788,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1789,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "70000,64625,70750,65375" +) +tg (CPTG +uid 1790,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1791,0 +va (VaSet +font "courier,8,0" +) +xt "51000,64550,69000,65450" +st "reset_or_clk_n_o : (g_NUM_DUTS-1:0)" +ju 2 +blo "69000,65250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 1792,0 +va (VaSet +font "courier,8,0" +) +xt "44000,21600,80000,22500" +st "reset_or_clk_n_o : OUT std_logic_vector (g_NUM_DUTS-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "reset_or_clk_n_o" +t "std_logic_vector" +b "(g_NUM_DUTS-1 DOWNTO 0)" +o 23 +suid 30,0 +) +) +) +*150 (CptPort +uid 1793,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1794,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "70000,65625,70750,66375" +) +tg (CPTG +uid 1795,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1796,0 +va (VaSet +font "courier,8,0" +) +xt "53000,65550,69000,66450" +st "triggers_n_o : (g_NUM_DUTS-1:0)" +ju 2 +blo "69000,66250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 1797,0 +va (VaSet +font "courier,8,0" +) +xt "44000,23400,80000,24300" +st "triggers_n_o : OUT std_logic_vector (g_NUM_DUTS-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "triggers_n_o" +t "std_logic_vector" +b "(g_NUM_DUTS-1 DOWNTO 0)" +o 25 +suid 31,0 +) +) +) +*151 (CptPort +uid 1798,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1799,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "12250,65625,13000,66375" +) +tg (CPTG +uid 1800,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1801,0 +va (VaSet +font "courier,8,0" +) +xt "14000,65550,29500,66450" +st "dut_clk_n_i : (g_NUM_DUTS-1:0)" +blo "14000,66250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 1802,0 +va (VaSet +font "courier,8,0" +) +xt "44000,6300,80000,7200" +st "dut_clk_n_i : IN std_logic_vector (g_NUM_DUTS-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +decl (Decl +n "dut_clk_n_i" +t "std_logic_vector" +b "(g_NUM_DUTS-1 DOWNTO 0)" +o 6 +suid 32,0 +) +) +) +*152 (CptPort +uid 1805,0 +ps "OnEdgeStrategy" +shape (Diamond +uid 1806,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "70000,66625,70750,67375" +) +tg (CPTG +uid 1807,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1808,0 +va (VaSet +font "courier,8,0" +) +xt "64500,66550,69000,67450" +st "i2c_sda_b" +ju 2 +blo "69000,67250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 1809,0 +va (VaSet +font "courier,8,0" +) +xt "44000,27900,64000,28800" +st "i2c_sda_b : INOUT std_logic +" +) +thePort (LogicalPort +m 2 +decl (Decl +n "i2c_sda_b" +t "std_logic" +o 30 +suid 33,0 +) +) +) +*153 (CptPort +uid 1812,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1813,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "12250,66625,13000,67375" +) +tg (CPTG +uid 1814,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1815,0 +va (VaSet +font "courier,8,0" +) +xt "14000,66550,24500,67450" +st "dip_switch_i : (3:0)" +blo "14000,67250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 1816,0 +va (VaSet +font "courier,8,0" +) +xt "44000,5400,74500,6300" +st "dip_switch_i : IN std_logic_vector (3 DOWNTO 0) ; +" +) +thePort (LogicalPort +lang 2 +decl (Decl +n "dip_switch_i" +t "std_logic_vector" +b "(3 DOWNTO 0)" +o 5 +suid 34,0 +) +) +) +*154 (CptPort +uid 1842,0 +ps "OnEdgeStrategy" +shape (Diamond +uid 1843,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "70000,67625,70750,68375" +) +tg (CPTG +uid 1844,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1845,0 +va (VaSet +font "courier,8,0" +) +xt "64500,67550,69000,68450" +st "i2c_scl_b" +ju 2 +blo "69000,68250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 1846,0 +va (VaSet +font "courier,8,0" +) +xt "44000,27000,65000,27900" +st "i2c_scl_b : INOUT std_logic ; +" +) +thePort (LogicalPort +m 2 +decl (Decl +n "i2c_scl_b" +t "std_logic" +o 29 +suid 35,0 +) +) +) +*155 (CptPort +uid 1991,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1992,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "70000,68625,70750,69375" +) +tg (CPTG +uid 1993,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1994,0 +va (VaSet +font "courier,8,0" +) +xt "60500,68550,69000,69450" +st "gpio_hdr : (7:0)" +ju 2 +blo "69000,69250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 1995,0 +va (VaSet +font "courier,8,0" +) +xt "44000,18900,74500,19800" +st "gpio_hdr : OUT std_logic_vector (7 DOWNTO 0) ; +" +) +thePort (LogicalPort +lang 2 +m 1 +decl (Decl +n "gpio_hdr" +t "std_logic_vector" +b "(7 DOWNTO 0)" +o 20 +suid 36,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "13000,38000,70000,70000" +) +oxt "15000,6000,39000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "32750,44100,34750,45000" +st "work" +blo "32750,44800" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "32750,45000,38250,45900" +st "top_extphy" +blo "32750,45700" +) +) +gi *156 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "20000,31700,60000,39800" +st "Generic Declarations + +g_NUM_DUTS positive 3 +g_NUM_TRIG_INPUTS positive 4 +g_NUM_EXT_SLAVES positive 10 --! Number of slaves outside IPBus interface +g_EVENT_DATA_WIDTH positive 64 +g_IPBUS_WIDTH positive 32 +g_NUM_EDGE_INPUTS positive 4 +g_SPILL_COUNTER_WIDTH positive 12 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "g_NUM_DUTS" +type "positive" +value "3" +) +(GiElement +name "g_NUM_TRIG_INPUTS" +type "positive" +value "4" +) +(GiElement +name "g_NUM_EXT_SLAVES" +type "positive" +value "10" +e "! Number of slaves outside IPBus interface" +) +(GiElement +name "g_EVENT_DATA_WIDTH" +type "positive" +value "64" +) +(GiElement +name "g_IPBUS_WIDTH" +type "positive" +value "32" +) +(GiElement +name "g_NUM_EDGE_INPUTS" +type "positive" +value "4" +) +(GiElement +name "g_SPILL_COUNTER_WIDTH" +type "positive" +value "12" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +*157 (Grouping +uid 16,0 +optionalChildren [ +*158 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "72000,73000,89000,74000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "72200,73050,84200,73950" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*159 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "89000,69000,93000,70000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "89200,69050,93200,69950" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*160 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "72000,71000,89000,72000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "72200,71050,85700,71950" +st " +<enter diagram title here> +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*161 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "68000,71000,72000,72000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "68200,71050,71200,71950" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*162 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "89000,70000,109000,74000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "89200,70200,100200,71100" +st " +<enter comments here> +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*163 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "93000,69000,109000,70000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "93200,69050,97200,69950" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*164 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "68000,69000,89000,71000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "74000,69500,83000,70500" +st " +<company name> +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*165 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "68000,72000,72000,73000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "68200,72050,70700,72950" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*166 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "68000,73000,72000,74000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "68200,73050,71700,73950" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*167 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "72000,72000,89000,73000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "72200,72050,83700,72950" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "68000,69000,109000,74000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *168 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*169 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,6500,900" +st "Package List" +blo "0,700" +) +*170 (MLText +uid 50,0 +va (VaSet +font "courier,8,0" +) +xt "0,900,14500,3600" +st "LIBRARY ieee; +USE ieee.std_logic_1164.all; +USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "220,165,1920,1155" +viewArea "-9900,14700,80197,64659" +cachedDiagramExtent "0,0,109000,74000" +pageSetupInfo (PageSetupInfo +toPrinter 1 +paperWidth 1190 +paperHeight 842 +unixPaperWidth 1190 +unixPaperHeight 842 +paperType "A3 (297mm x 420mm)" +unixPaperName "A3 (297mm x 420mm)" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,4000,1900" +st "Panel0" +blo "1000,1700" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,39000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "24750,15100,29250,16000" +st "<library>" +blo "24750,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "24750,16000,27750,16900" +st "<cell>" +blo "24750,16700" +) +) +gi *171 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *172 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "42000,0,48500,900" +st "Declarations" +blo "42000,700" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "42000,900,45000,1800" +st "Ports:" +blo "42000,1600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "42000,28800,44500,29700" +st "User:" +blo "42000,29500" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "42000,0,49500,900" +st "Internal User:" +blo "42000,700" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "44000,29700,44000,29700" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 2041,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol:CDM" +) diff --git a/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/top_extphy/symbol.sb.bak b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/top_extphy/symbol.sb.bak new file mode 100644 index 00000000..9ddd3a67 --- /dev/null +++ b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/top_extphy/symbol.sb.bak @@ -0,0 +1,3383 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "25.1" +appVersion "2012.1 (Build 6)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 36,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 53,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "sysclk_p_i" +t "std_logic" +o 13 +suid 1,0 +) +) +uid 109,0 +) +*15 (LogPort +port (LogicalPort +lang 2 +m 1 +decl (Decl +n "leds_o" +t "std_logic_vector" +b "(3 DOWNTO 0)" +o 20 +suid 2,0 +) +) +uid 111,0 +) +*16 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "gmii_gtx_clk_o" +t "std_logic" +o 16 +suid 3,0 +) +) +uid 113,0 +) +*17 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "gmii_tx_en_o" +t "std_logic" +o 17 +suid 4,0 +) +) +uid 115,0 +) +*18 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "gmii_tx_er_o" +t "std_logic" +o 18 +suid 5,0 +) +) +uid 117,0 +) +*19 (LogPort +port (LogicalPort +decl (Decl +n "gmii_rx_clk_i" +t "std_logic" +o 8 +suid 6,0 +) +) +uid 119,0 +) +*20 (LogPort +port (LogicalPort +decl (Decl +n "gmii_rx_dv_i" +t "std_logic" +o 9 +suid 7,0 +) +) +uid 121,0 +) +*21 (LogPort +port (LogicalPort +decl (Decl +n "gmii_rx_er_i" +t "std_logic" +o 10 +suid 8,0 +) +) +uid 123,0 +) +*22 (LogPort +port (LogicalPort +decl (Decl +n "gmii_rxd_i" +t "std_logic_vector" +b "(7 DOWNTO 0)" +o 11 +suid 9,0 +) +) +uid 125,0 +) +*23 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "phy_rstb_o" +t "std_logic" +o 21 +suid 10,0 +) +) +uid 127,0 +) +*24 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "gmii_txd_o" +t "std_logic_vector" +b "(7 DOWNTO 0)" +o 19 +suid 11,0 +) +) +uid 129,0 +) +*25 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "triggers_p_o" +t "std_logic_vector" +b "(g_NUM_DUTS-1 DOWNTO 0)" +o 25 +suid 12,0 +) +) +uid 131,0 +) +*26 (LogPort +port (LogicalPort +decl (Decl +n "busy_p_i" +t "std_logic_vector" +b "(g_NUM_DUTS-1 DOWNTO 0)" +o 2 +suid 13,0 +) +) +uid 133,0 +) +*27 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "reset_or_clk_p_o" +t "std_logic_vector" +b "(g_NUM_DUTS-1 DOWNTO 0)" +o 23 +suid 14,0 +) +) +uid 135,0 +) +*28 (LogPort +port (LogicalPort +decl (Decl +n "dut_clk_p_i" +t "std_logic_vector" +b "(g_NUM_DUTS-1 DOWNTO 0)" +o 7 +suid 15,0 +) +) +uid 137,0 +) +*29 (LogPort +port (LogicalPort +decl (Decl +n "sysclk_n_i" +t "std_logic" +eolc "! 200 MHz xtal clock" +o 12 +suid 20,0 +) +) +uid 337,0 +) +*30 (LogPort +port (LogicalPort +m 2 +decl (Decl +n "extclk_p_b" +t "std_logic" +eolc "either external clock in, or a clock being driven out" +o 27 +suid 23,0 +) +) +uid 942,0 +) +*31 (LogPort +port (LogicalPort +m 2 +decl (Decl +n "extclk_n_b" +t "std_logic" +o 26 +suid 24,0 +) +) +uid 944,0 +) +*32 (LogPort +port (LogicalPort +decl (Decl +n "threshold_discr_p_i" +t "std_logic_vector" +b "(g_NUM_TRIG_INPUTS-1 DOWNTO 0)" +o 15 +suid 25,0 +) +) +uid 1265,0 +) +*33 (LogPort +port (LogicalPort +decl (Decl +n "cfd_discr_p_i" +t "std_logic_vector" +b "(g_NUM_TRIG_INPUTS-1 DOWNTO 0)" +o 4 +suid 26,0 +) +) +uid 1267,0 +) +*34 (LogPort +port (LogicalPort +decl (Decl +n "cfd_discr_n_i" +t "std_logic_vector" +b "(g_NUM_TRIG_INPUTS-1 DOWNTO 0)" +o 3 +suid 27,0 +) +) +uid 1269,0 +) +*35 (LogPort +port (LogicalPort +decl (Decl +n "threshold_discr_n_i" +t "std_logic_vector" +b "(g_NUM_TRIG_INPUTS-1 DOWNTO 0)" +o 14 +suid 28,0 +) +) +uid 1271,0 +) +*36 (LogPort +port (LogicalPort +decl (Decl +n "busy_n_i" +t "std_logic_vector" +b "(g_NUM_DUTS-1 DOWNTO 0)" +o 1 +suid 29,0 +) +) +uid 1775,0 +) +*37 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "reset_or_clk_n_o" +t "std_logic_vector" +b "(g_NUM_DUTS-1 DOWNTO 0)" +o 22 +suid 30,0 +) +) +uid 1777,0 +) +*38 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "triggers_n_o" +t "std_logic_vector" +b "(g_NUM_DUTS-1 DOWNTO 0)" +o 24 +suid 31,0 +) +) +uid 1779,0 +) +*39 (LogPort +port (LogicalPort +decl (Decl +n "dut_clk_n_i" +t "std_logic_vector" +b "(g_NUM_DUTS-1 DOWNTO 0)" +o 6 +suid 32,0 +) +) +uid 1781,0 +) +*40 (LogPort +port (LogicalPort +m 2 +decl (Decl +n "i2c_sda_b" +t "std_logic" +o 29 +suid 33,0 +) +) +uid 1804,0 +) +*41 (LogPort +port (LogicalPort +lang 2 +decl (Decl +n "dip_switch_i" +t "std_logic_vector" +b "(3 DOWNTO 0)" +o 5 +suid 34,0 +) +) +uid 1811,0 +) +*42 (LogPort +port (LogicalPort +m 2 +decl (Decl +n "i2c_scl_b" +t "std_logic" +o 28 +suid 35,0 +) +) +uid 1841,0 +) +*43 (LogPort +port (LogicalPort +lang 2 +m 1 +decl (Decl +n "gpio_hdr" +t "std_logic_vector" +b "(7 DOWNTO 0)" +o 30 +suid 36,0 +) +) +uid 1989,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 66,0 +optionalChildren [ +*44 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *45 (MRCItem +litem &1 +pos 30 +dimension 20 +) +uid 68,0 +optionalChildren [ +*46 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 69,0 +) +*47 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 70,0 +) +*48 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 71,0 +) +*49 (MRCItem +litem &14 +pos 26 +dimension 20 +uid 108,0 +) +*50 (MRCItem +litem &15 +pos 23 +dimension 20 +uid 110,0 +) +*51 (MRCItem +litem &16 +pos 0 +dimension 20 +uid 112,0 +) +*52 (MRCItem +litem &17 +pos 1 +dimension 20 +uid 114,0 +) +*53 (MRCItem +litem &18 +pos 2 +dimension 20 +uid 116,0 +) +*54 (MRCItem +litem &19 +pos 3 +dimension 20 +uid 118,0 +) +*55 (MRCItem +litem &20 +pos 4 +dimension 20 +uid 120,0 +) +*56 (MRCItem +litem &21 +pos 5 +dimension 20 +uid 122,0 +) +*57 (MRCItem +litem &22 +pos 6 +dimension 20 +uid 124,0 +) +*58 (MRCItem +litem &23 +pos 7 +dimension 20 +uid 126,0 +) +*59 (MRCItem +litem &24 +pos 8 +dimension 20 +uid 128,0 +) +*60 (MRCItem +litem &25 +pos 9 +dimension 20 +uid 130,0 +) +*61 (MRCItem +litem &26 +pos 11 +dimension 20 +uid 132,0 +) +*62 (MRCItem +litem &27 +pos 13 +dimension 20 +uid 134,0 +) +*63 (MRCItem +litem &28 +pos 15 +dimension 20 +uid 136,0 +) +*64 (MRCItem +litem &29 +pos 27 +dimension 20 +uid 338,0 +) +*65 (MRCItem +litem &30 +pos 24 +dimension 20 +uid 941,0 +) +*66 (MRCItem +litem &31 +pos 25 +dimension 20 +uid 943,0 +) +*67 (MRCItem +litem &32 +pos 17 +dimension 20 +uid 1264,0 +) +*68 (MRCItem +litem &33 +pos 19 +dimension 20 +uid 1266,0 +) +*69 (MRCItem +litem &34 +pos 20 +dimension 20 +uid 1268,0 +) +*70 (MRCItem +litem &35 +pos 18 +dimension 20 +uid 1270,0 +) +*71 (MRCItem +litem &36 +pos 12 +dimension 20 +uid 1776,0 +) +*72 (MRCItem +litem &37 +pos 14 +dimension 20 +uid 1778,0 +) +*73 (MRCItem +litem &38 +pos 10 +dimension 20 +uid 1780,0 +) +*74 (MRCItem +litem &39 +pos 16 +dimension 20 +uid 1782,0 +) +*75 (MRCItem +litem &40 +pos 22 +dimension 20 +uid 1803,0 +) +*76 (MRCItem +litem &41 +pos 28 +dimension 20 +uid 1810,0 +) +*77 (MRCItem +litem &42 +pos 21 +dimension 20 +uid 1840,0 +) +*78 (MRCItem +litem &43 +pos 29 +dimension 20 +uid 1990,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 72,0 +optionalChildren [ +*79 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 73,0 +) +*80 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 74,0 +) +*81 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 75,0 +) +*82 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 76,0 +) +*83 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 77,0 +) +*84 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 78,0 +) +*85 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 79,0 +) +*86 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 80,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 67,0 +vaOverrides [ +] +) +] +) +uid 52,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *87 (LEmptyRow +) +uid 82,0 +optionalChildren [ +*88 (RefLabelRowHdr +) +*89 (TitleRowHdr +) +*90 (FilterRowHdr +) +*91 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*92 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*93 (GroupColHdr +tm "GroupColHdrMgr" +) +*94 (NameColHdr +tm "GenericNameColHdrMgr" +) +*95 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*96 (InitColHdr +tm "GenericValueColHdrMgr" +) +*97 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*98 (EolColHdr +tm "GenericEolColHdrMgr" +) +*99 (LogGeneric +generic (GiElement +name "g_NUM_DUTS" +type "positive" +value "3" +) +uid 1209,0 +) +*100 (LogGeneric +generic (GiElement +name "g_NUM_TRIG_INPUTS" +type "positive" +value "4" +) +uid 1211,0 +) +*101 (LogGeneric +generic (GiElement +name "g_NUM_EXT_SLAVES" +type "positive" +value "10" +e "! Number of slaves outside IPBus interface" +) +uid 1213,0 +) +*102 (LogGeneric +generic (GiElement +name "g_EVENT_DATA_WIDTH" +type "positive" +value "64" +) +uid 1215,0 +) +*103 (LogGeneric +generic (GiElement +name "g_IPBUS_WIDTH" +type "positive" +value "32" +) +uid 1217,0 +) +*104 (LogGeneric +generic (GiElement +name "g_NUM_EDGE_INPUTS" +type "positive" +value "4" +) +uid 1940,0 +) +*105 (LogGeneric +generic (GiElement +name "g_SPILL_COUNTER_WIDTH" +type "positive" +value "12" +) +uid 1964,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 94,0 +optionalChildren [ +*106 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *107 (MRCItem +litem &87 +pos 7 +dimension 20 +) +uid 96,0 +optionalChildren [ +*108 (MRCItem +litem &88 +pos 0 +dimension 20 +uid 97,0 +) +*109 (MRCItem +litem &89 +pos 1 +dimension 23 +uid 98,0 +) +*110 (MRCItem +litem &90 +pos 2 +hidden 1 +dimension 20 +uid 99,0 +) +*111 (MRCItem +litem &99 +pos 0 +dimension 20 +uid 1208,0 +) +*112 (MRCItem +litem &100 +pos 1 +dimension 20 +uid 1210,0 +) +*113 (MRCItem +litem &101 +pos 2 +dimension 20 +uid 1212,0 +) +*114 (MRCItem +litem &102 +pos 3 +dimension 20 +uid 1214,0 +) +*115 (MRCItem +litem &103 +pos 4 +dimension 20 +uid 1216,0 +) +*116 (MRCItem +litem &104 +pos 5 +dimension 20 +uid 1939,0 +) +*117 (MRCItem +litem &105 +pos 6 +dimension 20 +uid 1965,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 100,0 +optionalChildren [ +*118 (MRCItem +litem &91 +pos 0 +dimension 20 +uid 101,0 +) +*119 (MRCItem +litem &93 +pos 1 +dimension 50 +uid 102,0 +) +*120 (MRCItem +litem &94 +pos 2 +dimension 183 +uid 103,0 +) +*121 (MRCItem +litem &95 +pos 3 +dimension 100 +uid 104,0 +) +*122 (MRCItem +litem &96 +pos 4 +dimension 50 +uid 105,0 +) +*123 (MRCItem +litem &97 +pos 5 +dimension 50 +uid 106,0 +) +*124 (MRCItem +litem &98 +pos 6 +dimension 80 +uid 107,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 95,0 +vaOverrides [ +] +) +] +) +uid 81,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl" +) +(vvPair +variable "HDSDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/top_extphy/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/top_extphy/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "config" +value "%(unit)_config" +) +(vvPair +variable "d" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/top_extphy" +) +(vvPair +variable "d_logical" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/top_extphy" +) +(vvPair +variable "date" +value "07/24/13" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "24" +) +(vvPair +variable "entity_name" +value "top_extphy" +) +(vvPair +variable "ext" +value "<TBD>" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "group" +value "users" +) +(vvPair +variable "host" +value "kipper.phy.bris.ac.uk" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "work" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/designcheck" +) +(vvPair +variable "library_downstream_ISEPARInvoke" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/ise" +) +(vvPair +variable "library_downstream_ImpactInvoke" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/ise" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/work" +) +(vvPair +variable "library_downstream_XSTDataPrep" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/ise" +) +(vvPair +variable "mm" +value "07" +) +(vvPair +variable "module_name" +value "top_extphy" +) +(vvPair +variable "month" +value "Jul" +) +(vvPair +variable "month_long" +value "July" +) +(vvPair +variable "p" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/top_extphy/symbol.sb" +) +(vvPair +variable "p_logical" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/top_extphy/symbol.sb" +) +(vvPair +variable "package_name" +value "<Undefined Variable>" +) +(vvPair +variable "project_name" +value "fmc_mTLU" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "<TBD>" +) +(vvPair +variable "task_DesignCompilerPath" +value "<TBD>" +) +(vvPair +variable "task_LeonardoPath" +value "/opt/CAD/Mentor/LeonardoSpectrum_2008a/bin" +) +(vvPair +variable "task_ModelSimPath" +value "/opt/CAD/Mentor/Modelsim/6.4a/modeltech/bin" +) +(vvPair +variable "task_NC-SimPath" +value "<TBD>" +) +(vvPair +variable "task_PrecisionRTLPath" +value "/opt/CAD/Mentor/Precis_Synth_2009a_update2/Mgc_home/bin" +) +(vvPair +variable "task_QuestaSimPath" +value "/opt/CAD/Mentor/HDS2008.1b/questasim/bin" +) +(vvPair +variable "task_VCSPath" +value "<TBD>" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "17:39:24" +) +(vvPair +variable "unit" +value "top_extphy" +) +(vvPair +variable "user" +value "phdgc" +) +(vvPair +variable "version" +value "2012.1 (Build 6)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2013" +) +(vvPair +variable "yy" +value "13" +) +] +) +LanguageMgr "VhdlLangMgr" +uid 51,0 +optionalChildren [ +*125 (SymbolBody +uid 8,0 +optionalChildren [ +*126 (CptPort +uid 146,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 147,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "12250,50625,13000,51375" +) +tg (CPTG +uid 148,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 149,0 +va (VaSet +font "courier,8,0" +) +xt "14000,50550,19500,51450" +st "sysclk_p_i" +blo "14000,51250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 150,0 +va (VaSet +font "courier,8,0" +) +xt "44000,12600,65000,13500" +st "sysclk_p_i : IN std_logic ; +" +) +thePort (LogicalPort +decl (Decl +n "sysclk_p_i" +t "std_logic" +o 13 +suid 1,0 +) +) +) +*127 (CptPort +uid 151,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 152,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "70000,38625,70750,39375" +) +tg (CPTG +uid 153,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 154,0 +va (VaSet +font "courier,8,0" +) +xt "61500,38550,69000,39450" +st "leds_o : (3:0)" +ju 2 +blo "69000,39250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 155,0 +va (VaSet +font "courier,8,0" +) +xt "44000,19800,74500,20700" +st "leds_o : OUT std_logic_vector (3 DOWNTO 0) ; +" +) +thePort (LogicalPort +lang 2 +m 1 +decl (Decl +n "leds_o" +t "std_logic_vector" +b "(3 DOWNTO 0)" +o 20 +suid 2,0 +) +) +) +*128 (CptPort +uid 156,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 157,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "70000,40625,70750,41375" +) +tg (CPTG +uid 158,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 159,0 +va (VaSet +font "courier,8,0" +) +xt "61500,40550,69000,41450" +st "gmii_gtx_clk_o" +ju 2 +blo "69000,41250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 160,0 +va (VaSet +font "courier,8,0" +) +xt "44000,15300,65000,16200" +st "gmii_gtx_clk_o : OUT std_logic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "gmii_gtx_clk_o" +t "std_logic" +o 16 +suid 3,0 +) +) +) +*129 (CptPort +uid 161,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 162,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "70000,42625,70750,43375" +) +tg (CPTG +uid 163,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 164,0 +va (VaSet +font "courier,8,0" +) +xt "62500,42550,69000,43450" +st "gmii_tx_en_o" +ju 2 +blo "69000,43250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 165,0 +va (VaSet +font "courier,8,0" +) +xt "44000,16200,65000,17100" +st "gmii_tx_en_o : OUT std_logic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "gmii_tx_en_o" +t "std_logic" +o 17 +suid 4,0 +) +) +) +*130 (CptPort +uid 166,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 167,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "70000,44625,70750,45375" +) +tg (CPTG +uid 168,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 169,0 +va (VaSet +font "courier,8,0" +) +xt "62500,44550,69000,45450" +st "gmii_tx_er_o" +ju 2 +blo "69000,45250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 170,0 +va (VaSet +font "courier,8,0" +) +xt "44000,17100,65000,18000" +st "gmii_tx_er_o : OUT std_logic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "gmii_tx_er_o" +t "std_logic" +o 18 +suid 5,0 +) +) +) +*131 (CptPort +uid 171,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 172,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "12250,38625,13000,39375" +) +tg (CPTG +uid 173,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 174,0 +va (VaSet +font "courier,8,0" +) +xt "14000,38550,21000,39450" +st "gmii_rx_clk_i" +blo "14000,39250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 175,0 +va (VaSet +font "courier,8,0" +) +xt "44000,8100,65000,9000" +st "gmii_rx_clk_i : IN std_logic ; +" +) +thePort (LogicalPort +decl (Decl +n "gmii_rx_clk_i" +t "std_logic" +o 8 +suid 6,0 +) +) +) +*132 (CptPort +uid 176,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 177,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "12250,40625,13000,41375" +) +tg (CPTG +uid 178,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 179,0 +va (VaSet +font "courier,8,0" +) +xt "14000,40550,20500,41450" +st "gmii_rx_dv_i" +blo "14000,41250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 180,0 +va (VaSet +font "courier,8,0" +) +xt "44000,9000,65000,9900" +st "gmii_rx_dv_i : IN std_logic ; +" +) +thePort (LogicalPort +decl (Decl +n "gmii_rx_dv_i" +t "std_logic" +o 9 +suid 7,0 +) +) +) +*133 (CptPort +uid 181,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 182,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "12250,42625,13000,43375" +) +tg (CPTG +uid 183,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 184,0 +va (VaSet +font "courier,8,0" +) +xt "14000,42550,20500,43450" +st "gmii_rx_er_i" +blo "14000,43250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 185,0 +va (VaSet +font "courier,8,0" +) +xt "44000,9900,65000,10800" +st "gmii_rx_er_i : IN std_logic ; +" +) +thePort (LogicalPort +decl (Decl +n "gmii_rx_er_i" +t "std_logic" +o 10 +suid 8,0 +) +) +) +*134 (CptPort +uid 186,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 187,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "12250,44625,13000,45375" +) +tg (CPTG +uid 188,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 189,0 +va (VaSet +font "courier,8,0" +) +xt "14000,44550,23500,45450" +st "gmii_rxd_i : (7:0)" +blo "14000,45250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 190,0 +va (VaSet +font "courier,8,0" +) +xt "44000,10800,74500,11700" +st "gmii_rxd_i : IN std_logic_vector (7 DOWNTO 0) ; +" +) +thePort (LogicalPort +decl (Decl +n "gmii_rxd_i" +t "std_logic_vector" +b "(7 DOWNTO 0)" +o 11 +suid 9,0 +) +) +) +*135 (CptPort +uid 191,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 192,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "70000,46625,70750,47375" +) +tg (CPTG +uid 193,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 194,0 +va (VaSet +font "courier,8,0" +) +xt "63500,46550,69000,47450" +st "phy_rstb_o" +ju 2 +blo "69000,47250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 195,0 +va (VaSet +font "courier,8,0" +) +xt "44000,20700,65000,21600" +st "phy_rstb_o : OUT std_logic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "phy_rstb_o" +t "std_logic" +o 21 +suid 10,0 +) +) +) +*136 (CptPort +uid 196,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 197,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "70000,48625,70750,49375" +) +tg (CPTG +uid 198,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 199,0 +va (VaSet +font "courier,8,0" +) +xt "59500,48550,69000,49450" +st "gmii_txd_o : (7:0)" +ju 2 +blo "69000,49250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 200,0 +va (VaSet +font "courier,8,0" +) +xt "44000,18000,74500,18900" +st "gmii_txd_o : OUT std_logic_vector (7 DOWNTO 0) ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "gmii_txd_o" +t "std_logic_vector" +b "(7 DOWNTO 0)" +o 19 +suid 11,0 +) +) +) +*137 (CptPort +uid 201,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 202,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "70000,50625,70750,51375" +) +tg (CPTG +uid 203,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 204,0 +va (VaSet +font "courier,8,0" +) +xt "53000,50550,69000,51450" +st "triggers_p_o : (g_NUM_DUTS-1:0)" +ju 2 +blo "69000,51250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 205,0 +va (VaSet +font "courier,8,0" +) +xt "44000,24300,80000,25200" +st "triggers_p_o : OUT std_logic_vector (g_NUM_DUTS-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "triggers_p_o" +t "std_logic_vector" +b "(g_NUM_DUTS-1 DOWNTO 0)" +o 25 +suid 12,0 +) +) +) +*138 (CptPort +uid 206,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 207,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "12250,46625,13000,47375" +) +tg (CPTG +uid 208,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 209,0 +va (VaSet +font "courier,8,0" +) +xt "14000,46550,28000,47450" +st "busy_p_i : (g_NUM_DUTS-1:0)" +blo "14000,47250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 210,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2700,80000,3600" +st "busy_p_i : IN std_logic_vector (g_NUM_DUTS-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +decl (Decl +n "busy_p_i" +t "std_logic_vector" +b "(g_NUM_DUTS-1 DOWNTO 0)" +o 2 +suid 13,0 +) +) +) +*139 (CptPort +uid 211,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 212,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "70000,53625,70750,54375" +) +tg (CPTG +uid 213,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 214,0 +va (VaSet +font "courier,8,0" +) +xt "51000,53550,69000,54450" +st "reset_or_clk_p_o : (g_NUM_DUTS-1:0)" +ju 2 +blo "69000,54250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 215,0 +va (VaSet +font "courier,8,0" +) +xt "44000,22500,80000,23400" +st "reset_or_clk_p_o : OUT std_logic_vector (g_NUM_DUTS-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "reset_or_clk_p_o" +t "std_logic_vector" +b "(g_NUM_DUTS-1 DOWNTO 0)" +o 23 +suid 14,0 +) +) +) +*140 (CptPort +uid 216,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 217,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "12250,48625,13000,49375" +) +tg (CPTG +uid 218,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 219,0 +va (VaSet +font "courier,8,0" +) +xt "14000,48550,29500,49450" +st "dut_clk_p_i : (g_NUM_DUTS-1:0)" +blo "14000,49250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 220,0 +va (VaSet +font "courier,8,0" +) +xt "44000,7200,80000,8100" +st "dut_clk_p_i : IN std_logic_vector (g_NUM_DUTS-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +decl (Decl +n "dut_clk_p_i" +t "std_logic_vector" +b "(g_NUM_DUTS-1 DOWNTO 0)" +o 7 +suid 15,0 +) +) +) +*141 (CptPort +uid 339,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 340,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "12250,53625,13000,54375" +) +tg (CPTG +uid 341,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 342,0 +va (VaSet +font "courier,8,0" +) +xt "14000,53550,19500,54450" +st "sysclk_n_i" +blo "14000,54250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 343,0 +va (VaSet +font "courier,8,0" +) +xt "44000,11700,77000,12600" +st "sysclk_n_i : IN std_logic ; -- ! 200 MHz xtal clock +" +) +thePort (LogicalPort +decl (Decl +n "sysclk_n_i" +t "std_logic" +eolc "! 200 MHz xtal clock" +o 12 +suid 20,0 +) +) +) +*142 (CptPort +uid 945,0 +ps "OnEdgeStrategy" +shape (Diamond +uid 946,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "70000,61625,70750,62375" +) +tg (CPTG +uid 947,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 948,0 +va (VaSet +font "courier,8,0" +) +xt "63500,61550,69000,62450" +st "extclk_p_b" +ju 2 +blo "69000,62250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 949,0 +va (VaSet +font "courier,8,0" +) +xt "44000,26100,93500,27000" +st "extclk_p_b : INOUT std_logic ; -- either external clock in, or a clock being driven out +" +) +thePort (LogicalPort +m 2 +decl (Decl +n "extclk_p_b" +t "std_logic" +eolc "either external clock in, or a clock being driven out" +o 27 +suid 23,0 +) +) +) +*143 (CptPort +uid 950,0 +ps "OnEdgeStrategy" +shape (Diamond +uid 951,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "70000,63625,70750,64375" +) +tg (CPTG +uid 952,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 953,0 +va (VaSet +font "courier,8,0" +) +xt "63500,63550,69000,64450" +st "extclk_n_b" +ju 2 +blo "69000,64250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 954,0 +va (VaSet +font "courier,8,0" +) +xt "44000,25200,65000,26100" +st "extclk_n_b : INOUT std_logic ; +" +) +thePort (LogicalPort +m 2 +decl (Decl +n "extclk_n_b" +t "std_logic" +o 26 +suid 24,0 +) +) +) +*144 (CptPort +uid 1272,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1273,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "12250,57625,13000,58375" +) +tg (CPTG +uid 1274,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1275,0 +va (VaSet +font "courier,8,0" +) +xt "14000,57550,37000,58450" +st "threshold_discr_p_i : (g_NUM_TRIG_INPUTS-1:0)" +blo "14000,58250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 1276,0 +va (VaSet +font "courier,8,0" +) +xt "44000,14400,83500,15300" +st "threshold_discr_p_i : IN std_logic_vector (g_NUM_TRIG_INPUTS-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +decl (Decl +n "threshold_discr_p_i" +t "std_logic_vector" +b "(g_NUM_TRIG_INPUTS-1 DOWNTO 0)" +o 15 +suid 25,0 +) +) +) +*145 (CptPort +uid 1277,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1278,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "12250,59625,13000,60375" +) +tg (CPTG +uid 1279,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1280,0 +va (VaSet +font "courier,8,0" +) +xt "14000,59550,34000,60450" +st "cfd_discr_p_i : (g_NUM_TRIG_INPUTS-1:0)" +blo "14000,60250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 1281,0 +va (VaSet +font "courier,8,0" +) +xt "44000,4500,83500,5400" +st "cfd_discr_p_i : IN std_logic_vector (g_NUM_TRIG_INPUTS-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +decl (Decl +n "cfd_discr_p_i" +t "std_logic_vector" +b "(g_NUM_TRIG_INPUTS-1 DOWNTO 0)" +o 4 +suid 26,0 +) +) +) +*146 (CptPort +uid 1282,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1283,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "12250,61625,13000,62375" +) +tg (CPTG +uid 1284,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1285,0 +va (VaSet +font "courier,8,0" +) +xt "14000,61550,34000,62450" +st "cfd_discr_n_i : (g_NUM_TRIG_INPUTS-1:0)" +blo "14000,62250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 1286,0 +va (VaSet +font "courier,8,0" +) +xt "44000,3600,83500,4500" +st "cfd_discr_n_i : IN std_logic_vector (g_NUM_TRIG_INPUTS-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +decl (Decl +n "cfd_discr_n_i" +t "std_logic_vector" +b "(g_NUM_TRIG_INPUTS-1 DOWNTO 0)" +o 3 +suid 27,0 +) +) +) +*147 (CptPort +uid 1287,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1288,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "12250,63625,13000,64375" +) +tg (CPTG +uid 1289,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1290,0 +va (VaSet +font "courier,8,0" +) +xt "14000,63550,37000,64450" +st "threshold_discr_n_i : (g_NUM_TRIG_INPUTS-1:0)" +blo "14000,64250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 1291,0 +va (VaSet +font "courier,8,0" +) +xt "44000,13500,83500,14400" +st "threshold_discr_n_i : IN std_logic_vector (g_NUM_TRIG_INPUTS-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +decl (Decl +n "threshold_discr_n_i" +t "std_logic_vector" +b "(g_NUM_TRIG_INPUTS-1 DOWNTO 0)" +o 14 +suid 28,0 +) +) +) +*148 (CptPort +uid 1783,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1784,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "12250,64625,13000,65375" +) +tg (CPTG +uid 1785,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1786,0 +va (VaSet +font "courier,8,0" +) +xt "14000,64550,28000,65450" +st "busy_n_i : (g_NUM_DUTS-1:0)" +blo "14000,65250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 1787,0 +va (VaSet +font "courier,8,0" +) +xt "44000,1800,80000,2700" +st "busy_n_i : IN std_logic_vector (g_NUM_DUTS-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +decl (Decl +n "busy_n_i" +t "std_logic_vector" +b "(g_NUM_DUTS-1 DOWNTO 0)" +o 1 +suid 29,0 +) +) +) +*149 (CptPort +uid 1788,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1789,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "70000,64625,70750,65375" +) +tg (CPTG +uid 1790,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1791,0 +va (VaSet +font "courier,8,0" +) +xt "51000,64550,69000,65450" +st "reset_or_clk_n_o : (g_NUM_DUTS-1:0)" +ju 2 +blo "69000,65250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 1792,0 +va (VaSet +font "courier,8,0" +) +xt "44000,21600,80000,22500" +st "reset_or_clk_n_o : OUT std_logic_vector (g_NUM_DUTS-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "reset_or_clk_n_o" +t "std_logic_vector" +b "(g_NUM_DUTS-1 DOWNTO 0)" +o 22 +suid 30,0 +) +) +) +*150 (CptPort +uid 1793,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1794,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "70000,65625,70750,66375" +) +tg (CPTG +uid 1795,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1796,0 +va (VaSet +font "courier,8,0" +) +xt "53000,65550,69000,66450" +st "triggers_n_o : (g_NUM_DUTS-1:0)" +ju 2 +blo "69000,66250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 1797,0 +va (VaSet +font "courier,8,0" +) +xt "44000,23400,80000,24300" +st "triggers_n_o : OUT std_logic_vector (g_NUM_DUTS-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "triggers_n_o" +t "std_logic_vector" +b "(g_NUM_DUTS-1 DOWNTO 0)" +o 24 +suid 31,0 +) +) +) +*151 (CptPort +uid 1798,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1799,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "12250,65625,13000,66375" +) +tg (CPTG +uid 1800,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1801,0 +va (VaSet +font "courier,8,0" +) +xt "14000,65550,29500,66450" +st "dut_clk_n_i : (g_NUM_DUTS-1:0)" +blo "14000,66250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 1802,0 +va (VaSet +font "courier,8,0" +) +xt "44000,6300,80000,7200" +st "dut_clk_n_i : IN std_logic_vector (g_NUM_DUTS-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +decl (Decl +n "dut_clk_n_i" +t "std_logic_vector" +b "(g_NUM_DUTS-1 DOWNTO 0)" +o 6 +suid 32,0 +) +) +) +*152 (CptPort +uid 1805,0 +ps "OnEdgeStrategy" +shape (Diamond +uid 1806,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "70000,66625,70750,67375" +) +tg (CPTG +uid 1807,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1808,0 +va (VaSet +font "courier,8,0" +) +xt "64500,66550,69000,67450" +st "i2c_sda_b" +ju 2 +blo "69000,67250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 1809,0 +va (VaSet +font "courier,8,0" +) +xt "44000,27900,64000,28800" +st "i2c_sda_b : INOUT std_logic +" +) +thePort (LogicalPort +m 2 +decl (Decl +n "i2c_sda_b" +t "std_logic" +o 29 +suid 33,0 +) +) +) +*153 (CptPort +uid 1812,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1813,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "12250,66625,13000,67375" +) +tg (CPTG +uid 1814,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1815,0 +va (VaSet +font "courier,8,0" +) +xt "14000,66550,24500,67450" +st "dip_switch_i : (3:0)" +blo "14000,67250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 1816,0 +va (VaSet +font "courier,8,0" +) +xt "44000,5400,74500,6300" +st "dip_switch_i : IN std_logic_vector (3 DOWNTO 0) ; +" +) +thePort (LogicalPort +lang 2 +decl (Decl +n "dip_switch_i" +t "std_logic_vector" +b "(3 DOWNTO 0)" +o 5 +suid 34,0 +) +) +) +*154 (CptPort +uid 1842,0 +ps "OnEdgeStrategy" +shape (Diamond +uid 1843,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "70000,67625,70750,68375" +) +tg (CPTG +uid 1844,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1845,0 +va (VaSet +font "courier,8,0" +) +xt "64500,67550,69000,68450" +st "i2c_scl_b" +ju 2 +blo "69000,68250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 1846,0 +va (VaSet +font "courier,8,0" +) +xt "44000,27000,65000,27900" +st "i2c_scl_b : INOUT std_logic ; +" +) +thePort (LogicalPort +m 2 +decl (Decl +n "i2c_scl_b" +t "std_logic" +o 28 +suid 35,0 +) +) +) +*155 (CptPort +uid 1991,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1992,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "70000,68625,70750,69375" +) +tg (CPTG +uid 1993,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1994,0 +va (VaSet +font "courier,8,0" +) +xt "60500,68550,69000,69450" +st "gpio_hdr : (7:0)" +ju 2 +blo "69000,69250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 1995,0 +va (VaSet +font "courier,8,0" +) +xt "44000,18900,74500,19800" +st "gpio_hdr : OUT std_logic_vector (7 DOWNTO 0) ; +" +) +thePort (LogicalPort +lang 2 +m 1 +decl (Decl +n "gpio_hdr" +t "std_logic_vector" +b "(7 DOWNTO 0)" +o 30 +suid 36,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "13000,38000,70000,70000" +) +oxt "15000,6000,39000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "32750,44100,34750,45000" +st "work" +blo "32750,44800" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "32750,45000,38250,45900" +st "top_extphy" +blo "32750,45700" +) +) +gi *156 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "20000,31700,60000,39800" +st "Generic Declarations + +g_NUM_DUTS positive 3 +g_NUM_TRIG_INPUTS positive 4 +g_NUM_EXT_SLAVES positive 10 --! Number of slaves outside IPBus interface +g_EVENT_DATA_WIDTH positive 64 +g_IPBUS_WIDTH positive 32 +g_NUM_EDGE_INPUTS positive 4 +g_SPILL_COUNTER_WIDTH positive 12 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "g_NUM_DUTS" +type "positive" +value "3" +) +(GiElement +name "g_NUM_TRIG_INPUTS" +type "positive" +value "4" +) +(GiElement +name "g_NUM_EXT_SLAVES" +type "positive" +value "10" +e "! Number of slaves outside IPBus interface" +) +(GiElement +name "g_EVENT_DATA_WIDTH" +type "positive" +value "64" +) +(GiElement +name "g_IPBUS_WIDTH" +type "positive" +value "32" +) +(GiElement +name "g_NUM_EDGE_INPUTS" +type "positive" +value "4" +) +(GiElement +name "g_SPILL_COUNTER_WIDTH" +type "positive" +value "12" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +*157 (Grouping +uid 16,0 +optionalChildren [ +*158 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "72000,73000,89000,74000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "72200,73050,84200,73950" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*159 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "89000,69000,93000,70000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "89200,69050,93200,69950" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*160 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "72000,71000,89000,72000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "72200,71050,85700,71950" +st " +<enter diagram title here> +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*161 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "68000,71000,72000,72000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "68200,71050,71200,71950" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*162 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "89000,70000,109000,74000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "89200,70200,100200,71100" +st " +<enter comments here> +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*163 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "93000,69000,109000,70000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "93200,69050,97200,69950" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*164 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "68000,69000,89000,71000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "74000,69500,83000,70500" +st " +<company name> +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*165 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "68000,72000,72000,73000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "68200,72050,70700,72950" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*166 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "68000,73000,72000,74000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "68200,73050,71700,73950" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*167 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "72000,72000,89000,73000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "72200,72050,83700,72950" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "68000,69000,109000,74000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *168 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*169 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,6500,900" +st "Package List" +blo "0,700" +) +*170 (MLText +uid 50,0 +va (VaSet +font "courier,8,0" +) +xt "0,900,14500,3600" +st "LIBRARY ieee; +USE ieee.std_logic_1164.all; +USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "226,166,1926,1156" +viewArea "-9900,14700,89059,69573" +cachedDiagramExtent "0,0,109000,74000" +pageSetupInfo (PageSetupInfo +toPrinter 1 +paperWidth 1190 +paperHeight 842 +unixPaperWidth 1190 +unixPaperHeight 842 +paperType "A3 (297mm x 420mm)" +unixPaperName "A3 (297mm x 420mm)" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,4000,1900" +st "Panel0" +blo "1000,1700" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,39000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "24750,15100,29250,16000" +st "<library>" +blo "24750,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "24750,16000,27750,16900" +st "<cell>" +blo "24750,16700" +) +) +gi *171 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *172 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "42000,0,48500,900" +st "Declarations" +blo "42000,700" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "42000,900,45000,1800" +st "Ports:" +blo "42000,1600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "42000,28800,44500,29700" +st "User:" +blo "42000,29500" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "42000,0,49500,900" +st "Internal User:" +blo "42000,700" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "44000,29700,44000,29700" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 1995,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol:CDM" +) diff --git a/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/transactor/rtl.bd b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/transactor/rtl.bd new file mode 100644 index 00000000..7e740022 --- /dev/null +++ b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/transactor/rtl.bd @@ -0,0 +1,5654 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dmPackageRefs [ +] +instances [ +(Instance +name "rx" +duLibraryName "fmc_mTLU_lib" +duName "transactor_rx" +elements [ +] +mwi 0 +uid 149,0 +) +(Instance +name "sm" +duLibraryName "fmc_mTLU_lib" +duName "transactor_sm" +elements [ +] +mwi 0 +uid 266,0 +) +(Instance +name "tx" +duLibraryName "fmc_mTLU_lib" +duName "transactor_tx" +elements [ +] +mwi 0 +uid 406,0 +) +] +embeddedInstances [ +(EmbeddedInstance +name "eb1" +number "1" +) +(EmbeddedInstance +name "eb2" +number "2" +) +(EmbeddedInstance +name "eb3" +number "3" +) +(EmbeddedInstance +name "eb4" +number "4" +) +(EmbeddedInstance +name "eb5" +number "5" +) +] +properties [ +(HdrProperty +class "HDS" +name "DocView" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/workspace/IPbusFirmware/firmware/ipbus/hdl/transactor.vhd" +) +(HdrProperty +class "HDS" +name "DocViewState" +value "1352727141" +) +] +) +version "30.1" +appVersion "2010.3 (Build 21)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl" +) +(vvPair +variable "HDSDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/transactor/rtl.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/transactor/rtl.bd.user" +) +(vvPair +variable "SourceDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "rtl" +) +(vvPair +variable "config" +value "%(unit)_config" +) +(vvPair +variable "d" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/transactor" +) +(vvPair +variable "d_logical" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/transactor" +) +(vvPair +variable "date" +value "11/13/12" +) +(vvPair +variable "day" +value "Tue" +) +(vvPair +variable "day_long" +value "Tuesday" +) +(vvPair +variable "dd" +value "13" +) +(vvPair +variable "entity_name" +value "transactor" +) +(vvPair +variable "ext" +value "<TBD>" +) +(vvPair +variable "f" +value "rtl.bd" +) +(vvPair +variable "f_logical" +value "rtl.bd" +) +(vvPair +variable "f_noext" +value "rtl" +) +(vvPair +variable "group" +value "HEP_Instrumentation" +) +(vvPair +variable "host" +value "kipper.phy.bris.ac.uk" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "fmc_mTLU_lib" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/designcheck" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "transactor" +) +(vvPair +variable "month" +value "Nov" +) +(vvPair +variable "month_long" +value "November" +) +(vvPair +variable "p" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/transactor/rtl.bd" +) +(vvPair +variable "p_logical" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/transactor/rtl.bd" +) +(vvPair +variable "package_name" +value "<Undefined Variable>" +) +(vvPair +variable "project_name" +value "fmc_mTLU" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "<TBD>" +) +(vvPair +variable "task_DesignCompilerPath" +value "<TBD>" +) +(vvPair +variable "task_LeonardoPath" +value "/opt/CAD/Mentor/LeonardoSpectrum_2008a/bin" +) +(vvPair +variable "task_ModelSimPath" +value "/opt/CAD/Mentor/Modelsim/6.4a/modeltech/bin" +) +(vvPair +variable "task_NC-SimPath" +value "<TBD>" +) +(vvPair +variable "task_PrecisionRTLPath" +value "/opt/CAD/Mentor/Precis_Synth_2009a_update2/Mgc_home/bin" +) +(vvPair +variable "task_QuestaSimPath" +value "/opt/CAD/Mentor/HDS2008.1b/questasim/bin" +) +(vvPair +variable "task_VCSPath" +value "<TBD>" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "rtl" +) +(vvPair +variable "this_file_logical" +value "rtl" +) +(vvPair +variable "time" +value "16:59:31" +) +(vvPair +variable "unit" +value "transactor" +) +(vvPair +variable "user" +value "phdgc" +) +(vvPair +variable "version" +value "2010.3 (Build 21)" +) +(vvPair +variable "view" +value "rtl" +) +(vvPair +variable "year" +value "2012" +) +(vvPair +variable "yy" +value "12" +) +] +) +LanguageMgr "VhdlLangMgr" +optionalChildren [ +*1 (Net +uid 9,0 +decl (Decl +n "rx_data" +t "std_logic_vector" +b "(31 downto 0)" +o 1 +suid 1,0 +) +declText (MLText +uid 10,0 +va (VaSet +font "courier,8,0" +) +xt "19000,52000,44500,52900" +st "SIGNAL rx_data : std_logic_vector(31 downto 0) +" +) +) +*2 (Net +uid 11,0 +decl (Decl +n "tx_data" +t "std_logic_vector" +b "(31 downto 0)" +o 2 +suid 2,0 +) +declText (MLText +uid 12,0 +va (VaSet +font "courier,8,0" +) +xt "19000,52900,44500,53800" +st "SIGNAL tx_data : std_logic_vector(31 downto 0) +" +) +) +*3 (Net +uid 13,0 +decl (Decl +n "ipb_data" +t "std_logic_vector" +b "(31 downto 0)" +o 3 +suid 3,0 +) +declText (MLText +uid 14,0 +va (VaSet +font "courier,8,0" +) +xt "19000,53800,44500,54700" +st "SIGNAL ipb_data : std_logic_vector(31 downto 0) +" +) +) +*4 (Net +uid 15,0 +decl (Decl +n "rx_ready" +t "std_logic" +o 4 +suid 4,0 +) +declText (MLText +uid 16,0 +va (VaSet +font "courier,8,0" +) +xt "19000,54700,34500,55600" +st "SIGNAL rx_ready : std_logic +" +) +) +*5 (Net +uid 17,0 +decl (Decl +n "rx_next" +t "std_logic" +o 5 +suid 5,0 +) +declText (MLText +uid 18,0 +va (VaSet +font "courier,8,0" +) +xt "19000,55600,34500,56500" +st "SIGNAL rx_next : std_logic +" +) +) +*6 (Net +uid 19,0 +decl (Decl +n "tx_done" +t "std_logic" +o 6 +suid 6,0 +) +declText (MLText +uid 20,0 +va (VaSet +font "courier,8,0" +) +xt "19000,56500,34500,57400" +st "SIGNAL tx_done : std_logic +" +) +) +*7 (Net +uid 21,0 +decl (Decl +n "byte_order" +t "std_logic" +o 7 +suid 7,0 +) +declText (MLText +uid 22,0 +va (VaSet +font "courier,8,0" +) +xt "19000,57400,34500,58300" +st "SIGNAL byte_order : std_logic +" +) +) +*8 (Net +uid 23,0 +decl (Decl +n "start" +t "std_logic" +o 8 +suid 8,0 +) +declText (MLText +uid 24,0 +va (VaSet +font "courier,8,0" +) +xt "19000,58300,34500,59200" +st "SIGNAL start : std_logic +" +) +) +*9 (Net +uid 25,0 +decl (Decl +n "ipb_out_int" +t "ipb_wbus" +o 9 +suid 9,0 +) +declText (MLText +uid 26,0 +va (VaSet +font "courier,8,0" +) +xt "19000,59200,34000,60100" +st "SIGNAL ipb_out_int : ipb_wbus +" +) +) +*10 (Net +uid 27,0 +decl (Decl +n "tx_func" +t "std_logic_vector" +b "(3 downto 0)" +o 10 +suid 10,0 +) +declText (MLText +uid 28,0 +va (VaSet +font "courier,8,0" +) +xt "19000,60100,44000,61000" +st "SIGNAL tx_func : std_logic_vector(3 downto 0) +" +) +) +*11 (PortIoIn +uid 29,0 +shape (CompositeShape +uid 30,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 31,0 +sl 0 +ro 270 +xt "-5000,34625,-3500,35375" +) +(Line +uid 32,0 +sl 0 +ro 270 +xt "-3500,35000,-3000,35000" +pts [ +"-3500,35000" +"-3000,35000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 33,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 34,0 +va (VaSet +font "courier,8,0" +) +xt "-7500,34500,-6000,35400" +st "clk" +ju 2 +blo "-6000,35200" +tm "WireNameMgr" +) +) +) +*12 (Net +uid 35,0 +decl (Decl +n "clk" +t "std_logic" +o 11 +suid 11,0 +) +declText (MLText +uid 36,0 +va (VaSet +font "courier,8,0" +) +xt "19000,44800,31000,45700" +st "clk : std_logic +" +) +) +*13 (PortIoIn +uid 43,0 +shape (CompositeShape +uid 44,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 45,0 +sl 0 +ro 270 +xt "-5000,36625,-3500,37375" +) +(Line +uid 46,0 +sl 0 +ro 270 +xt "-3500,37000,-3000,37000" +pts [ +"-3500,37000" +"-3000,37000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 47,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 48,0 +va (VaSet +font "courier,8,0" +) +xt "-8500,36500,-6000,37400" +st "reset" +ju 2 +blo "-6000,37200" +tm "WireNameMgr" +) +) +) +*14 (Net +uid 49,0 +decl (Decl +n "reset" +t "std_logic" +o 12 +suid 12,0 +) +declText (MLText +uid 50,0 +va (VaSet +font "courier,8,0" +) +xt "19000,45700,31000,46600" +st "reset : std_logic +" +) +) +*15 (PortIoOut +uid 57,0 +shape (CompositeShape +uid 58,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 59,0 +sl 0 +ro 270 +xt "66500,31625,68000,32375" +) +(Line +uid 60,0 +sl 0 +ro 270 +xt "66000,32000,66500,32000" +pts [ +"66000,32000" +"66500,32000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 61,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 62,0 +va (VaSet +font "courier,8,0" +) +xt "69000,31500,72500,32400" +st "ipb_out" +blo "69000,32200" +tm "WireNameMgr" +) +) +) +*16 (Net +uid 63,0 +decl (Decl +n "ipb_out" +t "ipb_wbus" +o 13 +suid 13,0 +) +declText (MLText +uid 64,0 +va (VaSet +font "courier,8,0" +) +xt "19000,46600,30500,47500" +st "ipb_out : ipb_wbus +" +) +) +*17 (PortIoIn +uid 71,0 +shape (CompositeShape +uid 72,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 73,0 +sl 0 +ro 270 +xt "-4000,25625,-2500,26375" +) +(Line +uid 74,0 +sl 0 +ro 270 +xt "-2500,26000,-2000,26000" +pts [ +"-2500,26000" +"-2000,26000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 75,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 76,0 +va (VaSet +font "courier,8,0" +) +xt "-8000,25500,-5000,26400" +st "ipb_in" +ju 2 +blo "-5000,26200" +tm "WireNameMgr" +) +) +) +*18 (Net +uid 77,0 +decl (Decl +n "ipb_in" +t "ipb_rbus" +o 14 +suid 14,0 +) +declText (MLText +uid 78,0 +va (VaSet +font "courier,8,0" +) +xt "19000,47500,30500,48400" +st "ipb_in : ipb_rbus +" +) +) +*19 (PortIoIn +uid 85,0 +shape (CompositeShape +uid 86,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 87,0 +sl 0 +ro 270 +xt "5000,17625,6500,18375" +) +(Line +uid 88,0 +sl 0 +ro 270 +xt "6500,18000,7000,18000" +pts [ +"6500,18000" +"7000,18000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 89,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 90,0 +va (VaSet +font "courier,8,0" +) +xt "2000,17500,4000,18400" +st "moti" +ju 2 +blo "4000,18200" +tm "WireNameMgr" +) +) +) +*20 (Net +uid 91,0 +decl (Decl +n "moti" +t "trans_moti" +o 15 +suid 15,0 +) +declText (MLText +uid 92,0 +va (VaSet +font "courier,8,0" +) +xt "19000,48400,31500,49300" +st "moti : trans_moti +" +) +) +*21 (PortIoOut +uid 99,0 +shape (CompositeShape +uid 100,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 101,0 +sl 0 +ro 270 +xt "79500,26625,81000,27375" +) +(Line +uid 102,0 +sl 0 +ro 270 +xt "79000,27000,79500,27000" +pts [ +"79000,27000" +"79500,27000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 103,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 104,0 +va (VaSet +font "courier,8,0" +) +xt "82000,26500,84000,27400" +st "tomi" +blo "82000,27200" +tm "WireNameMgr" +) +) +) +*22 (Net +uid 105,0 +decl (Decl +n "tomi" +t "trans_tomi" +o 16 +suid 16,0 +) +declText (MLText +uid 106,0 +va (VaSet +font "courier,8,0" +) +xt "19000,49300,31500,50200" +st "tomi : trans_tomi +" +) +) +*23 (SaComponent +uid 149,0 +optionalChildren [ +*24 (CptPort +uid 159,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 160,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56250,13625,57000,14375" +) +tg (CPTG +uid 161,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 162,0 +va (VaSet +font "courier,8,0" +) +xt "58000,13550,59500,14450" +st "clk" +blo "58000,14250" +) +) +thePort (LogicalPort +decl (Decl +n "clk" +t "std_logic" +preAdd 0 +posAdd 0 +o 1 +) +) +) +*25 (CptPort +uid 163,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 164,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56250,14625,57000,15375" +) +tg (CPTG +uid 165,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 166,0 +va (VaSet +font "courier,8,0" +) +xt "58000,14550,60500,15450" +st "reset" +blo "58000,15250" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_logic" +preAdd 0 +posAdd 0 +o 2 +) +) +) +*26 (CptPort +uid 167,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 168,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56250,15625,57000,16375" +) +tg (CPTG +uid 169,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 170,0 +va (VaSet +font "courier,8,0" +) +xt "58000,15550,62500,16450" +st "pkt_ready" +blo "58000,16250" +) +) +thePort (LogicalPort +decl (Decl +n "pkt_ready" +t "std_logic" +preAdd 0 +posAdd 0 +o 3 +) +) +) +*27 (CptPort +uid 171,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 172,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56250,16625,57000,17375" +) +tg (CPTG +uid 173,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 174,0 +va (VaSet +font "courier,8,0" +) +xt "58000,16550,64500,17450" +st "pkt_addr_rst" +blo "58000,17250" +) +) +thePort (LogicalPort +decl (Decl +n "pkt_addr_rst" +t "std_logic" +preAdd 0 +posAdd 0 +o 4 +) +) +) +*28 (CptPort +uid 175,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 176,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "70000,13625,70750,14375" +) +tg (CPTG +uid 177,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 178,0 +va (VaSet +font "courier,8,0" +) +xt "64500,13550,69000,14450" +st "pkt_raddr" +ju 2 +blo "69000,14250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "pkt_raddr" +t "rbuf_a" +preAdd 0 +posAdd 0 +o 5 +) +) +) +*29 (CptPort +uid 179,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 180,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56250,17625,57000,18375" +) +tg (CPTG +uid 181,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 182,0 +va (VaSet +font "courier,8,0" +) +xt "58000,17550,62500,18450" +st "pkt_rdata" +blo "58000,18250" +) +) +thePort (LogicalPort +decl (Decl +n "pkt_rdata" +t "std_logic_vector" +b "(31 downto 0)" +preAdd 0 +posAdd 0 +o 6 +) +) +) +*30 (CptPort +uid 183,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 184,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "70000,17625,70750,18375" +) +tg (CPTG +uid 185,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 186,0 +va (VaSet +font "courier,8,0" +) +xt "65000,17550,69000,18450" +st "rx_ready" +ju 2 +blo "69000,18250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "rx_ready" +t "std_logic" +preAdd 0 +posAdd 0 +o 7 +) +) +) +*31 (CptPort +uid 187,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 188,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56250,18625,57000,19375" +) +tg (CPTG +uid 189,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 190,0 +va (VaSet +font "courier,8,0" +) +xt "58000,18550,61500,19450" +st "rx_next" +blo "58000,19250" +) +) +thePort (LogicalPort +decl (Decl +n "rx_next" +t "std_logic" +preAdd 0 +posAdd 0 +o 8 +) +) +) +*32 (CptPort +uid 191,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 192,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "70000,18625,70750,19375" +) +tg (CPTG +uid 193,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 194,0 +va (VaSet +font "courier,8,0" +) +xt "66500,18550,69000,19450" +st "start" +ju 2 +blo "69000,19250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "start" +t "std_logic" +preAdd 0 +posAdd 0 +o 9 +) +) +) +*33 (PortMapFrame +uid 195,0 +ps "PortMapFrameStrategy" +shape (RectFrame +uid 196,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "55000,11000,72000,22000" +) +portMapText (BiTextGroup +uid 197,0 +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +uid 198,0 +va (VaSet +font "courier,8,0" +) +xt "72000,22000,83000,26500" +st "clk => clk, +reset => reset, +rx_next => rx_next, +rx_ready => rx_ready, +start => start," +) +second (MLText +uid 199,0 +va (VaSet +font "courier,8,0" +) +xt "72000,26500,87500,30100" +st "pkt_ready => moti.ready, +pkt_addr_rst => moti.addr_rst, +pkt_raddr => tomi.raddr, +pkt_rdata => moti.rdata" +tm "PortMapTextMgr" +) +) +) +] +shape (Rectangle +uid 150,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "57000,13000,70000,20000" +) +ttg (MlTextGroup +uid 151,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*34 (Text +uid 152,0 +va (VaSet +font "courier,8,1" +) +xt "60000,20000,66500,20900" +st "fmc_mTLU_lib" +blo "60000,20700" +tm "BdLibraryNameMgr" +) +*35 (Text +uid 153,0 +va (VaSet +font "courier,8,1" +) +xt "60000,20900,67000,21800" +st "transactor_rx" +blo "60000,21600" +tm "CptNameMgr" +) +*36 (Text +uid 154,0 +va (VaSet +font "courier,8,1" +) +xt "60000,21800,61000,22700" +st "rx" +blo "60000,22500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 155,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 156,0 +text (MLText +uid 157,0 +va (VaSet +font "courier,8,0" +) +xt "56500,13000,56500,13000" +) +header "" +) +elements [ +] +includeGenericsInHDL 0 +) +viewicon (ZoomableIcon +uid 158,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "57250,18250,58750,19750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*37 (SaComponent +uid 266,0 +optionalChildren [ +*38 (CptPort +uid 276,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 277,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "28250,1625,29000,2375" +) +tg (CPTG +uid 278,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 279,0 +va (VaSet +font "courier,8,0" +) +xt "30000,1550,31500,2450" +st "clk" +blo "30000,2250" +) +) +thePort (LogicalPort +decl (Decl +n "clk" +t "std_logic" +preAdd 0 +posAdd 0 +o 1 +) +) +) +*39 (CptPort +uid 280,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 281,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "28250,625,29000,1375" +) +tg (CPTG +uid 282,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 283,0 +va (VaSet +font "courier,8,0" +) +xt "30000,550,32500,1450" +st "reset" +blo "30000,1250" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_logic" +preAdd 0 +posAdd 0 +o 2 +) +) +) +*40 (CptPort +uid 284,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 285,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "28250,-375,29000,375" +) +tg (CPTG +uid 286,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 287,0 +va (VaSet +font "courier,8,0" +) +xt "30000,-450,33500,450" +st "rx_data" +blo "30000,250" +) +) +thePort (LogicalPort +decl (Decl +n "rx_data" +t "std_logic_vector" +b "(31 downto 0)" +eolc "-- input packet data" +preAdd 0 +posAdd 0 +o 3 +) +) +) +*41 (CptPort +uid 288,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 289,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "28250,3625,29000,4375" +) +tg (CPTG +uid 290,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 291,0 +va (VaSet +font "courier,8,0" +) +xt "30000,3550,34000,4450" +st "rx_ready" +blo "30000,4250" +) +) +thePort (LogicalPort +decl (Decl +n "rx_ready" +t "std_logic" +eolc "-- asserted when valid input packet data is available" +preAdd 0 +posAdd 0 +o 4 +) +) +) +*42 (CptPort +uid 292,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 293,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41000,625,41750,1375" +) +tg (CPTG +uid 294,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 295,0 +va (VaSet +font "courier,8,0" +) +xt "36500,550,40000,1450" +st "rx_next" +ju 2 +blo "40000,1250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "rx_next" +t "std_logic" +eolc "-- new input packet data on next cycle when this is asserted" +preAdd 0 +posAdd 0 +o 5 +) +) +) +*43 (CptPort +uid 296,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 297,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41000,3625,41750,4375" +) +tg (CPTG +uid 298,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 299,0 +va (VaSet +font "courier,8,0" +) +xt "36500,3550,40000,4450" +st "tx_func" +ju 2 +blo "40000,4250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "tx_func" +t "std_logic_vector" +b "(3 downto 0)" +eolc "-- encodes required transmit function (see transactor_tx)" +preAdd 0 +posAdd 0 +o 6 +) +) +) +*44 (CptPort +uid 300,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 301,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41000,2625,41750,3375" +) +tg (CPTG +uid 302,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 303,0 +va (VaSet +font "courier,8,0" +) +xt "34500,2550,40000,3450" +st "byte_order" +ju 2 +blo "40000,3250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "byte_order" +t "std_logic" +eolc "-- controls byte ordering of input and output packet data" +preAdd 0 +posAdd 0 +o 7 +) +) +) +*45 (CptPort +uid 304,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 305,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41000,1625,41750,2375" +) +tg (CPTG +uid 306,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 307,0 +va (VaSet +font "courier,8,0" +) +xt "36500,1550,40000,2450" +st "ipb_out" +ju 2 +blo "40000,2250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "ipb_out" +t "ipb_wbus" +preAdd 0 +posAdd 0 +o 8 +) +) +) +*46 (CptPort +uid 308,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 309,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "28250,2625,29000,3375" +) +tg (CPTG +uid 310,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 311,0 +va (VaSet +font "courier,8,0" +) +xt "30000,2550,33000,3450" +st "ipb_in" +blo "30000,3250" +) +) +thePort (LogicalPort +decl (Decl +n "ipb_in" +t "ipb_rbus" +preAdd 0 +posAdd 0 +o 9 +) +) +) +] +shape (Rectangle +uid 267,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "29000,-1000,41000,5000" +) +ttg (MlTextGroup +uid 268,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*47 (Text +uid 269,0 +va (VaSet +font "courier,8,1" +) +xt "31500,5000,38000,5900" +st "fmc_mTLU_lib" +blo "31500,5700" +tm "BdLibraryNameMgr" +) +*48 (Text +uid 270,0 +va (VaSet +font "courier,8,1" +) +xt "31500,5900,38500,6800" +st "transactor_sm" +blo "31500,6600" +tm "CptNameMgr" +) +*49 (Text +uid 271,0 +va (VaSet +font "courier,8,1" +) +xt "31500,6800,32500,7700" +st "sm" +blo "31500,7500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 272,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 273,0 +text (MLText +uid 274,0 +va (VaSet +font "courier,8,0" +) +xt "28500,-1000,28500,-1000" +) +header "" +) +elements [ +] +includeGenericsInHDL 0 +) +viewicon (ZoomableIcon +uid 275,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "29250,3250,30750,4750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*50 (SaComponent +uid 406,0 +optionalChildren [ +*51 (CptPort +uid 416,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 417,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "29250,14625,30000,15375" +) +tg (CPTG +uid 418,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 419,0 +va (VaSet +font "courier,8,0" +) +xt "31000,14550,32500,15450" +st "clk" +blo "31000,15250" +) +) +thePort (LogicalPort +decl (Decl +n "clk" +t "std_logic" +preAdd 0 +posAdd 0 +o 1 +) +) +) +*52 (CptPort +uid 420,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 421,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "29250,15625,30000,16375" +) +tg (CPTG +uid 422,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 423,0 +va (VaSet +font "courier,8,0" +) +xt "31000,15550,33500,16450" +st "reset" +blo "31000,16250" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_logic" +preAdd 0 +posAdd 0 +o 2 +) +) +) +*53 (CptPort +uid 424,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 425,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40000,15625,40750,16375" +) +tg (CPTG +uid 426,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 427,0 +va (VaSet +font "courier,8,0" +) +xt "35000,15550,39000,16450" +st "pkt_addr" +ju 2 +blo "39000,16250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "pkt_addr" +t "wbuf_a" +eolc "-- output packet data pointer" +preAdd 0 +posAdd 0 +o 3 +) +) +) +*54 (CptPort +uid 428,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 429,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40000,16625,40750,17375" +) +tg (CPTG +uid 430,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 431,0 +va (VaSet +font "courier,8,0" +) +xt "36000,16550,39000,17450" +st "pkt_we" +ju 2 +blo "39000,17250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "pkt_we" +t "std_logic" +eolc "-- output packet data write enable" +preAdd 0 +posAdd 0 +o 4 +) +) +) +*55 (CptPort +uid 432,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 433,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40000,14625,40750,15375" +) +tg (CPTG +uid 434,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 435,0 +va (VaSet +font "courier,8,0" +) +xt "35000,14550,39000,15450" +st "pkt_done" +ju 2 +blo "39000,15250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "pkt_done" +t "std_logic" +eolc "-- asserted after last output packet word has been written" +preAdd 0 +posAdd 0 +o 5 +) +) +) +*56 (CptPort +uid 436,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 437,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "29250,18625,30000,19375" +) +tg (CPTG +uid 438,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 439,0 +va (VaSet +font "courier,8,0" +) +xt "31000,18550,34500,19450" +st "tx_func" +blo "31000,19250" +) +) +thePort (LogicalPort +decl (Decl +n "tx_func" +t "std_logic_vector" +b "(3 downto 0)" +eolc "-- tx function required" +preAdd 0 +posAdd 0 +o 6 +) +) +) +*57 (CptPort +uid 440,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 441,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40000,13625,40750,14375" +) +tg (CPTG +uid 442,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 443,0 +va (VaSet +font "courier,8,0" +) +xt "35500,13550,39000,14450" +st "tx_data" +ju 2 +blo "39000,14250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "tx_data" +t "std_logic_vector" +b "(31 downto 0)" +eolc "-- output packet data" +preAdd 0 +posAdd 0 +o 7 +) +) +) +*58 (CptPort +uid 444,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 445,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "29250,16625,30000,17375" +) +tg (CPTG +uid 446,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 447,0 +va (VaSet +font "courier,8,0" +) +xt "31000,16550,35000,17450" +st "ipb_data" +blo "31000,17250" +) +) +thePort (LogicalPort +decl (Decl +n "ipb_data" +t "std_logic_vector" +b "(31 downto 0)" +eolc "-- ipbus input data" +preAdd 0 +posAdd 0 +o 8 +) +) +) +*59 (CptPort +uid 448,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 449,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "29250,13625,30000,14375" +) +tg (CPTG +uid 450,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 451,0 +va (VaSet +font "courier,8,0" +) +xt "31000,13550,34500,14450" +st "rx_data" +blo "31000,14250" +) +) +thePort (LogicalPort +decl (Decl +n "rx_data" +t "std_logic_vector" +b "(31 downto 0)" +eolc "-- header input data" +preAdd 0 +posAdd 0 +o 9 +) +) +) +*60 (CptPort +uid 452,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 453,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "29250,17625,30000,18375" +) +tg (CPTG +uid 454,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 455,0 +va (VaSet +font "courier,8,0" +) +xt "31000,17550,33500,18450" +st "start" +blo "31000,18250" +) +) +thePort (LogicalPort +decl (Decl +n "start" +t "std_logic" +preAdd 0 +posAdd 0 +o 10 +) +) +) +*61 (PortMapFrame +uid 456,0 +ps "PortMapFrameStrategy" +shape (RectFrame +uid 457,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "28000,11000,42000,22000" +) +portMapText (BiTextGroup +uid 458,0 +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +uid 459,0 +va (VaSet +font "courier,8,0" +) +xt "42000,22000,53000,29200" +st "clk => clk, +ipb_data => ipb_data, +reset => reset, +rx_data => rx_data, +start => start, +tx_func => tx_func, +pkt_done => tx_done, +tx_data => tx_data," +) +second (MLText +uid 460,0 +va (VaSet +font "courier,8,0" +) +xt "42000,29200,54000,31000" +st "pkt_addr => tomi.waddr, +pkt_we => tomi.we" +tm "PortMapTextMgr" +) +) +) +] +shape (Rectangle +uid 407,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "30000,13000,40000,20000" +) +ttg (MlTextGroup +uid 408,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*62 (Text +uid 409,0 +va (VaSet +font "courier,8,1" +) +xt "31500,20000,38000,20900" +st "fmc_mTLU_lib" +blo "31500,20700" +tm "BdLibraryNameMgr" +) +*63 (Text +uid 410,0 +va (VaSet +font "courier,8,1" +) +xt "31500,20900,38500,21800" +st "transactor_tx" +blo "31500,21600" +tm "CptNameMgr" +) +*64 (Text +uid 411,0 +va (VaSet +font "courier,8,1" +) +xt "31500,21800,32500,22700" +st "tx" +blo "31500,22500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 412,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 413,0 +text (MLText +uid 414,0 +va (VaSet +font "courier,8,0" +) +xt "29500,13000,29500,13000" +) +header "" +) +elements [ +] +includeGenericsInHDL 0 +) +viewicon (ZoomableIcon +uid 415,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "30250,18250,31750,19750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*65 (HdlText +uid 509,0 +optionalChildren [ +*66 (EmbeddedText +uid 515,0 +commentText (CommentText +uid 516,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 517,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "16000,17000,34000,22000" +) +text (MLText +uid 518,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "16200,17200,33700,21700" +st " +rx_data <= moti.rdata when byte_order='0' else + moti.rdata(7 downto 0) & moti.rdata(15 downto 8) & moti.rdata(23 downto 16) & moti.rdata(31 downto 24); + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +] +shape (Rectangle +uid 510,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "8000,17000,11000,20000" +) +ttg (MlTextGroup +uid 511,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*67 (Text +uid 512,0 +va (VaSet +font "courier,8,1" +) +xt "8750,17600,10250,18500" +st "eb1" +blo "8750,18300" +tm "HdlTextNameMgr" +) +*68 (Text +uid 513,0 +va (VaSet +font "courier,8,1" +) +xt "8750,18500,9250,19400" +st "1" +blo "8750,19200" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 514,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "8250,18250,9750,19750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*69 (HdlText +uid 543,0 +optionalChildren [ +*70 (EmbeddedText +uid 549,0 +commentText (CommentText +uid 550,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 551,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "16000,-1000,34000,4000" +) +text (MLText +uid 552,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "16200,-800,33700,1900" +st " +ipb_data <= ipb_in.ipb_rdata when ipb_out_int.ipb_write='0' else ipb_out_int.ipb_wdata; + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +] +shape (Rectangle +uid 544,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "8000,-1000,11000,2000" +) +ttg (MlTextGroup +uid 545,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*71 (Text +uid 546,0 +va (VaSet +font "courier,8,1" +) +xt "8750,-400,10250,500" +st "eb2" +blo "8750,300" +tm "HdlTextNameMgr" +) +*72 (Text +uid 547,0 +va (VaSet +font "courier,8,1" +) +xt "8750,500,9250,1400" +st "2" +blo "8750,1200" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 548,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "8250,250,9750,1750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*73 (HdlText +uid 577,0 +optionalChildren [ +*74 (EmbeddedText +uid 583,0 +commentText (CommentText +uid 584,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 585,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "70000,6000,88000,11000" +) +text (MLText +uid 586,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "70200,6200,81200,7100" +st " +tomi.done <= tx_done; + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +] +shape (Rectangle +uid 578,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "62000,6000,65000,9000" +) +ttg (MlTextGroup +uid 579,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*75 (Text +uid 580,0 +va (VaSet +font "courier,8,1" +) +xt "62750,6600,64250,7500" +st "eb3" +blo "62750,7300" +tm "HdlTextNameMgr" +) +*76 (Text +uid 581,0 +va (VaSet +font "courier,8,1" +) +xt "62750,7500,63250,8400" +st "3" +blo "62750,8200" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 582,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "62250,7250,63750,8750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*77 (HdlText +uid 603,0 +optionalChildren [ +*78 (EmbeddedText +uid 609,0 +commentText (CommentText +uid 610,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 611,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "70000,-1000,88000,4000" +) +text (MLText +uid 612,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "70200,-800,87700,3700" +st " +tomi.wdata <= tx_data when byte_order='0' else + tx_data(7 downto 0) & tx_data(15 downto 8) & tx_data(23 downto 16) & tx_data(31 downto 24); + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +] +shape (Rectangle +uid 604,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "62000,-1000,65000,2000" +) +ttg (MlTextGroup +uid 605,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*79 (Text +uid 606,0 +va (VaSet +font "courier,8,1" +) +xt "62750,-400,64250,500" +st "eb4" +blo "62750,300" +tm "HdlTextNameMgr" +) +*80 (Text +uid 607,0 +va (VaSet +font "courier,8,1" +) +xt "62750,500,63250,1400" +st "4" +blo "62750,1200" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 608,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "62250,250,63750,1750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*81 (HdlText +uid 637,0 +optionalChildren [ +*82 (EmbeddedText +uid 643,0 +commentText (CommentText +uid 644,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 645,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "70000,31000,88000,36000" +) +text (MLText +uid 646,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "70200,31200,82200,32100" +st " +ipb_out <= ipb_out_int; + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +] +shape (Rectangle +uid 638,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "62000,31000,65000,34000" +) +ttg (MlTextGroup +uid 639,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*83 (Text +uid 640,0 +va (VaSet +font "courier,8,1" +) +xt "62750,31600,64250,32500" +st "eb5" +blo "62750,32300" +tm "HdlTextNameMgr" +) +*84 (Text +uid 641,0 +va (VaSet +font "courier,8,1" +) +xt "62750,32500,63250,33400" +st "5" +blo "62750,33200" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 642,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "62250,32250,63750,33750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*85 (GlobalConnector +uid 663,0 +shape (Circle +uid 664,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-2000,34000,0,36000" +radius 1000 +) +name (Text +uid 665,0 +va (VaSet +font "courier,8,1" +) +xt "-1250,34550,-750,35450" +st "G" +blo "-1250,35250" +) +) +*86 (GlobalConnector +uid 672,0 +shape (Circle +uid 673,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-2000,36000,0,38000" +radius 1000 +) +name (Text +uid 674,0 +va (VaSet +font "courier,8,1" +) +xt "-1250,36550,-750,37450" +st "G" +blo "-1250,37250" +) +) +*87 (Property +uid 691,0 +pclass "HDS" +pname "DocView" +pvalue "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/workspace/IPbusFirmware/firmware/ipbus/hdl/transactor.vhd" +ptn "String" +) +*88 (Property +uid 692,0 +pclass "HDS" +pname "DocViewState" +pvalue "1352727141" +ptn "String" +) +*89 (Wire +uid 37,0 +shape (OrthoPolyLine +uid 38,0 +va (VaSet +vasetType 3 +) +xt "-3000,35000,-2000,35000" +pts [ +"-3000,35000" +"-2000,35000" +] +) +start &11 +end &85 +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 41,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 42,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-3000,34100,-1500,35000" +st "clk" +blo "-3000,34800" +tm "WireNameMgr" +) +) +on &12 +) +*90 (Wire +uid 51,0 +shape (OrthoPolyLine +uid 52,0 +va (VaSet +vasetType 3 +) +xt "-3000,37000,-2000,37000" +pts [ +"-3000,37000" +"-2000,37000" +] +) +start &13 +end &86 +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 55,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 56,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-4000,36100,-1500,37000" +st "reset" +blo "-4000,36800" +tm "WireNameMgr" +) +) +on &14 +) +*91 (Wire +uid 79,0 +optionalChildren [ +*92 (BdJunction +uid 681,0 +ps "OnConnectorStrategy" +shape (Circle +uid 682,0 +va (VaSet +vasetType 1 +) +xt "600,25600,1400,26400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 80,0 +va (VaSet +vasetType 3 +) +xt "-2000,3000,28250,26000" +pts [ +"-2000,26000" +"20000,26000" +"20000,3000" +"28250,3000" +] +) +start &17 +end &46 +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 83,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 84,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "24000,2100,27000,3000" +st "ipb_in" +blo "24000,2800" +tm "WireNameMgr" +) +) +on &18 +) +*93 (Wire +uid 93,0 +shape (OrthoPolyLine +uid 94,0 +va (VaSet +vasetType 3 +) +xt "7000,18000,8000,18000" +pts [ +"7000,18000" +"8000,18000" +] +) +start &19 +end &65 +ss 0 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 97,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 98,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "7000,17100,9000,18000" +st "moti" +blo "7000,17800" +tm "WireNameMgr" +) +) +on &20 +) +*94 (Wire +uid 200,0 +shape (OrthoPolyLine +uid 201,0 +va (VaSet +vasetType 3 +) +xt "54000,14000,56250,14000" +pts [ +"54000,14000" +"56250,14000" +] +) +end &24 +sat 16 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 204,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 205,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "52000,13100,53500,14000" +st "clk" +blo "52000,13800" +tm "WireNameMgr" +) +) +on &12 +) +*95 (Wire +uid 206,0 +shape (OrthoPolyLine +uid 207,0 +va (VaSet +vasetType 3 +) +xt "54000,15000,56250,15000" +pts [ +"54000,15000" +"56250,15000" +] +) +end &25 +sat 16 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 210,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 211,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "51000,14100,53500,15000" +st "reset" +blo "51000,14800" +tm "WireNameMgr" +) +) +on &14 +) +*96 (Wire +uid 212,0 +shape (OrthoPolyLine +uid 213,0 +va (VaSet +vasetType 3 +) +xt "21000,4000,77000,24000" +pts [ +"70750,18000" +"77000,18000" +"77000,24000" +"21000,24000" +"21000,4000" +"28250,4000" +] +) +start &30 +end &41 +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 216,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 217,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "72000,17100,76000,18000" +st "rx_ready" +blo "72000,17800" +tm "WireNameMgr" +) +) +on &4 +) +*97 (Wire +uid 224,0 +shape (OrthoPolyLine +uid 225,0 +va (VaSet +vasetType 3 +) +xt "22000,18000,76000,23000" +pts [ +"70750,19000" +"76000,19000" +"76000,23000" +"22000,23000" +"22000,18000" +"29250,18000" +] +) +start &32 +end &60 +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 228,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 229,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "72000,18100,74500,19000" +st "start" +blo "72000,18800" +tm "WireNameMgr" +) +) +on &8 +) +*98 (Wire +uid 312,0 +shape (OrthoPolyLine +uid 313,0 +va (VaSet +vasetType 3 +) +xt "26000,2000,28250,2000" +pts [ +"26000,2000" +"28250,2000" +] +) +end &38 +sat 16 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 316,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 317,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "24000,1100,25500,2000" +st "clk" +blo "24000,1800" +tm "WireNameMgr" +) +) +on &12 +) +*99 (Wire +uid 318,0 +shape (OrthoPolyLine +uid 319,0 +va (VaSet +vasetType 3 +) +xt "26000,1000,28250,1000" +pts [ +"26000,1000" +"28250,1000" +] +) +end &39 +sat 16 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 322,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 323,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "23000,100,25500,1000" +st "reset" +blo "23000,800" +tm "WireNameMgr" +) +) +on &14 +) +*100 (Wire +uid 336,0 +shape (OrthoPolyLine +uid 337,0 +va (VaSet +vasetType 3 +) +xt "41750,1000,56250,19000" +pts [ +"41750,1000" +"51000,1000" +"51000,19000" +"56250,19000" +] +) +start &42 +end &31 +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 340,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 341,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "42000,100,45500,1000" +st "rx_next" +blo "42000,800" +tm "WireNameMgr" +) +) +on &5 +) +*101 (Wire +uid 342,0 +shape (OrthoPolyLine +uid 343,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "23000,4000,48000,21000" +pts [ +"41750,4000" +"48000,4000" +"48000,21000" +"23000,21000" +"23000,19000" +"29250,19000" +] +) +start &43 +end &56 +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 346,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 347,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "42000,3100,45500,4000" +st "tx_func" +blo "42000,3800" +tm "WireNameMgr" +) +) +on &10 +) +*102 (Wire +uid 348,0 +optionalChildren [ +*103 (BdJunction +uid 687,0 +ps "OnConnectorStrategy" +shape (Circle +uid 688,0 +va (VaSet +vasetType 1 +) +xt "48600,2600,49400,3400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 349,0 +va (VaSet +vasetType 3 +) +xt "2000,3000,49000,22000" +pts [ +"41750,3000" +"49000,3000" +"49000,22000" +"2000,22000" +"2000,19000" +"8000,19000" +] +) +start &44 +end &65 +ss 0 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 352,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 353,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "2000,18100,7500,19000" +st "byte_order" +blo "2000,18800" +tm "WireNameMgr" +) +) +on &7 +) +*104 (Wire +uid 354,0 +optionalChildren [ +*105 (BdJunction +uid 685,0 +ps "OnConnectorStrategy" +shape (Circle +uid 686,0 +va (VaSet +vasetType 1 +) +xt "49600,5600,50400,6400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 355,0 +va (VaSet +vasetType 3 +) +xt "2000,1000,50000,6000" +pts [ +"41750,2000" +"50000,2000" +"50000,6000" +"2000,6000" +"2000,1000" +"8000,1000" +] +) +start &45 +end &69 +ss 0 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 358,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 359,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "1000,100,7000,1000" +st "ipb_out_int" +blo "1000,800" +tm "WireNameMgr" +) +) +on &9 +) +*106 (Wire +uid 461,0 +shape (OrthoPolyLine +uid 462,0 +va (VaSet +vasetType 3 +) +xt "27000,15000,29250,15000" +pts [ +"27000,15000" +"29250,15000" +] +) +end &51 +sat 16 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 465,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 466,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "25000,14100,26500,15000" +st "clk" +blo "25000,14800" +tm "WireNameMgr" +) +) +on &12 +) +*107 (Wire +uid 467,0 +shape (OrthoPolyLine +uid 468,0 +va (VaSet +vasetType 3 +) +xt "27000,16000,29250,16000" +pts [ +"27000,16000" +"29250,16000" +] +) +end &52 +sat 16 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 471,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 472,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "24000,15100,26500,16000" +st "reset" +blo "24000,15800" +tm "WireNameMgr" +) +) +on &14 +) +*108 (Wire +uid 473,0 +shape (OrthoPolyLine +uid 474,0 +va (VaSet +vasetType 3 +) +xt "40750,7000,62000,15000" +pts [ +"40750,15000" +"50000,15000" +"50000,7000" +"62000,7000" +] +) +start &55 +end &73 +ss 0 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 477,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 478,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "58000,6100,61500,7000" +st "tx_done" +blo "58000,6800" +tm "WireNameMgr" +) +) +on &6 +) +*109 (Wire +uid 485,0 +shape (OrthoPolyLine +uid 486,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "40750,0,62000,14000" +pts [ +"40750,14000" +"47000,14000" +"47000,0" +"62000,0" +] +) +start &57 +end &77 +ss 0 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 489,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 490,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "53000,-900,56500,0" +st "tx_data" +blo "53000,-200" +tm "WireNameMgr" +) +) +on &2 +) +*110 (Wire +uid 497,0 +shape (OrthoPolyLine +uid 498,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "18000,14000,29250,14000" +pts [ +"18000,14000" +"29250,14000" +] +) +start *111 (BdJunction +uid 689,0 +ps "OnConnectorStrategy" +shape (Circle +uid 690,0 +va (VaSet +vasetType 1 +) +xt "17600,13600,18400,14400" +radius 400 +) +) +end &59 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 501,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 502,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "19000,13100,22500,14000" +st "rx_data" +blo "19000,13800" +tm "WireNameMgr" +) +) +on &1 +) +*112 (Wire +uid 535,0 +optionalChildren [ +&111 +] +shape (OrthoPolyLine +uid 536,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "11000,0,28250,18000" +pts [ +"11000,18000" +"18000,18000" +"18000,0" +"28250,0" +] +) +start &65 +end &40 +es 0 +sat 2 +eat 32 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 541,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 542,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "12000,17100,15500,18000" +st "rx_data" +blo "12000,17800" +tm "WireNameMgr" +) +) +on &1 +) +*113 (Wire +uid 553,0 +shape (OrthoPolyLine +uid 554,0 +va (VaSet +vasetType 3 +) +xt "1000,0,8000,26000" +pts [ +"1000,26000" +"1000,0" +"8000,0" +] +) +start &92 +end &69 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 559,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 560,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "4000,-900,7000,0" +st "ipb_in" +blo "4000,-200" +tm "WireNameMgr" +) +) +on &18 +) +*114 (Wire +uid 569,0 +shape (OrthoPolyLine +uid 570,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "11000,1000,29250,17000" +pts [ +"11000,1000" +"17000,1000" +"17000,17000" +"29250,17000" +] +) +start &69 +end &58 +es 0 +sat 2 +eat 32 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 575,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 576,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "12000,100,16000,1000" +st "ipb_data" +blo "12000,800" +tm "WireNameMgr" +) +) +on &3 +) +*115 (Wire +uid 595,0 +shape (OrthoPolyLine +uid 596,0 +va (VaSet +vasetType 3 +) +xt "65000,7000,71000,7000" +pts [ +"65000,7000" +"71000,7000" +] +) +start &73 +end *116 (BdJunction +uid 683,0 +ps "OnConnectorStrategy" +shape (Circle +uid 684,0 +va (VaSet +vasetType 1 +) +xt "70600,6600,71400,7400" +radius 400 +) +) +sat 2 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 601,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 602,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "67000,6100,69000,7000" +st "tomi" +blo "67000,6800" +tm "WireNameMgr" +) +) +on &22 +) +*117 (Wire +uid 613,0 +shape (OrthoPolyLine +uid 614,0 +va (VaSet +vasetType 3 +) +xt "49000,1000,62000,3000" +pts [ +"49000,3000" +"49000,1000" +"62000,1000" +] +) +start &103 +end &77 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 619,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 620,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "56000,100,61500,1000" +st "byte_order" +blo "56000,800" +tm "WireNameMgr" +) +) +on &7 +) +*118 (Wire +uid 629,0 +optionalChildren [ +&116 +] +shape (OrthoPolyLine +uid 630,0 +va (VaSet +vasetType 3 +) +xt "65000,1000,79000,27000" +pts [ +"65000,1000" +"71000,1000" +"71000,27000" +"79000,27000" +] +) +start &77 +end &21 +es 0 +sat 2 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 635,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 636,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "66000,100,68000,1000" +st "tomi" +blo "66000,800" +tm "WireNameMgr" +) +) +on &22 +) +*119 (Wire +uid 647,0 +shape (OrthoPolyLine +uid 648,0 +va (VaSet +vasetType 3 +) +xt "50000,6000,62000,32000" +pts [ +"50000,6000" +"56000,6000" +"56000,32000" +"62000,32000" +] +) +start &105 +end &81 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 653,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 654,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "55000,31100,61000,32000" +st "ipb_out_int" +blo "55000,31800" +tm "WireNameMgr" +) +) +on &9 +) +*120 (Wire +uid 655,0 +shape (OrthoPolyLine +uid 656,0 +va (VaSet +vasetType 3 +) +xt "65000,32000,66000,32000" +pts [ +"65000,32000" +"66000,32000" +] +) +start &81 +end &15 +es 0 +sat 2 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 661,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 662,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "64000,31100,67500,32000" +st "ipb_out" +blo "64000,31800" +tm "WireNameMgr" +) +) +on &16 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *121 (PackageList +uid 725,0 +stg "VerticalLayoutStrategy" +textVec [ +*122 (Text +uid 726,0 +va (VaSet +font "courier,8,1" +) +xt "0,43000,6500,43900" +st "Package List" +blo "0,43700" +) +*123 (MLText +uid 727,0 +va (VaSet +font "courier,8,0" +) +xt "0,43900,14500,47500" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 728,0 +stg "VerticalLayoutStrategy" +textVec [ +*124 (Text +uid 729,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,43000,30000,43900" +st "Compiler Directives" +blo "20000,43700" +) +*125 (Text +uid 730,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,43900,31500,44800" +st "Pre-module directives:" +blo "20000,44600" +) +*126 (MLText +uid 731,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "20000,44800,30100,46600" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*127 (Text +uid 732,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,46600,32000,47500" +st "Post-module directives:" +blo "20000,47300" +) +*128 (MLText +uid 733,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "20000,43000,20000,43000" +tm "BdCompilerDirectivesTextMgr" +) +*129 (Text +uid 734,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,47500,31500,48400" +st "End-module directives:" +blo "20000,48200" +) +*130 (MLText +uid 735,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "20000,48400,20000,48400" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "0,0,1015,690" +viewArea "-8500,-1000,87500,61900" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +lastUid 735,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,4000,1900" +st "Panel0" +blo "1000,1700" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*131 (Text +va (VaSet +font "courier,8,1" +) +xt "1750,3650,6250,4550" +st "<library>" +blo "1750,4350" +tm "BdLibraryNameMgr" +) +*132 (Text +va (VaSet +font "courier,8,1" +) +xt "1750,4550,5250,5450" +st "<block>" +blo "1750,5250" +tm "BlkNameMgr" +) +*133 (Text +va (VaSet +font "courier,8,1" +) +xt "1750,5450,2750,6350" +st "I0" +blo "1750,6150" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "1750,13650,1750,13650" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*134 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,3650,4500,4550" +st "Library" +blo "1000,4350" +) +*135 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,4550,7000,5450" +st "MWComponent" +blo "1000,5250" +) +*136 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,5450,2000,6350" +st "I0" +blo "1000,6150" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6000,1650,-6000,1650" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*137 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,3650,4500,4550" +st "Library" +blo "1000,4350" +tm "BdLibraryNameMgr" +) +*138 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,4550,7000,5450" +st "SaComponent" +blo "1000,5250" +tm "CptNameMgr" +) +*139 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,5450,2000,6350" +st "I0" +blo "1000,6150" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6000,1650,-6000,1650" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +portVis (PortSigDisplay +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*140 (Text +va (VaSet +font "courier,8,1" +) +xt "500,3650,4000,4550" +st "Library" +blo "500,4350" +) +*141 (Text +va (VaSet +font "courier,8,1" +) +xt "500,4550,7500,5450" +st "VhdlComponent" +blo "500,5250" +) +*142 (Text +va (VaSet +font "courier,8,1" +) +xt "500,5450,1500,6350" +st "I0" +blo "500,6150" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6500,1650,-6500,1650" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-750,0,8750,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*143 (Text +va (VaSet +font "courier,8,1" +) +xt "-250,3650,3250,4550" +st "Library" +blo "-250,4350" +) +*144 (Text +va (VaSet +font "courier,8,1" +) +xt "-250,4550,8250,5450" +st "VerilogComponent" +blo "-250,5250" +) +*145 (Text +va (VaSet +font "courier,8,1" +) +xt "-250,5450,750,6350" +st "I0" +blo "-250,6150" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-7250,1650,-7250,1650" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*146 (Text +va (VaSet +font "courier,8,1" +) +xt "3250,4100,4750,5000" +st "eb1" +blo "3250,4800" +tm "HdlTextNameMgr" +) +*147 (Text +va (VaSet +font "courier,8,1" +) +xt "3250,5000,3750,5900" +st "1" +blo "3250,5700" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +font "courier,8,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +font "courier,8,1" +) +xt "-250,-450,250,450" +st "G" +blo "-250,250" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,0,2000,900" +st "sig0" +blo "0,700" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,0,2500,900" +st "dbus0" +blo "0,700" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,0" +) +xt "0,0,3500,900" +st "bundle0" +blo "0,700" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +font "courier,8,0" +) +xt "0,900,1000,1800" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +font "courier,8,0" +) +) +second (MLText +va (VaSet +font "courier,8,0" +) +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,-1000,14500,-100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1350" +) +num (Text +va (VaSet +font "courier,8,0" +) +xt "300,250,800,1150" +st "1" +blo "300,950" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*148 (Text +va (VaSet +font "courier,8,1" +) +xt "12500,20000,22000,20900" +st "Frame Declarations" +blo "12500,20700" +) +*149 (MLText +va (VaSet +font "courier,8,0" +) +xt "12500,20900,12500,20900" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,-1000,9000,-100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1350" +) +num (Text +va (VaSet +font "courier,8,0" +) +xt "300,250,800,1150" +st "1" +blo "300,950" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*150 (Text +va (VaSet +font "courier,8,1" +) +xt "12500,20000,22000,20900" +st "Frame Declarations" +blo "12500,20700" +) +*151 (MLText +va (VaSet +font "courier,8,0" +) +xt "12500,20900,12500,20900" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,2000,1650" +st "Port" +blo "0,1450" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,2000,1650" +st "Port" +blo "0,1450" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "courier,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "17000,43000,23500,43900" +st "Declarations" +blo "17000,43700" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "17000,43900,20000,44800" +st "Ports:" +blo "17000,44600" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "17000,50200,21500,51100" +st "Pre User:" +blo "17000,50900" +) +preUserText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "17000,43000,17000,43000" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "courier,8,1" +) +xt "17000,51100,25500,52000" +st "Diagram Signals:" +blo "17000,51800" +) +postUserLabel (Text +uid 7,0 +va (VaSet +font "courier,8,1" +) +xt "17000,61000,22500,61900" +st "Post User:" +blo "17000,61700" +) +postUserText (MLText +uid 8,0 +va (VaSet +font "courier,8,0" +) +xt "17000,43000,17000,43000" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 16,0 +usingSuid 1 +emptyRow *152 (LEmptyRow +) +optionalChildren [ +*153 (RefLabelRowHdr +) +*154 (TitleRowHdr +) +*155 (FilterRowHdr +) +*156 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*157 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*158 (GroupColHdr +tm "GroupColHdrMgr" +) +*159 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*160 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*161 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*162 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*163 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*164 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*165 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "rx_data" +t "std_logic_vector" +b "(31 downto 0)" +o 1 +suid 1,0 +) +) +uid 693,0 +) +*166 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "tx_data" +t "std_logic_vector" +b "(31 downto 0)" +o 2 +suid 2,0 +) +) +uid 695,0 +) +*167 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "ipb_data" +t "std_logic_vector" +b "(31 downto 0)" +o 3 +suid 3,0 +) +) +uid 697,0 +) +*168 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "rx_ready" +t "std_logic" +o 4 +suid 4,0 +) +) +uid 699,0 +) +*169 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "rx_next" +t "std_logic" +o 5 +suid 5,0 +) +) +uid 701,0 +) +*170 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "tx_done" +t "std_logic" +o 6 +suid 6,0 +) +) +uid 703,0 +) +*171 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "byte_order" +t "std_logic" +o 7 +suid 7,0 +) +) +uid 705,0 +) +*172 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "start" +t "std_logic" +o 8 +suid 8,0 +) +) +uid 707,0 +) +*173 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "ipb_out_int" +t "ipb_wbus" +o 9 +suid 9,0 +) +) +uid 709,0 +) +*174 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "tx_func" +t "std_logic_vector" +b "(3 downto 0)" +o 10 +suid 10,0 +) +) +uid 711,0 +) +*175 (LeafLogPort +port (LogicalPort +decl (Decl +n "clk" +t "std_logic" +o 11 +suid 11,0 +) +) +uid 713,0 +) +*176 (LeafLogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_logic" +o 12 +suid 12,0 +) +) +uid 715,0 +) +*177 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "ipb_out" +t "ipb_wbus" +o 13 +suid 13,0 +) +) +uid 717,0 +) +*178 (LeafLogPort +port (LogicalPort +decl (Decl +n "ipb_in" +t "ipb_rbus" +o 14 +suid 14,0 +) +) +uid 719,0 +) +*179 (LeafLogPort +port (LogicalPort +decl (Decl +n "moti" +t "trans_moti" +o 15 +suid 15,0 +) +) +uid 721,0 +) +*180 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "tomi" +t "trans_tomi" +o 16 +suid 16,0 +) +) +uid 723,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +optionalChildren [ +*181 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *182 (MRCItem +litem &152 +pos 3 +dimension 20 +) +optionalChildren [ +*183 (MRCItem +litem &153 +pos 0 +dimension 20 +) +*184 (MRCItem +litem &154 +pos 1 +dimension 23 +) +*185 (MRCItem +litem &155 +pos 2 +hidden 1 +dimension 20 +) +*186 (MRCItem +litem &165 +pos 0 +dimension 20 +uid 694,0 +) +*187 (MRCItem +litem &166 +pos 1 +dimension 20 +uid 696,0 +) +*188 (MRCItem +litem &167 +pos 2 +dimension 20 +uid 698,0 +) +*189 (MRCItem +litem &168 +pos 3 +dimension 20 +uid 700,0 +) +*190 (MRCItem +litem &169 +pos 4 +dimension 20 +uid 702,0 +) +*191 (MRCItem +litem &170 +pos 5 +dimension 20 +uid 704,0 +) +*192 (MRCItem +litem &171 +pos 6 +dimension 20 +uid 706,0 +) +*193 (MRCItem +litem &172 +pos 7 +dimension 20 +uid 708,0 +) +*194 (MRCItem +litem &173 +pos 8 +dimension 20 +uid 710,0 +) +*195 (MRCItem +litem &174 +pos 9 +dimension 20 +uid 712,0 +) +*196 (MRCItem +litem &175 +pos 10 +dimension 20 +uid 714,0 +) +*197 (MRCItem +litem &176 +pos 11 +dimension 20 +uid 716,0 +) +*198 (MRCItem +litem &177 +pos 12 +dimension 20 +uid 718,0 +) +*199 (MRCItem +litem &178 +pos 13 +dimension 20 +uid 720,0 +) +*200 (MRCItem +litem &179 +pos 14 +dimension 20 +uid 722,0 +) +*201 (MRCItem +litem &180 +pos 15 +dimension 20 +uid 724,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +optionalChildren [ +*202 (MRCItem +litem &156 +pos 0 +dimension 20 +) +*203 (MRCItem +litem &158 +pos 1 +dimension 50 +) +*204 (MRCItem +litem &159 +pos 2 +dimension 100 +) +*205 (MRCItem +litem &160 +pos 3 +dimension 50 +) +*206 (MRCItem +litem &161 +pos 4 +dimension 100 +) +*207 (MRCItem +litem &162 +pos 5 +dimension 100 +) +*208 (MRCItem +litem &163 +pos 6 +dimension 50 +) +*209 (MRCItem +litem &164 +pos 7 +dimension 80 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +vaOverrides [ +] +) +] +) +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *210 (LEmptyRow +) +optionalChildren [ +*211 (RefLabelRowHdr +) +*212 (TitleRowHdr +) +*213 (FilterRowHdr +) +*214 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*215 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*216 (GroupColHdr +tm "GroupColHdrMgr" +) +*217 (NameColHdr +tm "GenericNameColHdrMgr" +) +*218 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*219 (InitColHdr +tm "GenericValueColHdrMgr" +) +*220 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*221 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +optionalChildren [ +*222 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *223 (MRCItem +litem &210 +pos 3 +dimension 20 +) +optionalChildren [ +*224 (MRCItem +litem &211 +pos 0 +dimension 20 +) +*225 (MRCItem +litem &212 +pos 1 +dimension 23 +) +*226 (MRCItem +litem &213 +pos 2 +hidden 1 +dimension 20 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +optionalChildren [ +*227 (MRCItem +litem &214 +pos 0 +dimension 20 +) +*228 (MRCItem +litem &216 +pos 1 +dimension 50 +) +*229 (MRCItem +litem &217 +pos 2 +dimension 100 +) +*230 (MRCItem +litem &218 +pos 3 +dimension 100 +) +*231 (MRCItem +litem &219 +pos 4 +dimension 50 +) +*232 (MRCItem +litem &220 +pos 5 +dimension 50 +) +*233 (MRCItem +litem &221 +pos 6 +dimension 80 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +vaOverrides [ +] +) +] +) +type 1 +) +) diff --git a/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/trigger@inputs/_symbol.sb._fpf b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/trigger@inputs/_symbol.sb._fpf new file mode 100755 index 00000000..e0bac7fb --- /dev/null +++ b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/trigger@inputs/_symbol.sb._fpf @@ -0,0 +1,3 @@ +INCLUDE list { + DEFAULT atom 1 +} diff --git a/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/trigger@inputs/rtl.bd b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/trigger@inputs/rtl.bd new file mode 100644 index 00000000..70640fa6 --- /dev/null +++ b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/trigger@inputs/rtl.bd @@ -0,0 +1,2993 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dmPackageRefs [ +] +properties [ +(HdrProperty +class "HDS" +name "DocView" +value "triggerInputs_rtl.vhd" +) +(HdrProperty +class "HDS" +name "DocViewState" +value "1352816382" +) +] +) +version "30.1" +appVersion "2010.3 (Build 21)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl" +) +(vvPair +variable "HDSDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/trigger@inputs/rtl.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/trigger@inputs/rtl.bd.user" +) +(vvPair +variable "SourceDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "rtl" +) +(vvPair +variable "config" +value "%(unit)_config" +) +(vvPair +variable "d" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/trigger@inputs" +) +(vvPair +variable "d_logical" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/triggerInputs" +) +(vvPair +variable "date" +value "11/13/12" +) +(vvPair +variable "day" +value "Tue" +) +(vvPair +variable "day_long" +value "Tuesday" +) +(vvPair +variable "dd" +value "13" +) +(vvPair +variable "entity_name" +value "triggerInputs" +) +(vvPair +variable "ext" +value "<TBD>" +) +(vvPair +variable "f" +value "rtl.bd" +) +(vvPair +variable "f_logical" +value "rtl.bd" +) +(vvPair +variable "f_noext" +value "rtl" +) +(vvPair +variable "group" +value "HEP_Instrumentation" +) +(vvPair +variable "host" +value "kipper.phy.bris.ac.uk" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "fmc_mTLU_lib" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/designcheck" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "triggerInputs" +) +(vvPair +variable "month" +value "Nov" +) +(vvPair +variable "month_long" +value "November" +) +(vvPair +variable "p" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/trigger@inputs/rtl.bd" +) +(vvPair +variable "p_logical" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/triggerInputs/rtl.bd" +) +(vvPair +variable "package_name" +value "<Undefined Variable>" +) +(vvPair +variable "project_name" +value "fmc_mTLU" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "<TBD>" +) +(vvPair +variable "task_DesignCompilerPath" +value "<TBD>" +) +(vvPair +variable "task_LeonardoPath" +value "/opt/CAD/Mentor/LeonardoSpectrum_2008a/bin" +) +(vvPair +variable "task_ModelSimPath" +value "/opt/CAD/Mentor/Modelsim/6.4a/modeltech/bin" +) +(vvPair +variable "task_NC-SimPath" +value "<TBD>" +) +(vvPair +variable "task_PrecisionRTLPath" +value "/opt/CAD/Mentor/Precis_Synth_2009a_update2/Mgc_home/bin" +) +(vvPair +variable "task_QuestaSimPath" +value "/opt/CAD/Mentor/HDS2008.1b/questasim/bin" +) +(vvPair +variable "task_VCSPath" +value "<TBD>" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "rtl" +) +(vvPair +variable "this_file_logical" +value "rtl" +) +(vvPair +variable "time" +value "16:59:33" +) +(vvPair +variable "unit" +value "triggerInputs" +) +(vvPair +variable "user" +value "phdgc" +) +(vvPair +variable "version" +value "2010.3 (Build 21)" +) +(vvPair +variable "view" +value "rtl" +) +(vvPair +variable "year" +value "2012" +) +(vvPair +variable "yy" +value "12" +) +] +) +LanguageMgr "VhdlLangMgr" +optionalChildren [ +*1 (PortIoIn +uid 9,0 +shape (CompositeShape +uid 10,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 11,0 +sl 0 +ro 270 +xt "-4000,4625,-2500,5375" +) +(Line +uid 12,0 +sl 0 +ro 270 +xt "-2500,5000,-2000,5000" +pts [ +"-2500,5000" +"-2000,5000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 13,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 14,0 +va (VaSet +font "courier,8,0" +) +xt "-11000,4500,-5000,5400" +st "cfd_discr_i" +ju 2 +blo "-5000,5200" +tm "WireNameMgr" +) +) +) +*2 (Net +uid 15,0 +lang 2 +decl (Decl +n "cfd_discr_i" +t "std_logic_vector" +b "(NUM_INPUTS-1 DOWNTO 0)" +posAdd 0 +o 1 +suid 1,0 +) +declText (MLText +uid 16,0 +va (VaSet +font "courier,8,0" +) +xt "20000,12175,50000,13075" +st "cfd_discr_i : std_logic_vector(NUM_INPUTS-1 downto 0) +" +) +) +*3 (PortIoIn +uid 23,0 +shape (CompositeShape +uid 24,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 25,0 +sl 0 +ro 270 +xt "-4000,3625,-2500,4375" +) +(Line +uid 26,0 +sl 0 +ro 270 +xt "-2500,4000,-2000,4000" +pts [ +"-2500,4000" +"-2000,4000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 27,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 28,0 +va (VaSet +font "courier,8,0" +) +xt "-11500,3500,-5000,4400" +st "clk_4x_logic" +ju 2 +blo "-5000,4200" +tm "WireNameMgr" +) +) +) +*4 (Net +uid 29,0 +lang 2 +decl (Decl +n "clk_4x_logic" +t "std_logic" +eolc "! Rising edge active" +preAdd 0 +posAdd 0 +o 2 +suid 2,0 +) +declText (MLText +uid 30,0 +va (VaSet +font "courier,8,0" +) +xt "20000,13075,47000,13975" +st "clk_4x_logic : std_logic -- ! Rising edge active +" +) +) +*5 (PortIoIn +uid 37,0 +shape (CompositeShape +uid 38,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 39,0 +sl 0 +ro 270 +xt "-4000,2625,-2500,3375" +) +(Line +uid 40,0 +sl 0 +ro 270 +xt "-2500,3000,-2000,3000" +pts [ +"-2500,3000" +"-2000,3000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 41,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 42,0 +va (VaSet +font "courier,8,0" +) +xt "-12500,2500,-5000,3400" +st "logic_strobe_i" +ju 2 +blo "-5000,3200" +tm "WireNameMgr" +) +) +) +*6 (Net +uid 43,0 +lang 2 +decl (Decl +n "logic_strobe_i" +t "std_logic" +eolc "! Pulses high once every 4 cycles of clk_4x_logic" +preAdd 0 +posAdd 0 +o 3 +suid 3,0 +) +declText (MLText +uid 44,0 +va (VaSet +font "courier,8,0" +) +xt "20000,13975,61500,14875" +st "logic_strobe_i : std_logic -- ! Pulses high once every 4 cycles of clk_4x_logic +" +) +) +*7 (PortIoIn +uid 51,0 +shape (CompositeShape +uid 52,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 53,0 +sl 0 +ro 270 +xt "-4000,1625,-2500,2375" +) +(Line +uid 54,0 +sl 0 +ro 270 +xt "-2500,2000,-2000,2000" +pts [ +"-2500,2000" +"-2000,2000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 55,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 56,0 +va (VaSet +font "courier,8,0" +) +xt "-14000,1500,-5000,2400" +st "threshold_discr_i" +ju 2 +blo "-5000,2200" +tm "WireNameMgr" +) +) +) +*8 (Net +uid 57,0 +lang 2 +decl (Decl +n "threshold_discr_i" +t "std_logic_vector" +b "(NUM_INPUTS-1 DOWNTO 0)" +eolc "! inputs from threshold comparators" +preAdd 0 +posAdd 0 +o 4 +suid 4,0 +) +declText (MLText +uid 58,0 +va (VaSet +font "courier,8,0" +) +xt "20000,14875,69500,15775" +st "threshold_discr_i : std_logic_vector(NUM_INPUTS-1 downto 0) -- ! inputs from threshold comparators +" +) +) +*9 (PortIoOut +uid 65,0 +shape (CompositeShape +uid 66,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 67,0 +sl 0 +ro 270 +xt "500,2625,2000,3375" +) +(Line +uid 68,0 +sl 0 +ro 270 +xt "0,3000,500,3000" +pts [ +"0,3000" +"500,3000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 69,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 70,0 +va (VaSet +font "courier,8,0" +) +xt "3000,2500,11000,3400" +st "trigger_times_o" +blo "3000,3200" +tm "WireNameMgr" +) +) +) +*10 (Net +uid 71,0 +lang 2 +decl (Decl +n "trigger_times_o" +t "t_triggerTimeArray" +b "(NUM_INPUTS-1 DOWNTO 0)" +eolc "! trigger arrival time ( w.r.t. logic_strobe)" +preAdd 0 +posAdd 0 +o 5 +suid 5,0 +) +declText (MLText +uid 72,0 +va (VaSet +font "courier,8,0" +) +xt "20000,15775,75500,16675" +st "trigger_times_o : t_triggerTimeArray(NUM_INPUTS-1 downto 0) -- ! trigger arrival time ( w.r.t. logic_strobe) +" +) +) +*11 (PortIoOut +uid 79,0 +shape (CompositeShape +uid 80,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 81,0 +sl 0 +ro 270 +xt "500,1625,2000,2375" +) +(Line +uid 82,0 +sl 0 +ro 270 +xt "0,2000,500,2000" +pts [ +"0,2000" +"500,2000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 83,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 84,0 +va (VaSet +font "courier,8,0" +) +xt "3000,1500,7500,2400" +st "trigger_o" +blo "3000,2200" +tm "WireNameMgr" +) +) +) +*12 (Net +uid 85,0 +lang 2 +decl (Decl +n "trigger_o" +t "std_logic_vector" +b "(NUM_INPUTS-1 DOWNTO 0)" +eolc "! High when trigger active" +o 6 +suid 6,0 +) +declText (MLText +uid 86,0 +va (VaSet +font "courier,8,0" +) +xt "20000,16675,65000,17575" +st "trigger_o : std_logic_vector(NUM_INPUTS-1 downto 0) -- ! High when trigger active +" +) +) +*13 (PortIoIn +uid 93,0 +shape (CompositeShape +uid 94,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 95,0 +sl 0 +ro 270 +xt "-4000,625,-2500,1375" +) +(Line +uid 96,0 +sl 0 +ro 270 +xt "-2500,1000,-2000,1000" +pts [ +"-2500,1000" +"-2000,1000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 97,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 98,0 +va (VaSet +font "courier,8,0" +) +xt "-11000,500,-5000,1400" +st "ipbus_clk_i" +ju 2 +blo "-5000,1200" +tm "WireNameMgr" +) +) +) +*14 (Net +uid 99,0 +lang 2 +decl (Decl +n "ipbus_clk_i" +t "std_logic" +o 7 +suid 7,0 +) +declText (MLText +uid 100,0 +va (VaSet +font "courier,8,0" +) +xt "20000,17575,35000,18475" +st "ipbus_clk_i : std_logic +" +) +) +*15 (PortIoIn +uid 107,0 +shape (CompositeShape +uid 108,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 109,0 +sl 0 +ro 270 +xt "-4000,-375,-2500,375" +) +(Line +uid 110,0 +sl 0 +ro 270 +xt "-2500,0,-2000,0" +pts [ +"-2500,0" +"-2000,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 111,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 112,0 +va (VaSet +font "courier,8,0" +) +xt "-12000,-500,-5000,400" +st "ipbus_reset_i" +ju 2 +blo "-5000,200" +tm "WireNameMgr" +) +) +) +*16 (Net +uid 113,0 +lang 2 +decl (Decl +n "ipbus_reset_i" +t "std_logic" +o 8 +suid 8,0 +) +declText (MLText +uid 114,0 +va (VaSet +font "courier,8,0" +) +xt "20000,18475,35000,19375" +st "ipbus_reset_i : std_logic +" +) +) +*17 (PortIoIn +uid 121,0 +shape (CompositeShape +uid 122,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 123,0 +sl 0 +ro 270 +xt "-4000,-1375,-2500,-625" +) +(Line +uid 124,0 +sl 0 +ro 270 +xt "-2500,-1000,-2000,-1000" +pts [ +"-2500,-1000" +"-2000,-1000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 125,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 126,0 +va (VaSet +font "courier,8,0" +) +xt "-8500,-1500,-5000,-600" +st "ipbus_i" +ju 2 +blo "-5000,-800" +tm "WireNameMgr" +) +) +) +*18 (Net +uid 127,0 +decl (Decl +n "ipbus_i" +t "ipb_wbus" +eolc "Signals from IPBus core to slave" +o 9 +suid 9,0 +) +declText (MLText +uid 128,0 +va (VaSet +font "courier,8,0" +) +xt "20000,19375,52500,20275" +st "ipbus_i : ipb_wbus -- Signals from IPBus core to slave +" +) +) +*19 (PortIoOut +uid 135,0 +shape (CompositeShape +uid 136,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 137,0 +sl 0 +ro 270 +xt "500,625,2000,1375" +) +(Line +uid 138,0 +sl 0 +ro 270 +xt "0,1000,500,1000" +pts [ +"0,1000" +"500,1000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 139,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 140,0 +va (VaSet +font "courier,8,0" +) +xt "3000,500,6500,1400" +st "ipbus_o" +blo "3000,1200" +tm "WireNameMgr" +) +) +) +*20 (Net +uid 141,0 +decl (Decl +n "ipbus_o" +t "ipb_rbus" +eolc "signals from slave to IPBus core" +o 10 +suid 10,0 +) +declText (MLText +uid 142,0 +va (VaSet +font "courier,8,0" +) +xt "20000,20275,52500,21175" +st "ipbus_o : ipb_rbus -- signals from slave to IPBus core +" +) +) +*21 (CommentText +uid 149,0 +ps "EdgeToEdgeStrategy" +shape (Rectangle +uid 150,0 +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "-4000,-7000,11000,-3000" +) +text (MLText +uid 151,0 +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "-3800,-6800,-3800,-5900" +st " + + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 15000 +) +included 4 +) +*22 (Property +uid 152,0 +pclass "HDS" +pname "DocView" +pvalue "triggerInputs_rtl.vhd" +ptn "String" +) +*23 (Property +uid 153,0 +pclass "HDS" +pname "DocViewState" +pvalue "1352816382" +ptn "String" +) +*24 (Wire +uid 17,0 +shape (OrthoPolyLine +uid 18,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "-2000,5000,0,5000" +pts [ +"-2000,5000" +"0,5000" +] +) +start &1 +sat 32 +eat 16 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 21,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 22,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "1000,4100,7000,5000" +st "cfd_discr_i" +blo "1000,4800" +tm "WireNameMgr" +) +) +on &2 +) +*25 (Wire +uid 31,0 +shape (OrthoPolyLine +uid 32,0 +va (VaSet +vasetType 3 +) +xt "-2000,4000,0,4000" +pts [ +"-2000,4000" +"0,4000" +] +) +start &3 +sat 32 +eat 16 +stc 0 +st 0 +si 0 +tg (WTG +uid 35,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 36,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "1000,3100,7500,4000" +st "clk_4x_logic" +blo "1000,3800" +tm "WireNameMgr" +) +) +on &4 +) +*26 (Wire +uid 45,0 +shape (OrthoPolyLine +uid 46,0 +va (VaSet +vasetType 3 +) +xt "-2000,3000,0,3000" +pts [ +"-2000,3000" +"0,3000" +] +) +start &5 +sat 32 +eat 16 +stc 0 +st 0 +si 0 +tg (WTG +uid 49,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 50,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "1000,2100,8500,3000" +st "logic_strobe_i" +blo "1000,2800" +tm "WireNameMgr" +) +) +on &6 +) +*27 (Wire +uid 59,0 +shape (OrthoPolyLine +uid 60,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "-2000,2000,0,2000" +pts [ +"-2000,2000" +"0,2000" +] +) +start &7 +sat 32 +eat 16 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 63,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 64,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "1000,1100,10000,2000" +st "threshold_discr_i" +blo "1000,1800" +tm "WireNameMgr" +) +) +on &8 +) +*28 (Wire +uid 73,0 +shape (OrthoPolyLine +uid 74,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "-2000,3000,0,3000" +pts [ +"-2000,3000" +"0,3000" +] +) +end &9 +sat 16 +eat 32 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 77,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 78,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-20000,2100,-12000,3000" +st "trigger_times_o" +blo "-20000,2800" +tm "WireNameMgr" +) +) +on &10 +) +*29 (Wire +uid 87,0 +shape (OrthoPolyLine +uid 88,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "-2000,2000,0,2000" +pts [ +"-2000,2000" +"0,2000" +] +) +end &11 +sat 16 +eat 32 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 91,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 92,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-17000,1100,-12500,2000" +st "trigger_o" +blo "-17000,1800" +tm "WireNameMgr" +) +) +on &12 +) +*30 (Wire +uid 101,0 +shape (OrthoPolyLine +uid 102,0 +va (VaSet +vasetType 3 +) +xt "-2000,1000,0,1000" +pts [ +"-2000,1000" +"0,1000" +] +) +start &13 +sat 32 +eat 16 +stc 0 +st 0 +si 0 +tg (WTG +uid 105,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 106,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "1000,100,7000,1000" +st "ipbus_clk_i" +blo "1000,800" +tm "WireNameMgr" +) +) +on &14 +) +*31 (Wire +uid 115,0 +shape (OrthoPolyLine +uid 116,0 +va (VaSet +vasetType 3 +) +xt "-2000,0,0,0" +pts [ +"-2000,0" +"0,0" +] +) +start &15 +sat 32 +eat 16 +stc 0 +st 0 +si 0 +tg (WTG +uid 119,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 120,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "1000,-900,8000,0" +st "ipbus_reset_i" +blo "1000,-200" +tm "WireNameMgr" +) +) +on &16 +) +*32 (Wire +uid 129,0 +shape (OrthoPolyLine +uid 130,0 +va (VaSet +vasetType 3 +) +xt "-2000,-1000,0,-1000" +pts [ +"-2000,-1000" +"0,-1000" +] +) +start &17 +sat 32 +eat 16 +stc 0 +st 0 +si 0 +tg (WTG +uid 133,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 134,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "1000,-1900,4500,-1000" +st "ipbus_i" +blo "1000,-1200" +tm "WireNameMgr" +) +) +on &18 +) +*33 (Wire +uid 143,0 +shape (OrthoPolyLine +uid 144,0 +va (VaSet +vasetType 3 +) +xt "-2000,1000,0,1000" +pts [ +"-2000,1000" +"0,1000" +] +) +end &19 +sat 16 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 147,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 148,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-6000,100,-2500,1000" +st "ipbus_o" +blo "-6000,800" +tm "WireNameMgr" +) +) +on &20 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *34 (PackageList +uid 176,0 +stg "VerticalLayoutStrategy" +textVec [ +*35 (Text +uid 177,0 +va (VaSet +font "courier,8,1" +) +xt "1000,10375,7500,11275" +st "Package List" +blo "1000,11075" +) +*36 (MLText +uid 178,0 +va (VaSet +font "courier,8,0" +) +xt "1000,11275,15500,14875" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 179,0 +stg "VerticalLayoutStrategy" +textVec [ +*37 (Text +uid 180,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "21000,10375,31000,11275" +st "Compiler Directives" +blo "21000,11075" +) +*38 (Text +uid 181,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "21000,11275,32500,12175" +st "Pre-module directives:" +blo "21000,11975" +) +*39 (MLText +uid 182,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "21000,12175,31100,13975" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*40 (Text +uid 183,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "21000,13975,33000,14875" +st "Post-module directives:" +blo "21000,14675" +) +*41 (MLText +uid 184,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "21000,10375,21000,10375" +tm "BdCompilerDirectivesTextMgr" +) +*42 (Text +uid 185,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "21000,14875,32500,15775" +st "End-module directives:" +blo "21000,15575" +) +*43 (MLText +uid 186,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "21000,15775,21000,15775" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "0,0,1015,690" +viewArea "-14000,-7000,75500,23875" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +lastUid 186,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,4000,1900" +st "Panel0" +blo "1000,1700" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*44 (Text +va (VaSet +font "courier,8,1" +) +xt "1750,3650,6250,4550" +st "<library>" +blo "1750,4350" +tm "BdLibraryNameMgr" +) +*45 (Text +va (VaSet +font "courier,8,1" +) +xt "1750,4550,5250,5450" +st "<block>" +blo "1750,5250" +tm "BlkNameMgr" +) +*46 (Text +va (VaSet +font "courier,8,1" +) +xt "1750,5450,2750,6350" +st "I0" +blo "1750,6150" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "1750,13650,1750,13650" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*47 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,3650,4500,4550" +st "Library" +blo "1000,4350" +) +*48 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,4550,7000,5450" +st "MWComponent" +blo "1000,5250" +) +*49 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,5450,2000,6350" +st "I0" +blo "1000,6150" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6000,1650,-6000,1650" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*50 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,3650,4500,4550" +st "Library" +blo "1000,4350" +tm "BdLibraryNameMgr" +) +*51 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,4550,7000,5450" +st "SaComponent" +blo "1000,5250" +tm "CptNameMgr" +) +*52 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,5450,2000,6350" +st "I0" +blo "1000,6150" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6000,1650,-6000,1650" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +portVis (PortSigDisplay +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*53 (Text +va (VaSet +font "courier,8,1" +) +xt "500,3650,4000,4550" +st "Library" +blo "500,4350" +) +*54 (Text +va (VaSet +font "courier,8,1" +) +xt "500,4550,7500,5450" +st "VhdlComponent" +blo "500,5250" +) +*55 (Text +va (VaSet +font "courier,8,1" +) +xt "500,5450,1500,6350" +st "I0" +blo "500,6150" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6500,1650,-6500,1650" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-750,0,8750,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*56 (Text +va (VaSet +font "courier,8,1" +) +xt "-250,3650,3250,4550" +st "Library" +blo "-250,4350" +) +*57 (Text +va (VaSet +font "courier,8,1" +) +xt "-250,4550,8250,5450" +st "VerilogComponent" +blo "-250,5250" +) +*58 (Text +va (VaSet +font "courier,8,1" +) +xt "-250,5450,750,6350" +st "I0" +blo "-250,6150" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-7250,1650,-7250,1650" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*59 (Text +va (VaSet +font "courier,8,1" +) +xt "3250,4100,4750,5000" +st "eb1" +blo "3250,4800" +tm "HdlTextNameMgr" +) +*60 (Text +va (VaSet +font "courier,8,1" +) +xt "3250,5000,3750,5900" +st "1" +blo "3250,5700" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +font "courier,8,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +font "courier,8,1" +) +xt "-250,-450,250,450" +st "G" +blo "-250,250" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,0,2000,900" +st "sig0" +blo "0,700" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,0,2500,900" +st "dbus0" +blo "0,700" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,0" +) +xt "0,0,3500,900" +st "bundle0" +blo "0,700" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +font "courier,8,0" +) +xt "0,900,1000,1800" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +font "courier,8,0" +) +) +second (MLText +va (VaSet +font "courier,8,0" +) +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,-1000,14500,-100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1350" +) +num (Text +va (VaSet +font "courier,8,0" +) +xt "300,250,800,1150" +st "1" +blo "300,950" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*61 (Text +va (VaSet +font "courier,8,1" +) +xt "12500,20000,22000,20900" +st "Frame Declarations" +blo "12500,20700" +) +*62 (MLText +va (VaSet +font "courier,8,0" +) +xt "12500,20900,12500,20900" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,-1000,9000,-100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1350" +) +num (Text +va (VaSet +font "courier,8,0" +) +xt "300,250,800,1150" +st "1" +blo "300,950" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*63 (Text +va (VaSet +font "courier,8,1" +) +xt "12500,20000,22000,20900" +st "Frame Declarations" +blo "12500,20700" +) +*64 (MLText +va (VaSet +font "courier,8,0" +) +xt "12500,20900,12500,20900" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,2000,1650" +st "Port" +blo "0,1450" +) +) +thePort (LogicalPort +lang 2 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,2000,1650" +st "Port" +blo "0,1450" +) +) +thePort (LogicalPort +lang 2 +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "courier,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "18000,10375,24500,11275" +st "Declarations" +blo "18000,11075" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "18000,11275,21000,12175" +st "Ports:" +blo "18000,11975" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "18000,21175,22500,22075" +st "Pre User:" +blo "18000,21875" +) +preUserText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "18000,10375,18000,10375" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "courier,8,1" +) +xt "18000,22075,26500,22975" +st "Diagram Signals:" +blo "18000,22775" +) +postUserLabel (Text +uid 7,0 +va (VaSet +font "courier,8,1" +) +xt "18000,22975,23500,23875" +st "Post User:" +blo "18000,23675" +) +postUserText (MLText +uid 8,0 +va (VaSet +font "courier,8,0" +) +xt "18000,10375,18000,10375" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 10,0 +usingSuid 1 +emptyRow *65 (LEmptyRow +) +optionalChildren [ +*66 (RefLabelRowHdr +) +*67 (TitleRowHdr +) +*68 (FilterRowHdr +) +*69 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*70 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*71 (GroupColHdr +tm "GroupColHdrMgr" +) +*72 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*73 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*74 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*75 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*76 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*77 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*78 (LeafLogPort +port (LogicalPort +lang 2 +decl (Decl +n "cfd_discr_i" +t "std_logic_vector" +b "(NUM_INPUTS-1 DOWNTO 0)" +posAdd 0 +o 1 +suid 1,0 +) +) +uid 154,0 +) +*79 (LeafLogPort +port (LogicalPort +lang 2 +decl (Decl +n "clk_4x_logic" +t "std_logic" +eolc "! Rising edge active" +preAdd 0 +posAdd 0 +o 2 +suid 2,0 +) +) +uid 156,0 +) +*80 (LeafLogPort +port (LogicalPort +lang 2 +decl (Decl +n "logic_strobe_i" +t "std_logic" +eolc "! Pulses high once every 4 cycles of clk_4x_logic" +preAdd 0 +posAdd 0 +o 3 +suid 3,0 +) +) +uid 158,0 +) +*81 (LeafLogPort +port (LogicalPort +lang 2 +decl (Decl +n "threshold_discr_i" +t "std_logic_vector" +b "(NUM_INPUTS-1 DOWNTO 0)" +eolc "! inputs from threshold comparators" +preAdd 0 +posAdd 0 +o 4 +suid 4,0 +) +) +uid 160,0 +) +*82 (LeafLogPort +port (LogicalPort +lang 2 +m 1 +decl (Decl +n "trigger_times_o" +t "t_triggerTimeArray" +b "(NUM_INPUTS-1 DOWNTO 0)" +eolc "! trigger arrival time ( w.r.t. logic_strobe)" +preAdd 0 +posAdd 0 +o 5 +suid 5,0 +) +) +uid 162,0 +) +*83 (LeafLogPort +port (LogicalPort +lang 2 +m 1 +decl (Decl +n "trigger_o" +t "std_logic_vector" +b "(NUM_INPUTS-1 DOWNTO 0)" +eolc "! High when trigger active" +o 6 +suid 6,0 +) +) +uid 164,0 +) +*84 (LeafLogPort +port (LogicalPort +lang 2 +decl (Decl +n "ipbus_clk_i" +t "std_logic" +o 7 +suid 7,0 +) +) +uid 166,0 +) +*85 (LeafLogPort +port (LogicalPort +lang 2 +decl (Decl +n "ipbus_reset_i" +t "std_logic" +o 8 +suid 8,0 +) +) +uid 168,0 +) +*86 (LeafLogPort +port (LogicalPort +decl (Decl +n "ipbus_i" +t "ipb_wbus" +eolc "Signals from IPBus core to slave" +o 9 +suid 9,0 +) +) +uid 170,0 +) +*87 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "ipbus_o" +t "ipb_rbus" +eolc "signals from slave to IPBus core" +o 10 +suid 10,0 +) +) +uid 172,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +optionalChildren [ +*88 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *89 (MRCItem +litem &65 +pos 3 +dimension 20 +) +optionalChildren [ +*90 (MRCItem +litem &66 +pos 0 +dimension 20 +) +*91 (MRCItem +litem &67 +pos 1 +dimension 23 +) +*92 (MRCItem +litem &68 +pos 2 +hidden 1 +dimension 20 +) +*93 (MRCItem +litem &78 +pos 3 +dimension 20 +uid 155,0 +) +*94 (MRCItem +litem &79 +pos 0 +dimension 20 +uid 157,0 +) +*95 (MRCItem +litem &80 +pos 1 +dimension 20 +uid 159,0 +) +*96 (MRCItem +litem &81 +pos 2 +dimension 20 +uid 161,0 +) +*97 (MRCItem +litem &82 +pos 4 +dimension 20 +uid 163,0 +) +*98 (MRCItem +litem &83 +pos 5 +dimension 20 +uid 165,0 +) +*99 (MRCItem +litem &84 +pos 6 +dimension 20 +uid 167,0 +) +*100 (MRCItem +litem &85 +pos 9 +dimension 20 +uid 169,0 +) +*101 (MRCItem +litem &86 +pos 7 +dimension 20 +uid 171,0 +) +*102 (MRCItem +litem &87 +pos 8 +dimension 20 +uid 173,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +optionalChildren [ +*103 (MRCItem +litem &69 +pos 0 +dimension 20 +) +*104 (MRCItem +litem &71 +pos 1 +dimension 50 +) +*105 (MRCItem +litem &72 +pos 2 +dimension 100 +) +*106 (MRCItem +litem &73 +pos 3 +dimension 50 +) +*107 (MRCItem +litem &74 +pos 4 +dimension 100 +) +*108 (MRCItem +litem &75 +pos 5 +dimension 100 +) +*109 (MRCItem +litem &76 +pos 6 +dimension 50 +) +*110 (MRCItem +litem &77 +pos 7 +dimension 80 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +vaOverrides [ +] +) +] +) +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *111 (LEmptyRow +) +optionalChildren [ +*112 (RefLabelRowHdr +) +*113 (TitleRowHdr +) +*114 (FilterRowHdr +) +*115 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*116 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*117 (GroupColHdr +tm "GroupColHdrMgr" +) +*118 (NameColHdr +tm "GenericNameColHdrMgr" +) +*119 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*120 (InitColHdr +tm "GenericValueColHdrMgr" +) +*121 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*122 (EolColHdr +tm "GenericEolColHdrMgr" +) +*123 (LogGeneric +generic (GiElement +name "NUM_INPUTS" +type "natural" +value "4" +) +uid 175,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +optionalChildren [ +*124 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *125 (MRCItem +litem &111 +pos 3 +dimension 20 +) +optionalChildren [ +*126 (MRCItem +litem &112 +pos 0 +dimension 20 +) +*127 (MRCItem +litem &113 +pos 1 +dimension 23 +) +*128 (MRCItem +litem &114 +pos 2 +hidden 1 +dimension 20 +) +*129 (MRCItem +litem &123 +pos 0 +dimension 20 +uid 174,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +optionalChildren [ +*130 (MRCItem +litem &115 +pos 0 +dimension 20 +) +*131 (MRCItem +litem &117 +pos 1 +dimension 50 +) +*132 (MRCItem +litem &118 +pos 2 +dimension 100 +) +*133 (MRCItem +litem &119 +pos 3 +dimension 100 +) +*134 (MRCItem +litem &120 +pos 4 +dimension 50 +) +*135 (MRCItem +litem &121 +pos 5 +dimension 50 +) +*136 (MRCItem +litem &122 +pos 6 +dimension 80 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +vaOverrides [ +] +) +] +) +type 1 +) +) diff --git a/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/trigger@inputs/symbol.sb b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/trigger@inputs/symbol.sb new file mode 100644 index 00000000..b8466a6b --- /dev/null +++ b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/trigger@inputs/symbol.sb @@ -0,0 +1,2674 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "work" +unitName "ipbus" +) +(DmPackageRef +library "work" +unitName "fmcTLU" +) +(DmPackageRef +library "unisim" +unitName "vcomponents" +) +] +libraryRefs [ +"ieee" +"unisim" +] +) +version "25.1" +appVersion "2012.1 (Build 6)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 21,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 53,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +lang 2 +decl (Decl +n "clk_4x_logic" +t "std_logic" +eolc "! Rising edge active" +preAdd 0 +posAdd 0 +o 3 +suid 1,0 +) +) +uid 108,0 +) +*15 (LogPort +port (LogicalPort +lang 2 +decl (Decl +n "strobe_4x_logic_i" +t "std_logic" +eolc "! Pulses high once every 4 cycles of clk_4x_logic" +preAdd 0 +posAdd 0 +o 4 +suid 2,0 +) +) +uid 110,0 +) +*16 (LogPort +port (LogicalPort +lang 2 +decl (Decl +n "threshold_discr_p_i" +t "std_logic_vector" +b "(g_NUM_INPUTS-1 DOWNTO 0)" +eolc "! inputs from threshold comparators" +preAdd 0 +posAdd 0 +o 5 +suid 3,0 +) +) +uid 112,0 +) +*17 (LogPort +port (LogicalPort +lang 2 +decl (Decl +n "cfd_discr_p_i" +t "std_logic_vector" +b "(g_NUM_INPUTS-1 DOWNTO 0)" +posAdd 0 +o 1 +suid 4,0 +) +) +uid 114,0 +) +*18 (LogPort +port (LogicalPort +lang 2 +m 1 +decl (Decl +n "trigger_times_o" +t "t_triggerTimeArray" +b "(g_NUM_INPUTS-1 DOWNTO 0)" +eolc "! trigger arrival time ( w.r.t. logic_strobe)" +preAdd 0 +posAdd 0 +o 7 +suid 5,0 +) +) +uid 143,0 +) +*19 (LogPort +port (LogicalPort +lang 2 +m 1 +decl (Decl +n "trigger_o" +t "std_logic_vector" +b "(g_NUM_INPUTS-1 DOWNTO 0)" +eolc "! High when trigger active" +o 8 +suid 7,0 +) +) +uid 182,0 +) +*20 (LogPort +port (LogicalPort +lang 2 +decl (Decl +n "ipbus_clk_i" +t "std_logic" +preAdd 0 +o 14 +suid 8,0 +) +) +uid 189,0 +) +*21 (LogPort +port (LogicalPort +lang 2 +decl (Decl +n "ipbus_i" +t "ipb_wbus" +eolc "Signals from IPBus core to slave" +o 16 +suid 9,0 +) +) +uid 191,0 +) +*22 (LogPort +port (LogicalPort +lang 2 +m 1 +decl (Decl +n "ipbus_o" +t "ipb_rbus" +eolc "signals from slave to IPBus core" +o 17 +suid 10,0 +) +) +uid 193,0 +) +*23 (LogPort +port (LogicalPort +lang 2 +decl (Decl +n "ipbus_reset_i" +t "std_logic" +o 15 +suid 11,0 +) +) +uid 195,0 +) +*24 (LogPort +port (LogicalPort +lang 2 +decl (Decl +n "clk_16x_logic_i" +t "std_logic" +eolc "--! 640MHz clock ( 16x 40MHz )" +o 18 +suid 13,0 +) +) +uid 316,0 +) +*25 (LogPort +port (LogicalPort +lang 2 +decl (Decl +n "strobe_16x_logic_i" +t "std_logic" +eolc "--! Pulses one cycle every 4 of 16x clock." +o 19 +suid 14,0 +) +) +uid 318,0 +) +*26 (LogPort +port (LogicalPort +lang 2 +decl (Decl +n "cfd_discr_n_i" +t "std_logic_vector" +b "(g_NUM_INPUTS-1 DOWNTO 0)" +posAdd 0 +o 2 +suid 15,0 +) +) +uid 330,0 +) +*27 (LogPort +port (LogicalPort +lang 2 +decl (Decl +n "threshold_discr_n_i" +t "std_logic_vector" +b "(g_NUM_INPUTS-1 DOWNTO 0)" +eolc "! inputs from threshold comparators" +preAdd 0 +posAdd 0 +o 6 +suid 16,0 +) +) +uid 332,0 +) +*28 (LogPort +port (LogicalPort +lang 2 +m 1 +decl (Decl +n "edge_falling_o" +t "std_logic_vector" +b "(g_NUM_INPUTS-1 DOWNTO 0)" +eolc "-- ! High when falling edge" +preAdd 0 +posAdd 0 +o 13 +suid 17,0 +) +) +uid 433,0 +) +*29 (LogPort +port (LogicalPort +lang 2 +m 1 +decl (Decl +n "edge_falling_times_o" +t "t_triggerTimeArray" +b "(g_NUM_INPUTS-1 DOWNTO 0)" +eolc "-- ! edge arrival time ( w.r.t. logic_strobe)" +preAdd 0 +posAdd 0 +o 11 +suid 18,0 +) +) +uid 435,0 +) +*30 (LogPort +port (LogicalPort +lang 2 +m 1 +decl (Decl +n "edge_rising_o" +t "std_logic_vector" +b "(g_NUM_INPUTS-1 DOWNTO 0)" +eolc "-- ! High when rising edge" +preAdd 0 +posAdd 0 +o 12 +suid 19,0 +) +) +uid 437,0 +) +*31 (LogPort +port (LogicalPort +lang 2 +m 1 +decl (Decl +n "edge_rising_times_o" +t "t_triggerTimeArray" +b "(g_NUM_INPUTS-1 DOWNTO 0)" +eolc "-- ! edge arrival time ( w.r.t. logic_strobe)" +preAdd 0 +posAdd 0 +o 10 +suid 20,0 +) +) +uid 439,0 +) +*32 (LogPort +port (LogicalPort +lang 2 +m 1 +decl (Decl +n "trigger_debug_o" +t "std_logic_vector" +b "( ((2*g_NUM_INPUTS)-1) DOWNTO 0)" +eolc "-- ! Copy of input trigger level. High bits CFD, Low threshold" +posAdd 0 +o 9 +suid 21,0 +) +) +uid 471,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 66,0 +optionalChildren [ +*33 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *34 (MRCItem +litem &1 +pos 14 +dimension 20 +) +uid 68,0 +optionalChildren [ +*35 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 69,0 +) +*36 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 70,0 +) +*37 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 71,0 +) +*38 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 109,0 +) +*39 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 111,0 +) +*40 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 113,0 +) +*41 (MRCItem +litem &17 +pos 5 +dimension 20 +uid 115,0 +) +*42 (MRCItem +litem &18 +pos 6 +dimension 20 +uid 144,0 +) +*43 (MRCItem +litem &19 +pos 7 +dimension 20 +uid 183,0 +) +*44 (MRCItem +litem &20 +pos 8 +dimension 20 +uid 190,0 +) +*45 (MRCItem +litem &21 +pos 9 +dimension 20 +uid 192,0 +) +*46 (MRCItem +litem &22 +pos 10 +dimension 20 +uid 194,0 +) +*47 (MRCItem +litem &23 +pos 11 +dimension 20 +uid 196,0 +) +*48 (MRCItem +litem &24 +pos 12 +dimension 20 +uid 317,0 +) +*49 (MRCItem +litem &25 +pos 13 +dimension 20 +uid 319,0 +) +*50 (MRCItem +litem &26 +pos 4 +dimension 20 +uid 331,0 +) +*51 (MRCItem +litem &27 +pos 3 +dimension 20 +uid 333,0 +) +*52 (MRCItem +litem &28 +pos 14 +dimension 20 +uid 434,0 +) +*53 (MRCItem +litem &29 +pos 15 +dimension 20 +uid 436,0 +) +*54 (MRCItem +litem &30 +pos 16 +dimension 20 +uid 438,0 +) +*55 (MRCItem +litem &31 +pos 17 +dimension 20 +uid 440,0 +) +*56 (MRCItem +litem &32 +pos 18 +dimension 20 +uid 472,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 72,0 +optionalChildren [ +*57 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 73,0 +) +*58 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 74,0 +) +*59 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 75,0 +) +*60 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 76,0 +) +*61 (MRCItem +litem &10 +pos 4 +dimension 165 +uid 77,0 +) +*62 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 78,0 +) +*63 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 79,0 +) +*64 (MRCItem +litem &13 +pos 7 +dimension 319 +uid 80,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 67,0 +vaOverrides [ +] +) +] +) +uid 52,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *65 (LEmptyRow +) +uid 82,0 +optionalChildren [ +*66 (RefLabelRowHdr +) +*67 (TitleRowHdr +) +*68 (FilterRowHdr +) +*69 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*70 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*71 (GroupColHdr +tm "GroupColHdrMgr" +) +*72 (NameColHdr +tm "GenericNameColHdrMgr" +) +*73 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*74 (InitColHdr +tm "GenericValueColHdrMgr" +) +*75 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*76 (EolColHdr +tm "GenericEolColHdrMgr" +) +*77 (LogGeneric +generic (GiElement +name "g_NUM_INPUTS" +type "natural" +value "1" +) +uid 473,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 94,0 +optionalChildren [ +*78 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *79 (MRCItem +litem &65 +pos 1 +dimension 20 +) +uid 96,0 +optionalChildren [ +*80 (MRCItem +litem &66 +pos 0 +dimension 20 +uid 97,0 +) +*81 (MRCItem +litem &67 +pos 1 +dimension 23 +uid 98,0 +) +*82 (MRCItem +litem &68 +pos 2 +hidden 1 +dimension 20 +uid 99,0 +) +*83 (MRCItem +litem &77 +pos 0 +dimension 20 +uid 474,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 100,0 +optionalChildren [ +*84 (MRCItem +litem &69 +pos 0 +dimension 20 +uid 101,0 +) +*85 (MRCItem +litem &71 +pos 1 +dimension 50 +uid 102,0 +) +*86 (MRCItem +litem &72 +pos 2 +dimension 100 +uid 103,0 +) +*87 (MRCItem +litem &73 +pos 3 +dimension 100 +uid 104,0 +) +*88 (MRCItem +litem &74 +pos 4 +dimension 50 +uid 105,0 +) +*89 (MRCItem +litem &75 +pos 5 +dimension 50 +uid 106,0 +) +*90 (MRCItem +litem &76 +pos 6 +dimension 80 +uid 107,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 95,0 +vaOverrides [ +] +) +] +) +uid 81,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl" +) +(vvPair +variable "HDSDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/trigger@inputs/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/trigger@inputs/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "config" +value "%(unit)_config" +) +(vvPair +variable "d" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/trigger@inputs" +) +(vvPair +variable "d_logical" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/triggerInputs" +) +(vvPair +variable "date" +value "07/24/13" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "24" +) +(vvPair +variable "entity_name" +value "triggerInputs" +) +(vvPair +variable "ext" +value "<TBD>" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "group" +value "users" +) +(vvPair +variable "host" +value "kipper.phy.bris.ac.uk" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "work" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/designcheck" +) +(vvPair +variable "library_downstream_ISEPARInvoke" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/ise" +) +(vvPair +variable "library_downstream_ImpactInvoke" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/ise" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/work" +) +(vvPair +variable "library_downstream_XSTDataPrep" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/ise" +) +(vvPair +variable "mm" +value "07" +) +(vvPair +variable "module_name" +value "triggerInputs" +) +(vvPair +variable "month" +value "Jul" +) +(vvPair +variable "month_long" +value "July" +) +(vvPair +variable "p" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/trigger@inputs/symbol.sb" +) +(vvPair +variable "p_logical" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/triggerInputs/symbol.sb" +) +(vvPair +variable "package_name" +value "<Undefined Variable>" +) +(vvPair +variable "project_name" +value "fmc_mTLU" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "<TBD>" +) +(vvPair +variable "task_DesignCompilerPath" +value "<TBD>" +) +(vvPair +variable "task_LeonardoPath" +value "/opt/CAD/Mentor/LeonardoSpectrum_2008a/bin" +) +(vvPair +variable "task_ModelSimPath" +value "/opt/CAD/Mentor/Modelsim/6.4a/modeltech/bin" +) +(vvPair +variable "task_NC-SimPath" +value "<TBD>" +) +(vvPair +variable "task_PrecisionRTLPath" +value "/opt/CAD/Mentor/Precis_Synth_2009a_update2/Mgc_home/bin" +) +(vvPair +variable "task_QuestaSimPath" +value "/opt/CAD/Mentor/HDS2008.1b/questasim/bin" +) +(vvPair +variable "task_VCSPath" +value "<TBD>" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "18:43:19" +) +(vvPair +variable "unit" +value "triggerInputs" +) +(vvPair +variable "user" +value "phdgc" +) +(vvPair +variable "version" +value "2012.1 (Build 6)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2013" +) +(vvPair +variable "yy" +value "13" +) +] +) +LanguageMgr "VhdlLangMgr" +uid 48,0 +optionalChildren [ +*91 (SymbolBody +uid 8,0 +optionalChildren [ +*92 (CptPort +uid 116,0 +optionalChildren [ +*93 (FFT +pts [ +"7750,17000" +"7000,17375" +"7000,16625" +] +uid 138,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "7000,16625,7750,17375" +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 117,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "6250,16625,7000,17375" +) +tg (CPTG +uid 118,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 119,0 +va (VaSet +font "courier,8,0" +) +xt "8000,16550,14500,17450" +st "clk_4x_logic" +blo "8000,17250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 120,0 +va (VaSet +font "courier,8,0" +) +xt "44000,3600,77500,4500" +st "clk_4x_logic : IN std_logic ; -- ! Rising edge active +" +) +thePort (LogicalPort +lang 2 +decl (Decl +n "clk_4x_logic" +t "std_logic" +eolc "! Rising edge active" +preAdd 0 +posAdd 0 +o 3 +suid 1,0 +) +) +) +*94 (CptPort +uid 121,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 122,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "6250,18625,7000,19375" +) +tg (CPTG +uid 123,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 124,0 +va (VaSet +font "courier,8,0" +) +xt "8000,18550,17000,19450" +st "strobe_4x_logic_i" +blo "8000,19250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 125,0 +va (VaSet +font "courier,8,0" +) +xt "44000,4500,92000,5400" +st "strobe_4x_logic_i : IN std_logic ; -- ! Pulses high once every 4 cycles of clk_4x_logic +" +) +thePort (LogicalPort +lang 2 +decl (Decl +n "strobe_4x_logic_i" +t "std_logic" +eolc "! Pulses high once every 4 cycles of clk_4x_logic" +preAdd 0 +posAdd 0 +o 4 +suid 2,0 +) +) +) +*95 (CptPort +uid 126,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 127,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "6250,25625,7000,26375" +) +tg (CPTG +uid 128,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 129,0 +va (VaSet +font "courier,8,0" +) +xt "8000,25550,28500,26450" +st "threshold_discr_p_i : (g_NUM_INPUTS-1:0)" +blo "8000,26250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 130,0 +va (VaSet +font "courier,8,0" +) +xt "44000,5400,101000,6300" +st "threshold_discr_p_i : IN std_logic_vector (g_NUM_INPUTS-1 DOWNTO 0) ; -- ! inputs from threshold comparators +" +) +thePort (LogicalPort +lang 2 +decl (Decl +n "threshold_discr_p_i" +t "std_logic_vector" +b "(g_NUM_INPUTS-1 DOWNTO 0)" +eolc "! inputs from threshold comparators" +preAdd 0 +posAdd 0 +o 5 +suid 3,0 +) +) +) +*96 (CptPort +uid 131,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 132,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "6250,29625,7000,30375" +) +tg (CPTG +uid 133,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 134,0 +va (VaSet +font "courier,8,0" +) +xt "8000,29550,25500,30450" +st "cfd_discr_p_i : (g_NUM_INPUTS-1:0)" +blo "8000,30250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 135,0 +va (VaSet +font "courier,8,0" +) +xt "44000,1800,81500,2700" +st "cfd_discr_p_i : IN std_logic_vector (g_NUM_INPUTS-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +lang 2 +decl (Decl +n "cfd_discr_p_i" +t "std_logic_vector" +b "(g_NUM_INPUTS-1 DOWNTO 0)" +posAdd 0 +o 1 +suid 4,0 +) +) +) +*97 (CptPort +uid 145,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 146,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52000,19625,52750,20375" +) +tg (CPTG +uid 147,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 148,0 +va (VaSet +font "courier,8,0" +) +xt "32500,19550,51000,20450" +st "trigger_times_o : (g_NUM_INPUTS-1:0)" +ju 2 +blo "51000,20250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 149,0 +va (VaSet +font "courier,8,0" +) +xt "44000,7200,107000,8100" +st "trigger_times_o : OUT t_triggerTimeArray (g_NUM_INPUTS-1 DOWNTO 0) ; -- ! trigger arrival time ( w.r.t. logic_strobe) +" +) +thePort (LogicalPort +lang 2 +m 1 +decl (Decl +n "trigger_times_o" +t "t_triggerTimeArray" +b "(g_NUM_INPUTS-1 DOWNTO 0)" +eolc "! trigger arrival time ( w.r.t. logic_strobe)" +preAdd 0 +posAdd 0 +o 7 +suid 5,0 +) +) +) +*98 (CptPort +uid 184,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 185,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52000,27625,52750,28375" +) +tg (CPTG +uid 186,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 187,0 +va (VaSet +font "courier,8,0" +) +xt "35500,27550,51000,28450" +st "trigger_o : (g_NUM_INPUTS-1:0)" +ju 2 +blo "51000,28250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 188,0 +va (VaSet +font "courier,8,0" +) +xt "44000,8100,96500,9000" +st "trigger_o : OUT std_logic_vector (g_NUM_INPUTS-1 DOWNTO 0) ; -- ! High when trigger active +" +) +thePort (LogicalPort +lang 2 +m 1 +decl (Decl +n "trigger_o" +t "std_logic_vector" +b "(g_NUM_INPUTS-1 DOWNTO 0)" +eolc "! High when trigger active" +o 8 +suid 7,0 +) +) +) +*99 (CptPort +uid 199,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 200,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "6250,34625,7000,35375" +) +tg (CPTG +uid 201,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 202,0 +va (VaSet +font "courier,8,0" +) +xt "8000,34550,14000,35450" +st "ipbus_clk_i" +blo "8000,35250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 203,0 +va (VaSet +font "courier,8,0" +) +xt "44000,13500,65500,14400" +st "ipbus_clk_i : IN std_logic ; +" +) +thePort (LogicalPort +lang 2 +decl (Decl +n "ipbus_clk_i" +t "std_logic" +preAdd 0 +o 14 +suid 8,0 +) +) +) +*100 (CptPort +uid 204,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 205,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "6250,37625,7000,38375" +) +tg (CPTG +uid 206,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 207,0 +va (VaSet +font "courier,8,0" +) +xt "8000,37550,11500,38450" +st "ipbus_i" +blo "8000,38250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 208,0 +va (VaSet +font "courier,8,0" +) +xt "44000,15300,83000,16200" +st "ipbus_i : IN ipb_wbus ; -- Signals from IPBus core to slave +" +) +thePort (LogicalPort +lang 2 +decl (Decl +n "ipbus_i" +t "ipb_wbus" +eolc "Signals from IPBus core to slave" +o 16 +suid 9,0 +) +) +) +*101 (CptPort +uid 209,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 210,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52000,36625,52750,37375" +) +tg (CPTG +uid 211,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 212,0 +va (VaSet +font "courier,8,0" +) +xt "47500,36550,51000,37450" +st "ipbus_o" +ju 2 +blo "51000,37250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 213,0 +va (VaSet +font "courier,8,0" +) +xt "44000,16200,83000,17100" +st "ipbus_o : OUT ipb_rbus ; -- signals from slave to IPBus core +" +) +thePort (LogicalPort +lang 2 +m 1 +decl (Decl +n "ipbus_o" +t "ipb_rbus" +eolc "signals from slave to IPBus core" +o 17 +suid 10,0 +) +) +) +*102 (CptPort +uid 214,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 215,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "6250,39625,7000,40375" +) +tg (CPTG +uid 216,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 217,0 +va (VaSet +font "courier,8,0" +) +xt "8000,39550,15000,40450" +st "ipbus_reset_i" +blo "8000,40250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 218,0 +va (VaSet +font "courier,8,0" +) +xt "44000,14400,65500,15300" +st "ipbus_reset_i : IN std_logic ; +" +) +thePort (LogicalPort +lang 2 +decl (Decl +n "ipbus_reset_i" +t "std_logic" +o 15 +suid 11,0 +) +) +) +*103 (CptPort +uid 320,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 321,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "6250,21625,7000,22375" +) +tg (CPTG +uid 322,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 323,0 +va (VaSet +font "courier,8,0" +) +xt "8000,21550,16000,22450" +st "clk_16x_logic_i" +blo "8000,22250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 324,0 +va (VaSet +font "courier,8,0" +) +xt "44000,17100,81000,18000" +st "clk_16x_logic_i : IN std_logic ; --! 640MHz clock ( 16x 40MHz ) +" +) +thePort (LogicalPort +lang 2 +decl (Decl +n "clk_16x_logic_i" +t "std_logic" +eolc "--! 640MHz clock ( 16x 40MHz )" +o 18 +suid 13,0 +) +) +) +*104 (CptPort +uid 325,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 326,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "6250,23625,7000,24375" +) +tg (CPTG +uid 327,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 328,0 +va (VaSet +font "courier,8,0" +) +xt "8000,23550,17500,24450" +st "strobe_16x_logic_i" +blo "8000,24250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 329,0 +va (VaSet +font "courier,8,0" +) +xt "44000,18000,86000,18900" +st "strobe_16x_logic_i : IN std_logic --! Pulses one cycle every 4 of 16x clock. +" +) +thePort (LogicalPort +lang 2 +decl (Decl +n "strobe_16x_logic_i" +t "std_logic" +eolc "--! Pulses one cycle every 4 of 16x clock." +o 19 +suid 14,0 +) +) +) +*105 (CptPort +uid 334,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 335,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "6250,30625,7000,31375" +) +tg (CPTG +uid 336,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 337,0 +va (VaSet +font "courier,8,0" +) +xt "8000,30550,25500,31450" +st "cfd_discr_n_i : (g_NUM_INPUTS-1:0)" +blo "8000,31250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 338,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2700,81500,3600" +st "cfd_discr_n_i : IN std_logic_vector (g_NUM_INPUTS-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +lang 2 +decl (Decl +n "cfd_discr_n_i" +t "std_logic_vector" +b "(g_NUM_INPUTS-1 DOWNTO 0)" +posAdd 0 +o 2 +suid 15,0 +) +) +) +*106 (CptPort +uid 339,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 340,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "6250,26625,7000,27375" +) +tg (CPTG +uid 341,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 342,0 +va (VaSet +font "courier,8,0" +) +xt "8000,26550,28500,27450" +st "threshold_discr_n_i : (g_NUM_INPUTS-1:0)" +blo "8000,27250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 343,0 +va (VaSet +font "courier,8,0" +) +xt "44000,6300,101000,7200" +st "threshold_discr_n_i : IN std_logic_vector (g_NUM_INPUTS-1 DOWNTO 0) ; -- ! inputs from threshold comparators +" +) +thePort (LogicalPort +lang 2 +decl (Decl +n "threshold_discr_n_i" +t "std_logic_vector" +b "(g_NUM_INPUTS-1 DOWNTO 0)" +eolc "! inputs from threshold comparators" +preAdd 0 +posAdd 0 +o 6 +suid 16,0 +) +) +) +*107 (CptPort +uid 413,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 414,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52000,37625,52750,38375" +) +tg (CPTG +uid 415,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 416,0 +va (VaSet +font "courier,8,0" +) +xt "33000,37550,51000,38450" +st "edge_falling_o : (g_NUM_INPUTS-1:0)" +ju 2 +blo "51000,38250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 417,0 +va (VaSet +font "courier,8,0" +) +xt "44000,12600,95500,13500" +st "edge_falling_o : OUT std_logic_vector (g_NUM_INPUTS-1 DOWNTO 0) ; -- ! High when falling edge +" +) +thePort (LogicalPort +lang 2 +m 1 +decl (Decl +n "edge_falling_o" +t "std_logic_vector" +b "(g_NUM_INPUTS-1 DOWNTO 0)" +eolc "-- ! High when falling edge" +preAdd 0 +posAdd 0 +o 13 +suid 17,0 +) +) +) +*108 (CptPort +uid 418,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 419,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52000,38625,52750,39375" +) +tg (CPTG +uid 420,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 421,0 +va (VaSet +font "courier,8,0" +) +xt "30000,38550,51000,39450" +st "edge_falling_times_o : (g_NUM_INPUTS-1:0)" +ju 2 +blo "51000,39250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 422,0 +va (VaSet +font "courier,8,0" +) +xt "44000,10800,105500,11700" +st "edge_falling_times_o : OUT t_triggerTimeArray (g_NUM_INPUTS-1 DOWNTO 0) ; -- ! edge arrival time ( w.r.t. logic_strobe) +" +) +thePort (LogicalPort +lang 2 +m 1 +decl (Decl +n "edge_falling_times_o" +t "t_triggerTimeArray" +b "(g_NUM_INPUTS-1 DOWNTO 0)" +eolc "-- ! edge arrival time ( w.r.t. logic_strobe)" +preAdd 0 +posAdd 0 +o 11 +suid 18,0 +) +) +) +*109 (CptPort +uid 423,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 424,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52000,39625,52750,40375" +) +tg (CPTG +uid 425,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 426,0 +va (VaSet +font "courier,8,0" +) +xt "33500,39550,51000,40450" +st "edge_rising_o : (g_NUM_INPUTS-1:0)" +ju 2 +blo "51000,40250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 427,0 +va (VaSet +font "courier,8,0" +) +xt "44000,11700,95000,12600" +st "edge_rising_o : OUT std_logic_vector (g_NUM_INPUTS-1 DOWNTO 0) ; -- ! High when rising edge +" +) +thePort (LogicalPort +lang 2 +m 1 +decl (Decl +n "edge_rising_o" +t "std_logic_vector" +b "(g_NUM_INPUTS-1 DOWNTO 0)" +eolc "-- ! High when rising edge" +preAdd 0 +posAdd 0 +o 12 +suid 19,0 +) +) +) +*110 (CptPort +uid 428,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 429,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52000,40625,52750,41375" +) +tg (CPTG +uid 430,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 431,0 +va (VaSet +font "courier,8,0" +) +xt "30500,40550,51000,41450" +st "edge_rising_times_o : (g_NUM_INPUTS-1:0)" +ju 2 +blo "51000,41250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 432,0 +va (VaSet +font "courier,8,0" +) +xt "44000,9900,105500,10800" +st "edge_rising_times_o : OUT t_triggerTimeArray (g_NUM_INPUTS-1 DOWNTO 0) ; -- ! edge arrival time ( w.r.t. logic_strobe) +" +) +thePort (LogicalPort +lang 2 +m 1 +decl (Decl +n "edge_rising_times_o" +t "t_triggerTimeArray" +b "(g_NUM_INPUTS-1 DOWNTO 0)" +eolc "-- ! edge arrival time ( w.r.t. logic_strobe)" +preAdd 0 +posAdd 0 +o 10 +suid 20,0 +) +) +) +*111 (CptPort +uid 466,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 467,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52000,41625,52750,42375" +) +tg (CPTG +uid 468,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 469,0 +va (VaSet +font "courier,8,0" +) +xt "29500,41550,51000,42450" +st "trigger_debug_o : (((2*g_NUM_INPUTS)-1):0)" +ju 2 +blo "51000,42250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 470,0 +va (VaSet +font "courier,8,0" +) +xt "44000,9000,116500,9900" +st "trigger_debug_o : OUT std_logic_vector ( ((2*g_NUM_INPUTS)-1) DOWNTO 0) ; -- ! Copy of input trigger level. High bits CFD, Low threshold +" +) +thePort (LogicalPort +lang 2 +m 1 +decl (Decl +n "trigger_debug_o" +t "std_logic_vector" +b "( ((2*g_NUM_INPUTS)-1) DOWNTO 0)" +eolc "-- ! Copy of input trigger level. High bits CFD, Low threshold" +posAdd 0 +o 9 +suid 21,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "7000,16000,52000,43000" +) +oxt "17000,11000,53000,31000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "23750,31100,25750,32000" +st "work" +blo "23750,31800" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "23750,32000,30750,32900" +st "triggerInputs" +blo "23750,32700" +) +) +gi *112 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "20000,12300,32500,15000" +st "Generic Declarations + +g_NUM_INPUTS natural 1 +" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "g_NUM_INPUTS" +type "natural" +value "1" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +*113 (Grouping +uid 16,0 +optionalChildren [ +*114 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,50000,49000,51000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "32200,50050,44200,50950" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*115 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "49000,46000,53000,47000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "49200,46050,53200,46950" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*116 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,49000,49000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "32200,48050,45700,48950" +st " +<enter diagram title here> +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*117 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "28000,48000,32000,49000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "28200,48050,31200,48950" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*118 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "49000,47000,69000,51000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "49200,47200,60200,48100" +st " +<enter comments here> +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*119 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,46000,69000,47000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "53200,46050,57200,46950" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*120 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "28000,46000,49000,48000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "34000,46500,43000,47500" +st " +<company name> +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*121 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "28000,49000,32000,50000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "28200,49050,30700,49950" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*122 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "28000,50000,32000,51000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "28200,50050,31700,50950" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*123 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,49000,49000,50000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "32200,49050,45200,49950" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "28000,46000,69000,51000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *124 (PackageList +uid 49,0 +stg "VerticalLayoutStrategy" +textVec [ +*125 (Text +uid 50,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,6500,900" +st "Package List" +blo "0,700" +) +*126 (MLText +uid 51,0 +va (VaSet +font "courier,8,0" +) +xt "0,900,14500,9000" +st "LIBRARY ieee; +USE ieee.std_logic_1164.all; +USE ieee.numeric_std.all; + +USE work.ipbus.all; +USE work.fmcTLU.all; + +library unisim ; +use unisim.vcomponents.all;" +tm "PackageList" +) +] +) +windowSize "503,278,1688,1055" +viewArea "-400,-16892,65016,24316" +cachedDiagramExtent "0,0,106500,51000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,4000,1900" +st "Panel0" +blo "1000,1700" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,39000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "24750,15100,29250,16000" +st "<library>" +blo "24750,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "24750,16000,27750,16900" +st "<cell>" +blo "24750,16700" +) +) +gi *127 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 2 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 2 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *128 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "42000,0,48500,900" +st "Declarations" +blo "42000,700" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "42000,900,45000,1800" +st "Ports:" +blo "42000,1600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "42000,18900,44500,19800" +st "User:" +blo "42000,19600" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "42000,0,49500,900" +st "Internal User:" +blo "42000,700" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "44000,19800,44000,19800" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 474,0 +activeModelName "Symbol" +) diff --git a/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/trigger@logic/_symbol.sb._fpf b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/trigger@logic/_symbol.sb._fpf new file mode 100755 index 00000000..e0bac7fb --- /dev/null +++ b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/trigger@logic/_symbol.sb._fpf @@ -0,0 +1,3 @@ +INCLUDE list { + DEFAULT atom 1 +} diff --git a/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/trigger@logic/rtl.bd b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/trigger@logic/rtl.bd new file mode 100644 index 00000000..56adff43 --- /dev/null +++ b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/trigger@logic/rtl.bd @@ -0,0 +1,2846 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dmPackageRefs [ +] +properties [ +(HdrProperty +class "HDS" +name "DocView" +value "triggerLogic_rtl.vhd" +) +(HdrProperty +class "HDS" +name "DocViewState" +value "1352816260" +) +] +) +version "30.1" +appVersion "2010.3 (Build 21)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl" +) +(vvPair +variable "HDSDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/trigger@logic/rtl.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/trigger@logic/rtl.bd.user" +) +(vvPair +variable "SourceDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "rtl" +) +(vvPair +variable "config" +value "%(unit)_config" +) +(vvPair +variable "d" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/trigger@logic" +) +(vvPair +variable "d_logical" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/triggerLogic" +) +(vvPair +variable "date" +value "11/13/12" +) +(vvPair +variable "day" +value "Tue" +) +(vvPair +variable "day_long" +value "Tuesday" +) +(vvPair +variable "dd" +value "13" +) +(vvPair +variable "entity_name" +value "triggerLogic" +) +(vvPair +variable "ext" +value "<TBD>" +) +(vvPair +variable "f" +value "rtl.bd" +) +(vvPair +variable "f_logical" +value "rtl.bd" +) +(vvPair +variable "f_noext" +value "rtl" +) +(vvPair +variable "group" +value "HEP_Instrumentation" +) +(vvPair +variable "host" +value "kipper.phy.bris.ac.uk" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "fmc_mTLU_lib" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/designcheck" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "triggerLogic" +) +(vvPair +variable "month" +value "Nov" +) +(vvPair +variable "month_long" +value "November" +) +(vvPair +variable "p" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/trigger@logic/rtl.bd" +) +(vvPair +variable "p_logical" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/triggerLogic/rtl.bd" +) +(vvPair +variable "package_name" +value "<Undefined Variable>" +) +(vvPair +variable "project_name" +value "fmc_mTLU" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "<TBD>" +) +(vvPair +variable "task_DesignCompilerPath" +value "<TBD>" +) +(vvPair +variable "task_LeonardoPath" +value "/opt/CAD/Mentor/LeonardoSpectrum_2008a/bin" +) +(vvPair +variable "task_ModelSimPath" +value "/opt/CAD/Mentor/Modelsim/6.4a/modeltech/bin" +) +(vvPair +variable "task_NC-SimPath" +value "<TBD>" +) +(vvPair +variable "task_PrecisionRTLPath" +value "/opt/CAD/Mentor/Precis_Synth_2009a_update2/Mgc_home/bin" +) +(vvPair +variable "task_QuestaSimPath" +value "/opt/CAD/Mentor/HDS2008.1b/questasim/bin" +) +(vvPair +variable "task_VCSPath" +value "<TBD>" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "rtl" +) +(vvPair +variable "this_file_logical" +value "rtl" +) +(vvPair +variable "time" +value "16:59:33" +) +(vvPair +variable "unit" +value "triggerLogic" +) +(vvPair +variable "user" +value "phdgc" +) +(vvPair +variable "version" +value "2010.3 (Build 21)" +) +(vvPair +variable "view" +value "rtl" +) +(vvPair +variable "year" +value "2012" +) +(vvPair +variable "yy" +value "12" +) +] +) +LanguageMgr "VhdlLangMgr" +optionalChildren [ +*1 (PortIoIn +uid 9,0 +shape (CompositeShape +uid 10,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 11,0 +sl 0 +ro 270 +xt "-4000,4625,-2500,5375" +) +(Line +uid 12,0 +sl 0 +ro 270 +xt "-2500,5000,-2000,5000" +pts [ +"-2500,5000" +"-2000,5000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 13,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 14,0 +va (VaSet +font "courier,8,0" +) +xt "-11500,4500,-5000,5400" +st "clk_4x_logic" +ju 2 +blo "-5000,5200" +tm "WireNameMgr" +) +) +) +*2 (Net +uid 15,0 +lang 2 +decl (Decl +n "clk_4x_logic" +t "std_logic" +eolc "! Rising edge active" +preAdd 0 +posAdd 0 +o 1 +suid 1,0 +) +declText (MLText +uid 16,0 +va (VaSet +font "courier,8,0" +) +xt "20000,12175,45500,13075" +st "clk_4x_logic : std_logic -- ! Rising edge active +" +) +) +*3 (PortIoIn +uid 23,0 +shape (CompositeShape +uid 24,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 25,0 +sl 0 +ro 270 +xt "-4000,3625,-2500,4375" +) +(Line +uid 26,0 +sl 0 +ro 270 +xt "-2500,4000,-2000,4000" +pts [ +"-2500,4000" +"-2000,4000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 27,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 28,0 +va (VaSet +font "courier,8,0" +) +xt "-11000,3500,-5000,4400" +st "ipbus_clk_i" +ju 2 +blo "-5000,4200" +tm "WireNameMgr" +) +) +) +*4 (Net +uid 29,0 +lang 11 +decl (Decl +n "ipbus_clk_i" +t "std_logic" +o 2 +suid 2,0 +) +declText (MLText +uid 30,0 +va (VaSet +font "courier,8,0" +) +xt "20000,13075,33500,13975" +st "ipbus_clk_i : std_logic +" +) +) +*5 (PortIoIn +uid 37,0 +shape (CompositeShape +uid 38,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 39,0 +sl 0 +ro 270 +xt "-4000,2625,-2500,3375" +) +(Line +uid 40,0 +sl 0 +ro 270 +xt "-2500,3000,-2000,3000" +pts [ +"-2500,3000" +"-2000,3000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 41,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 42,0 +va (VaSet +font "courier,8,0" +) +xt "-8500,2500,-5000,3400" +st "ipbus_i" +ju 2 +blo "-5000,3200" +tm "WireNameMgr" +) +) +) +*6 (Net +uid 43,0 +decl (Decl +n "ipbus_i" +t "ipb_wbus" +eolc "Signals from IPBus core to slave" +o 3 +suid 3,0 +) +declText (MLText +uid 44,0 +va (VaSet +font "courier,8,0" +) +xt "20000,13975,51000,14875" +st "ipbus_i : ipb_wbus -- Signals from IPBus core to slave +" +) +) +*7 (PortIoIn +uid 51,0 +shape (CompositeShape +uid 52,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 53,0 +sl 0 +ro 270 +xt "-4000,1625,-2500,2375" +) +(Line +uid 54,0 +sl 0 +ro 270 +xt "-2500,2000,-2000,2000" +pts [ +"-2500,2000" +"-2000,2000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 55,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 56,0 +va (VaSet +font "courier,8,0" +) +xt "-12000,1500,-5000,2400" +st "ipbus_reset_i" +ju 2 +blo "-5000,2200" +tm "WireNameMgr" +) +) +) +*8 (Net +uid 57,0 +lang 11 +decl (Decl +n "ipbus_reset_i" +t "std_logic" +o 4 +suid 4,0 +) +declText (MLText +uid 58,0 +va (VaSet +font "courier,8,0" +) +xt "20000,14875,33500,15775" +st "ipbus_reset_i : std_logic +" +) +) +*9 (PortIoIn +uid 65,0 +shape (CompositeShape +uid 66,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 67,0 +sl 0 +ro 270 +xt "-4000,625,-2500,1375" +) +(Line +uid 68,0 +sl 0 +ro 270 +xt "-2500,1000,-2000,1000" +pts [ +"-2500,1000" +"-2000,1000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 69,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 70,0 +va (VaSet +font "courier,8,0" +) +xt "-12500,500,-5000,1400" +st "logic_strobe_i" +ju 2 +blo "-5000,1200" +tm "WireNameMgr" +) +) +) +*10 (Net +uid 71,0 +lang 2 +decl (Decl +n "logic_strobe_i" +t "std_logic" +eolc "! Pulses high once every 4 cycles of clk_4x_logic" +preAdd 0 +posAdd 0 +o 5 +suid 5,0 +) +declText (MLText +uid 72,0 +va (VaSet +font "courier,8,0" +) +xt "20000,15775,60000,16675" +st "logic_strobe_i : std_logic -- ! Pulses high once every 4 cycles of clk_4x_logic +" +) +) +*11 (PortIoIn +uid 79,0 +shape (CompositeShape +uid 80,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 81,0 +sl 0 +ro 270 +xt "-4000,-375,-2500,375" +) +(Line +uid 82,0 +sl 0 +ro 270 +xt "-2500,0,-2000,0" +pts [ +"-2500,0" +"-2000,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 83,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 84,0 +va (VaSet +font "courier,8,0" +) +xt "-9500,-500,-5000,400" +st "trigger_i" +ju 2 +blo "-5000,200" +tm "WireNameMgr" +) +) +) +*12 (Net +uid 85,0 +lang 2 +decl (Decl +n "trigger_i" +t "std_logic_vector" +b "(NUM_INPUTS-1 DOWNTO 0)" +eolc "! High when trigger from input conector active" +o 6 +suid 6,0 +) +declText (MLText +uid 86,0 +va (VaSet +font "courier,8,0" +) +xt "20000,16675,73500,17575" +st "trigger_i : std_logic_vector(NUM_INPUTS-1 downto 0) -- ! High when trigger from input conector active +" +) +) +*13 (PortIoIn +uid 93,0 +shape (CompositeShape +uid 94,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 95,0 +sl 0 +ro 270 +xt "-4000,-1375,-2500,-625" +) +(Line +uid 96,0 +sl 0 +ro 270 +xt "-2500,-1000,-2000,-1000" +pts [ +"-2500,-1000" +"-2000,-1000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 97,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 98,0 +va (VaSet +font "courier,8,0" +) +xt "-8000,-1500,-5000,-600" +st "veto_i" +ju 2 +blo "-5000,-800" +tm "WireNameMgr" +) +) +) +*14 (Net +uid 99,0 +decl (Decl +n "veto_i" +t "std_logic" +eolc "! Halts triggers when high" +o 7 +suid 7,0 +) +declText (MLText +uid 100,0 +va (VaSet +font "courier,8,0" +) +xt "20000,17575,48500,18475" +st "veto_i : std_logic -- ! Halts triggers when high +" +) +) +*15 (PortIoOut +uid 107,0 +shape (CompositeShape +uid 108,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 109,0 +sl 0 +ro 270 +xt "500,1625,2000,2375" +) +(Line +uid 110,0 +sl 0 +ro 270 +xt "0,2000,500,2000" +pts [ +"0,2000" +"500,2000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 111,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 112,0 +va (VaSet +font "courier,8,0" +) +xt "3000,1500,6500,2400" +st "ipbus_o" +blo "3000,2200" +tm "WireNameMgr" +) +) +) +*16 (Net +uid 113,0 +decl (Decl +n "ipbus_o" +t "ipb_rbus" +eolc "signals from slave to IPBus core" +o 8 +suid 8,0 +) +declText (MLText +uid 114,0 +va (VaSet +font "courier,8,0" +) +xt "20000,18475,51000,19375" +st "ipbus_o : ipb_rbus -- signals from slave to IPBus core +" +) +) +*17 (PortIoOut +uid 121,0 +shape (CompositeShape +uid 122,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 123,0 +sl 0 +ro 270 +xt "500,625,2000,1375" +) +(Line +uid 124,0 +sl 0 +ro 270 +xt "0,1000,500,1000" +pts [ +"0,1000" +"500,1000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 125,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 126,0 +va (VaSet +font "courier,8,0" +) +xt "3000,500,7500,1400" +st "trigger_o" +blo "3000,1200" +tm "WireNameMgr" +) +) +) +*18 (Net +uid 127,0 +decl (Decl +n "trigger_o" +t "std_logic" +eolc "! goes high when trigger passes" +o 9 +suid 9,0 +) +declText (MLText +uid 128,0 +va (VaSet +font "courier,8,0" +) +xt "20000,19375,51000,20275" +st "trigger_o : std_logic -- ! goes high when trigger passes +" +) +) +*19 (CommentText +uid 135,0 +ps "EdgeToEdgeStrategy" +shape (Rectangle +uid 136,0 +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "-4000,-7000,11000,-3000" +) +text (MLText +uid 137,0 +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "-3800,-6800,-3800,-5900" +st " + + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 15000 +) +included 4 +) +*20 (Property +uid 138,0 +pclass "HDS" +pname "DocView" +pvalue "triggerLogic_rtl.vhd" +ptn "String" +) +*21 (Property +uid 139,0 +pclass "HDS" +pname "DocViewState" +pvalue "1352816260" +ptn "String" +) +*22 (Wire +uid 17,0 +shape (OrthoPolyLine +uid 18,0 +va (VaSet +vasetType 3 +) +xt "-2000,5000,0,5000" +pts [ +"-2000,5000" +"0,5000" +] +) +start &1 +sat 32 +eat 16 +stc 0 +st 0 +si 0 +tg (WTG +uid 21,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 22,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "1000,4100,7500,5000" +st "clk_4x_logic" +blo "1000,4800" +tm "WireNameMgr" +) +) +on &2 +) +*23 (Wire +uid 31,0 +shape (OrthoPolyLine +uid 32,0 +va (VaSet +vasetType 3 +) +xt "-2000,4000,0,4000" +pts [ +"-2000,4000" +"0,4000" +] +) +start &3 +sat 32 +eat 16 +stc 0 +st 0 +si 0 +tg (WTG +uid 35,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 36,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "1000,3100,7000,4000" +st "ipbus_clk_i" +blo "1000,3800" +tm "WireNameMgr" +) +) +on &4 +) +*24 (Wire +uid 45,0 +shape (OrthoPolyLine +uid 46,0 +va (VaSet +vasetType 3 +) +xt "-2000,3000,0,3000" +pts [ +"-2000,3000" +"0,3000" +] +) +start &5 +sat 32 +eat 16 +stc 0 +st 0 +si 0 +tg (WTG +uid 49,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 50,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "1000,2100,4500,3000" +st "ipbus_i" +blo "1000,2800" +tm "WireNameMgr" +) +) +on &6 +) +*25 (Wire +uid 59,0 +shape (OrthoPolyLine +uid 60,0 +va (VaSet +vasetType 3 +) +xt "-2000,2000,0,2000" +pts [ +"-2000,2000" +"0,2000" +] +) +start &7 +sat 32 +eat 16 +stc 0 +st 0 +si 0 +tg (WTG +uid 63,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 64,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "1000,1100,8000,2000" +st "ipbus_reset_i" +blo "1000,1800" +tm "WireNameMgr" +) +) +on &8 +) +*26 (Wire +uid 73,0 +shape (OrthoPolyLine +uid 74,0 +va (VaSet +vasetType 3 +) +xt "-2000,1000,0,1000" +pts [ +"-2000,1000" +"0,1000" +] +) +start &9 +sat 32 +eat 16 +stc 0 +st 0 +si 0 +tg (WTG +uid 77,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 78,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "1000,100,8500,1000" +st "logic_strobe_i" +blo "1000,800" +tm "WireNameMgr" +) +) +on &10 +) +*27 (Wire +uid 87,0 +shape (OrthoPolyLine +uid 88,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "-2000,0,0,0" +pts [ +"-2000,0" +"0,0" +] +) +start &11 +sat 32 +eat 16 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 91,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 92,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "1000,-900,5500,0" +st "trigger_i" +blo "1000,-200" +tm "WireNameMgr" +) +) +on &12 +) +*28 (Wire +uid 101,0 +shape (OrthoPolyLine +uid 102,0 +va (VaSet +vasetType 3 +) +xt "-2000,-1000,0,-1000" +pts [ +"-2000,-1000" +"0,-1000" +] +) +start &13 +sat 32 +eat 16 +stc 0 +st 0 +si 0 +tg (WTG +uid 105,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 106,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "1000,-1900,4000,-1000" +st "veto_i" +blo "1000,-1200" +tm "WireNameMgr" +) +) +on &14 +) +*29 (Wire +uid 115,0 +shape (OrthoPolyLine +uid 116,0 +va (VaSet +vasetType 3 +) +xt "-2000,2000,0,2000" +pts [ +"-2000,2000" +"0,2000" +] +) +end &15 +sat 16 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 119,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 120,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-6000,1100,-2500,2000" +st "ipbus_o" +blo "-6000,1800" +tm "WireNameMgr" +) +) +on &16 +) +*30 (Wire +uid 129,0 +shape (OrthoPolyLine +uid 130,0 +va (VaSet +vasetType 3 +) +xt "-2000,1000,0,1000" +pts [ +"-2000,1000" +"0,1000" +] +) +end &17 +sat 16 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 133,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 134,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-7000,100,-2500,1000" +st "trigger_o" +blo "-7000,800" +tm "WireNameMgr" +) +) +on &18 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *31 (PackageList +uid 160,0 +stg "VerticalLayoutStrategy" +textVec [ +*32 (Text +uid 161,0 +va (VaSet +font "courier,8,1" +) +xt "1000,10375,7500,11275" +st "Package List" +blo "1000,11075" +) +*33 (MLText +uid 162,0 +va (VaSet +font "courier,8,0" +) +xt "1000,11275,15500,14875" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 163,0 +stg "VerticalLayoutStrategy" +textVec [ +*34 (Text +uid 164,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "21000,10375,31000,11275" +st "Compiler Directives" +blo "21000,11075" +) +*35 (Text +uid 165,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "21000,11275,32500,12175" +st "Pre-module directives:" +blo "21000,11975" +) +*36 (MLText +uid 166,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "21000,12175,31100,13975" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*37 (Text +uid 167,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "21000,13975,33000,14875" +st "Post-module directives:" +blo "21000,14675" +) +*38 (MLText +uid 168,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "21000,10375,21000,10375" +tm "BdCompilerDirectivesTextMgr" +) +*39 (Text +uid 169,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "21000,14875,32500,15775" +st "End-module directives:" +blo "21000,15575" +) +*40 (MLText +uid 170,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "21000,15775,21000,15775" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "0,0,1015,690" +viewArea "-12500,-7000,73500,22975" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +lastUid 170,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,4000,1900" +st "Panel0" +blo "1000,1700" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*41 (Text +va (VaSet +font "courier,8,1" +) +xt "1750,3650,6250,4550" +st "<library>" +blo "1750,4350" +tm "BdLibraryNameMgr" +) +*42 (Text +va (VaSet +font "courier,8,1" +) +xt "1750,4550,5250,5450" +st "<block>" +blo "1750,5250" +tm "BlkNameMgr" +) +*43 (Text +va (VaSet +font "courier,8,1" +) +xt "1750,5450,2750,6350" +st "I0" +blo "1750,6150" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "1750,13650,1750,13650" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*44 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,3650,4500,4550" +st "Library" +blo "1000,4350" +) +*45 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,4550,7000,5450" +st "MWComponent" +blo "1000,5250" +) +*46 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,5450,2000,6350" +st "I0" +blo "1000,6150" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6000,1650,-6000,1650" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*47 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,3650,4500,4550" +st "Library" +blo "1000,4350" +tm "BdLibraryNameMgr" +) +*48 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,4550,7000,5450" +st "SaComponent" +blo "1000,5250" +tm "CptNameMgr" +) +*49 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,5450,2000,6350" +st "I0" +blo "1000,6150" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6000,1650,-6000,1650" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +portVis (PortSigDisplay +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*50 (Text +va (VaSet +font "courier,8,1" +) +xt "500,3650,4000,4550" +st "Library" +blo "500,4350" +) +*51 (Text +va (VaSet +font "courier,8,1" +) +xt "500,4550,7500,5450" +st "VhdlComponent" +blo "500,5250" +) +*52 (Text +va (VaSet +font "courier,8,1" +) +xt "500,5450,1500,6350" +st "I0" +blo "500,6150" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6500,1650,-6500,1650" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-750,0,8750,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*53 (Text +va (VaSet +font "courier,8,1" +) +xt "-250,3650,3250,4550" +st "Library" +blo "-250,4350" +) +*54 (Text +va (VaSet +font "courier,8,1" +) +xt "-250,4550,8250,5450" +st "VerilogComponent" +blo "-250,5250" +) +*55 (Text +va (VaSet +font "courier,8,1" +) +xt "-250,5450,750,6350" +st "I0" +blo "-250,6150" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-7250,1650,-7250,1650" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*56 (Text +va (VaSet +font "courier,8,1" +) +xt "3250,4100,4750,5000" +st "eb1" +blo "3250,4800" +tm "HdlTextNameMgr" +) +*57 (Text +va (VaSet +font "courier,8,1" +) +xt "3250,5000,3750,5900" +st "1" +blo "3250,5700" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +font "courier,8,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +font "courier,8,1" +) +xt "-250,-450,250,450" +st "G" +blo "-250,250" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,0,2000,900" +st "sig0" +blo "0,700" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,0,2500,900" +st "dbus0" +blo "0,700" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,0" +) +xt "0,0,3500,900" +st "bundle0" +blo "0,700" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +font "courier,8,0" +) +xt "0,900,1000,1800" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +font "courier,8,0" +) +) +second (MLText +va (VaSet +font "courier,8,0" +) +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,-1000,14500,-100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1350" +) +num (Text +va (VaSet +font "courier,8,0" +) +xt "300,250,800,1150" +st "1" +blo "300,950" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*58 (Text +va (VaSet +font "courier,8,1" +) +xt "12500,20000,22000,20900" +st "Frame Declarations" +blo "12500,20700" +) +*59 (MLText +va (VaSet +font "courier,8,0" +) +xt "12500,20900,12500,20900" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,-1000,9000,-100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1350" +) +num (Text +va (VaSet +font "courier,8,0" +) +xt "300,250,800,1150" +st "1" +blo "300,950" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*60 (Text +va (VaSet +font "courier,8,1" +) +xt "12500,20000,22000,20900" +st "Frame Declarations" +blo "12500,20700" +) +*61 (MLText +va (VaSet +font "courier,8,0" +) +xt "12500,20900,12500,20900" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,2000,1650" +st "Port" +blo "0,1450" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,2000,1650" +st "Port" +blo "0,1450" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "courier,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "18000,10375,24500,11275" +st "Declarations" +blo "18000,11075" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "18000,11275,21000,12175" +st "Ports:" +blo "18000,11975" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "18000,20275,22500,21175" +st "Pre User:" +blo "18000,20975" +) +preUserText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "18000,10375,18000,10375" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "courier,8,1" +) +xt "18000,21175,26500,22075" +st "Diagram Signals:" +blo "18000,21875" +) +postUserLabel (Text +uid 7,0 +va (VaSet +font "courier,8,1" +) +xt "18000,22075,23500,22975" +st "Post User:" +blo "18000,22775" +) +postUserText (MLText +uid 8,0 +va (VaSet +font "courier,8,0" +) +xt "18000,10375,18000,10375" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 9,0 +usingSuid 1 +emptyRow *62 (LEmptyRow +) +optionalChildren [ +*63 (RefLabelRowHdr +) +*64 (TitleRowHdr +) +*65 (FilterRowHdr +) +*66 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*67 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*68 (GroupColHdr +tm "GroupColHdrMgr" +) +*69 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*70 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*71 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*72 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*73 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*74 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*75 (LeafLogPort +port (LogicalPort +lang 2 +decl (Decl +n "clk_4x_logic" +t "std_logic" +eolc "! Rising edge active" +preAdd 0 +posAdd 0 +o 1 +suid 1,0 +) +) +uid 140,0 +) +*76 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "ipbus_clk_i" +t "std_logic" +o 2 +suid 2,0 +) +) +uid 142,0 +) +*77 (LeafLogPort +port (LogicalPort +decl (Decl +n "ipbus_i" +t "ipb_wbus" +eolc "Signals from IPBus core to slave" +o 3 +suid 3,0 +) +) +uid 144,0 +) +*78 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "ipbus_reset_i" +t "std_logic" +o 4 +suid 4,0 +) +) +uid 146,0 +) +*79 (LeafLogPort +port (LogicalPort +lang 2 +decl (Decl +n "logic_strobe_i" +t "std_logic" +eolc "! Pulses high once every 4 cycles of clk_4x_logic" +preAdd 0 +posAdd 0 +o 5 +suid 5,0 +) +) +uid 148,0 +) +*80 (LeafLogPort +port (LogicalPort +lang 2 +decl (Decl +n "trigger_i" +t "std_logic_vector" +b "(NUM_INPUTS-1 DOWNTO 0)" +eolc "! High when trigger from input conector active" +o 6 +suid 6,0 +) +) +uid 150,0 +) +*81 (LeafLogPort +port (LogicalPort +decl (Decl +n "veto_i" +t "std_logic" +eolc "! Halts triggers when high" +o 7 +suid 7,0 +) +) +uid 152,0 +) +*82 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "ipbus_o" +t "ipb_rbus" +eolc "signals from slave to IPBus core" +o 8 +suid 8,0 +) +) +uid 154,0 +) +*83 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "trigger_o" +t "std_logic" +eolc "! goes high when trigger passes" +o 9 +suid 9,0 +) +) +uid 156,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +optionalChildren [ +*84 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *85 (MRCItem +litem &62 +pos 3 +dimension 20 +) +optionalChildren [ +*86 (MRCItem +litem &63 +pos 0 +dimension 20 +) +*87 (MRCItem +litem &64 +pos 1 +dimension 23 +) +*88 (MRCItem +litem &65 +pos 2 +hidden 1 +dimension 20 +) +*89 (MRCItem +litem &75 +pos 0 +dimension 20 +uid 141,0 +) +*90 (MRCItem +litem &76 +pos 5 +dimension 20 +uid 143,0 +) +*91 (MRCItem +litem &77 +pos 6 +dimension 20 +uid 145,0 +) +*92 (MRCItem +litem &78 +pos 8 +dimension 20 +uid 147,0 +) +*93 (MRCItem +litem &79 +pos 1 +dimension 20 +uid 149,0 +) +*94 (MRCItem +litem &80 +pos 3 +dimension 20 +uid 151,0 +) +*95 (MRCItem +litem &81 +pos 2 +dimension 20 +uid 153,0 +) +*96 (MRCItem +litem &82 +pos 7 +dimension 20 +uid 155,0 +) +*97 (MRCItem +litem &83 +pos 4 +dimension 20 +uid 157,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +optionalChildren [ +*98 (MRCItem +litem &66 +pos 0 +dimension 20 +) +*99 (MRCItem +litem &68 +pos 1 +dimension 50 +) +*100 (MRCItem +litem &69 +pos 2 +dimension 100 +) +*101 (MRCItem +litem &70 +pos 3 +dimension 50 +) +*102 (MRCItem +litem &71 +pos 4 +dimension 100 +) +*103 (MRCItem +litem &72 +pos 5 +dimension 100 +) +*104 (MRCItem +litem &73 +pos 6 +dimension 50 +) +*105 (MRCItem +litem &74 +pos 7 +dimension 80 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +vaOverrides [ +] +) +] +) +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *106 (LEmptyRow +) +optionalChildren [ +*107 (RefLabelRowHdr +) +*108 (TitleRowHdr +) +*109 (FilterRowHdr +) +*110 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*111 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*112 (GroupColHdr +tm "GroupColHdrMgr" +) +*113 (NameColHdr +tm "GenericNameColHdrMgr" +) +*114 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*115 (InitColHdr +tm "GenericValueColHdrMgr" +) +*116 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*117 (EolColHdr +tm "GenericEolColHdrMgr" +) +*118 (LogGeneric +generic (GiElement +name "NUM_INPUTS" +type "positive" +value "4" +) +uid 159,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +optionalChildren [ +*119 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *120 (MRCItem +litem &106 +pos 3 +dimension 20 +) +optionalChildren [ +*121 (MRCItem +litem &107 +pos 0 +dimension 20 +) +*122 (MRCItem +litem &108 +pos 1 +dimension 23 +) +*123 (MRCItem +litem &109 +pos 2 +hidden 1 +dimension 20 +) +*124 (MRCItem +litem &118 +pos 0 +dimension 20 +uid 158,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +optionalChildren [ +*125 (MRCItem +litem &110 +pos 0 +dimension 20 +) +*126 (MRCItem +litem &112 +pos 1 +dimension 50 +) +*127 (MRCItem +litem &113 +pos 2 +dimension 100 +) +*128 (MRCItem +litem &114 +pos 3 +dimension 100 +) +*129 (MRCItem +litem &115 +pos 4 +dimension 50 +) +*130 (MRCItem +litem &116 +pos 5 +dimension 50 +) +*131 (MRCItem +litem &117 +pos 6 +dimension 80 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +vaOverrides [ +] +) +] +) +type 1 +) +) diff --git a/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/trigger@logic/symbol.sb b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/trigger@logic/symbol.sb new file mode 100644 index 00000000..6f8d1d29 --- /dev/null +++ b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/trigger@logic/symbol.sb @@ -0,0 +1,2169 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "work" +unitName "ipbus" +) +] +libraryRefs [ +"ieee" +] +) +version "25.1" +appVersion "2010.3 (Build 21)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 13,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 116,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +lang 2 +decl (Decl +n "clk_4x_logic_i" +t "std_logic" +eolc "! Rising edge active" +preAdd 0 +posAdd 0 +o 1 +suid 1,0 +) +) +uid 51,0 +) +*15 (LogPort +port (LogicalPort +lang 2 +decl (Decl +n "logic_strobe_i" +t "std_logic" +eolc "! Pulses high once every 4 cycles of clk_4x_logic" +preAdd 0 +posAdd 0 +o 5 +suid 2,0 +) +) +uid 53,0 +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "ipbus_clk_i" +t "std_logic" +o 2 +suid 3,0 +) +) +uid 55,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "ipbus_i" +t "ipb_wbus" +eolc "Signals from IPBus core to slave" +o 3 +suid 4,0 +) +) +uid 57,0 +) +*18 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "ipbus_o" +t "ipb_rbus" +eolc "signals from slave to IPBus core" +o 6 +suid 5,0 +) +) +uid 59,0 +) +*19 (LogPort +port (LogicalPort +decl (Decl +n "ipbus_reset_i" +t "std_logic" +o 4 +suid 6,0 +) +) +uid 61,0 +) +*20 (LogPort +port (LogicalPort +lang 2 +decl (Decl +n "trigger_i" +t "std_logic_vector" +b "(g_NUM_INPUTS-1 DOWNTO 0)" +eolc "! High when trigger from input conector active" +o 7 +suid 7,0 +) +) +uid 63,0 +) +*21 (LogPort +port (LogicalPort +decl (Decl +n "veto_i" +t "std_logic" +eolc "! Halts triggers when high" +o 8 +suid 8,0 +) +) +uid 65,0 +) +*22 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "post_veto_trigger_o" +t "std_logic" +eolc "! goes high when trigger passes" +o 9 +suid 9,0 +) +) +uid 107,0 +) +*23 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "trigger_active_o" +t "std_logic" +eolc "--! Goes high when triggers are active ( ie. not veoted)" +o 10 +suid 10,0 +) +) +uid 242,0 +) +*24 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "pre_veto_trigger_o" +t "std_logic" +o 11 +suid 11,0 +) +) +uid 274,0 +) +*25 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "event_number_o" +t "std_logic_vector" +b "(g_IPBUS_WIDTH-1 downto 0)" +eolc "starts at one. Increments for each post_veto_trigger" +o 12 +suid 12,0 +) +) +uid 350,0 +) +*26 (LogPort +port (LogicalPort +decl (Decl +n "logic_reset_i" +t "std_logic" +eolc "active high. Synchronous with clk_4x_logic" +o 13 +suid 13,0 +) +) +uid 357,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 129,0 +optionalChildren [ +*27 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *28 (MRCItem +litem &1 +pos 13 +dimension 20 +) +uid 131,0 +optionalChildren [ +*29 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 132,0 +) +*30 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 133,0 +) +*31 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 134,0 +) +*32 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 52,0 +) +*33 (MRCItem +litem &15 +pos 2 +dimension 20 +uid 54,0 +) +*34 (MRCItem +litem &16 +pos 7 +dimension 20 +uid 56,0 +) +*35 (MRCItem +litem &17 +pos 8 +dimension 20 +uid 58,0 +) +*36 (MRCItem +litem &18 +pos 9 +dimension 20 +uid 60,0 +) +*37 (MRCItem +litem &19 +pos 10 +dimension 20 +uid 62,0 +) +*38 (MRCItem +litem &20 +pos 4 +dimension 20 +uid 64,0 +) +*39 (MRCItem +litem &21 +pos 3 +dimension 20 +uid 66,0 +) +*40 (MRCItem +litem &22 +pos 5 +dimension 20 +uid 108,0 +) +*41 (MRCItem +litem &23 +pos 11 +dimension 20 +uid 243,0 +) +*42 (MRCItem +litem &24 +pos 6 +dimension 20 +uid 275,0 +) +*43 (MRCItem +litem &25 +pos 12 +dimension 20 +uid 351,0 +) +*44 (MRCItem +litem &26 +pos 1 +dimension 20 +uid 358,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 135,0 +optionalChildren [ +*45 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 136,0 +) +*46 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 137,0 +) +*47 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 138,0 +) +*48 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 139,0 +) +*49 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 140,0 +) +*50 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 141,0 +) +*51 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 142,0 +) +*52 (MRCItem +litem &13 +pos 7 +dimension 292 +uid 143,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 130,0 +vaOverrides [ +] +) +] +) +uid 115,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *53 (LEmptyRow +) +uid 145,0 +optionalChildren [ +*54 (RefLabelRowHdr +) +*55 (TitleRowHdr +) +*56 (FilterRowHdr +) +*57 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*58 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*59 (GroupColHdr +tm "GroupColHdrMgr" +) +*60 (NameColHdr +tm "GenericNameColHdrMgr" +) +*61 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*62 (InitColHdr +tm "GenericValueColHdrMgr" +) +*63 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*64 (EolColHdr +tm "GenericEolColHdrMgr" +) +*65 (LogGeneric +generic (GiElement +name "g_NUM_INPUTS" +type "positive" +value "4" +) +uid 171,0 +) +*66 (LogGeneric +generic (GiElement +name "g_IPBUS_WIDTH" +type "positive" +value "32" +) +uid 272,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 157,0 +optionalChildren [ +*67 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *68 (MRCItem +litem &53 +pos 2 +dimension 20 +) +uid 159,0 +optionalChildren [ +*69 (MRCItem +litem &54 +pos 0 +dimension 20 +uid 160,0 +) +*70 (MRCItem +litem &55 +pos 1 +dimension 23 +uid 161,0 +) +*71 (MRCItem +litem &56 +pos 2 +hidden 1 +dimension 20 +uid 162,0 +) +*72 (MRCItem +litem &65 +pos 0 +dimension 20 +uid 172,0 +) +*73 (MRCItem +litem &66 +pos 1 +dimension 20 +uid 273,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 163,0 +optionalChildren [ +*74 (MRCItem +litem &57 +pos 0 +dimension 20 +uid 164,0 +) +*75 (MRCItem +litem &59 +pos 1 +dimension 50 +uid 165,0 +) +*76 (MRCItem +litem &60 +pos 2 +dimension 100 +uid 166,0 +) +*77 (MRCItem +litem &61 +pos 3 +dimension 100 +uid 167,0 +) +*78 (MRCItem +litem &62 +pos 4 +dimension 50 +uid 168,0 +) +*79 (MRCItem +litem &63 +pos 5 +dimension 50 +uid 169,0 +) +*80 (MRCItem +litem &64 +pos 6 +dimension 80 +uid 170,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 158,0 +vaOverrides [ +] +) +] +) +uid 144,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl" +) +(vvPair +variable "HDSDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/trigger@logic/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/trigger@logic/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "config" +value "%(unit)_config" +) +(vvPair +variable "d" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/trigger@logic" +) +(vvPair +variable "d_logical" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/triggerLogic" +) +(vvPair +variable "date" +value "12/10/12" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "10" +) +(vvPair +variable "entity_name" +value "triggerLogic" +) +(vvPair +variable "ext" +value "<TBD>" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "group" +value "HEP_Instrumentation" +) +(vvPair +variable "host" +value "kipper.phy.bris.ac.uk" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "work" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/designcheck" +) +(vvPair +variable "library_downstream_ISEPARInvoke" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/ise" +) +(vvPair +variable "library_downstream_ImpactInvoke" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/ise" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/work" +) +(vvPair +variable "library_downstream_XSTDataPrep" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/ise" +) +(vvPair +variable "mm" +value "12" +) +(vvPair +variable "module_name" +value "triggerLogic" +) +(vvPair +variable "month" +value "Dec" +) +(vvPair +variable "month_long" +value "December" +) +(vvPair +variable "p" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/trigger@logic/symbol.sb" +) +(vvPair +variable "p_logical" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/triggerLogic/symbol.sb" +) +(vvPair +variable "package_name" +value "<Undefined Variable>" +) +(vvPair +variable "project_name" +value "fmc_mTLU" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "<TBD>" +) +(vvPair +variable "task_DesignCompilerPath" +value "<TBD>" +) +(vvPair +variable "task_LeonardoPath" +value "/opt/CAD/Mentor/LeonardoSpectrum_2008a/bin" +) +(vvPair +variable "task_ModelSimPath" +value "/opt/CAD/Mentor/Modelsim/6.4a/modeltech/bin" +) +(vvPair +variable "task_NC-SimPath" +value "<TBD>" +) +(vvPair +variable "task_PrecisionRTLPath" +value "/opt/CAD/Mentor/Precis_Synth_2009a_update2/Mgc_home/bin" +) +(vvPair +variable "task_QuestaSimPath" +value "/opt/CAD/Mentor/HDS2008.1b/questasim/bin" +) +(vvPair +variable "task_VCSPath" +value "<TBD>" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "11:16:47" +) +(vvPair +variable "unit" +value "triggerLogic" +) +(vvPair +variable "user" +value "phdgc" +) +(vvPair +variable "version" +value "2010.3 (Build 21)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2012" +) +(vvPair +variable "yy" +value "12" +) +] +) +LanguageMgr "VhdlLangMgr" +uid 114,0 +optionalChildren [ +*81 (SymbolBody +uid 8,0 +optionalChildren [ +*82 (CptPort +uid 67,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 68,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "7250,17625,8000,18375" +) +tg (CPTG +uid 69,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 70,0 +va (VaSet +font "courier,8,0" +) +xt "9000,17550,16500,18450" +st "clk_4x_logic_i" +blo "9000,18250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 71,0 +va (VaSet +font "courier,8,0" +) +xt "44000,1800,77000,2700" +st "clk_4x_logic_i : IN std_logic ; -- ! Rising edge active" +) +thePort (LogicalPort +lang 2 +decl (Decl +n "clk_4x_logic_i" +t "std_logic" +eolc "! Rising edge active" +preAdd 0 +posAdd 0 +o 1 +suid 1,0 +) +) +) +*83 (CptPort +uid 72,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 73,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "7250,20625,8000,21375" +) +tg (CPTG +uid 74,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 75,0 +va (VaSet +font "courier,8,0" +) +xt "9000,20550,16500,21450" +st "logic_strobe_i" +blo "9000,21250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 76,0 +va (VaSet +font "courier,8,0" +) +xt "44000,6300,91500,7200" +st "logic_strobe_i : IN std_logic ; -- ! Pulses high once every 4 cycles of clk_4x_logic" +) +thePort (LogicalPort +lang 2 +decl (Decl +n "logic_strobe_i" +t "std_logic" +eolc "! Pulses high once every 4 cycles of clk_4x_logic" +preAdd 0 +posAdd 0 +o 5 +suid 2,0 +) +) +) +*84 (CptPort +uid 77,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 78,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "7250,28625,8000,29375" +) +tg (CPTG +uid 79,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 80,0 +va (VaSet +font "courier,8,0" +) +xt "9000,28550,15000,29450" +st "ipbus_clk_i" +blo "9000,29250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 81,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2700,65000,3600" +st "ipbus_clk_i : IN std_logic ;" +) +thePort (LogicalPort +decl (Decl +n "ipbus_clk_i" +t "std_logic" +o 2 +suid 3,0 +) +) +) +*85 (CptPort +uid 82,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 83,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "7250,30625,8000,31375" +) +tg (CPTG +uid 84,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 85,0 +va (VaSet +font "courier,8,0" +) +xt "9000,30550,12500,31450" +st "ipbus_i" +blo "9000,31250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 86,0 +va (VaSet +font "courier,8,0" +) +xt "44000,3600,82500,4500" +st "ipbus_i : IN ipb_wbus ; -- Signals from IPBus core to slave" +) +thePort (LogicalPort +decl (Decl +n "ipbus_i" +t "ipb_wbus" +eolc "Signals from IPBus core to slave" +o 3 +suid 4,0 +) +) +) +*86 (CptPort +uid 87,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 88,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45000,35625,45750,36375" +) +tg (CPTG +uid 89,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 90,0 +va (VaSet +font "courier,8,0" +) +xt "40500,35550,44000,36450" +st "ipbus_o" +ju 2 +blo "44000,36250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 91,0 +va (VaSet +font "courier,8,0" +) +xt "44000,9900,82500,10800" +st "ipbus_o : OUT ipb_rbus ; -- signals from slave to IPBus core" +) +thePort (LogicalPort +m 1 +decl (Decl +n "ipbus_o" +t "ipb_rbus" +eolc "signals from slave to IPBus core" +o 6 +suid 5,0 +) +) +) +*87 (CptPort +uid 92,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 93,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "7250,33625,8000,34375" +) +tg (CPTG +uid 94,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 95,0 +va (VaSet +font "courier,8,0" +) +xt "9000,33550,16000,34450" +st "ipbus_reset_i" +blo "9000,34250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 96,0 +va (VaSet +font "courier,8,0" +) +xt "44000,4500,65000,5400" +st "ipbus_reset_i : IN std_logic ;" +) +thePort (LogicalPort +decl (Decl +n "ipbus_reset_i" +t "std_logic" +o 4 +suid 6,0 +) +) +) +*88 (CptPort +uid 97,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 98,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "7250,23625,8000,24375" +) +tg (CPTG +uid 99,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 100,0 +va (VaSet +font "courier,8,0" +) +xt "9000,23550,24500,24450" +st "trigger_i : (g_NUM_INPUTS-1:0)" +blo "9000,24250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 101,0 +va (VaSet +font "courier,8,0" +) +xt "44000,7200,106000,8100" +st "trigger_i : IN std_logic_vector (g_NUM_INPUTS-1 DOWNTO 0) ; -- ! High when trigger from input conector active" +) +thePort (LogicalPort +lang 2 +decl (Decl +n "trigger_i" +t "std_logic_vector" +b "(g_NUM_INPUTS-1 DOWNTO 0)" +eolc "! High when trigger from input conector active" +o 7 +suid 7,0 +) +) +) +*89 (CptPort +uid 102,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 103,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "7250,25625,8000,26375" +) +tg (CPTG +uid 104,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 105,0 +va (VaSet +font "courier,8,0" +) +xt "9000,25550,12000,26450" +st "veto_i" +blo "9000,26250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 106,0 +va (VaSet +font "courier,8,0" +) +xt "44000,8100,80000,9000" +st "veto_i : IN std_logic ; -- ! Halts triggers when high" +) +thePort (LogicalPort +decl (Decl +n "veto_i" +t "std_logic" +eolc "! Halts triggers when high" +o 8 +suid 8,0 +) +) +) +*90 (CptPort +uid 109,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 110,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45000,22625,45750,23375" +) +tg (CPTG +uid 111,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 112,0 +va (VaSet +font "courier,8,0" +) +xt "34000,22550,44000,23450" +st "post_veto_trigger_o" +ju 2 +blo "44000,23250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 113,0 +va (VaSet +font "courier,8,0" +) +xt "44000,10800,82500,11700" +st "post_veto_trigger_o : OUT std_logic ; -- ! goes high when trigger passes" +) +thePort (LogicalPort +m 1 +decl (Decl +n "post_veto_trigger_o" +t "std_logic" +eolc "! goes high when trigger passes" +o 9 +suid 9,0 +) +) +) +*91 (CptPort +uid 244,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 245,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45000,27625,45750,28375" +) +tg (CPTG +uid 246,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 247,0 +va (VaSet +font "courier,8,0" +) +xt "35500,27550,44000,28450" +st "trigger_active_o" +ju 2 +blo "44000,28250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 248,0 +va (VaSet +font "courier,8,0" +) +xt "44000,12600,92500,13500" +st "trigger_active_o : OUT std_logic --! Goes high when triggers are active ( ie. not veoted)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "trigger_active_o" +t "std_logic" +eolc "--! Goes high when triggers are active ( ie. not veoted)" +o 10 +suid 10,0 +) +) +) +*92 (CptPort +uid 276,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 277,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45000,18625,45750,19375" +) +tg (CPTG +uid 278,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 279,0 +va (VaSet +font "courier,8,0" +) +xt "34500,18550,44000,19450" +st "pre_veto_trigger_o" +ju 2 +blo "44000,19250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 280,0 +va (VaSet +font "courier,8,0" +) +xt "44000,11700,65000,12600" +st "pre_veto_trigger_o : OUT std_logic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "pre_veto_trigger_o" +t "std_logic" +o 11 +suid 11,0 +) +) +) +*93 (CptPort +uid 352,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 353,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45000,31625,45750,32375" +) +tg (CPTG +uid 354,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 355,0 +va (VaSet +font "courier,8,0" +) +xt "25500,31550,44000,32450" +st "event_number_o : (g_IPBUS_WIDTH-1:0)" +ju 2 +blo "44000,32250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 356,0 +va (VaSet +font "courier,8,0" +) +xt "44000,9000,109500,9900" +st "event_number_o : OUT std_logic_vector (g_IPBUS_WIDTH-1 downto 0) ; -- starts at one. Increments for each post_veto_trigger" +) +thePort (LogicalPort +m 1 +decl (Decl +n "event_number_o" +t "std_logic_vector" +b "(g_IPBUS_WIDTH-1 downto 0)" +eolc "starts at one. Increments for each post_veto_trigger" +o 12 +suid 12,0 +) +) +) +*94 (CptPort +uid 359,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 360,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "7250,36625,8000,37375" +) +tg (CPTG +uid 361,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 362,0 +va (VaSet +font "courier,8,0" +) +xt "9000,36550,16000,37450" +st "logic_reset_i" +blo "9000,37250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 363,0 +va (VaSet +font "courier,8,0" +) +xt "44000,5400,88000,6300" +st "logic_reset_i : IN std_logic ; -- active high. Synchronous with clk_4x_logic" +) +thePort (LogicalPort +decl (Decl +n "logic_reset_i" +t "std_logic" +eolc "active high. Synchronous with clk_4x_logic" +o 13 +suid 13,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "8000,17000,45000,38000" +) +oxt "15000,6000,47000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "17750,26100,19750,27000" +st "work" +blo "17750,26800" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "17750,27000,24250,27900" +st "triggerLogic" +blo "17750,27700" +) +) +gi *95 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "18000,13400,32000,17000" +st "Generic Declarations + +g_NUM_INPUTS positive 4 +g_IPBUS_WIDTH positive 32 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "g_NUM_INPUTS" +type "positive" +value "4" +) +(GiElement +name "g_IPBUS_WIDTH" +type "positive" +value "32" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +*96 (Grouping +uid 16,0 +optionalChildren [ +*97 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,50000,49000,51000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "32200,50050,44200,50950" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*98 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "49000,46000,53000,47000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "49200,46050,53200,46950" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*99 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,49000,49000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "32200,48050,45700,48950" +st " +<enter diagram title here> +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*100 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "28000,48000,32000,49000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "28200,48050,31200,48950" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*101 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "49000,47000,69000,51000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "49200,47200,60200,48100" +st " +<enter comments here> +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*102 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,46000,69000,47000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "53200,46050,57200,46950" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*103 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "28000,46000,49000,48000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "34000,46500,43000,47500" +st " +<company name> +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*104 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "28000,49000,32000,50000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "28200,49050,30700,49950" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*105 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "28000,50000,32000,51000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "28200,50050,31700,50950" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*106 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,49000,49000,50000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "32200,49050,44700,49950" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "28000,46000,69000,51000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *107 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*108 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,6500,900" +st "Package List" +blo "0,700" +) +*109 (MLText +uid 50,0 +va (VaSet +font "courier,8,0" +) +xt "0,900,14500,5400" +st "LIBRARY ieee; +USE ieee.std_logic_1164.all; +USE ieee.numeric_std.all; + +USE work.ipbus.all;" +tm "PackageList" +) +] +) +windowSize "1912,-4,3848,1181" +viewArea "-500,7276,54316,39724" +cachedDiagramExtent "0,0,109500,51000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,4000,1900" +st "Panel0" +blo "1000,1700" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,39000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "24750,15100,29250,16000" +st "<library>" +blo "24750,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "24750,16000,27750,16900" +st "<cell>" +blo "24750,16700" +) +) +gi *110 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *111 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "42000,0,48500,900" +st "Declarations" +blo "42000,700" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "42000,900,45000,1800" +st "Ports:" +blo "42000,1600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "42000,13500,44500,14400" +st "User:" +blo "42000,14200" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "42000,0,49500,900" +st "Internal User:" +blo "42000,700" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "44000,14400,44000,14400" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 363,0 +activeModelName "Symbol" +) diff --git a/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/trigger@logic/symbol.sb.bak b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/trigger@logic/symbol.sb.bak new file mode 100644 index 00000000..e93a40a7 --- /dev/null +++ b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/trigger@logic/symbol.sb.bak @@ -0,0 +1,2182 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "work" +unitName "ipbus" +) +] +libraryRefs [ +"ieee" +] +) +version "25.1" +appVersion "2010.3 (Build 21)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 13,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 116,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +lang 2 +decl (Decl +n "clk_4x_logic_i" +t "std_logic" +eolc "! Rising edge active" +preAdd 0 +posAdd 0 +o 1 +suid 1,0 +) +) +uid 51,0 +) +*15 (LogPort +port (LogicalPort +lang 2 +decl (Decl +n "logic_strobe_i" +t "std_logic" +eolc "! Pulses high once every 4 cycles of clk_4x_logic" +preAdd 0 +posAdd 0 +o 5 +suid 2,0 +) +) +uid 53,0 +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "ipbus_clk_i" +t "std_logic" +o 2 +suid 3,0 +) +) +uid 55,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "ipbus_i" +t "ipb_wbus" +eolc "Signals from IPBus core to slave" +o 3 +suid 4,0 +) +) +uid 57,0 +) +*18 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "ipbus_o" +t "ipb_rbus" +eolc "signals from slave to IPBus core" +o 6 +suid 5,0 +) +) +uid 59,0 +) +*19 (LogPort +port (LogicalPort +decl (Decl +n "ipbus_reset_i" +t "std_logic" +o 4 +suid 6,0 +) +) +uid 61,0 +) +*20 (LogPort +port (LogicalPort +lang 2 +decl (Decl +n "trigger_i" +t "std_logic_vector" +b "(g_NUM_INPUTS-1 DOWNTO 0)" +eolc "! High when trigger from input conector active" +o 7 +suid 7,0 +) +) +uid 63,0 +) +*21 (LogPort +port (LogicalPort +decl (Decl +n "veto_i" +t "std_logic" +eolc "! Halts triggers when high" +o 8 +suid 8,0 +) +) +uid 65,0 +) +*22 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "post_veto_trigger_o" +t "std_logic" +eolc "! goes high when trigger passes" +o 9 +suid 9,0 +) +) +uid 107,0 +) +*23 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "trigger_active_o" +t "std_logic" +eolc "--! Goes high when triggers are active ( ie. not veoted)" +o 10 +suid 10,0 +) +) +uid 242,0 +) +*24 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "pre_veto_trigger_o" +t "std_logic" +o 11 +suid 11,0 +) +) +uid 274,0 +) +*25 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "event_number_o" +t "std_logic_vector" +b "(g_IPBUS_WIDTH-1 downto 0)" +eolc "starts at one. Increments for each post_veto_trigger" +o 12 +suid 12,0 +) +) +uid 350,0 +) +*26 (LogPort +port (LogicalPort +decl (Decl +n "logic_reset_i" +t "std_logic" +eolc "active high. Synchronous with clk_4x_logic" +o 13 +suid 13,0 +) +) +uid 357,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 129,0 +optionalChildren [ +*27 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *28 (MRCItem +litem &1 +pos 13 +dimension 20 +) +uid 131,0 +optionalChildren [ +*29 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 132,0 +) +*30 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 133,0 +) +*31 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 134,0 +) +*32 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 52,0 +) +*33 (MRCItem +litem &15 +pos 2 +dimension 20 +uid 54,0 +) +*34 (MRCItem +litem &16 +pos 7 +dimension 20 +uid 56,0 +) +*35 (MRCItem +litem &17 +pos 8 +dimension 20 +uid 58,0 +) +*36 (MRCItem +litem &18 +pos 9 +dimension 20 +uid 60,0 +) +*37 (MRCItem +litem &19 +pos 10 +dimension 20 +uid 62,0 +) +*38 (MRCItem +litem &20 +pos 4 +dimension 20 +uid 64,0 +) +*39 (MRCItem +litem &21 +pos 3 +dimension 20 +uid 66,0 +) +*40 (MRCItem +litem &22 +pos 5 +dimension 20 +uid 108,0 +) +*41 (MRCItem +litem &23 +pos 11 +dimension 20 +uid 243,0 +) +*42 (MRCItem +litem &24 +pos 6 +dimension 20 +uid 275,0 +) +*43 (MRCItem +litem &25 +pos 12 +dimension 20 +uid 351,0 +) +*44 (MRCItem +litem &26 +pos 1 +dimension 20 +uid 358,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 135,0 +optionalChildren [ +*45 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 136,0 +) +*46 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 137,0 +) +*47 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 138,0 +) +*48 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 139,0 +) +*49 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 140,0 +) +*50 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 141,0 +) +*51 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 142,0 +) +*52 (MRCItem +litem &13 +pos 7 +dimension 292 +uid 143,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 130,0 +vaOverrides [ +] +) +] +) +uid 115,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *53 (LEmptyRow +) +uid 145,0 +optionalChildren [ +*54 (RefLabelRowHdr +) +*55 (TitleRowHdr +) +*56 (FilterRowHdr +) +*57 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*58 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*59 (GroupColHdr +tm "GroupColHdrMgr" +) +*60 (NameColHdr +tm "GenericNameColHdrMgr" +) +*61 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*62 (InitColHdr +tm "GenericValueColHdrMgr" +) +*63 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*64 (EolColHdr +tm "GenericEolColHdrMgr" +) +*65 (LogGeneric +generic (GiElement +name "g_NUM_INPUTS" +type "positive" +value "4" +) +uid 171,0 +) +*66 (LogGeneric +generic (GiElement +name "g_IPBUS_WIDTH" +type "positive" +value "32" +) +uid 272,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 157,0 +optionalChildren [ +*67 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *68 (MRCItem +litem &53 +pos 2 +dimension 20 +) +uid 159,0 +optionalChildren [ +*69 (MRCItem +litem &54 +pos 0 +dimension 20 +uid 160,0 +) +*70 (MRCItem +litem &55 +pos 1 +dimension 23 +uid 161,0 +) +*71 (MRCItem +litem &56 +pos 2 +hidden 1 +dimension 20 +uid 162,0 +) +*72 (MRCItem +litem &65 +pos 0 +dimension 20 +uid 172,0 +) +*73 (MRCItem +litem &66 +pos 1 +dimension 20 +uid 273,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 163,0 +optionalChildren [ +*74 (MRCItem +litem &57 +pos 0 +dimension 20 +uid 164,0 +) +*75 (MRCItem +litem &59 +pos 1 +dimension 50 +uid 165,0 +) +*76 (MRCItem +litem &60 +pos 2 +dimension 100 +uid 166,0 +) +*77 (MRCItem +litem &61 +pos 3 +dimension 100 +uid 167,0 +) +*78 (MRCItem +litem &62 +pos 4 +dimension 50 +uid 168,0 +) +*79 (MRCItem +litem &63 +pos 5 +dimension 50 +uid 169,0 +) +*80 (MRCItem +litem &64 +pos 6 +dimension 80 +uid 170,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 158,0 +vaOverrides [ +] +) +] +) +uid 144,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl" +) +(vvPair +variable "HDSDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/trigger@logic/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/trigger@logic/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "config" +value "%(unit)_config" +) +(vvPair +variable "d" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/trigger@logic" +) +(vvPair +variable "d_logical" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/triggerLogic" +) +(vvPair +variable "date" +value "12/10/12" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "10" +) +(vvPair +variable "entity_name" +value "triggerLogic" +) +(vvPair +variable "ext" +value "<TBD>" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "group" +value "HEP_Instrumentation" +) +(vvPair +variable "host" +value "kipper.phy.bris.ac.uk" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "work" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/designcheck" +) +(vvPair +variable "library_downstream_ISEPARInvoke" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/ise" +) +(vvPair +variable "library_downstream_ImpactInvoke" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/ise" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/work" +) +(vvPair +variable "library_downstream_XSTDataPrep" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/ise" +) +(vvPair +variable "mm" +value "12" +) +(vvPair +variable "module_name" +value "triggerLogic" +) +(vvPair +variable "month" +value "Dec" +) +(vvPair +variable "month_long" +value "December" +) +(vvPair +variable "p" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/trigger@logic/symbol.sb" +) +(vvPair +variable "p_logical" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/triggerLogic/symbol.sb" +) +(vvPair +variable "package_name" +value "<Undefined Variable>" +) +(vvPair +variable "project_name" +value "fmc_mTLU" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "<TBD>" +) +(vvPair +variable "task_DesignCompilerPath" +value "<TBD>" +) +(vvPair +variable "task_LeonardoPath" +value "/opt/CAD/Mentor/LeonardoSpectrum_2008a/bin" +) +(vvPair +variable "task_ModelSimPath" +value "/opt/CAD/Mentor/Modelsim/6.4a/modeltech/bin" +) +(vvPair +variable "task_NC-SimPath" +value "<TBD>" +) +(vvPair +variable "task_PrecisionRTLPath" +value "/opt/CAD/Mentor/Precis_Synth_2009a_update2/Mgc_home/bin" +) +(vvPair +variable "task_QuestaSimPath" +value "/opt/CAD/Mentor/HDS2008.1b/questasim/bin" +) +(vvPair +variable "task_VCSPath" +value "<TBD>" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "10:56:05" +) +(vvPair +variable "unit" +value "triggerLogic" +) +(vvPair +variable "user" +value "phdgc" +) +(vvPair +variable "version" +value "2010.3 (Build 21)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2012" +) +(vvPair +variable "yy" +value "12" +) +] +) +LanguageMgr "VhdlLangMgr" +uid 114,0 +optionalChildren [ +*81 (SymbolBody +uid 8,0 +optionalChildren [ +*82 (CptPort +uid 67,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 68,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "7250,12625,8000,13375" +) +tg (CPTG +uid 69,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 70,0 +va (VaSet +font "courier,8,0" +) +xt "9000,12550,16500,13450" +st "clk_4x_logic_i" +blo "9000,13250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 71,0 +va (VaSet +font "courier,8,0" +) +xt "44000,1800,77000,2700" +st "clk_4x_logic_i : IN std_logic ; -- ! Rising edge active +" +) +thePort (LogicalPort +lang 2 +decl (Decl +n "clk_4x_logic_i" +t "std_logic" +eolc "! Rising edge active" +preAdd 0 +posAdd 0 +o 1 +suid 1,0 +) +) +) +*83 (CptPort +uid 72,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 73,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "7250,15625,8000,16375" +) +tg (CPTG +uid 74,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 75,0 +va (VaSet +font "courier,8,0" +) +xt "9000,15550,16500,16450" +st "logic_strobe_i" +blo "9000,16250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 76,0 +va (VaSet +font "courier,8,0" +) +xt "44000,6300,91500,7200" +st "logic_strobe_i : IN std_logic ; -- ! Pulses high once every 4 cycles of clk_4x_logic +" +) +thePort (LogicalPort +lang 2 +decl (Decl +n "logic_strobe_i" +t "std_logic" +eolc "! Pulses high once every 4 cycles of clk_4x_logic" +preAdd 0 +posAdd 0 +o 5 +suid 2,0 +) +) +) +*84 (CptPort +uid 77,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 78,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "7250,24625,8000,25375" +) +tg (CPTG +uid 79,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 80,0 +va (VaSet +font "courier,8,0" +) +xt "9000,24550,15000,25450" +st "ipbus_clk_i" +blo "9000,25250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 81,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2700,65000,3600" +st "ipbus_clk_i : IN std_logic ; +" +) +thePort (LogicalPort +decl (Decl +n "ipbus_clk_i" +t "std_logic" +o 2 +suid 3,0 +) +) +) +*85 (CptPort +uid 82,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 83,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "7250,27625,8000,28375" +) +tg (CPTG +uid 84,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 85,0 +va (VaSet +font "courier,8,0" +) +xt "9000,27550,12500,28450" +st "ipbus_i" +blo "9000,28250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 86,0 +va (VaSet +font "courier,8,0" +) +xt "44000,3600,82500,4500" +st "ipbus_i : IN ipb_wbus ; -- Signals from IPBus core to slave +" +) +thePort (LogicalPort +decl (Decl +n "ipbus_i" +t "ipb_wbus" +eolc "Signals from IPBus core to slave" +o 3 +suid 4,0 +) +) +) +*86 (CptPort +uid 87,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 88,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45000,26625,45750,27375" +) +tg (CPTG +uid 89,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 90,0 +va (VaSet +font "courier,8,0" +) +xt "40500,26550,44000,27450" +st "ipbus_o" +ju 2 +blo "44000,27250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 91,0 +va (VaSet +font "courier,8,0" +) +xt "44000,9900,82500,10800" +st "ipbus_o : OUT ipb_rbus ; -- signals from slave to IPBus core +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "ipbus_o" +t "ipb_rbus" +eolc "signals from slave to IPBus core" +o 6 +suid 5,0 +) +) +) +*87 (CptPort +uid 92,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 93,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "7250,30625,8000,31375" +) +tg (CPTG +uid 94,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 95,0 +va (VaSet +font "courier,8,0" +) +xt "9000,30550,16000,31450" +st "ipbus_reset_i" +blo "9000,31250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 96,0 +va (VaSet +font "courier,8,0" +) +xt "44000,4500,65000,5400" +st "ipbus_reset_i : IN std_logic ; +" +) +thePort (LogicalPort +decl (Decl +n "ipbus_reset_i" +t "std_logic" +o 4 +suid 6,0 +) +) +) +*88 (CptPort +uid 97,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 98,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "7250,18625,8000,19375" +) +tg (CPTG +uid 99,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 100,0 +va (VaSet +font "courier,8,0" +) +xt "9000,18550,24500,19450" +st "trigger_i : (g_NUM_INPUTS-1:0)" +blo "9000,19250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 101,0 +va (VaSet +font "courier,8,0" +) +xt "44000,7200,106000,8100" +st "trigger_i : IN std_logic_vector (g_NUM_INPUTS-1 DOWNTO 0) ; -- ! High when trigger from input conector active +" +) +thePort (LogicalPort +lang 2 +decl (Decl +n "trigger_i" +t "std_logic_vector" +b "(g_NUM_INPUTS-1 DOWNTO 0)" +eolc "! High when trigger from input conector active" +o 7 +suid 7,0 +) +) +) +*89 (CptPort +uid 102,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 103,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "7250,21625,8000,22375" +) +tg (CPTG +uid 104,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 105,0 +va (VaSet +font "courier,8,0" +) +xt "9000,21550,12000,22450" +st "veto_i" +blo "9000,22250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 106,0 +va (VaSet +font "courier,8,0" +) +xt "44000,8100,80000,9000" +st "veto_i : IN std_logic ; -- ! Halts triggers when high +" +) +thePort (LogicalPort +decl (Decl +n "veto_i" +t "std_logic" +eolc "! Halts triggers when high" +o 8 +suid 8,0 +) +) +) +*90 (CptPort +uid 109,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 110,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45000,16625,45750,17375" +) +tg (CPTG +uid 111,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 112,0 +va (VaSet +font "courier,8,0" +) +xt "34000,16550,44000,17450" +st "post_veto_trigger_o" +ju 2 +blo "44000,17250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 113,0 +va (VaSet +font "courier,8,0" +) +xt "44000,10800,82500,11700" +st "post_veto_trigger_o : OUT std_logic ; -- ! goes high when trigger passes +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "post_veto_trigger_o" +t "std_logic" +eolc "! goes high when trigger passes" +o 9 +suid 9,0 +) +) +) +*91 (CptPort +uid 244,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 245,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45000,27625,45750,28375" +) +tg (CPTG +uid 246,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 247,0 +va (VaSet +font "courier,8,0" +) +xt "35500,27550,44000,28450" +st "trigger_active_o" +ju 2 +blo "44000,28250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 248,0 +va (VaSet +font "courier,8,0" +) +xt "44000,12600,92500,13500" +st "trigger_active_o : OUT std_logic --! Goes high when triggers are active ( ie. not veoted) +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "trigger_active_o" +t "std_logic" +eolc "--! Goes high when triggers are active ( ie. not veoted)" +o 10 +suid 10,0 +) +) +) +*92 (CptPort +uid 276,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 277,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45000,28625,45750,29375" +) +tg (CPTG +uid 278,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 279,0 +va (VaSet +font "courier,8,0" +) +xt "34500,28550,44000,29450" +st "pre_veto_trigger_o" +ju 2 +blo "44000,29250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 280,0 +va (VaSet +font "courier,8,0" +) +xt "44000,11700,65000,12600" +st "pre_veto_trigger_o : OUT std_logic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "pre_veto_trigger_o" +t "std_logic" +o 11 +suid 11,0 +) +) +) +*93 (CptPort +uid 352,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 353,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45000,29625,45750,30375" +) +tg (CPTG +uid 354,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 355,0 +va (VaSet +font "courier,8,0" +) +xt "25500,29550,44000,30450" +st "event_number_o : (g_IPBUS_WIDTH-1:0)" +ju 2 +blo "44000,30250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 356,0 +va (VaSet +font "courier,8,0" +) +xt "44000,9000,109500,9900" +st "event_number_o : OUT std_logic_vector (g_IPBUS_WIDTH-1 downto 0) ; -- starts at one. Increments for each post_veto_trigger +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "event_number_o" +t "std_logic_vector" +b "(g_IPBUS_WIDTH-1 downto 0)" +eolc "starts at one. Increments for each post_veto_trigger" +o 12 +suid 12,0 +) +) +) +*94 (CptPort +uid 359,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 360,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "7250,31625,8000,32375" +) +tg (CPTG +uid 361,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 362,0 +va (VaSet +font "courier,8,0" +) +xt "9000,31550,16000,32450" +st "logic_reset_i" +blo "9000,32250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 363,0 +va (VaSet +font "courier,8,0" +) +xt "44000,5400,88000,6300" +st "logic_reset_i : IN std_logic ; -- active high. Synchronous with clk_4x_logic +" +) +thePort (LogicalPort +decl (Decl +n "logic_reset_i" +t "std_logic" +eolc "active high. Synchronous with clk_4x_logic" +o 13 +suid 13,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "8000,12000,45000,33000" +) +oxt "15000,6000,47000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "17750,21100,19750,22000" +st "work" +blo "17750,21800" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "17750,22000,24250,22900" +st "triggerLogic" +blo "17750,22700" +) +) +gi *95 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "18000,9300,32000,12900" +st "Generic Declarations + +g_NUM_INPUTS positive 4 +g_IPBUS_WIDTH positive 32 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "g_NUM_INPUTS" +type "positive" +value "4" +) +(GiElement +name "g_IPBUS_WIDTH" +type "positive" +value "32" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +*96 (Grouping +uid 16,0 +optionalChildren [ +*97 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,50000,49000,51000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "32200,50050,44200,50950" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*98 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "49000,46000,53000,47000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "49200,46050,53200,46950" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*99 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,49000,49000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "32200,48050,45700,48950" +st " +<enter diagram title here> +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*100 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "28000,48000,32000,49000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "28200,48050,31200,48950" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*101 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "49000,47000,69000,51000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "49200,47200,60200,48100" +st " +<enter comments here> +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*102 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,46000,69000,47000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "53200,46050,57200,46950" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*103 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "28000,46000,49000,48000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "34000,46500,43000,47500" +st " +<company name> +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*104 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "28000,49000,32000,50000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "28200,49050,30700,49950" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*105 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "28000,50000,32000,51000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "28200,50050,31700,50950" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*106 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,49000,49000,50000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "32200,49050,44700,49950" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "28000,46000,69000,51000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *107 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*108 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,6500,900" +st "Package List" +blo "0,700" +) +*109 (MLText +uid 50,0 +va (VaSet +font "courier,8,0" +) +xt "0,900,14500,5400" +st "LIBRARY ieee; +USE ieee.std_logic_1164.all; +USE ieee.numeric_std.all; + +USE work.ipbus.all;" +tm "PackageList" +) +] +) +windowSize "1982,372,2997,1062" +viewArea "-500,-500,24844,16108" +cachedDiagramExtent "0,0,109500,51000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,4000,1900" +st "Panel0" +blo "1000,1700" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,39000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "24750,15100,29250,16000" +st "<library>" +blo "24750,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "24750,16000,27750,16900" +st "<cell>" +blo "24750,16700" +) +) +gi *110 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *111 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "42000,0,48500,900" +st "Declarations" +blo "42000,700" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "42000,900,45000,1800" +st "Ports:" +blo "42000,1600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "42000,13500,44500,14400" +st "User:" +blo "42000,14200" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "42000,0,49500,900" +st "Internal User:" +blo "42000,700" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "44000,14400,44000,14400" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 363,0 +activeModelName "Symbol:CDM" +) diff --git a/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/udp_shim/rtl.bd b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/udp_shim/rtl.bd new file mode 100644 index 00000000..85991d0d --- /dev/null +++ b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/udp_shim/rtl.bd @@ -0,0 +1,5258 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dmPackageRefs [ +] +embeddedInstances [ +(EmbeddedInstance +name "eb1" +number "1" +) +(EmbeddedInstance +name "eb2" +number "2" +) +(EmbeddedInstance +name "eb3" +number "3" +) +(EmbeddedInstance +name "eb4" +number "4" +) +(EmbeddedInstance +name "eb5" +number "5" +) +(EmbeddedInstance +name "eb6" +number "6" +) +(EmbeddedInstance +name "eb7" +number "7" +) +(EmbeddedInstance +name "eb8" +number "8" +) +(EmbeddedInstance +name "eb9" +number "9" +) +(EmbeddedInstance +name "eb10" +number "10" +) +(EmbeddedInstance +name "eb11" +number "11" +) +] +properties [ +(HdrProperty +class "HDS" +name "DocView" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/workspace/IPbusFirmware/firmware/ipbus/hdl/udp_shim.vhd" +) +(HdrProperty +class "HDS" +name "DocViewState" +value "1352727141" +) +] +) +version "30.1" +appVersion "2010.3 (Build 21)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl" +) +(vvPair +variable "HDSDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/udp_shim/rtl.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/udp_shim/rtl.bd.user" +) +(vvPair +variable "SourceDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "rtl" +) +(vvPair +variable "config" +value "%(unit)_config" +) +(vvPair +variable "d" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/udp_shim" +) +(vvPair +variable "d_logical" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/udp_shim" +) +(vvPair +variable "date" +value "11/13/12" +) +(vvPair +variable "day" +value "Tue" +) +(vvPair +variable "day_long" +value "Tuesday" +) +(vvPair +variable "dd" +value "13" +) +(vvPair +variable "entity_name" +value "udp_shim" +) +(vvPair +variable "ext" +value "<TBD>" +) +(vvPair +variable "f" +value "rtl.bd" +) +(vvPair +variable "f_logical" +value "rtl.bd" +) +(vvPair +variable "f_noext" +value "rtl" +) +(vvPair +variable "group" +value "HEP_Instrumentation" +) +(vvPair +variable "host" +value "kipper.phy.bris.ac.uk" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "fmc_mTLU_lib" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/designcheck" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "udp_shim" +) +(vvPair +variable "month" +value "Nov" +) +(vvPair +variable "month_long" +value "November" +) +(vvPair +variable "p" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/udp_shim/rtl.bd" +) +(vvPair +variable "p_logical" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/udp_shim/rtl.bd" +) +(vvPair +variable "package_name" +value "<Undefined Variable>" +) +(vvPair +variable "project_name" +value "fmc_mTLU" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "<TBD>" +) +(vvPair +variable "task_DesignCompilerPath" +value "<TBD>" +) +(vvPair +variable "task_LeonardoPath" +value "/opt/CAD/Mentor/LeonardoSpectrum_2008a/bin" +) +(vvPair +variable "task_ModelSimPath" +value "/opt/CAD/Mentor/Modelsim/6.4a/modeltech/bin" +) +(vvPair +variable "task_NC-SimPath" +value "<TBD>" +) +(vvPair +variable "task_PrecisionRTLPath" +value "/opt/CAD/Mentor/Precis_Synth_2009a_update2/Mgc_home/bin" +) +(vvPair +variable "task_QuestaSimPath" +value "/opt/CAD/Mentor/HDS2008.1b/questasim/bin" +) +(vvPair +variable "task_VCSPath" +value "<TBD>" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "rtl" +) +(vvPair +variable "this_file_logical" +value "rtl" +) +(vvPair +variable "time" +value "16:59:31" +) +(vvPair +variable "unit" +value "udp_shim" +) +(vvPair +variable "user" +value "phdgc" +) +(vvPair +variable "version" +value "2010.3 (Build 21)" +) +(vvPair +variable "view" +value "rtl" +) +(vvPair +variable "year" +value "2012" +) +(vvPair +variable "yy" +value "12" +) +] +) +LanguageMgr "VhdlLangMgr" +optionalChildren [ +*1 (Net +uid 9,0 +decl (Decl +n "lenw" +t "std_logic" +o 1 +suid 1,0 +) +declText (MLText +uid 10,0 +va (VaSet +font "courier,8,0" +) +xt "20000,49300,36500,50200" +st "SIGNAL lenw : std_logic +" +) +) +*2 (Net +uid 11,0 +decl (Decl +n "new_d" +t "std_logic" +o 2 +suid 2,0 +) +declText (MLText +uid 12,0 +va (VaSet +font "courier,8,0" +) +xt "20000,50200,36500,51100" +st "SIGNAL new_d : std_logic +" +) +) +*3 (Net +uid 13,0 +decl (Decl +n "ready" +t "std_logic" +o 3 +suid 3,0 +) +declText (MLText +uid 14,0 +va (VaSet +font "courier,8,0" +) +xt "20000,51100,36500,52000" +st "SIGNAL ready : std_logic +" +) +) +*4 (Net +uid 15,0 +decl (Decl +n "tdone_d" +t "std_logic" +o 4 +suid 4,0 +) +declText (MLText +uid 16,0 +va (VaSet +font "courier,8,0" +) +xt "20000,52000,36500,52900" +st "SIGNAL tdone_d : std_logic +" +) +) +*5 (Net +uid 17,0 +decl (Decl +n "done_i" +t "std_logic" +o 5 +suid 5,0 +) +declText (MLText +uid 18,0 +va (VaSet +font "courier,8,0" +) +xt "20000,52900,36500,53800" +st "SIGNAL done_i : std_logic +" +) +) +*6 (PortIoIn +uid 19,0 +shape (CompositeShape +uid 20,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 21,0 +sl 0 +ro 270 +xt "23000,10625,24500,11375" +) +(Line +uid 22,0 +sl 0 +ro 270 +xt "24500,11000,25000,11000" +pts [ +"24500,11000" +"25000,11000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 23,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 24,0 +va (VaSet +font "courier,8,0" +) +xt "20500,10500,22000,11400" +st "clk" +ju 2 +blo "22000,11200" +tm "WireNameMgr" +) +) +) +*7 (Net +uid 25,0 +decl (Decl +n "clk" +t "std_logic" +o 6 +suid 6,0 +) +declText (MLText +uid 26,0 +va (VaSet +font "courier,8,0" +) +xt "20000,35800,33000,36700" +st "clk : std_logic +" +) +) +*8 (PortIoIn +uid 33,0 +shape (CompositeShape +uid 34,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 35,0 +sl 0 +ro 270 +xt "23000,11625,24500,12375" +) +(Line +uid 36,0 +sl 0 +ro 270 +xt "24500,12000,25000,12000" +pts [ +"24500,12000" +"25000,12000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 37,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 38,0 +va (VaSet +font "courier,8,0" +) +xt "19500,11500,22000,12400" +st "reset" +ju 2 +blo "22000,12200" +tm "WireNameMgr" +) +) +) +*9 (Net +uid 39,0 +decl (Decl +n "reset" +t "std_logic" +o 7 +suid 7,0 +) +declText (MLText +uid 40,0 +va (VaSet +font "courier,8,0" +) +xt "20000,36700,33000,37600" +st "reset : std_logic +" +) +) +*10 (PortIoOut +uid 47,0 +shape (CompositeShape +uid 48,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 49,0 +sl 0 +ro 270 +xt "117500,21625,119000,22375" +) +(Line +uid 50,0 +sl 0 +ro 270 +xt "117000,22000,117500,22000" +pts [ +"117000,22000" +"117500,22000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 51,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 52,0 +va (VaSet +font "courier,8,0" +) +xt "120000,21500,122000,22400" +st "moti" +blo "120000,22200" +tm "WireNameMgr" +) +) +) +*11 (Net +uid 53,0 +decl (Decl +n "moti" +t "trans_moti" +o 8 +suid 8,0 +) +declText (MLText +uid 54,0 +va (VaSet +font "courier,8,0" +) +xt "20000,37600,33500,38500" +st "moti : trans_moti +" +) +) +*12 (PortIoIn +uid 61,0 +shape (CompositeShape +uid 62,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 63,0 +sl 0 +ro 270 +xt "-4000,21625,-2500,22375" +) +(Line +uid 64,0 +sl 0 +ro 270 +xt "-2500,22000,-2000,22000" +pts [ +"-2500,22000" +"-2000,22000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 65,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 66,0 +va (VaSet +font "courier,8,0" +) +xt "-7000,21500,-5000,22400" +st "tomi" +ju 2 +blo "-5000,22200" +tm "WireNameMgr" +) +) +) +*13 (Net +uid 67,0 +decl (Decl +n "tomi" +t "trans_tomi" +o 9 +suid 9,0 +) +declText (MLText +uid 68,0 +va (VaSet +font "courier,8,0" +) +xt "20000,38500,33500,39400" +st "tomi : trans_tomi +" +) +) +*14 (PortIoIn +uid 75,0 +shape (CompositeShape +uid 76,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 77,0 +sl 0 +ro 270 +xt "57000,14625,58500,15375" +) +(Line +uid 78,0 +sl 0 +ro 270 +xt "58500,15000,59000,15000" +pts [ +"58500,15000" +"59000,15000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 79,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 80,0 +va (VaSet +font "courier,8,0" +) +xt "49000,14500,56000,15400" +st "packet_data_i" +ju 2 +blo "56000,15200" +tm "WireNameMgr" +) +) +) +*15 (Net +uid 81,0 +decl (Decl +n "packet_data_i" +t "std_logic_vector" +b "(31 downto 0)" +o 10 +suid 10,0 +) +declText (MLText +uid 82,0 +va (VaSet +font "courier,8,0" +) +xt "20000,39400,43000,40300" +st "packet_data_i : std_logic_vector(31 downto 0) +" +) +) +*16 (PortIoIn +uid 89,0 +shape (CompositeShape +uid 90,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 91,0 +sl 0 +ro 270 +xt "57000,15625,58500,16375" +) +(Line +uid 92,0 +sl 0 +ro 270 +xt "58500,16000,59000,16000" +pts [ +"58500,16000" +"59000,16000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 93,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 94,0 +va (VaSet +font "courier,8,0" +) +xt "49500,15500,56000,16400" +st "packet_len_i" +ju 2 +blo "56000,16200" +tm "WireNameMgr" +) +) +) +*17 (Net +uid 95,0 +decl (Decl +n "packet_len_i" +t "rbuf_a" +o 11 +suid 11,0 +) +declText (MLText +uid 96,0 +va (VaSet +font "courier,8,0" +) +xt "20000,40300,31500,41200" +st "packet_len_i : rbuf_a +" +) +) +*18 (PortIoOut +uid 103,0 +shape (CompositeShape +uid 104,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 105,0 +sl 0 +ro 270 +xt "82500,26625,84000,27375" +) +(Line +uid 106,0 +sl 0 +ro 270 +xt "82000,27000,82500,27000" +pts [ +"82000,27000" +"82500,27000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 107,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 108,0 +va (VaSet +font "courier,8,0" +) +xt "85000,26500,92000,27400" +st "packet_addr_i" +blo "85000,27200" +tm "WireNameMgr" +) +) +) +*19 (Net +uid 109,0 +decl (Decl +n "packet_addr_i" +t "rbuf_a" +o 12 +suid 12,0 +) +declText (MLText +uid 110,0 +va (VaSet +font "courier,8,0" +) +xt "20000,41200,31500,42100" +st "packet_addr_i : rbuf_a +" +) +) +*20 (PortIoOut +uid 117,0 +shape (CompositeShape +uid 118,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 119,0 +sl 0 +ro 270 +xt "112500,15625,114000,16375" +) +(Line +uid 120,0 +sl 0 +ro 270 +xt "112000,16000,112500,16000" +pts [ +"112000,16000" +"112500,16000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 121,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 122,0 +va (VaSet +font "courier,8,0" +) +xt "115000,15500,122000,16400" +st "packet_data_o" +blo "115000,16200" +tm "WireNameMgr" +) +) +) +*21 (Net +uid 123,0 +decl (Decl +n "packet_data_o" +t "std_logic_vector" +b "(31 downto 0)" +o 13 +suid 13,0 +) +declText (MLText +uid 124,0 +va (VaSet +font "courier,8,0" +) +xt "20000,42100,43000,43000" +st "packet_data_o : std_logic_vector(31 downto 0) +" +) +) +*22 (PortIoOut +uid 131,0 +shape (CompositeShape +uid 132,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 133,0 +sl 0 +ro 270 +xt "97500,15625,99000,16375" +) +(Line +uid 134,0 +sl 0 +ro 270 +xt "97000,16000,97500,16000" +pts [ +"97000,16000" +"97500,16000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 135,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 136,0 +va (VaSet +font "courier,8,0" +) +xt "100000,15500,107000,16400" +st "packet_addr_o" +blo "100000,16200" +tm "WireNameMgr" +) +) +) +*23 (Net +uid 137,0 +decl (Decl +n "packet_addr_o" +t "wbuf_a" +o 14 +suid 14,0 +) +declText (MLText +uid 138,0 +va (VaSet +font "courier,8,0" +) +xt "20000,43000,31500,43900" +st "packet_addr_o : wbuf_a +" +) +) +*24 (PortIoOut +uid 145,0 +shape (CompositeShape +uid 146,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 147,0 +sl 0 +ro 270 +xt "30500,10625,32000,11375" +) +(Line +uid 148,0 +sl 0 +ro 270 +xt "30000,11000,30500,11000" +pts [ +"30000,11000" +"30500,11000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 149,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 150,0 +va (VaSet +font "courier,8,0" +) +xt "33000,10500,39500,11400" +st "packet_len_o" +blo "33000,11200" +tm "WireNameMgr" +) +) +) +*25 (Net +uid 151,0 +decl (Decl +n "packet_len_o" +t "wbuf_a" +o 15 +suid 15,0 +) +declText (MLText +uid 152,0 +va (VaSet +font "courier,8,0" +) +xt "20000,43900,31500,44800" +st "packet_len_o : wbuf_a +" +) +) +*26 (PortIoOut +uid 159,0 +shape (CompositeShape +uid 160,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 161,0 +sl 0 +ro 270 +xt "30500,-375,32000,375" +) +(Line +uid 162,0 +sl 0 +ro 270 +xt "30000,0,30500,0" +pts [ +"30000,0" +"30500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 163,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 164,0 +va (VaSet +font "courier,8,0" +) +xt "33000,-500,39000,400" +st "packet_we_o" +blo "33000,200" +tm "WireNameMgr" +) +) +) +*27 (Net +uid 165,0 +decl (Decl +n "packet_we_o" +t "std_logic" +o 16 +suid 16,0 +) +declText (MLText +uid 166,0 +va (VaSet +font "courier,8,0" +) +xt "20000,44800,33000,45700" +st "packet_we_o : std_logic +" +) +) +*28 (PortIoIn +uid 173,0 +shape (CompositeShape +uid 174,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 175,0 +sl 0 +ro 270 +xt "23000,12625,24500,13375" +) +(Line +uid 176,0 +sl 0 +ro 270 +xt "24500,13000,25000,13000" +pts [ +"24500,13000" +"25000,13000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 177,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 178,0 +va (VaSet +font "courier,8,0" +) +xt "16500,12500,22000,13400" +st "new_packet" +ju 2 +blo "22000,13200" +tm "WireNameMgr" +) +) +) +*29 (Net +uid 179,0 +decl (Decl +n "new_packet" +t "std_logic" +o 17 +suid 17,0 +) +declText (MLText +uid 180,0 +va (VaSet +font "courier,8,0" +) +xt "20000,45700,33000,46600" +st "new_packet : std_logic +" +) +) +*30 (PortIoOut +uid 187,0 +shape (CompositeShape +uid 188,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 189,0 +sl 0 +ro 270 +xt "11500,-375,13000,375" +) +(Line +uid 190,0 +sl 0 +ro 270 +xt "11000,0,11500,0" +pts [ +"11000,0" +"11500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 191,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 192,0 +va (VaSet +font "courier,8,0" +) +xt "14000,-500,16000,400" +st "done" +blo "14000,200" +tm "WireNameMgr" +) +) +) +*31 (Net +uid 193,0 +decl (Decl +n "done" +t "std_logic" +o 18 +suid 18,0 +) +declText (MLText +uid 194,0 +va (VaSet +font "courier,8,0" +) +xt "20000,46600,33000,47500" +st "done : std_logic +" +) +) +*32 (HdlText +uid 201,0 +optionalChildren [ +*33 (EmbeddedText +uid 207,0 +commentText (CommentText +uid 208,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 209,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "68000,-1000,86000,4000" +) +text (MLText +uid 210,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "68200,-800,79400,100" +st " +moti.addr_rst <= '1'; + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +] +shape (Rectangle +uid 202,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "60000,-1000,63000,2000" +) +ttg (MlTextGroup +uid 203,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*34 (Text +uid 204,0 +va (VaSet +font "courier,8,1" +) +xt "60750,-400,62250,500" +st "eb1" +blo "60750,300" +tm "HdlTextNameMgr" +) +*35 (Text +uid 205,0 +va (VaSet +font "courier,8,1" +) +xt "60750,500,61250,1400" +st "1" +blo "60750,1200" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 206,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "60250,250,61750,1750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*36 (HdlText +uid 219,0 +optionalChildren [ +*37 (EmbeddedText +uid 225,0 +commentText (CommentText +uid 226,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 227,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "68000,14000,86000,19000" +) +text (MLText +uid 228,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "68200,14200,85700,18700" +st " +moti.rdata <= packet_data_i when tomi.raddr /= (rbuf_a'range => '0') else + std_logic_vector(to_unsigned(0, 32 - packet_len_i'length)) & packet_len_i; + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +] +shape (Rectangle +uid 220,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "60000,14000,63000,18000" +) +ttg (MlTextGroup +uid 221,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*38 (Text +uid 222,0 +va (VaSet +font "courier,8,1" +) +xt "60750,15100,62250,16000" +st "eb2" +blo "60750,15800" +tm "HdlTextNameMgr" +) +*39 (Text +uid 223,0 +va (VaSet +font "courier,8,1" +) +xt "60750,16000,61250,16900" +st "2" +blo "60750,16700" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 224,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "60250,16250,61750,17750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*40 (HdlText +uid 261,0 +optionalChildren [ +*41 (EmbeddedText +uid 267,0 +commentText (CommentText +uid 268,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 269,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "86000,26000,104000,31000" +) +text (MLText +uid 270,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "86200,26200,103700,28000" +st " +packet_addr_i <= std_logic_vector(unsigned(tomi.raddr) - 1); + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +] +shape (Rectangle +uid 262,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "78000,26000,81000,29000" +) +ttg (MlTextGroup +uid 263,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*42 (Text +uid 264,0 +va (VaSet +font "courier,8,1" +) +xt "78750,26600,80250,27500" +st "eb3" +blo "78750,27300" +tm "HdlTextNameMgr" +) +*43 (Text +uid 265,0 +va (VaSet +font "courier,8,1" +) +xt "78750,27500,79250,28400" +st "3" +blo "78750,28200" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 266,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "78250,27250,79750,28750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*44 (HdlText +uid 287,0 +optionalChildren [ +*45 (EmbeddedText +uid 293,0 +commentText (CommentText +uid 294,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 295,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "101000,15000,119000,20000" +) +text (MLText +uid 296,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "101200,15200,118700,17000" +st " +packet_addr_o <= std_logic_vector(unsigned(tomi.waddr) - 1); + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +] +shape (Rectangle +uid 288,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "93000,15000,96000,18000" +) +ttg (MlTextGroup +uid 289,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*46 (Text +uid 290,0 +va (VaSet +font "courier,8,1" +) +xt "93750,15600,95250,16500" +st "eb4" +blo "93750,16300" +tm "HdlTextNameMgr" +) +*47 (Text +uid 291,0 +va (VaSet +font "courier,8,1" +) +xt "93750,16500,94250,17400" +st "4" +blo "93750,17200" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 292,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "93250,16250,94750,17750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*48 (HdlText +uid 313,0 +optionalChildren [ +*49 (EmbeddedText +uid 319,0 +commentText (CommentText +uid 320,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 321,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "116000,15000,134000,20000" +) +text (MLText +uid 322,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "116200,15200,130700,16100" +st " +packet_data_o <= tomi.wdata; + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +] +shape (Rectangle +uid 314,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "108000,15000,111000,18000" +) +ttg (MlTextGroup +uid 315,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*50 (Text +uid 316,0 +va (VaSet +font "courier,8,1" +) +xt "108750,15600,110250,16500" +st "eb5" +blo "108750,16300" +tm "HdlTextNameMgr" +) +*51 (Text +uid 317,0 +va (VaSet +font "courier,8,1" +) +xt "108750,16500,109250,17400" +st "5" +blo "108750,17200" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 318,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "108250,16250,109750,17750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*52 (HdlText +uid 339,0 +optionalChildren [ +*53 (EmbeddedText +uid 345,0 +commentText (CommentText +uid 346,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 347,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "15000,12000,33000,17000" +) +text (MLText +uid 348,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "15200,12200,31400,14000" +st " +lenw <= '1' when tomi.waddr = (wbuf_a'range => '0') else '0'; + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +] +shape (Rectangle +uid 340,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "7000,12000,10000,15000" +) +ttg (MlTextGroup +uid 341,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*54 (Text +uid 342,0 +va (VaSet +font "courier,8,1" +) +xt "7750,12600,9250,13500" +st "eb6" +blo "7750,13300" +tm "HdlTextNameMgr" +) +*55 (Text +uid 343,0 +va (VaSet +font "courier,8,1" +) +xt "7750,13500,8250,14400" +st "6" +blo "7750,14200" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 344,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "7250,13250,8750,14750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*56 (HdlText +uid 365,0 +optionalChildren [ +*57 (EmbeddedText +uid 371,0 +commentText (CommentText +uid 372,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 373,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "34000,-1000,52000,4000" +) +text (MLText +uid 374,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "34200,-800,50200,1000" +st " +packet_we_o <= tomi.we and not lenw; + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +] +shape (Rectangle +uid 366,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "26000,-1000,29000,2000" +) +ttg (MlTextGroup +uid 367,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*58 (Text +uid 368,0 +va (VaSet +font "courier,8,1" +) +xt "26750,-400,28250,500" +st "eb7" +blo "26750,300" +tm "HdlTextNameMgr" +) +*59 (Text +uid 369,0 +va (VaSet +font "courier,8,1" +) +xt "26750,500,27250,1400" +st "7" +blo "26750,1200" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 370,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "26250,250,27750,1750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*60 (HdlText +uid 399,0 +optionalChildren [ +*61 (EmbeddedText +uid 405,0 +commentText (CommentText +uid 406,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 407,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "34000,10000,52000,15000" +) +text (MLText +uid 408,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "34200,10200,49200,14700" +st " +process(clk) + begin + if rising_edge(clk) then + if lenw = '1' then + packet_len_o <= tomi.wdata(packet_len_o'range); + end if; + new_d <= new_packet; + ready <= ((ready and not done_i) or (new_packet and not new_d)) and not reset; + tdone_d <= tomi.done; + end if; + end process; + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +] +shape (Rectangle +uid 400,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "26000,10000,29000,17000" +) +ttg (MlTextGroup +uid 401,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*62 (Text +uid 402,0 +va (VaSet +font "courier,8,1" +) +xt "26750,12600,28250,13500" +st "eb8" +blo "26750,13300" +tm "HdlTextNameMgr" +) +*63 (Text +uid 403,0 +va (VaSet +font "courier,8,1" +) +xt "26750,13500,27250,14400" +st "8" +blo "26750,14200" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 404,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "26250,15250,27750,16750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*64 (HdlText +uid 489,0 +optionalChildren [ +*65 (EmbeddedText +uid 495,0 +commentText (CommentText +uid 496,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 497,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "51000,15000,69000,20000" +) +text (MLText +uid 498,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "51200,15200,65700,17000" +st " +done_i <= tomi.done and not tdone_d; + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +] +shape (Rectangle +uid 490,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "43000,15000,46000,18000" +) +ttg (MlTextGroup +uid 491,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*66 (Text +uid 492,0 +va (VaSet +font "courier,8,1" +) +xt "43750,15600,45250,16500" +st "eb9" +blo "43750,16300" +tm "HdlTextNameMgr" +) +*67 (Text +uid 493,0 +va (VaSet +font "courier,8,1" +) +xt "43750,16500,44250,17400" +st "9" +blo "43750,17200" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 494,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "43250,16250,44750,17750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*68 (HdlText +uid 523,0 +optionalChildren [ +*69 (EmbeddedText +uid 529,0 +commentText (CommentText +uid 530,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 531,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "15000,-1000,33000,4000" +) +text (MLText +uid 532,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "15200,-800,23200,100" +st " +done <= done_i; + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +] +shape (Rectangle +uid 524,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "7000,-1000,10000,2000" +) +ttg (MlTextGroup +uid 525,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*70 (Text +uid 526,0 +va (VaSet +font "courier,8,1" +) +xt "7500,-400,9500,500" +st "eb10" +blo "7500,300" +tm "HdlTextNameMgr" +) +*71 (Text +uid 527,0 +va (VaSet +font "courier,8,1" +) +xt "7500,500,8500,1400" +st "10" +blo "7500,1200" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 528,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "7250,250,8750,1750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*72 (HdlText +uid 549,0 +optionalChildren [ +*73 (EmbeddedText +uid 555,0 +commentText (CommentText +uid 556,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 557,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "51000,8000,69000,13000" +) +text (MLText +uid 558,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "51200,8200,61700,9100" +st " +moti.ready <= ready; + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +] +shape (Rectangle +uid 550,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "43000,8000,46000,11000" +) +ttg (MlTextGroup +uid 551,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*74 (Text +uid 552,0 +va (VaSet +font "courier,8,1" +) +xt "43500,8600,45500,9500" +st "eb11" +blo "43500,9300" +tm "HdlTextNameMgr" +) +*75 (Text +uid 553,0 +va (VaSet +font "courier,8,1" +) +xt "43500,9500,44500,10400" +st "11" +blo "43500,10200" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 554,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "43250,9250,44750,10750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*76 (Property +uid 597,0 +pclass "HDS" +pname "DocView" +pvalue "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/workspace/IPbusFirmware/firmware/ipbus/hdl/udp_shim.vhd" +ptn "String" +) +*77 (Property +uid 598,0 +pclass "HDS" +pname "DocViewState" +pvalue "1352727141" +ptn "String" +) +*78 (Wire +uid 27,0 +shape (OrthoPolyLine +uid 28,0 +va (VaSet +vasetType 3 +) +xt "25000,11000,26000,11000" +pts [ +"25000,11000" +"26000,11000" +] +) +start &6 +end &60 +ss 0 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 31,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 32,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "25000,10100,26500,11000" +st "clk" +blo "25000,10800" +tm "WireNameMgr" +) +) +on &7 +) +*79 (Wire +uid 41,0 +shape (OrthoPolyLine +uid 42,0 +va (VaSet +vasetType 3 +) +xt "25000,12000,26000,12000" +pts [ +"25000,12000" +"26000,12000" +] +) +start &8 +end &60 +ss 0 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 45,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 46,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "24000,11100,26500,12000" +st "reset" +blo "24000,11800" +tm "WireNameMgr" +) +) +on &9 +) +*80 (Wire +uid 69,0 +optionalChildren [ +*81 (BdJunction +uid 575,0 +ps "OnConnectorStrategy" +shape (Circle +uid 576,0 +va (VaSet +vasetType 1 +) +xt "-400,21600,400,22400" +radius 400 +) +) +*82 (BdJunction +uid 577,0 +ps "OnConnectorStrategy" +shape (Circle +uid 578,0 +va (VaSet +vasetType 1 +) +xt "19600,21600,20400,22400" +radius 400 +) +) +*83 (BdJunction +uid 579,0 +ps "OnConnectorStrategy" +shape (Circle +uid 580,0 +va (VaSet +vasetType 1 +) +xt "18600,21600,19400,22400" +radius 400 +) +) +*84 (BdJunction +uid 581,0 +ps "OnConnectorStrategy" +shape (Circle +uid 582,0 +va (VaSet +vasetType 1 +) +xt "36600,21600,37400,22400" +radius 400 +) +) +*85 (BdJunction +uid 583,0 +ps "OnConnectorStrategy" +shape (Circle +uid 584,0 +va (VaSet +vasetType 1 +) +xt "53600,21600,54400,22400" +radius 400 +) +) +*86 (BdJunction +uid 585,0 +ps "OnConnectorStrategy" +shape (Circle +uid 586,0 +va (VaSet +vasetType 1 +) +xt "71600,21600,72400,22400" +radius 400 +) +) +*87 (BdJunction +uid 587,0 +ps "OnConnectorStrategy" +shape (Circle +uid 588,0 +va (VaSet +vasetType 1 +) +xt "86600,21600,87400,22400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 70,0 +va (VaSet +vasetType 3 +) +xt "-2000,17000,108000,22000" +pts [ +"-2000,22000" +"102000,22000" +"102000,17000" +"108000,17000" +] +) +start &12 +end &48 +ss 0 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 73,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 74,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "105000,16100,107000,17000" +st "tomi" +blo "105000,16800" +tm "WireNameMgr" +) +) +on &13 +) +*88 (Wire +uid 83,0 +shape (OrthoPolyLine +uid 84,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "59000,15000,60000,15000" +pts [ +"59000,15000" +"60000,15000" +] +) +start &14 +end &36 +ss 0 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 87,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 88,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "54000,14100,61000,15000" +st "packet_data_i" +blo "54000,14800" +tm "WireNameMgr" +) +) +on &15 +) +*89 (Wire +uid 97,0 +shape (OrthoPolyLine +uid 98,0 +va (VaSet +vasetType 3 +) +xt "59000,16000,60000,16000" +pts [ +"59000,16000" +"60000,16000" +] +) +start &16 +end &36 +ss 0 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 101,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 102,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "56000,15100,62500,16000" +st "packet_len_i" +blo "56000,15800" +tm "WireNameMgr" +) +) +on &17 +) +*90 (Wire +uid 181,0 +shape (OrthoPolyLine +uid 182,0 +va (VaSet +vasetType 3 +) +xt "25000,13000,26000,13000" +pts [ +"25000,13000" +"26000,13000" +] +) +start &28 +end &60 +ss 0 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 185,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 186,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "23000,12100,28500,13000" +st "new_packet" +blo "23000,12800" +tm "WireNameMgr" +) +) +on &29 +) +*91 (Wire +uid 211,0 +shape (OrthoPolyLine +uid 212,0 +va (VaSet +vasetType 3 +) +xt "63000,0,70000,10000" +pts [ +"63000,0" +"70000,0" +"70000,10000" +] +) +start &32 +end *92 (BdJunction +uid 591,0 +ps "OnConnectorStrategy" +shape (Circle +uid 592,0 +va (VaSet +vasetType 1 +) +xt "69600,9600,70400,10400" +radius 400 +) +) +sat 2 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 217,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 218,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "64000,-900,66000,0" +st "moti" +blo "64000,-200" +tm "WireNameMgr" +) +) +on &11 +) +*93 (Wire +uid 245,0 +shape (OrthoPolyLine +uid 246,0 +va (VaSet +vasetType 3 +) +xt "54000,17000,60000,22000" +pts [ +"54000,22000" +"54000,17000" +"60000,17000" +] +) +start &85 +end &36 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 251,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 252,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "57000,16100,59000,17000" +st "tomi" +blo "57000,16800" +tm "WireNameMgr" +) +) +on &13 +) +*94 (Wire +uid 253,0 +shape (OrthoPolyLine +uid 254,0 +va (VaSet +vasetType 3 +) +xt "63000,10000,69000,15000" +pts [ +"63000,15000" +"69000,15000" +"69000,10000" +] +) +start &36 +end *95 (BdJunction +uid 589,0 +ps "OnConnectorStrategy" +shape (Circle +uid 590,0 +va (VaSet +vasetType 1 +) +xt "68600,9600,69400,10400" +radius 400 +) +) +sat 2 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 259,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 260,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "64000,14100,66000,15000" +st "moti" +blo "64000,14800" +tm "WireNameMgr" +) +) +on &11 +) +*96 (Wire +uid 271,0 +shape (OrthoPolyLine +uid 272,0 +va (VaSet +vasetType 3 +) +xt "72000,22000,78000,28000" +pts [ +"72000,22000" +"72000,28000" +"78000,28000" +] +) +start &86 +end &40 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 277,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 278,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "75000,27100,77000,28000" +st "tomi" +blo "75000,27800" +tm "WireNameMgr" +) +) +on &13 +) +*97 (Wire +uid 279,0 +shape (OrthoPolyLine +uid 280,0 +va (VaSet +vasetType 3 +) +xt "81000,27000,82000,27000" +pts [ +"81000,27000" +"82000,27000" +] +) +start &40 +end &18 +es 0 +sat 2 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 285,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 286,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "78000,26100,85000,27000" +st "packet_addr_i" +blo "78000,26800" +tm "WireNameMgr" +) +) +on &19 +) +*98 (Wire +uid 297,0 +shape (OrthoPolyLine +uid 298,0 +va (VaSet +vasetType 3 +) +xt "87000,17000,93000,22000" +pts [ +"87000,22000" +"87000,17000" +"93000,17000" +] +) +start &87 +end &44 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 303,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 304,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "90000,16100,92000,17000" +st "tomi" +blo "90000,16800" +tm "WireNameMgr" +) +) +on &13 +) +*99 (Wire +uid 305,0 +shape (OrthoPolyLine +uid 306,0 +va (VaSet +vasetType 3 +) +xt "96000,16000,97000,16000" +pts [ +"96000,16000" +"97000,16000" +] +) +start &44 +end &22 +es 0 +sat 2 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 311,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 312,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "93000,15100,100000,16000" +st "packet_addr_o" +blo "93000,15800" +tm "WireNameMgr" +) +) +on &23 +) +*100 (Wire +uid 331,0 +shape (OrthoPolyLine +uid 332,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "111000,16000,112000,16000" +pts [ +"111000,16000" +"112000,16000" +] +) +start &48 +end &20 +es 0 +sat 2 +eat 32 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 337,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 338,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "106000,15100,113000,16000" +st "packet_data_o" +blo "106000,15800" +tm "WireNameMgr" +) +) +on &21 +) +*101 (Wire +uid 349,0 +shape (OrthoPolyLine +uid 350,0 +va (VaSet +vasetType 3 +) +xt "0,14000,7000,22000" +pts [ +"0,22000" +"0,14000" +"7000,14000" +] +) +start &81 +end &52 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 355,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 356,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "4000,13100,6000,14000" +st "tomi" +blo "4000,13800" +tm "WireNameMgr" +) +) +on &13 +) +*102 (Wire +uid 357,0 +optionalChildren [ +*103 (BdJunction +uid 595,0 +ps "OnConnectorStrategy" +shape (Circle +uid 596,0 +va (VaSet +vasetType 1 +) +xt "18600,-400,19400,400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 358,0 +va (VaSet +vasetType 3 +) +xt "10000,0,26000,13000" +pts [ +"10000,13000" +"16000,13000" +"16000,0" +"26000,0" +] +) +start &52 +end &56 +sat 2 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 363,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 364,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "11000,12100,13000,13000" +st "lenw" +blo "11000,12800" +tm "WireNameMgr" +) +) +on &1 +) +*104 (Wire +uid 383,0 +shape (OrthoPolyLine +uid 384,0 +va (VaSet +vasetType 3 +) +xt "20000,1000,26000,22000" +pts [ +"20000,22000" +"20000,1000" +"26000,1000" +] +) +start &82 +end &56 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 389,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 390,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "23000,100,25000,1000" +st "tomi" +blo "23000,800" +tm "WireNameMgr" +) +) +on &13 +) +*105 (Wire +uid 391,0 +shape (OrthoPolyLine +uid 392,0 +va (VaSet +vasetType 3 +) +xt "29000,0,30000,0" +pts [ +"29000,0" +"30000,0" +] +) +start &56 +end &26 +es 0 +sat 2 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 397,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 398,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "27000,-900,33000,0" +st "packet_we_o" +blo "27000,-200" +tm "WireNameMgr" +) +) +on &27 +) +*106 (Wire +uid 417,0 +shape (OrthoPolyLine +uid 418,0 +va (VaSet +vasetType 3 +) +xt "18000,14000,26000,19000" +pts [ +"18000,19000" +"18000,14000" +"26000,14000" +] +) +start *107 (BdJunction +uid 593,0 +ps "OnConnectorStrategy" +shape (Circle +uid 594,0 +va (VaSet +vasetType 1 +) +xt "17600,18600,18400,19400" +radius 400 +) +) +end &60 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 423,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 424,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "22000,13100,25000,14000" +st "done_i" +blo "22000,13800" +tm "WireNameMgr" +) +) +on &5 +) +*108 (Wire +uid 425,0 +shape (OrthoPolyLine +uid 426,0 +va (VaSet +vasetType 3 +) +xt "19000,0,26000,15000" +pts [ +"19000,0" +"19000,15000" +"26000,15000" +] +) +start &103 +end &60 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 431,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 432,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "23000,14100,25000,15000" +st "lenw" +blo "23000,14800" +tm "WireNameMgr" +) +) +on &1 +) +*109 (Wire +uid 449,0 +shape (OrthoPolyLine +uid 450,0 +va (VaSet +vasetType 3 +) +xt "19000,16000,26000,22000" +pts [ +"19000,22000" +"19000,16000" +"26000,16000" +] +) +start &83 +end &60 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 455,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 456,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "23000,15100,25000,16000" +st "tomi" +blo "23000,15800" +tm "WireNameMgr" +) +) +on &13 +) +*110 (Wire +uid 457,0 +shape (OrthoPolyLine +uid 458,0 +va (VaSet +vasetType 3 +) +xt "29000,14000,31000,14000" +pts [ +"29000,14000" +"31000,14000" +] +) +start &60 +sat 4 +eat 16 +stc 0 +st 0 +si 0 +tg (WTG +uid 463,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 464,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "32000,13100,34500,14000" +st "new_d" +blo "32000,13800" +tm "WireNameMgr" +) +) +on &2 +) +*111 (Wire +uid 465,0 +shape (OrthoPolyLine +uid 466,0 +va (VaSet +vasetType 3 +) +xt "29000,9000,43000,12000" +pts [ +"29000,12000" +"35000,12000" +"35000,9000" +"43000,9000" +] +) +start &60 +end &72 +sat 4 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 471,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 472,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "30000,11100,32500,12000" +st "ready" +blo "30000,11800" +tm "WireNameMgr" +) +) +on &3 +) +*112 (Wire +uid 473,0 +shape (OrthoPolyLine +uid 474,0 +va (VaSet +vasetType 3 +) +xt "29000,11000,30000,11000" +pts [ +"29000,11000" +"30000,11000" +] +) +start &60 +end &24 +es 0 +sat 2 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 479,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 480,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "26000,10100,32500,11000" +st "packet_len_o" +blo "26000,10800" +tm "WireNameMgr" +) +) +on &25 +) +*113 (Wire +uid 481,0 +shape (OrthoPolyLine +uid 482,0 +va (VaSet +vasetType 3 +) +xt "29000,13000,43000,16000" +pts [ +"29000,13000" +"35000,13000" +"35000,16000" +"43000,16000" +] +) +start &60 +end &64 +sat 2 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 487,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 488,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "30000,12100,33500,13000" +st "tdone_d" +blo "30000,12800" +tm "WireNameMgr" +) +) +on &4 +) +*114 (Wire +uid 507,0 +shape (OrthoPolyLine +uid 508,0 +va (VaSet +vasetType 3 +) +xt "37000,17000,43000,22000" +pts [ +"37000,22000" +"37000,17000" +"43000,17000" +] +) +start &84 +end &64 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 513,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 514,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "40000,16100,42000,17000" +st "tomi" +blo "40000,16800" +tm "WireNameMgr" +) +) +on &13 +) +*115 (Wire +uid 515,0 +optionalChildren [ +&107 +] +shape (OrthoPolyLine +uid 516,0 +va (VaSet +vasetType 3 +) +xt "1000,1000,52000,19000" +pts [ +"46000,17000" +"52000,17000" +"52000,19000" +"1000,19000" +"1000,1000" +"7000,1000" +] +) +start &64 +end &68 +sat 2 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 521,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 522,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "47000,16100,50000,17000" +st "done_i" +blo "47000,16800" +tm "WireNameMgr" +) +) +on &5 +) +*116 (Wire +uid 541,0 +shape (OrthoPolyLine +uid 542,0 +va (VaSet +vasetType 3 +) +xt "10000,0,11000,0" +pts [ +"10000,0" +"11000,0" +] +) +start &68 +end &30 +es 0 +sat 2 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 547,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 548,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "10000,-900,12000,0" +st "done" +blo "10000,-200" +tm "WireNameMgr" +) +) +on &31 +) +*117 (Wire +uid 567,0 +optionalChildren [ +&95 +&92 +] +shape (OrthoPolyLine +uid 568,0 +va (VaSet +vasetType 3 +) +xt "46000,10000,117000,22000" +pts [ +"46000,10000" +"103000,10000" +"103000,22000" +"117000,22000" +] +) +start &72 +end &10 +es 0 +sat 2 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 573,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 574,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "47000,9100,49000,10000" +st "moti" +blo "47000,9800" +tm "WireNameMgr" +) +) +on &11 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *118 (PackageList +uid 635,0 +stg "VerticalLayoutStrategy" +textVec [ +*119 (Text +uid 636,0 +va (VaSet +font "courier,8,1" +) +xt "1000,34000,7500,34900" +st "Package List" +blo "1000,34700" +) +*120 (MLText +uid 637,0 +va (VaSet +font "courier,8,0" +) +xt "1000,34900,15500,38500" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 638,0 +stg "VerticalLayoutStrategy" +textVec [ +*121 (Text +uid 639,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "21000,34000,31000,34900" +st "Compiler Directives" +blo "21000,34700" +) +*122 (Text +uid 640,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "21000,34900,32500,35800" +st "Pre-module directives:" +blo "21000,35600" +) +*123 (MLText +uid 641,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "21000,35800,31100,37600" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*124 (Text +uid 642,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "21000,37600,33000,38500" +st "Post-module directives:" +blo "21000,38300" +) +*125 (MLText +uid 643,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "21000,34000,21000,34000" +tm "BdCompilerDirectivesTextMgr" +) +*126 (Text +uid 644,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "21000,38500,32500,39400" +st "End-module directives:" +blo "21000,39200" +) +*127 (MLText +uid 645,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "21000,39400,21000,39400" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "0,0,1015,690" +viewArea "-7000,-1000,122000,54700" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +lastUid 645,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,4000,1900" +st "Panel0" +blo "1000,1700" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*128 (Text +va (VaSet +font "courier,8,1" +) +xt "1750,3650,6250,4550" +st "<library>" +blo "1750,4350" +tm "BdLibraryNameMgr" +) +*129 (Text +va (VaSet +font "courier,8,1" +) +xt "1750,4550,5250,5450" +st "<block>" +blo "1750,5250" +tm "BlkNameMgr" +) +*130 (Text +va (VaSet +font "courier,8,1" +) +xt "1750,5450,2750,6350" +st "I0" +blo "1750,6150" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "1750,13650,1750,13650" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*131 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,3650,4500,4550" +st "Library" +blo "1000,4350" +) +*132 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,4550,7000,5450" +st "MWComponent" +blo "1000,5250" +) +*133 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,5450,2000,6350" +st "I0" +blo "1000,6150" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6000,1650,-6000,1650" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*134 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,3650,4500,4550" +st "Library" +blo "1000,4350" +tm "BdLibraryNameMgr" +) +*135 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,4550,7000,5450" +st "SaComponent" +blo "1000,5250" +tm "CptNameMgr" +) +*136 (Text +va (VaSet +font "courier,8,1" +) +xt "1000,5450,2000,6350" +st "I0" +blo "1000,6150" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6000,1650,-6000,1650" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +portVis (PortSigDisplay +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*137 (Text +va (VaSet +font "courier,8,1" +) +xt "500,3650,4000,4550" +st "Library" +blo "500,4350" +) +*138 (Text +va (VaSet +font "courier,8,1" +) +xt "500,4550,7500,5450" +st "VhdlComponent" +blo "500,5250" +) +*139 (Text +va (VaSet +font "courier,8,1" +) +xt "500,5450,1500,6350" +st "I0" +blo "500,6150" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6500,1650,-6500,1650" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-750,0,8750,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*140 (Text +va (VaSet +font "courier,8,1" +) +xt "-250,3650,3250,4550" +st "Library" +blo "-250,4350" +) +*141 (Text +va (VaSet +font "courier,8,1" +) +xt "-250,4550,8250,5450" +st "VerilogComponent" +blo "-250,5250" +) +*142 (Text +va (VaSet +font "courier,8,1" +) +xt "-250,5450,750,6350" +st "I0" +blo "-250,6150" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-7250,1650,-7250,1650" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*143 (Text +va (VaSet +font "courier,8,1" +) +xt "3250,4100,4750,5000" +st "eb1" +blo "3250,4800" +tm "HdlTextNameMgr" +) +*144 (Text +va (VaSet +font "courier,8,1" +) +xt "3250,5000,3750,5900" +st "1" +blo "3250,5700" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +font "courier,8,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +font "courier,8,1" +) +xt "-250,-450,250,450" +st "G" +blo "-250,250" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,0,2000,900" +st "sig0" +blo "0,700" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,0,2500,900" +st "dbus0" +blo "0,700" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,0" +) +xt "0,0,3500,900" +st "bundle0" +blo "0,700" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +font "courier,8,0" +) +xt "0,900,1000,1800" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +font "courier,8,0" +) +) +second (MLText +va (VaSet +font "courier,8,0" +) +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,-1000,14500,-100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1350" +) +num (Text +va (VaSet +font "courier,8,0" +) +xt "300,250,800,1150" +st "1" +blo "300,950" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*145 (Text +va (VaSet +font "courier,8,1" +) +xt "12500,20000,22000,20900" +st "Frame Declarations" +blo "12500,20700" +) +*146 (MLText +va (VaSet +font "courier,8,0" +) +xt "12500,20900,12500,20900" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,-1000,9000,-100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1350" +) +num (Text +va (VaSet +font "courier,8,0" +) +xt "300,250,800,1150" +st "1" +blo "300,950" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*147 (Text +va (VaSet +font "courier,8,1" +) +xt "12500,20000,22000,20900" +st "Frame Declarations" +blo "12500,20700" +) +*148 (MLText +va (VaSet +font "courier,8,0" +) +xt "12500,20900,12500,20900" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,2000,1650" +st "Port" +blo "0,1450" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,2000,1650" +st "Port" +blo "0,1450" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "courier,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "18000,34000,24500,34900" +st "Declarations" +blo "18000,34700" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "18000,34900,21000,35800" +st "Ports:" +blo "18000,35600" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "18000,47500,22500,48400" +st "Pre User:" +blo "18000,48200" +) +preUserText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "18000,34000,18000,34000" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "courier,8,1" +) +xt "18000,48400,26500,49300" +st "Diagram Signals:" +blo "18000,49100" +) +postUserLabel (Text +uid 7,0 +va (VaSet +font "courier,8,1" +) +xt "18000,53800,23500,54700" +st "Post User:" +blo "18000,54500" +) +postUserText (MLText +uid 8,0 +va (VaSet +font "courier,8,0" +) +xt "18000,34000,18000,34000" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 18,0 +usingSuid 1 +emptyRow *149 (LEmptyRow +) +optionalChildren [ +*150 (RefLabelRowHdr +) +*151 (TitleRowHdr +) +*152 (FilterRowHdr +) +*153 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*154 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*155 (GroupColHdr +tm "GroupColHdrMgr" +) +*156 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*157 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*158 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*159 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*160 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*161 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*162 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "lenw" +t "std_logic" +o 1 +suid 1,0 +) +) +uid 599,0 +) +*163 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "new_d" +t "std_logic" +o 2 +suid 2,0 +) +) +uid 601,0 +) +*164 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "ready" +t "std_logic" +o 3 +suid 3,0 +) +) +uid 603,0 +) +*165 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "tdone_d" +t "std_logic" +o 4 +suid 4,0 +) +) +uid 605,0 +) +*166 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "done_i" +t "std_logic" +o 5 +suid 5,0 +) +) +uid 607,0 +) +*167 (LeafLogPort +port (LogicalPort +decl (Decl +n "clk" +t "std_logic" +o 6 +suid 6,0 +) +) +uid 609,0 +) +*168 (LeafLogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_logic" +o 7 +suid 7,0 +) +) +uid 611,0 +) +*169 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "moti" +t "trans_moti" +o 8 +suid 8,0 +) +) +uid 613,0 +) +*170 (LeafLogPort +port (LogicalPort +decl (Decl +n "tomi" +t "trans_tomi" +o 9 +suid 9,0 +) +) +uid 615,0 +) +*171 (LeafLogPort +port (LogicalPort +decl (Decl +n "packet_data_i" +t "std_logic_vector" +b "(31 downto 0)" +o 10 +suid 10,0 +) +) +uid 617,0 +) +*172 (LeafLogPort +port (LogicalPort +decl (Decl +n "packet_len_i" +t "rbuf_a" +o 11 +suid 11,0 +) +) +uid 619,0 +) +*173 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "packet_addr_i" +t "rbuf_a" +o 12 +suid 12,0 +) +) +uid 621,0 +) +*174 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "packet_data_o" +t "std_logic_vector" +b "(31 downto 0)" +o 13 +suid 13,0 +) +) +uid 623,0 +) +*175 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "packet_addr_o" +t "wbuf_a" +o 14 +suid 14,0 +) +) +uid 625,0 +) +*176 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "packet_len_o" +t "wbuf_a" +o 15 +suid 15,0 +) +) +uid 627,0 +) +*177 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "packet_we_o" +t "std_logic" +o 16 +suid 16,0 +) +) +uid 629,0 +) +*178 (LeafLogPort +port (LogicalPort +decl (Decl +n "new_packet" +t "std_logic" +o 17 +suid 17,0 +) +) +uid 631,0 +) +*179 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "done" +t "std_logic" +o 18 +suid 18,0 +) +) +uid 633,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +optionalChildren [ +*180 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *181 (MRCItem +litem &149 +pos 3 +dimension 20 +) +optionalChildren [ +*182 (MRCItem +litem &150 +pos 0 +dimension 20 +) +*183 (MRCItem +litem &151 +pos 1 +dimension 23 +) +*184 (MRCItem +litem &152 +pos 2 +hidden 1 +dimension 20 +) +*185 (MRCItem +litem &162 +pos 0 +dimension 20 +uid 600,0 +) +*186 (MRCItem +litem &163 +pos 1 +dimension 20 +uid 602,0 +) +*187 (MRCItem +litem &164 +pos 2 +dimension 20 +uid 604,0 +) +*188 (MRCItem +litem &165 +pos 3 +dimension 20 +uid 606,0 +) +*189 (MRCItem +litem &166 +pos 4 +dimension 20 +uid 608,0 +) +*190 (MRCItem +litem &167 +pos 5 +dimension 20 +uid 610,0 +) +*191 (MRCItem +litem &168 +pos 6 +dimension 20 +uid 612,0 +) +*192 (MRCItem +litem &169 +pos 7 +dimension 20 +uid 614,0 +) +*193 (MRCItem +litem &170 +pos 8 +dimension 20 +uid 616,0 +) +*194 (MRCItem +litem &171 +pos 9 +dimension 20 +uid 618,0 +) +*195 (MRCItem +litem &172 +pos 10 +dimension 20 +uid 620,0 +) +*196 (MRCItem +litem &173 +pos 11 +dimension 20 +uid 622,0 +) +*197 (MRCItem +litem &174 +pos 12 +dimension 20 +uid 624,0 +) +*198 (MRCItem +litem &175 +pos 13 +dimension 20 +uid 626,0 +) +*199 (MRCItem +litem &176 +pos 14 +dimension 20 +uid 628,0 +) +*200 (MRCItem +litem &177 +pos 15 +dimension 20 +uid 630,0 +) +*201 (MRCItem +litem &178 +pos 16 +dimension 20 +uid 632,0 +) +*202 (MRCItem +litem &179 +pos 17 +dimension 20 +uid 634,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +optionalChildren [ +*203 (MRCItem +litem &153 +pos 0 +dimension 20 +) +*204 (MRCItem +litem &155 +pos 1 +dimension 50 +) +*205 (MRCItem +litem &156 +pos 2 +dimension 100 +) +*206 (MRCItem +litem &157 +pos 3 +dimension 50 +) +*207 (MRCItem +litem &158 +pos 4 +dimension 100 +) +*208 (MRCItem +litem &159 +pos 5 +dimension 100 +) +*209 (MRCItem +litem &160 +pos 6 +dimension 50 +) +*210 (MRCItem +litem &161 +pos 7 +dimension 80 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +vaOverrides [ +] +) +] +) +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *211 (LEmptyRow +) +optionalChildren [ +*212 (RefLabelRowHdr +) +*213 (TitleRowHdr +) +*214 (FilterRowHdr +) +*215 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*216 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*217 (GroupColHdr +tm "GroupColHdrMgr" +) +*218 (NameColHdr +tm "GenericNameColHdrMgr" +) +*219 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*220 (InitColHdr +tm "GenericValueColHdrMgr" +) +*221 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*222 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +optionalChildren [ +*223 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *224 (MRCItem +litem &211 +pos 3 +dimension 20 +) +optionalChildren [ +*225 (MRCItem +litem &212 +pos 0 +dimension 20 +) +*226 (MRCItem +litem &213 +pos 1 +dimension 23 +) +*227 (MRCItem +litem &214 +pos 2 +hidden 1 +dimension 20 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +optionalChildren [ +*228 (MRCItem +litem &215 +pos 0 +dimension 20 +) +*229 (MRCItem +litem &217 +pos 1 +dimension 50 +) +*230 (MRCItem +litem &218 +pos 2 +dimension 100 +) +*231 (MRCItem +litem &219 +pos 3 +dimension 100 +) +*232 (MRCItem +litem &220 +pos 4 +dimension 50 +) +*233 (MRCItem +litem &221 +pos 5 +dimension 50 +) +*234 (MRCItem +litem &222 +pos 6 +dimension 80 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +vaOverrides [ +] +) +] +) +type 1 +) +) diff --git a/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/udp_shim/rtl.fc b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/udp_shim/rtl.fc new file mode 100644 index 00000000..5cebdbba --- /dev/null +++ b/firmware/minitlu/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/udp_shim/rtl.fc @@ -0,0 +1,2155 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dmPackageRefs [ +] +machine (Machine +name "process0" +children [ +(Machine +name "process0" +children [ +] +) +] +) +properties [ +(HdrProperty +class "HDS" +name "DocView" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/workspace/IPbusFirmware/firmware/ipbus/hdl/udp_shim.vhd" +) +(HdrProperty +class "HDS" +name "DocViewState" +value "1352727141" +) +] +) +version "19.1" +appVersion "2010.3 (Build 21)" +model (FlowChart +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl" +) +(vvPair +variable "HDSDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/udp_shim/rtl.fc.info" +) +(vvPair +variable "SideDataUserDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/udp_shim/rtl.fc.user" +) +(vvPair +variable "SourceDir" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "rtl" +) +(vvPair +variable "config" +value "%(unit)_config" +) +(vvPair +variable "d" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/udp_shim" +) +(vvPair +variable "d_logical" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/udp_shim" +) +(vvPair +variable "date" +value "11/13/12" +) +(vvPair +variable "day" +value "Tue" +) +(vvPair +variable "day_long" +value "Tuesday" +) +(vvPair +variable "dd" +value "13" +) +(vvPair +variable "entity_name" +value "udp_shim" +) +(vvPair +variable "ext" +value "<TBD>" +) +(vvPair +variable "f" +value "rtl.fc" +) +(vvPair +variable "f_logical" +value "rtl.fc" +) +(vvPair +variable "f_noext" +value "rtl" +) +(vvPair +variable "group" +value "HEP_Instrumentation" +) +(vvPair +variable "host" +value "kipper.phy.bris.ac.uk" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "fmc_mTLU_lib" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/designcheck" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$HDS_PROJECT_DIR/fmc_mTLU_lib/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "udp_shim" +) +(vvPair +variable "month" +value "Nov" +) +(vvPair +variable "month_long" +value "November" +) +(vvPair +variable "p" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/udp_shim/rtl.fc" +) +(vvPair +variable "p_logical" +value "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hds/udp_shim/rtl.fc" +) +(vvPair +variable "package_name" +value "<Undefined Variable>" +) +(vvPair +variable "project_name" +value "fmc_mTLU" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "<TBD>" +) +(vvPair +variable "task_DesignCompilerPath" +value "<TBD>" +) +(vvPair +variable "task_LeonardoPath" +value "/opt/CAD/Mentor/LeonardoSpectrum_2008a/bin" +) +(vvPair +variable "task_ModelSimPath" +value "/opt/CAD/Mentor/Modelsim/6.4a/modeltech/bin" +) +(vvPair +variable "task_NC-SimPath" +value "<TBD>" +) +(vvPair +variable "task_PrecisionRTLPath" +value "/opt/CAD/Mentor/Precis_Synth_2009a_update2/Mgc_home/bin" +) +(vvPair +variable "task_QuestaSimPath" +value "/opt/CAD/Mentor/HDS2008.1b/questasim/bin" +) +(vvPair +variable "task_VCSPath" +value "<TBD>" +) +(vvPair +variable "this_ext" +value "fc" +) +(vvPair +variable "this_file" +value "rtl" +) +(vvPair +variable "this_file_logical" +value "rtl" +) +(vvPair +variable "time" +value "16:59:31" +) +(vvPair +variable "unit" +value "udp_shim" +) +(vvPair +variable "user" +value "phdgc" +) +(vvPair +variable "version" +value "2010.3 (Build 21)" +) +(vvPair +variable "view" +value "rtl" +) +(vvPair +variable "year" +value "2012" +) +(vvPair +variable "yy" +value "12" +) +] +) +optionalChildren [ +*1 (ConcurrentFC +uid 1,0 +topDiagram (FlowDiagram +LanguageMgr "None" +uid 2,0 +optionalChildren [ +*2 (StartPoint +uid 27,0 +shape (RoundedRectangle +uid 28,0 +va (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 2 +) +xt "7000,10000,13000,12000" +radius 400 +) +label (Text +uid 29,0 +va (VaSet +fg "65535,65535,65535" +font "courier,10,1" +) +xt "8500,10500,11500,11500" +st "Start" +ju 0 +blo "10000,11300" +) +outConnect (FlowConnect +uid 30,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 31,0 +ro 180 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +xt "9500,11500,10500,12500" +) +direction 1 +position 1 +) +) +*3 (DecisionBox +uid 32,0 +shape (Diamond +uid 33,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +xt "5000,16000,15000,20000" +) +name (TextAssociate +uid 34,0 +ps "EdgeToEdgeStrategy" +text (Text +uid 35,0 +va (VaSet +fg "0,32768,49152" +font "courier,8,0" +) +xt "5000,15050,6000,15950" +st "d0" +blo "5000,15750" +tm "FcNodeNameMgr" +) +) +condition (TextAssociate +uid 36,0 +ps "EdgeToEdgeStrategy" +text (MLText +uid 37,0 +va (VaSet +font "courier,8,0" +) +xt "5250,17550,14750,18450" +st " rising_edge(clk) " +tm "FcConditionMgr" +) +) +trueLabel (Text +uid 38,0 +va (VaSet +fg "32768,0,0" +font "courier,8,1" +) +xt "10500,20500,11000,21400" +st "T" +blo "10500,21200" +) +falseLabel (Text +uid 39,0 +va (VaSet +fg "32768,0,0" +font "courier,8,1" +) +xt "15500,16600,16000,17500" +st "F" +blo "15500,17300" +) +inConnect (FlowConnect +uid 40,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 41,0 +ro 180 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +xt "9500,15500,10500,16500" +) +direction 0 +) +trueConnect (FlowConnect +uid 42,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 43,0 +ro 180 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +xt "9500,19500,10500,20500" +) +direction 1 +position 1 +) +falseConnect (FlowConnect +uid 44,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 45,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +xt "14500,17500,15500,18500" +) +direction 1 +position 3 +) +elsif 1 +) +*4 (DecisionBox +uid 48,0 +shape (Diamond +uid 49,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +xt "5000,24000,15000,28000" +) +name (TextAssociate +uid 50,0 +ps "EdgeToEdgeStrategy" +text (Text +uid 51,0 +va (VaSet +fg "0,32768,49152" +font "courier,8,0" +) +xt "5000,23050,6000,23950" +st "d1" +blo "5000,23750" +tm "FcNodeNameMgr" +) +) +condition (TextAssociate +uid 52,0 +ps "EdgeToEdgeStrategy" +text (MLText +uid 53,0 +va (VaSet +font "courier,8,0" +) +xt "6650,25550,13350,26450" +st " lenw = '1' " +tm "FcConditionMgr" +) +) +trueLabel (Text +uid 54,0 +va (VaSet +fg "32768,0,0" +font "courier,8,1" +) +xt "10500,28500,11000,29400" +st "T" +blo "10500,29200" +) +falseLabel (Text +uid 55,0 +va (VaSet +fg "32768,0,0" +font "courier,8,1" +) +xt "15500,24600,16000,25500" +st "F" +blo "15500,25300" +) +inConnect (FlowConnect +uid 56,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 57,0 +ro 180 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +xt "9500,23500,10500,24500" +) +direction 0 +) +trueConnect (FlowConnect +uid 58,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 59,0 +ro 180 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +xt "9500,27500,10500,28500" +) +direction 1 +position 1 +) +falseConnect (FlowConnect +uid 60,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 61,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +xt "14500,25500,15500,26500" +) +direction 1 +position 3 +) +elsif 1 +) +*5 (ActionBox +uid 64,0 +shape (Rectangle +uid 65,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "-2550,32050,22550,33950" +) +name (TextAssociate +uid 66,0 +ps "CenterOffsetStrategy" +text (Text +uid 67,0 +va (VaSet +fg "0,32768,49152" +font "courier,8,0" +) +xt "-2550,31100,-1550,32000" +st "a0" +blo "-2550,31800" +tm "FcNodeNameMgr" +) +) +actions (TextAssociate +uid 68,0 +ps "CenterOffsetStrategy" +text (MLText +uid 69,0 +va (VaSet +font "courier,8,0" +) +xt "-2050,32550,22050,33450" +st "packet_len_o <= tomi.wdata(packet_len_o'range);" +tm "FcActions" +) +) +inConnect (FlowConnect +uid 70,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 71,0 +ro 180 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +xt "9500,31550,10500,32550" +) +direction 0 +) +outConnect (FlowConnect +uid 72,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 73,0 +ro 180 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +xt "9500,33450,10500,34450" +) +direction 1 +position 1 +) +) +*6 (ActionBox +uid 92,0 +shape (Rectangle +uid 93,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "-10250,41150,30250,44850" +) +name (TextAssociate +uid 94,0 +ps "CenterOffsetStrategy" +text (Text +uid 95,0 +va (VaSet +fg "0,32768,49152" +font "courier,8,0" +) +xt "-10250,40200,-9250,41100" +st "a1" +blo "-10250,40900" +tm "FcNodeNameMgr" +) +) +actions (TextAssociate +uid 96,0 +ps "CenterOffsetStrategy" +text (MLText +uid 97,0 +va (VaSet +font "courier,8,0" +) +xt "-9750,41650,29750,44350" +st "new_d <= new_packet; +ready <= ((ready and not done_i) or (new_packet and not new_d)) and not reset; +tdone_d <= tomi.done; +" +tm "FcActions" +) +) +inConnect (FlowConnect +uid 98,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 99,0 +ro 180 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +xt "9500,40650,10500,41650" +) +direction 0 +) +outConnect (FlowConnect +uid 100,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 101,0 +ro 180 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +xt "9500,44350,10500,45350" +) +direction 1 +position 1 +) +) +*7 (EndPoint +uid 120,0 +shape (RoundedRectangle +uid 121,0 +va (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 2 +) +xt "7000,52000,13000,54000" +radius 400 +) +label (Text +uid 122,0 +va (VaSet +fg "65535,65535,65535" +font "courier,10,1" +) +xt "9100,52500,10900,53500" +st "End" +ju 0 +blo "10000,53300" +) +inConnect (FlowConnect +uid 123,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 124,0 +ro 180 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +xt "9500,51500,10500,52500" +) +direction 0 +) +) +*8 (Property +uid 127,0 +pclass "HDS" +pname "DocView" +pvalue "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/firmware/workspace/IPbusFirmware/firmware/ipbus/hdl/udp_shim.vhd" +ptn "String" +) +*9 (Property +uid 128,0 +pclass "HDS" +pname "DocViewState" +pvalue "1352727141" +ptn "String" +) +*10 (Flow +uid 46,0 +shape (OrthoPolyLine +uid 47,0 +va (VaSet +vasetType 3 +) +xt "10000,12000,10000,16000" +pts [ +"10000,12000" +"10000,16000" +] +arrow 1 +) +start &2 +end &3 +) +*11 (Flow +uid 62,0 +shape (OrthoPolyLine +uid 63,0 +va (VaSet +vasetType 3 +) +xt "10000,20000,10000,24000" +pts [ +"10000,20000" +"10000,24000" +] +arrow 1 +) +start &3 +end &4 +) +*12 (Flow +uid 74,0 +shape (OrthoPolyLine +uid 75,0 +va (VaSet +vasetType 3 +) +xt "10000,28000,10000,32050" +pts [ +"10000,28000" +"10000,32050" +] +arrow 1 +) +start &4 +end &5 +) +*13 (Flow +uid 86,0 +optionalChildren [ +*14 (FlowJoin +uid 88,0 +ps "OnFlowStrategy" +shape (Circle +uid 89,0 +va (VaSet +vasetType 1 +) +xt "9700,38700,10300,39300" +radius 300 +) +) +] +shape (OrthoPolyLine +uid 87,0 +va (VaSet +vasetType 3 +) +xt "10000,33950,10000,41150" +pts [ +"10000,33950" +"10000,41150" +] +arrow 1 +) +start &5 +end &6 +) +*15 (Flow +uid 90,0 +shape (OrthoPolyLine +uid 91,0 +va (VaSet +vasetType 3 +) +xt "10000,26000,25000,39000" +pts [ +"15000,26000" +"25000,26000" +"25000,39000" +"10000,39000" +] +arrow 1 +) +start &4 +end &14 +) +*16 (Flow +uid 114,0 +optionalChildren [ +*17 (FlowJoin +uid 116,0 +ps "OnFlowStrategy" +shape (Circle +uid 117,0 +va (VaSet +vasetType 1 +) +xt "9700,49700,10300,50300" +radius 300 +) +) +] +shape (OrthoPolyLine +uid 115,0 +va (VaSet +vasetType 3 +) +xt "10000,44850,10000,52000" +pts [ +"10000,44850" +"10000,52000" +] +arrow 1 +) +start &6 +end &7 +) +*18 (Flow +uid 118,0 +shape (OrthoPolyLine +uid 119,0 +va (VaSet +vasetType 3 +) +xt "10000,18000,32000,50000" +pts [ +"15000,18000" +"32000,18000" +"32000,50000" +"10000,50000" +] +arrow 1 +) +start &3 +end &17 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *19 (PackageList +uid 15,0 +stg "VerticalLayoutStrategy" +textVec [ +*20 (Text +uid 16,0 +va (VaSet +font "courier,8,1" +) +xt "-45850,4050,-39350,4950" +st "Package List" +blo "-45850,4750" +) +*21 (MLText +uid 17,0 +va (VaSet +font "courier,8,0" +) +xt "-45850,4950,-31350,8550" +tm "FcPackageListTextMgr" +) +] +) +compDirBlock (MlTextGroup +uid 18,0 +stg "VerticalLayoutStrategy" +textVec [ +*22 (Text +uid 19,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-29850,4500,-19850,5400" +st "Compiler Directives" +blo "-29850,5200" +) +*23 (Text +uid 20,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-29850,5400,-18350,6300" +st "Pre-module directives:" +blo "-29850,6100" +) +*24 (MLText +uid 21,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-29850,6300,-19750,8100" +st "`resetall +`timescale 1ns/10ps" +tm "FcCompilerDirectivesTextMgr" +) +*25 (Text +uid 22,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-29850,8100,-17850,9000" +st "Post-module directives:" +blo "-29850,8800" +) +*26 (MLText +uid 23,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-29850,4500,-29850,4500" +tm "FcCompilerDirectivesTextMgr" +) +*27 (Text +uid 24,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-29850,9000,-18350,9900" +st "End-module directives:" +blo "-29850,9700" +) +*28 (MLText +uid 25,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-29850,9900,-29850,9900" +tm "FcCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "0,0,1015,690" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +isTopLevel 1 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,4000,1900" +st "Panel0" +blo "1000,1700" +tm "PanelText" +) +) +) +archDeclarativeBlock *29 (BiTextGroup +uid 3,0 +stg "VerticalLayoutStrategy" +first (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "-49850,10750,-36850,11650" +st "Architecture Declarations" +blo "-49850,11450" +) +second (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "-49850,11650,-22350,14350" +st " + +signal lenw, new_d, ready, tdone_d, done_i: std_logic; +" +tm "FcArchDeclTextMgr" +) +associable 1 +) +archConcurrentStatementBlock *30 (BiTextGroup +uid 6,0 +stg "VerticalLayoutStrategy" +first (Text +uid 7,0 +va (VaSet +font "courier,8,1" +) +xt "-49850,18350,-38850,19250" +st "Concurrent Statements" +blo "-49850,19050" +) +second (MLText +uid 8,0 +va (VaSet +font "courier,8,0" +) +xt "-49850,19250,-12250,32750" +st " +moti.addr_rst <= '1'; +moti.rdata <= packet_data_i when tomi.raddr /= (rbuf_a'range => '0') else +std_logic_vector(to_unsigned(0, 32 - packet_len_i'length)) & packet_len_i; +packet_addr_i <= std_logic_vector(unsigned(tomi.raddr) - 1); + +packet_addr_o <= std_logic_vector(unsigned(tomi.waddr) - 1); +packet_data_o <= tomi.wdata; +lenw <= '1' when tomi.waddr = (wbuf_a'range => '0') else '0'; +packet_we_o <= tomi.we and not lenw; + +done_i <= tomi.done and not tdone_d; +done <= done_i; +moti.ready <= ready; + +" +tm "FcArchConcStmtTextMgr" +) +associable 1 +) +processDeclarativeBlock *31 (BiTextGroup +uid 9,0 +stg "VerticalLayoutStrategy" +first (Text +uid 10,0 +va (VaSet +font "courier,8,1" +) +xt "-49850,42550,-39350,43450" +st "Process Declarations" +blo "-49850,43250" +) +second (MLText +uid 11,0 +va (VaSet +font "courier,8,0" +) +xt "-49850,43450,-49850,43450" +tm "FcProcDeclTextMgr" +) +associable 1 +) +sensitivityListBlock *32 (BiTextGroup +uid 12,0 +stg "VerticalLayoutStrategy" +first (Text +uid 13,0 +va (VaSet +font "courier,8,1" +) +xt "-49850,36750,-41350,37650" +st "Sensitivity List" +blo "-49850,37450" +) +second (MLText +uid 14,0 +va (VaSet +font "courier,8,0" +) +xt "-49850,37650,-48350,38550" +st "clk" +tm "FcSensListTextMgr" +) +) +) +genChar (FcGenChar +uid 26,0 +machineMode 1 +resetActions "" +resetMode 1 +resetName "" +resetCondition "" +vlogResetSensitivity "" +resetPolarity 0 +clockPolarity 0 +clockSigName "" +clockCondition "" +verilogProcessStatementType 0 +verilogBlockType 0 +instrumentForAnimation 0 +insertSetResetPragma 0 +setresetPragma 0 +) +name "process0" +) +] +lastUid 128,0 +LanguageMgr "VhdlLangMgr" +commonDM (CommonDM +ldm (LogicalDM +emptyRow *33 (LEmptyRow +) +optionalChildren [ +*34 (RefLabelRowHdr +) +*35 (TitleRowHdr +) +*36 (FilterRowHdr +) +*37 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*38 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*39 (GroupColHdr +tm "GroupColHdrMgr" +) +*40 (NameColHdr +tm "FcNameColHdrMgr" +) +*41 (ModeColHdr +tm "FcModeColHdrMgr" +) +*42 (TypeColHdr +tm "FcTypeColHdrMgr" +) +*43 (BoundsColHdr +tm "FcBoundsColHdrMgr" +) +*44 (InitColHdr +tm "FcInitColHdrMgr" +) +*45 (EolColHdr +tm "FcEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +optionalChildren [ +*46 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *47 (MRCItem +litem &33 +pos 3 +dimension 20 +) +optionalChildren [ +*48 (MRCItem +litem &34 +pos 0 +dimension 20 +) +*49 (MRCItem +litem &35 +pos 1 +dimension 23 +) +*50 (MRCItem +litem &36 +pos 2 +hidden 1 +dimension 20 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +optionalChildren [ +*51 (MRCItem +litem &37 +pos 0 +dimension 20 +) +*52 (MRCItem +litem &39 +pos 1 +dimension 50 +) +*53 (MRCItem +litem &40 +pos 2 +dimension 100 +) +*54 (MRCItem +litem &41 +pos 3 +dimension 50 +) +*55 (MRCItem +litem &42 +pos 4 +dimension 100 +) +*56 (MRCItem +litem &43 +pos 5 +dimension 100 +) +*57 (MRCItem +litem &44 +pos 6 +dimension 50 +) +*58 (MRCItem +litem &45 +pos 7 +dimension 80 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +vaOverrides [ +] +) +] +) +) +cdmCfc &1 +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *59 (LEmptyRow +) +optionalChildren [ +*60 (RefLabelRowHdr +) +*61 (TitleRowHdr +) +*62 (FilterRowHdr +) +*63 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*64 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*65 (GroupColHdr +tm "GroupColHdrMgr" +) +*66 (NameColHdr +tm "GenericNameColHdrMgr" +) +*67 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*68 (InitColHdr +tm "GenericValueColHdrMgr" +) +*69 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*70 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +optionalChildren [ +*71 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *72 (MRCItem +litem &59 +pos 3 +dimension 20 +) +optionalChildren [ +*73 (MRCItem +litem &60 +pos 0 +dimension 20 +) +*74 (MRCItem +litem &61 +pos 1 +dimension 23 +) +*75 (MRCItem +litem &62 +pos 2 +hidden 1 +dimension 20 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +optionalChildren [ +*76 (MRCItem +litem &63 +pos 0 +dimension 20 +) +*77 (MRCItem +litem &65 +pos 1 +dimension 50 +) +*78 (MRCItem +litem &66 +pos 2 +dimension 100 +) +*79 (MRCItem +litem &67 +pos 3 +dimension 100 +) +*80 (MRCItem +litem &68 +pos 4 +dimension 50 +) +*81 (MRCItem +litem &69 +pos 5 +dimension 50 +) +*82 (MRCItem +litem &70 +pos 6 +dimension 80 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +vaOverrides [ +] +) +] +) +type 1 +) +defaultActionBox (ActionBox +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "0,0,12000,4000" +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +fg "0,32768,49152" +font "courier,8,0" +) +xt "0,-950,1000,-50" +st "a0" +blo "0,-250" +tm "FcNodeNameMgr" +) +) +actions (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +font "courier,8,0" +) +xt "4000,1550,8000,2450" +st "actions;" +tm "FcActions" +) +) +inConnect (FlowConnect +ps "OnEdgeStrategy" +shape (Triangle +ro 180 +va (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +xt "5500,-500,6500,500" +) +direction 0 +) +outConnect (FlowConnect +ps "OnEdgeStrategy" +shape (Triangle +ro 180 +va (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +xt "5500,3500,6500,4500" +) +direction 1 +position 1 +) +) +defaultCompositeActionBox (CompositeActionBox +shape (DoubleRectangle +va (VaSet +vasetType 1 +fg "29952,39936,65280" +lineWidth 2 +) +xt "0,0,12000,4000" +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +font "courier,10,1" +) +xt "5400,1500,6600,2500" +st "a0" +ju 0 +blo "6000,2300" +tm "FcNodeNameMgr" +) +) +inConnect (FlowConnect +ps "OnEdgeStrategy" +shape (Triangle +ro 180 +va (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +xt "5500,-500,6500,500" +) +direction 0 +) +outConnect (FlowConnect +ps "OnEdgeStrategy" +shape (Triangle +ro 180 +va (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +xt "5500,3500,6500,4500" +) +direction 1 +position 1 +) +childDiagram &0 +) +defaultDecisionBox (DecisionBox +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +xt "0,0,10000,4000" +) +name (TextAssociate +ps "EdgeToEdgeStrategy" +text (Text +va (VaSet +fg "0,32768,49152" +font "courier,8,0" +) +xt "0,-950,1000,-50" +st "d0" +blo "0,-250" +tm "FcNodeNameMgr" +) +) +condition (TextAssociate +ps "EdgeToEdgeStrategy" +text (MLText +va (VaSet +font "courier,8,0" +) +xt "2750,1550,7250,2450" +st "condition" +tm "FcConditionMgr" +) +) +trueLabel (Text +va (VaSet +fg "32768,0,0" +font "courier,8,1" +) +xt "5500,4500,6000,5400" +st "T" +blo "5500,5200" +) +falseLabel (Text +va (VaSet +fg "32768,0,0" +font "courier,8,1" +) +xt "10500,600,11000,1500" +st "F" +blo "10500,1300" +) +inConnect (FlowConnect +ps "OnEdgeStrategy" +shape (Triangle +ro 180 +va (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +xt "4500,-500,5500,500" +) +direction 0 +) +trueConnect (FlowConnect +ps "OnEdgeStrategy" +shape (Triangle +ro 180 +va (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +xt "4500,3500,5500,4500" +) +direction 1 +position 1 +) +falseConnect (FlowConnect +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +xt "9500,1500,10500,2500" +) +direction 1 +position 3 +) +elsif 1 +) +defaultWaitBox (WaitBox +shape (Octagon +va (VaSet +vasetType 1 +fg "65535,0,0" +) +xt "0,0,2000,2000" +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +fg "0,32768,49152" +font "courier,8,0" +) +xt "0,-950,1000,-50" +st "w0" +blo "0,-250" +tm "FcNodeNameMgr" +) +) +condition (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +font "courier,8,0" +) +xt "2250,550,4750,1450" +st "wait;" +tm "FcWaitMgr" +) +) +inConnect (FlowConnect +ps "OnEdgeStrategy" +shape (Triangle +ro 180 +va (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +xt "500,-500,1500,500" +) +direction 0 +) +outConnect (FlowConnect +ps "OnEdgeStrategy" +shape (Triangle +ro 180 +va (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +xt "500,1500,1500,2500" +) +direction 1 +position 1 +) +) +defaultStartPoint (StartPoint +shape (RoundedRectangle +va (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 2 +) +xt "0,0,6000,2000" +radius 400 +) +label (Text +va (VaSet +fg "65535,65535,65535" +font "courier,10,1" +) +xt "1500,500,4500,1500" +st "Start" +ju 0 +blo "3000,1300" +) +outConnect (FlowConnect +ps "OnEdgeStrategy" +shape (Triangle +ro 180 +va (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +xt "2500,1500,3500,2500" +) +direction 1 +position 1 +) +) +defaultEndPoint (EndPoint +shape (RoundedRectangle +va (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 2 +) +xt "0,0,6000,2000" +radius 400 +) +label (Text +va (VaSet +fg "65535,65535,65535" +font "courier,10,1" +) +xt "2100,500,3900,1500" +st "End" +ju 0 +blo "3000,1300" +) +inConnect (FlowConnect +ps "OnEdgeStrategy" +shape (Triangle +ro 180 +va (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +xt "2500,-500,3500,500" +) +direction 0 +) +) +defaultStartLoop (StartLoop +shape (Ellipse +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,6000,2000" +) +label (Text +va (VaSet +font "courier,8,1" +) +xt "2000,550,4000,1450" +st "Loop" +ju 0 +blo "3000,1250" +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +fg "0,32768,49152" +font "courier,8,0" +) +xt "0,-950,1000,-50" +st "l0" +blo "0,-250" +tm "FcNodeNameMgr" +) +) +loopControlAssoc (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +font "courier,8,0" +) +xt "3000,1000,3000,1000" +tm "FcLoopTextMgr" +) +) +inConnect (FlowConnect +ps "OnEdgeStrategy" +shape (Triangle +ro 180 +va (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +xt "2500,-500,3500,500" +) +direction 0 +) +outConnect (FlowConnect +ps "OnEdgeStrategy" +shape (Triangle +ro 180 +va (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +xt "2500,1500,3500,2500" +) +direction 1 +position 1 +) +loopConnect (FlowConnect +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +xt "-500,500,500,1500" +) +direction 0 +position 2 +) +) +defaultEndLoop (EndLoop +shape (Ellipse +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,6000,2000" +) +label (Text +va (VaSet +font "courier,8,1" +) +xt "1000,550,5000,1450" +st "End Loop" +ju 0 +blo "3000,1250" +) +inConnect (FlowConnect +ps "OnEdgeStrategy" +shape (Triangle +ro 180 +va (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +xt "2500,-500,3500,500" +) +direction 0 +) +outConnect (FlowConnect +ps "OnEdgeStrategy" +shape (Triangle +ro 180 +va (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +xt "2500,1500,3500,2500" +) +direction 1 +position 1 +) +loopConnect (FlowConnect +ps "OnEdgeStrategy" +shape (Triangle +ro 270 +va (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +xt "-500,500,500,1500" +) +direction 1 +position 2 +) +) +defaultStartCase (StartCase +shape (Pentagon +ro 180 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +xt "0,0,28000,4000" +) +label (Text +va (VaSet +font "courier,8,1" +) +xt "13000,1550,15000,2450" +st "Case" +ju 0 +blo "14000,2250" +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +fg "0,32768,49152" +font "courier,8,0" +) +xt "0,-950,1000,-50" +st "c0" +blo "0,-250" +tm "FcCaseNameMgr" +) +) +caseExpression (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +font "courier,8,0" +) +xt "11250,2650,16750,3550" +st "expression" +ju 0 +tm "FcCaseExpressionMgr" +) +) +inConnect (FlowConnect +ps "OnEdgeStrategy" +shape (Triangle +ro 180 +va (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +xt "13500,-500,14500,500" +) +direction 0 +) +endCase *83 (EndCase +ps "CenterOffsetStrategy" +shape (Pentagon +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +xt "10000,24000,18000,28000" +) +label (Text +va (VaSet +font "courier,8,1" +) +xt "12000,25550,16000,26450" +st "End Case" +ju 0 +blo "14000,26250" +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +fg "0,32768,49152" +font "courier,8,0" +) +xt "10000,23050,11000,23950" +st "c0" +blo "10000,23750" +tm "FcCaseNameMgr" +) +) +inConnect (FlowConnect +ps "OnEdgeStrategy" +shape (Triangle +ro 180 +va (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +xt "13500,23500,14500,24500" +) +direction 0 +) +outConnect (FlowConnect +ps "OnEdgeStrategy" +shape (Triangle +ro 180 +va (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +xt "13500,27500,14500,28500" +) +direction 1 +position 1 +) +) +verilogCaseMode 0 +) +defaultCasePort (CasePort +ps "OnEdgeStrategy" +shape (Triangle +ro 180 +va (VaSet +vasetType 1 +fg "65535,0,0" +fillStyle 12 +) +xt "0,0,1000,1000" +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +font "courier,8,1" +) +xt "1000,500,4000,1400" +st "value0" +tm "FcCasePortNameMgr" +) +) +) +defaultFlow (Flow +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +arrow 1 +) +ss 0 +es 0 +) +defaultFlowJoin (FlowJoin +ps "OnFlowStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-300,-300,300,300" +radius 300 +) +) +) diff --git a/firmware/minitlu/ise/ipcore_dir/CounterDown.xco b/firmware/minitlu/ise/ipcore_dir/CounterDown.xco new file mode 100644 index 00000000..6268e1d0 --- /dev/null +++ b/firmware/minitlu/ise/ipcore_dir/CounterDown.xco @@ -0,0 +1,70 @@ +############################################################## +# +# Xilinx Core Generator version 13.4 +# Date: Tue Feb 12 16:06:07 2013 +# +############################################################## +# +# This file contains the customisation parameters for a +# Xilinx CORE Generator IP GUI. It is strongly recommended +# that you do not manually alter this file as it may cause +# unexpected and unsupported behavior. +# +############################################################## +# +# Generated from component: xilinx.com:ip:c_counter_binary:11.0 +# +############################################################## +# +# BEGIN Project Options +SET addpads = false +SET asysymbol = true +SET busformat = BusFormatAngleBracketNotRipped +SET createndf = false +SET designentry = VHDL +SET device = xc6slx16 +SET devicefamily = spartan6 +SET flowvendor = Other +SET formalverification = false +SET foundationsym = false +SET implementationfiletype = Ngc +SET package = csg324 +SET removerpms = false +SET simulationfiles = Behavioral +SET speedgrade = -2 +SET verilogsim = false +SET vhdlsim = true +# END Project Options +# BEGIN Select +SELECT Binary_Counter xilinx.com:ip:c_counter_binary:11.0 +# END Select +# BEGIN Parameters +CSET ainit_value=FFFFFFFF +CSET ce=false +CSET component_name=CounterDown +CSET count_mode=DOWN +CSET fb_latency=0 +CSET fb_latency_configuration=Manual +CSET final_count_value=1 +CSET implementation=Fabric +CSET increment_value=1 +CSET latency=1 +CSET latency_configuration=Manual +CSET load=true +CSET load_sense=Active_High +CSET output_width=32 +CSET restrict_count=false +CSET sclr=false +CSET sinit=false +CSET sinit_value=0 +CSET sset=false +CSET sync_ce_priority=Sync_Overrides_CE +CSET sync_threshold_output=false +CSET syncctrlpriority=Reset_Overrides_Set +CSET threshold_value=1 +# END Parameters +# BEGIN Extra information +MISC pkg_timestamp=2011-10-12T06:28:35Z +# END Extra information +GENERATE +# CRC: 137adc28 diff --git a/firmware/minitlu/ise/ipcore_dir/CounterUp.xco b/firmware/minitlu/ise/ipcore_dir/CounterUp.xco new file mode 100644 index 00000000..9939b99b --- /dev/null +++ b/firmware/minitlu/ise/ipcore_dir/CounterUp.xco @@ -0,0 +1,70 @@ +############################################################## +# +# Xilinx Core Generator version 13.4 +# Date: Tue Feb 12 15:51:34 2013 +# +############################################################## +# +# This file contains the customisation parameters for a +# Xilinx CORE Generator IP GUI. It is strongly recommended +# that you do not manually alter this file as it may cause +# unexpected and unsupported behavior. +# +############################################################## +# +# Generated from component: xilinx.com:ip:c_counter_binary:11.0 +# +############################################################## +# +# BEGIN Project Options +SET addpads = false +SET asysymbol = true +SET busformat = BusFormatAngleBracketNotRipped +SET createndf = false +SET designentry = VHDL +SET device = xc6slx16 +SET devicefamily = spartan6 +SET flowvendor = Other +SET formalverification = false +SET foundationsym = false +SET implementationfiletype = Ngc +SET package = csg324 +SET removerpms = false +SET simulationfiles = Behavioral +SET speedgrade = -2 +SET verilogsim = false +SET vhdlsim = true +# END Project Options +# BEGIN Select +SELECT Binary_Counter xilinx.com:ip:c_counter_binary:11.0 +# END Select +# BEGIN Parameters +CSET ainit_value=0 +CSET ce=true +CSET component_name=CounterUp +CSET count_mode=UP +CSET fb_latency=0 +CSET fb_latency_configuration=Manual +CSET final_count_value=1 +CSET implementation=Fabric +CSET increment_value=1 +CSET latency=1 +CSET latency_configuration=Manual +CSET load=false +CSET load_sense=Active_High +CSET output_width=32 +CSET restrict_count=false +CSET sclr=false +CSET sinit=true +CSET sinit_value=0 +CSET sset=false +CSET sync_ce_priority=Sync_Overrides_CE +CSET sync_threshold_output=false +CSET syncctrlpriority=Reset_Overrides_Set +CSET threshold_value=1 +# END Parameters +# BEGIN Extra information +MISC pkg_timestamp=2011-10-12T06:28:35Z +# END Extra information +GENERATE +# CRC: ba6b256c diff --git a/firmware/minitlu/ise/ipcore_dir/FIFO.xco b/firmware/minitlu/ise/ipcore_dir/FIFO.xco new file mode 100644 index 00000000..0aa0f3f1 --- /dev/null +++ b/firmware/minitlu/ise/ipcore_dir/FIFO.xco @@ -0,0 +1,219 @@ +############################################################## +# +# Xilinx Core Generator version 14.7 +# Date: Fri Aug 29 16:37:03 2014 +# +############################################################## +# +# This file contains the customisation parameters for a +# Xilinx CORE Generator IP GUI. It is strongly recommended +# that you do not manually alter this file as it may cause +# unexpected and unsupported behavior. +# +############################################################## +# +# Generated from component: xilinx.com:ip:fifo_generator:8.4 +# +############################################################## +# +# BEGIN Project Options +SET addpads = false +SET asysymbol = true +SET busformat = BusFormatAngleBracketNotRipped +SET createndf = false +SET designentry = VHDL +SET device = xc6slx45t +SET devicefamily = spartan6 +SET flowvendor = Other +SET formalverification = false +SET foundationsym = false +SET implementationfiletype = Ngc +SET package = fgg484 +SET removerpms = false +SET simulationfiles = Behavioral +SET speedgrade = -3 +SET verilogsim = false +SET vhdlsim = true +# END Project Options +# BEGIN Select +SELECT Fifo_Generator xilinx.com:ip:fifo_generator:8.4 +# END Select +# BEGIN Parameters +CSET add_ngc_constraint_axi=false +CSET almost_empty_flag=false +CSET almost_full_flag=false +CSET aruser_width=1 +CSET awuser_width=1 +CSET axi_address_width=32 +CSET axi_data_width=64 +CSET axi_type=AXI4_Stream +CSET axis_type=FIFO +CSET buser_width=1 +CSET clock_enable_type=Slave_Interface_Clock_Enable +CSET clock_type_axi=Common_Clock +CSET component_name=FIFO +CSET data_count=false +CSET data_count_width=4 +CSET disable_timing_violations=false +CSET disable_timing_violations_axi=false +CSET dout_reset_value=0 +CSET empty_threshold_assert_value=2 +CSET empty_threshold_assert_value_axis=1022 +CSET empty_threshold_assert_value_rach=1022 +CSET empty_threshold_assert_value_rdch=1022 +CSET empty_threshold_assert_value_wach=1022 +CSET empty_threshold_assert_value_wdch=1022 +CSET empty_threshold_assert_value_wrch=1022 +CSET empty_threshold_negate_value=3 +CSET enable_aruser=false +CSET enable_awuser=false +CSET enable_buser=false +CSET enable_common_overflow=false +CSET enable_common_underflow=false +CSET enable_data_counts_axis=false +CSET enable_data_counts_rach=false +CSET enable_data_counts_rdch=false +CSET enable_data_counts_wach=false +CSET enable_data_counts_wdch=false +CSET enable_data_counts_wrch=false +CSET enable_ecc=false +CSET enable_ecc_axis=false +CSET enable_ecc_rach=false +CSET enable_ecc_rdch=false +CSET enable_ecc_wach=false +CSET enable_ecc_wdch=false +CSET enable_ecc_wrch=false +CSET enable_handshake_flag_options_axis=false +CSET enable_handshake_flag_options_rach=false +CSET enable_handshake_flag_options_rdch=false +CSET enable_handshake_flag_options_wach=false +CSET enable_handshake_flag_options_wdch=false +CSET enable_handshake_flag_options_wrch=false +CSET enable_read_channel=false +CSET enable_read_pointer_increment_by2=false +CSET enable_reset_synchronization=true +CSET enable_ruser=false +CSET enable_tdata=false +CSET enable_tdest=false +CSET enable_tid=false +CSET enable_tkeep=false +CSET enable_tlast=false +CSET enable_tready=true +CSET enable_tstrobe=false +CSET enable_tuser=false +CSET enable_write_channel=false +CSET enable_wuser=false +CSET fifo_application_type_axis=Data_FIFO +CSET fifo_application_type_rach=Data_FIFO +CSET fifo_application_type_rdch=Data_FIFO +CSET fifo_application_type_wach=Data_FIFO +CSET fifo_application_type_wdch=Data_FIFO +CSET fifo_application_type_wrch=Data_FIFO +CSET fifo_implementation=Common_Clock_Block_RAM +CSET fifo_implementation_axis=Common_Clock_Block_RAM +CSET fifo_implementation_rach=Common_Clock_Block_RAM +CSET fifo_implementation_rdch=Common_Clock_Block_RAM +CSET fifo_implementation_wach=Common_Clock_Block_RAM +CSET fifo_implementation_wdch=Common_Clock_Block_RAM +CSET fifo_implementation_wrch=Common_Clock_Block_RAM +CSET full_flags_reset_value=1 +CSET full_threshold_assert_value=13 +CSET full_threshold_assert_value_axis=1023 +CSET full_threshold_assert_value_rach=1023 +CSET full_threshold_assert_value_rdch=1023 +CSET full_threshold_assert_value_wach=1023 +CSET full_threshold_assert_value_wdch=1023 +CSET full_threshold_assert_value_wrch=1023 +CSET full_threshold_negate_value=12 +CSET id_width=4 +CSET inject_dbit_error=false +CSET inject_dbit_error_axis=false +CSET inject_dbit_error_rach=false +CSET inject_dbit_error_rdch=false +CSET inject_dbit_error_wach=false +CSET inject_dbit_error_wdch=false +CSET inject_dbit_error_wrch=false +CSET inject_sbit_error=false +CSET inject_sbit_error_axis=false +CSET inject_sbit_error_rach=false +CSET inject_sbit_error_rdch=false +CSET inject_sbit_error_wach=false +CSET inject_sbit_error_wdch=false +CSET inject_sbit_error_wrch=false +CSET input_data_width=64 +CSET input_depth=16 +CSET input_depth_axis=1024 +CSET input_depth_rach=16 +CSET input_depth_rdch=1024 +CSET input_depth_wach=16 +CSET input_depth_wdch=1024 +CSET input_depth_wrch=16 +CSET interface_type=Native +CSET output_data_width=64 +CSET output_depth=16 +CSET overflow_flag=false +CSET overflow_flag_axi=false +CSET overflow_sense=Active_High +CSET overflow_sense_axi=Active_High +CSET performance_options=Standard_FIFO +CSET programmable_empty_type=No_Programmable_Empty_Threshold +CSET programmable_empty_type_axis=Empty +CSET programmable_empty_type_rach=Empty +CSET programmable_empty_type_rdch=Empty +CSET programmable_empty_type_wach=Empty +CSET programmable_empty_type_wdch=Empty +CSET programmable_empty_type_wrch=Empty +CSET programmable_full_type=Single_Programmable_Full_Threshold_Constant +CSET programmable_full_type_axis=Full +CSET programmable_full_type_rach=Full +CSET programmable_full_type_rdch=Full +CSET programmable_full_type_wach=Full +CSET programmable_full_type_wdch=Full +CSET programmable_full_type_wrch=Full +CSET rach_type=FIFO +CSET rdch_type=FIFO +CSET read_clock_frequency=1 +CSET read_data_count=false +CSET read_data_count_width=4 +CSET register_slice_mode_axis=Fully_Registered +CSET register_slice_mode_rach=Fully_Registered +CSET register_slice_mode_rdch=Fully_Registered +CSET register_slice_mode_wach=Fully_Registered +CSET register_slice_mode_wdch=Fully_Registered +CSET register_slice_mode_wrch=Fully_Registered +CSET reset_pin=true +CSET reset_type=Asynchronous_Reset +CSET ruser_width=1 +CSET synchronization_stages=2 +CSET synchronization_stages_axi=2 +CSET tdata_width=64 +CSET tdest_width=4 +CSET tid_width=8 +CSET tkeep_width=4 +CSET tstrb_width=4 +CSET tuser_width=4 +CSET underflow_flag=false +CSET underflow_flag_axi=false +CSET underflow_sense=Active_High +CSET underflow_sense_axi=Active_High +CSET use_clock_enable=false +CSET use_dout_reset=false +CSET use_embedded_registers=false +CSET use_extra_logic=false +CSET valid_flag=false +CSET valid_sense=Active_High +CSET wach_type=FIFO +CSET wdch_type=FIFO +CSET wrch_type=FIFO +CSET write_acknowledge_flag=false +CSET write_acknowledge_sense=Active_High +CSET write_clock_frequency=1 +CSET write_data_count=false +CSET write_data_count_width=4 +CSET wuser_width=1 +# END Parameters +# BEGIN Extra information +MISC pkg_timestamp=2011-10-22T06:08:52Z +# END Extra information +GENERATE +# CRC: e0f1f870 diff --git a/firmware/minitlu/ise/ipcore_dir/internalTriggerGenerator.xco b/firmware/minitlu/ise/ipcore_dir/internalTriggerGenerator.xco new file mode 100644 index 00000000..6ad498bf --- /dev/null +++ b/firmware/minitlu/ise/ipcore_dir/internalTriggerGenerator.xco @@ -0,0 +1,70 @@ +############################################################## +# +# Xilinx Core Generator version 13.4 +# Date: Mon Dec 10 16:39:06 2012 +# +############################################################## +# +# This file contains the customisation parameters for a +# Xilinx CORE Generator IP GUI. It is strongly recommended +# that you do not manually alter this file as it may cause +# unexpected and unsupported behavior. +# +############################################################## +# +# Generated from component: xilinx.com:ip:c_counter_binary:11.0 +# +############################################################## +# +# BEGIN Project Options +SET addpads = false +SET asysymbol = true +SET busformat = BusFormatAngleBracketNotRipped +SET createndf = false +SET designentry = VHDL +SET device = xc6slx16 +SET devicefamily = spartan6 +SET flowvendor = Other +SET formalverification = false +SET foundationsym = false +SET implementationfiletype = Ngc +SET package = csg324 +SET removerpms = false +SET simulationfiles = Behavioral +SET speedgrade = -2 +SET verilogsim = false +SET vhdlsim = true +# END Project Options +# BEGIN Select +SELECT Binary_Counter xilinx.com:ip:c_counter_binary:11.0 +# END Select +# BEGIN Parameters +CSET ainit_value=0 +CSET ce=true +CSET component_name=internalTriggerGenerator +CSET count_mode=DOWN +CSET fb_latency=0 +CSET fb_latency_configuration=Automatic +CSET final_count_value=1 +CSET implementation=DSP48 +CSET increment_value=1 +CSET latency=2 +CSET latency_configuration=Automatic +CSET load=true +CSET load_sense=Active_High +CSET output_width=32 +CSET restrict_count=false +CSET sclr=false +CSET sinit=false +CSET sinit_value=0 +CSET sset=false +CSET sync_ce_priority=Sync_Overrides_CE +CSET sync_threshold_output=false +CSET syncctrlpriority=Reset_Overrides_Set +CSET threshold_value=1 +# END Parameters +# BEGIN Extra information +MISC pkg_timestamp=2011-10-12T06:28:35Z +# END Extra information +GENERATE +# CRC: 8097337d diff --git a/firmware/minitlu/ise/ipcore_dir/tlu_event_fifo.xco b/firmware/minitlu/ise/ipcore_dir/tlu_event_fifo.xco new file mode 100644 index 00000000..44329af1 --- /dev/null +++ b/firmware/minitlu/ise/ipcore_dir/tlu_event_fifo.xco @@ -0,0 +1,219 @@ +############################################################## +# +# Xilinx Core Generator version 14.7 +# Date: Thu Aug 28 15:34:30 2014 +# +############################################################## +# +# This file contains the customisation parameters for a +# Xilinx CORE Generator IP GUI. It is strongly recommended +# that you do not manually alter this file as it may cause +# unexpected and unsupported behavior. +# +############################################################## +# +# Generated from component: xilinx.com:ip:fifo_generator:8.4 +# +############################################################## +# +# BEGIN Project Options +SET addpads = false +SET asysymbol = true +SET busformat = BusFormatAngleBracketNotRipped +SET createndf = false +SET designentry = VHDL +SET device = xc6slx45t +SET devicefamily = spartan6 +SET flowvendor = Other +SET formalverification = false +SET foundationsym = false +SET implementationfiletype = Ngc +SET package = fgg484 +SET removerpms = false +SET simulationfiles = Behavioral +SET speedgrade = -3 +SET verilogsim = false +SET vhdlsim = true +# END Project Options +# BEGIN Select +SELECT Fifo_Generator xilinx.com:ip:fifo_generator:8.4 +# END Select +# BEGIN Parameters +CSET add_ngc_constraint_axi=false +CSET almost_empty_flag=true +CSET almost_full_flag=true +CSET aruser_width=1 +CSET awuser_width=1 +CSET axi_address_width=32 +CSET axi_data_width=64 +CSET axi_type=AXI4_Stream +CSET axis_type=FIFO +CSET buser_width=1 +CSET clock_enable_type=Slave_Interface_Clock_Enable +CSET clock_type_axi=Common_Clock +CSET component_name=tlu_event_fifo +CSET data_count=false +CSET data_count_width=14 +CSET disable_timing_violations=false +CSET disable_timing_violations_axi=false +CSET dout_reset_value=0 +CSET empty_threshold_assert_value=4 +CSET empty_threshold_assert_value_axis=1022 +CSET empty_threshold_assert_value_rach=1022 +CSET empty_threshold_assert_value_rdch=1022 +CSET empty_threshold_assert_value_wach=1022 +CSET empty_threshold_assert_value_wdch=1022 +CSET empty_threshold_assert_value_wrch=1022 +CSET empty_threshold_negate_value=5 +CSET enable_aruser=false +CSET enable_awuser=false +CSET enable_buser=false +CSET enable_common_overflow=false +CSET enable_common_underflow=false +CSET enable_data_counts_axis=false +CSET enable_data_counts_rach=false +CSET enable_data_counts_rdch=false +CSET enable_data_counts_wach=false +CSET enable_data_counts_wdch=false +CSET enable_data_counts_wrch=false +CSET enable_ecc=false +CSET enable_ecc_axis=false +CSET enable_ecc_rach=false +CSET enable_ecc_rdch=false +CSET enable_ecc_wach=false +CSET enable_ecc_wdch=false +CSET enable_ecc_wrch=false +CSET enable_handshake_flag_options_axis=false +CSET enable_handshake_flag_options_rach=false +CSET enable_handshake_flag_options_rdch=false +CSET enable_handshake_flag_options_wach=false +CSET enable_handshake_flag_options_wdch=false +CSET enable_handshake_flag_options_wrch=false +CSET enable_read_channel=false +CSET enable_read_pointer_increment_by2=false +CSET enable_reset_synchronization=true +CSET enable_ruser=false +CSET enable_tdata=false +CSET enable_tdest=false +CSET enable_tid=false +CSET enable_tkeep=false +CSET enable_tlast=false +CSET enable_tready=true +CSET enable_tstrobe=false +CSET enable_tuser=false +CSET enable_write_channel=false +CSET enable_wuser=false +CSET fifo_application_type_axis=Data_FIFO +CSET fifo_application_type_rach=Data_FIFO +CSET fifo_application_type_rdch=Data_FIFO +CSET fifo_application_type_wach=Data_FIFO +CSET fifo_application_type_wdch=Data_FIFO +CSET fifo_application_type_wrch=Data_FIFO +CSET fifo_implementation=Independent_Clocks_Block_RAM +CSET fifo_implementation_axis=Common_Clock_Block_RAM +CSET fifo_implementation_rach=Common_Clock_Block_RAM +CSET fifo_implementation_rdch=Common_Clock_Block_RAM +CSET fifo_implementation_wach=Common_Clock_Block_RAM +CSET fifo_implementation_wdch=Common_Clock_Block_RAM +CSET fifo_implementation_wrch=Common_Clock_Block_RAM +CSET full_flags_reset_value=1 +CSET full_threshold_assert_value=16000 +CSET full_threshold_assert_value_axis=1023 +CSET full_threshold_assert_value_rach=1023 +CSET full_threshold_assert_value_rdch=1023 +CSET full_threshold_assert_value_wach=1023 +CSET full_threshold_assert_value_wdch=1023 +CSET full_threshold_assert_value_wrch=1023 +CSET full_threshold_negate_value=15999 +CSET id_width=4 +CSET inject_dbit_error=false +CSET inject_dbit_error_axis=false +CSET inject_dbit_error_rach=false +CSET inject_dbit_error_rdch=false +CSET inject_dbit_error_wach=false +CSET inject_dbit_error_wdch=false +CSET inject_dbit_error_wrch=false +CSET inject_sbit_error=false +CSET inject_sbit_error_axis=false +CSET inject_sbit_error_rach=false +CSET inject_sbit_error_rdch=false +CSET inject_sbit_error_wach=false +CSET inject_sbit_error_wdch=false +CSET inject_sbit_error_wrch=false +CSET input_data_width=64 +CSET input_depth=16384 +CSET input_depth_axis=1024 +CSET input_depth_rach=16 +CSET input_depth_rdch=1024 +CSET input_depth_wach=16 +CSET input_depth_wdch=1024 +CSET input_depth_wrch=16 +CSET interface_type=Native +CSET output_data_width=32 +CSET output_depth=32768 +CSET overflow_flag=false +CSET overflow_flag_axi=false +CSET overflow_sense=Active_High +CSET overflow_sense_axi=Active_High +CSET performance_options=First_Word_Fall_Through +CSET programmable_empty_type=No_Programmable_Empty_Threshold +CSET programmable_empty_type_axis=Empty +CSET programmable_empty_type_rach=Empty +CSET programmable_empty_type_rdch=Empty +CSET programmable_empty_type_wach=Empty +CSET programmable_empty_type_wdch=Empty +CSET programmable_empty_type_wrch=Empty +CSET programmable_full_type=Single_Programmable_Full_Threshold_Constant +CSET programmable_full_type_axis=Full +CSET programmable_full_type_rach=Full +CSET programmable_full_type_rdch=Full +CSET programmable_full_type_wach=Full +CSET programmable_full_type_wdch=Full +CSET programmable_full_type_wrch=Full +CSET rach_type=FIFO +CSET rdch_type=FIFO +CSET read_clock_frequency=1 +CSET read_data_count=true +CSET read_data_count_width=16 +CSET register_slice_mode_axis=Fully_Registered +CSET register_slice_mode_rach=Fully_Registered +CSET register_slice_mode_rdch=Fully_Registered +CSET register_slice_mode_wach=Fully_Registered +CSET register_slice_mode_wdch=Fully_Registered +CSET register_slice_mode_wrch=Fully_Registered +CSET reset_pin=true +CSET reset_type=Asynchronous_Reset +CSET ruser_width=1 +CSET synchronization_stages=2 +CSET synchronization_stages_axi=2 +CSET tdata_width=64 +CSET tdest_width=4 +CSET tid_width=8 +CSET tkeep_width=4 +CSET tstrb_width=4 +CSET tuser_width=4 +CSET underflow_flag=false +CSET underflow_flag_axi=false +CSET underflow_sense=Active_High +CSET underflow_sense_axi=Active_High +CSET use_clock_enable=false +CSET use_dout_reset=true +CSET use_embedded_registers=false +CSET use_extra_logic=true +CSET valid_flag=false +CSET valid_sense=Active_High +CSET wach_type=FIFO +CSET wdch_type=FIFO +CSET wrch_type=FIFO +CSET write_acknowledge_flag=false +CSET write_acknowledge_sense=Active_High +CSET write_clock_frequency=1 +CSET write_data_count=true +CSET write_data_count_width=15 +CSET wuser_width=1 +# END Parameters +# BEGIN Extra information +MISC pkg_timestamp=2011-10-22T06:08:52Z +# END Extra information +GENERATE +# CRC: 9008abaa diff --git a/firmware/minitlu/scripts/FmcTluI2c.py b/firmware/minitlu/scripts/FmcTluI2c.py new file mode 100644 index 00000000..64f7ff5b --- /dev/null +++ b/firmware/minitlu/scripts/FmcTluI2c.py @@ -0,0 +1,136 @@ +import time +from PyChipsUser import * +from I2cBusProperties import * +from RawI2cAccess import * + + +class FmcTluI2c: + + + ############################ + ### configure i2c connection + ############################ + def __init__(self,board): + self.board = board + i2cClockPrescale = 0x30 + self.i2cBusProps = I2cBusProperties(self.board, i2cClockPrescale) + return + + + ########################## + ### scan all i2c addresses + ########################## + def i2c_scan(self): + list=[] + for islave in range(128): + i2cscan = RawI2cAccess(self.i2cBusProps, islave) + try: + i2cscan.write([0x00]) + device="slave address "+hex(islave)+" " + if islave==0x1f: + device+="(DAC)" + elif islave==0x50: + device+="(serial number PROM)" + elif islave>=0x54 and islave<=0x57: + device+="(sp601 onboard EEPROM)" + else: + device+="(???)" + pass + list.append(device) + pass + except: + pass + pass + return list + + + ################### + ### write to EEPROM + ################### + def eeprom_write(self,address,value): + if address<0 or address>127: + print "eeprom_write ERROR: address",address,"not in range 0-127" + return + if value<0 or value>255: + print "eeprom_write ERROR: value",value,"not in range 0-255" + return + i2cSlaveAddr = 0x50 # seven bit address, binary 1010000 + prom = RawI2cAccess(self.i2cBusProps, i2cSlaveAddr) + prom.write([address,value]) + time.sleep(0.01) # write cycle time is 5ms. let's wait 10 to make sure. + return + + + #################### + ### read from EEPROM + #################### + def eeprom_read(self,address): + if address<0 or address>255: + print "eeprom_write ERROR: address",address,"not in range 0-127" + return + i2cSlaveAddr = 0x50 # seven bit address, binary 1010000 + prom = RawI2cAccess(self.i2cBusProps, i2cSlaveAddr) + prom.write([address]) + return prom.read(1)[0] + + + ###################### + ### read serial number + ###################### + def get_serial_number(self): + result="" + for iaddr in [0xfa, 0xfb, 0xfc, 0xfd, 0xfe, 0xff]: + result+="%02x "%(self.eeprom_read(iaddr)) + pass + return result + + + ################# + ### set DAC value + ################# + def set_dac(self,channel,value , vrefOn = 0 , i2cSlaveAddrDac = 0x1F): + if channel<0 or channel>7: + print "set_dac ERROR: channel",channel,"not in range 0-7 (bit mask)" + return -1 + if value<0 or value>0xFFFF: + print "set_dac ERROR: value",value,"not in range 0-0xFFFF" + return -1 + # AD5665R chip with A0,A1 tied to ground + #i2cSlaveAddrDac = 0x1F # seven bit address, binary 00011111 + print "I2C address of DAC = " , hex(i2cSlaveAddrDac) + dac = RawI2cAccess(self.i2cBusProps, i2cSlaveAddrDac) + # if we want to enable internal voltage reference: + if vrefOn: + # enter vref-on mode: + print "Turning internal reference ON" + dac.write([0x38,0x00,0x01]) + else: + print "Turning internal reference OFF" + dac.write([0x38,0x00,0x00]) + # now set the actual value + sequence=[( 0x18 + ( channel &0x7 ) ) , (value/256)&0xff , value&0xff] + print sequence + dac.write(sequence) + + + + ################################################## + ### convert required threshold voltage to DAC code + ################################################## + def convert_voltage_to_dac(self,desiredVoltage, Vref=1.300): + Vdaq = ( desiredVoltage + Vref ) / 2 + dacCode = 0xFFFF * Vdaq / Vref + return int(dacCode) + + + ################################################## + ### calculate the DAC code required and set DAC + ################################################## + def set_threshold_voltage(self, channel , voltage ): + dacCode = self.convert_voltage_to_dac(voltage) + print " requested voltage, calculated DAC code = " , voltage , dacCode + self.set_dac(channel , dacCode) + + + + diff --git a/firmware/minitlu/scripts/I2cBusProperties.py b/firmware/minitlu/scripts/I2cBusProperties.py new file mode 100644 index 00000000..7b3fbfe6 --- /dev/null +++ b/firmware/minitlu/scripts/I2cBusProperties.py @@ -0,0 +1,122 @@ +########################################################## +# I2cBusProperties - simple encapsulation of all items +# required to control an I2C bus. +# +# Carl Jeske, July 2010 +# Refactored by Robert Frazier, May 2011 +########################################################## + + +class I2cBusProperties(object): + """Encapsulates details of an I2C bus master in the form of a host device, a clock prescale value, and seven I2C master registers + + Provide the ChipsBus instance to the device hosting your I2C core, a 16-bit clock prescaling + value for the Serial Clock Line (see I2C core docs for details), and the names of the seven + registers that define/control the bus (assuming these names are not the defaults specified + in the constructor below). The seven registers consist of the two clock pre-scaling + registers (PRElo, PREhi), and five bus master registers (CONTROL, TRANSMIT, RECEIVE, + COMMAND and STATUS). + + Usage: You'll need to create an instance of this class to give to a concrete I2C bus instance, such + as OpenCoresI2cBus. This I2cBusProperties class is simply a container to hold the properties + that define the bus; a class such as OpenCoresI2cBus will make use of these properties. + + Access the items stored by this class via these (deliberately compact) variable names: + + chipsBus -- the ChipsBus device hosting the I2C core + preHiVal -- the top byte of the clock prescale value + preLoVal -- the bottom byte of the clock prescale value + preHiReg -- the register the top byte of the clk prescale value (preHiVal) gets written to + preLoReg -- the register the bottom byte of the clk prescale value (preLoVal) gets written to + ctrlReg -- the I2C Control register + txReg -- the I2C Transmit register + rxReg -- the I2C Receive register + cmdReg -- the I2C Command register + statusReg -- the I2C Status register + + + Compatibility Notes: The seven register names are the registers typically required to operate an + OpenCores or similar I2C Master (Lattice Semiconductor's I2C bus master works + the same way as the OpenCores one). This software is not compatible with your + I2C bus master if it doesn't use this register interface. + """ + + def __init__(self, + chipsBusDevice, + clkPrescaleU16, + clkPrescaleLoByteReg = "i2c_pre_lo", + clkPrescaleHiByteReg = "i2c_pre_hi", + controlReg = "i2c_ctrl", + transmitReg = "i2c_tx", + receiveReg = "i2c_rx", + commandReg = "i2c_cmd", + statusReg = "i2c_status"): + + """Provide a host ChipsBus device that is controlling the I2C bus, and the names of five I2C control registers. + + chipsBusDevice: Provide a ChipsBus instance to the device where the I2C bus is being + controlled. The address table for this device must contain the five registers + that control the bus, as declared next... + + clkPrescaleU16: A 16-bit value used to prescale the Serial Clock Line based on the host + master-clock. This value gets split into two 8-bit values and ultimately will + get written to the two I2C clock-prescale registers as declared below. See + the OpenCores or Lattice Semiconductor I2C documentation for more details. + + clkPrescaleLoByteReg: The register where the lower byte of the clock prescale value is set. The default + name for this register is "i2c_pre_lo". + + clkPrescaleHiByteReg: The register where the higher byte of the clock prescale value is set. The default + name for this register is "i2c_pre_hi" + + controlReg: The CONTROL register, used for enabling/disabling the I2C core, etc. This register is + usually read and write accessible. The default name for this register is "i2c_ctrl". + + transmitReg: The TRANSMIT register, used for holding the data to be transmitted via I2C, etc. This + typically shares the same address as the RECEIVE register, but has write-only access. The default + name for this register is "i2c_tx". + + receiveReg: The RECEIVE register - allows access to the byte received over the I2C bus. This + typically shares the same address as the TRANSMIT register, but has read-only access. The + default name for this register is "i2c_rx". + + commandReg: The COMMAND register - stores the command for the next I2C operation. This typically + shares the same address as the STATUS register, but has write-only access. The default name for + this register is "i2c_cmd". + + statusReg: The STATUS register - allows monitoring of the I2C operations. This typically shares + the same address as the COMMAND register, but has read-only access. The default name for this + register is "i2c_status". + """ + + object.__init__(self) + self.chipsBus = chipsBusDevice + self.preHiVal = ((clkPrescaleU16 & 0xff00) >> 8) + self.preLoVal = (clkPrescaleU16 & 0xff) + + # Check to see all the registers are in the address table + registers = [clkPrescaleLoByteReg, clkPrescaleHiByteReg, controlReg, transmitReg, receiveReg, commandReg, statusReg] + for reg in registers: + if not self.chipsBus.addrTable.checkItem(reg): + raise ChipsException("I2cBusProperties error: register '" + reg + "' is not present in the address table of the device hosting the I2C bus master!") + + # Check that the registers we'll need to write to are indeed writable + writableRegisters = [clkPrescaleLoByteReg, clkPrescaleHiByteReg, controlReg, transmitReg, commandReg] + for wReg in writableRegisters: + if not self.chipsBus.addrTable.getItem(wReg).getWriteFlag(): + raise ChipsException("I2cBusProperties error: register '" + wReg + "' does not have the necessary write permission!") + + # Check that the registers we'll need to read from are indeed readable + readableRegisters = [clkPrescaleLoByteReg, clkPrescaleHiByteReg, controlReg, receiveReg, statusReg] + for rReg in readableRegisters: + if not self.chipsBus.addrTable.getItem(rReg).getReadFlag(): + raise ChipsException("I2cBusProperties error: register '" + rReg + "' does not have the necessary read permission!") + + # Store the various register name strings + self.preHiReg = clkPrescaleHiByteReg + self.preLoReg = clkPrescaleLoByteReg + self.ctrlReg = controlReg + self.txReg = transmitReg + self.rxReg = receiveReg + self.cmdReg = commandReg + self.statusReg = statusReg diff --git a/firmware/minitlu/scripts/RawI2cAccess.py b/firmware/minitlu/scripts/RawI2cAccess.py new file mode 100644 index 00000000..7e3252bb --- /dev/null +++ b/firmware/minitlu/scripts/RawI2cAccess.py @@ -0,0 +1,260 @@ +# Created on Sep 10, 2012 +# @author: Kristian Harder, based on code by Carl Jeske + +from I2cBusProperties import I2cBusProperties +from ChipsBus import ChipsBus +from ChipsLog import chipsLog +from ChipsException import ChipsException + + +class RawI2cAccess: + + def __init__(self, i2cBusProps, slaveAddr): + + # For performing read/writes over an OpenCores-compatible I2C bus master + # + # An instance of this class is required to communicate with each + # I2C slave on the I2C bus. + # + # i2cBusProps: an instance of the class I2cBusProperties that contains + # the relevant ChipsBus host and the I2C bus-master registers (if + # they differ from the defaults specified by the I2cBusProperties + # class). + # + #slaveAddr: The address of the I2C slave you wish to communicate with. + # + + self._i2cProps = i2cBusProps # The I2C Bus Properties + self._slaveAddr = 0x7f & slaveAddr # 7-bit slave address + + + def resetI2cBus(self): + + # Resets the I2C bus + # + # This function does the following: + # 1) Disables the I2C core + # 2) Sets the clock prescale registers + # 3) Enables the I2C core + # 4) Sets all writable bus-master registers to default values + + try: + self._chipsBus().queueWrite(self._i2cProps.ctrlReg, 0x00) + self._chipsBus().queueWrite(self._i2cProps.preHiReg, + self._i2cProps.preHiVal) + self._chipsBus().queueWrite(self._i2cProps.preLoReg, + self._i2cProps.preLoVal) + self._chipsBus().queueWrite(self._i2cProps.ctrlReg, 0x80) + self._chipsBus().queueWrite(self._i2cProps.txReg, 0x00) + self._chipsBus().queueWrite(self._i2cProps.cmdReg, 0x00) + self._chipsBus().queueRun() + except ChipsException, err: + raise ChipsException("I2C reset error:\n\t" + str(err)) + + + def read(self, numBytes): + + # Performs an I2C read. Returns the 8-bit read result(s). + # + # numBytes: number of bytes expected as response + # + + try: + result = self._privateRead(numBytes) + except ChipsException, err: + raise ChipsException("I2C read error:\n\t" + str(err)) + return result + + + def write(self, listDataU8): + + # Performs an 8-bit I2C write. + # + # listDataU8: The 8-bit data values to be written. + # + + try: + self._privateWrite(listDataU8) + except ChipsException, err: + raise ChipsException("I2C write error:\n\t" + str(err)) + return + + + def _chipsBus(self): + + # Returns the instance of the ChipsBus device that's hosting + # the I2C bus master + + return self._i2cProps.chipsBus + + + def _privateRead(self, numBytes): + + # I2C read implementation. + # + # Fast I2C read implementation, + # i.e. done with the fewest packets possible. + + + # transmit reg definitions + # bits 7-1: 7-bit slave address during address transfer + # or first 7 bits of byte during data transfer + # bit 0: RW flag during address transfer or LSB during data transfer. + # '1' = reading from slave + # '0' = writing to slave + + # command reg definitions + # bit 7: Generate start condition + # bit 6: Generate stop condition + # bit 5: Read from slave + # bit 4: Write to slave + # bit 3: 0 when acknowledgement is received + # bit 2:1: Reserved + # bit 0: Interrupt acknowledge. When set, clears a pending interrupt + + # Reset bus before beginning + self.resetI2cBus() + + # Set slave address in bits 7:1, and set bit 0 to zero + # (i.e. we're writing an address to the bus) + self._chipsBus().queueWrite(self._i2cProps.txReg, + (self._slaveAddr << 1) | 0x01) + # Set start and write bit in command reg + self._chipsBus().queueWrite(self._i2cProps.cmdReg, 0x90) + # Run the queue + self._chipsBus().queueRun() + # Wait for transaction to finish. + self._i2cWaitUntilFinished() + + result=[] + for ibyte in range(numBytes): + if ibyte==numBytes-1: + stop_bit=0x40 + ack_bit=0x08 + else: + stop_bit=0 + ack_bit=0 + pass + # Set read bit, acknowledge and stop bit in command reg + self._chipsBus().write(self._i2cProps.cmdReg, 0x20+ack_bit+stop_bit) + # Wait for transaction to finish. + # Don't expect an ACK, do expect bus free at finish. + if stop_bit: + self._i2cWaitUntilFinished(requireAcknowledgement = False, + requireBusIdleAtEnd = True) + else: + self._i2cWaitUntilFinished(requireAcknowledgement = False, + requireBusIdleAtEnd = False) + pass + result.append(self._chipsBus().read(self._i2cProps.rxReg)) + + return result + + + def _privateWrite(self, listDataU8): + + # I2C write implementation. + # + # Fast I2C write implementation, + # i.e. done with the fewest packets possible. + + # transmit reg definitions + # bits 7-1: 7-bit slave address during address transfer + # or first 7 bits of byte during data transfer + # bit 0: RW flag during address transfer or LSB during data transfer. + # '1' = reading from slave + # '0' = writing to slave + + # command reg definitions + # bit 7: Generate start condition + # bit 6: Generate stop condition + # bit 5: Read from slave + # bit 4: Write to slave + # bit 3: 0 when acknowledgement is received + # bit 2:1: Reserved + # bit 0: Interrupt acknowledge. When set, clears a pending interrupt + # Reset bus before beginning + self.resetI2cBus() + + # Set slave address in bits 7:1, and set bit 0 to zero (i.e. "write mode") + self._chipsBus().queueWrite(self._i2cProps.txReg, + (self._slaveAddr << 1) & 0xfe) + # Set start and write bit in command reg + self._chipsBus().queueWrite(self._i2cProps.cmdReg, 0x90) + # Run the queue + self._chipsBus().queueRun() + # Wait for transaction to finish. + self._i2cWaitUntilFinished() + + for ibyte in range(len(listDataU8)): + dataU8 = listDataU8[ibyte] + if ibyte==len(listDataU8)-1: + stop_bit=0x40 + else: + stop_bit=0x00 + pass + # Set data to be written in transmit reg + self._chipsBus().queueWrite(self._i2cProps.txReg, (dataU8 & 0xff)) + # Set write and stop bit in command reg + self._chipsBus().queueWrite(self._i2cProps.cmdReg, 0x10+stop_bit) + # Run the queue + self._chipsBus().queueRun() + # Wait for transaction to finish. + # Do expect an ACK and do expect bus to be free at finish + if stop_bit: + self._i2cWaitUntilFinished(requireAcknowledgement = True, + requireBusIdleAtEnd = True) + else: + self._i2cWaitUntilFinished(requireAcknowledgement = True, + requireBusIdleAtEnd = False) + pass + pass + + return + + + def _i2cWaitUntilFinished(self, requireAcknowledgement = True, + requireBusIdleAtEnd = False): + + # Ensures the current bus transaction has finished successfully + # before allowing further I2C bus transactions + + # This method monitors the status register + # and will not allow execution to continue until the + # I2C bus has completed properly. It will throw an exception + # if it picks up bus problems or a bus timeout occurs. + + maxRetry = 20 + attempt = 1 + while attempt <= maxRetry: + + # Get the status + i2c_status = self._chipsBus().read(self._i2cProps.statusReg) + + receivedAcknowledge = not bool(i2c_status & 0x80) + busy = bool(i2c_status & 0x40) + arbitrationLost = bool(i2c_status & 0x20) + transferInProgress = bool(i2c_status & 0x02) + interruptFlag = bool(i2c_status & 0x01) + + if arbitrationLost: # This is an instant error at any time + raise ChipsException("I2C error: Arbitration lost!") + + if not transferInProgress: + break # The transfer looks to have completed successfully, pending further checks + + attempt += 1 + + # At this point, we've either had too many retries, or the + # Transfer in Progress (TIP) bit went low. If the TIP bit + # did go low, then we do a couple of other checks to see if + # the bus operated as expected: + + if attempt > maxRetry: + raise ChipsException("I2C error: Transaction timeout - the 'Transfer in Progress' bit remained high for too long!") + + if requireAcknowledgement and not receivedAcknowledge: + raise ChipsException("I2C error: No acknowledge received!") + + if requireBusIdleAtEnd and busy: + raise ChipsException("I2C error: Transfer finished but bus still busy!") diff --git a/firmware/minitlu/scripts/aida_mini_tlu_addr_map.txt b/firmware/minitlu/scripts/aida_mini_tlu_addr_map.txt new file mode 100644 index 00000000..9df10ffa --- /dev/null +++ b/firmware/minitlu/scripts/aida_mini_tlu_addr_map.txt @@ -0,0 +1,88 @@ +*RegName RegAddr RegMask R W +*------------------------------------------------------------- +FirmwareId 0x00000000 0xffffffff 1 0 +* DUT interfaces base = 0x020 +DUTMaskW 0x00000020 0xffffffff 0 1 +DUTMaskR 0x00000021 0xffffffff 1 0 +* +* trigger inputs = 0x040 +SerdesRstW 0x00000040 0xffffffff 0 1 +SerdesRstR 0x00000048 0xffffffff 1 0 +ThrCount0R 0x00000049 0xffffffff 1 0 +ThrCount1R 0x0000004a 0xffffffff 1 0 +ThrCount2R 0x0000004b 0xffffffff 1 0 +ThrCount3R 0x0000004c 0xffffffff 1 0 +* +* trigger logic = 0x060 **Note the different read and write directions +InternalTriggerIntervalW 0x00000062 0xffffffff 1 1 +TriggerMaskW 0x00000063 0xffffffff 1 1 +TriggerVetoW 0x00000064 0xffffffff 1 1 +ResetCountersW 0x00000066 0xffffffff 0 1 +PostVetoTriggersR 0x00000068 0xffffffff 1 0 +PreVetoTriggersR 0x00000069 0xffffffff 1 0 +InternalTriggerIntervalR 0x0000006a 0xffffffff 1 1 +TriggerMaskR 0x0000006b 0xffffffff 1 1 +TriggerVetoR 0x0000006c 0xffffffff 1 1 +ExternalTriggerVetoR 0x0000006d 0xffffffff 1 0 +* +* event buffer = 0x080 +EventFifoData 0x00000080 0xffffffff 1 0 +EventFifoFillLevel 0x00000081 0xffffffff 1 0 +EventFifoCSR 0x00000082 0xffffffff 1 1 +EventFifoFillLevelFlags 0x00000082 0xffffffff 1 0 +* +* logic clocks = 0x0A0 +LogicClocksCSR 0x000000A0 0xffffffff 1 1 +LogicRst 0x000000A1 0xffffffff 0 1 +* +* I2C = 0x0C0 +i2c_pre_lo 0x000000C0 0x000000ff 1 1 +i2c_pre_hi 0x000000C1 0x000000ff 1 1 +i2c_ctrl 0x000000C2 0x000000ff 1 1 +i2c_tx 0x000000C3 0x000000ff 0 1 +i2c_rx 0x000000C3 0x000000ff 1 0 +i2c_cmd 0x000000C4 0x000000ff 0 1 +i2c_status 0x000000C4 0x000000ff 1 0 +* +* trigger generator = 0x0E0 +TriggerLength 0x000000E0 0xffffffff 1 1 +TrigStartupDeadTime 0x000000E1 0xffffffff 1 1 +TrigInterpulseDeadTime 0x000000E2 0xffffffff 1 1 +TriggerDelay 0x000000E3 0xffffffff 1 1 +NMaxTriggers 0x000000E4 0xffffffff 1 1 +TrigEvtNumber 0x000000E5 0xffffffff 1 0 +RstTriggerCounter 0x000000E6 0xffffffff 1 1 +TrigRearmDeadTime 0x000000E7 0xffffffff 1 1 +* +* shutter generator = 0x100 +ShutterLength 0x00000100 0xffffffff 1 1 +ShutStartupDeadTime 0x00000101 0xffffffff 1 1 +ShutInterpulseDeadTime 0x00000102 0xffffffff 1 1 +ShutterDelay 0x00000103 0xffffffff 1 1 +NMaxShutters 0x00000104 0xffffffff 1 1 +ShutEvtNumber 0x00000105 0xffffffff 1 0 +RstShutterCounter 0x00000106 0xffffffff 1 1 +ShutRearmDeadTime 0x00000107 0xffffffff 1 1 +* +* spill generator = 0x120 +SpillLength 0x00000120 0xffffffff 1 1 +SpillStartupDeadTime 0x00000121 0xffffffff 1 1 +SpillInterpulseDeadTime 0x00000122 0xffffffff 1 1 +SpillDelay 0x00000123 0xffffffff 1 1 +NMaxSpills 0x00000124 0xffffffff 1 1 +SpillEvtNumber 0x00000125 0xffffffff 1 0 +RstSpillCounter 0x00000126 0xffffffff 1 1 +SpillRearmDeadTime 0x00000127 0xffffffff 1 1 +* +* Event formatter = 0x140 +Enable_Record_Data 0x00000140 0xffffffff 1 1 +* +* Handshakes = 0x160 +HandshakeTypeW 0x00000160 0xffffffff 0 1 +HandshakeConfW 0x00000161 0xffffffff 0 1 +TPx3_T0SyncLenW 0x00000162 0xffffffff 0 1 +HandshakeTypeR 0x00000168 0xffffffff 1 0 +HandshakeConfR 0x00000169 0xffffffff 1 0 +TPx3_T0SyncLenR 0x0000016a 0xffffffff 1 0 +TPx3_ShDTimeLenR 0x0000016b 0xffffffff 1 0 + diff --git a/firmware/minitlu/scripts/aida_mini_tlu_addr_map.txt~ b/firmware/minitlu/scripts/aida_mini_tlu_addr_map.txt~ new file mode 100644 index 00000000..45d646d1 --- /dev/null +++ b/firmware/minitlu/scripts/aida_mini_tlu_addr_map.txt~ @@ -0,0 +1,87 @@ +*RegName RegAddr RegMask R W +*------------------------------------------------------------- +FirmwareId 0x00000000 0xffffffff 1 0 +* DUT interfaces base = 0x020 +DUTMaskW 0x00000020 0xffffffff 0 1 +DUTMaskR 0x00000020 0xffffffff 1 0 +* +* trigger inputs = 0x040 +SerdesRst 0x00000040 0xffffffff 1 1 +ThrCount0 0x00000041 0xffffffff 1 0 +ThrCount1 0x00000042 0xffffffff 1 0 +ThrCount2 0x00000043 0xffffffff 1 0 +ThrCount3 0x00000044 0xffffffff 1 0 +* +* trigger logic = 0x060 **Note the different read and write directions +InternalTriggerIntervalW 0x00000062 0xffffffff 1 1 +TriggerMaskW 0x00000063 0xffffffff 1 1 +TriggerVetoW 0x00000064 0xffffffff 1 1 +ResetCountersW 0x00000066 0xffffffff 0 1 +PostVetoTriggersR 0x00000068 0xffffffff 1 0 +PreVetoTriggersR 0x00000069 0xffffffff 1 0 +InternalTriggerIntervalR 0x0000006a 0xffffffff 1 1 +TriggerMaskR 0x0000006b 0xffffffff 1 1 +TriggerVetoR 0x0000006c 0xffffffff 1 1 +ExternalTriggerVetoR 0x0000006d 0xffffffff 1 0 +* +* event buffer = 0x080 +EventFifoData 0x00000080 0xffffffff 1 0 +EventFifoFillLevel 0x00000081 0xffffffff 1 0 +EventFifoCSR 0x00000082 0xffffffff 1 1 +EventFifoFillLevelFlags 0x00000082 0xffffffff 1 0 +* +* logic clocks = 0x0A0 +LogicClocksCSR 0x000000A0 0xffffffff 1 1 +LogicRst 0x000000A1 0xffffffff 0 1 +* +* I2C = 0x0C0 +i2c_pre_lo 0x000000C0 0x000000ff 1 1 +i2c_pre_hi 0x000000C1 0x000000ff 1 1 +i2c_ctrl 0x000000C2 0x000000ff 1 1 +i2c_tx 0x000000C3 0x000000ff 0 1 +i2c_rx 0x000000C3 0x000000ff 1 0 +i2c_cmd 0x000000C4 0x000000ff 0 1 +i2c_status 0x000000C4 0x000000ff 1 0 +* +* trigger generator = 0x0E0 +TriggerLength 0x000000E0 0xffffffff 1 1 +TrigStartupDeadTime 0x000000E1 0xffffffff 1 1 +TrigInterpulseDeadTime 0x000000E2 0xffffffff 1 1 +TriggerDelay 0x000000E3 0xffffffff 1 1 +NMaxTriggers 0x000000E4 0xffffffff 1 1 +TrigEvtNumber 0x000000E5 0xffffffff 1 0 +RstTriggerCounter 0x000000E6 0xffffffff 1 1 +TrigRearmDeadTime 0x000000E7 0xffffffff 1 1 +* +* shutter generator = 0x100 +ShutterLength 0x00000100 0xffffffff 1 1 +ShutStartupDeadTime 0x00000101 0xffffffff 1 1 +ShutInterpulseDeadTime 0x00000102 0xffffffff 1 1 +ShutterDelay 0x00000103 0xffffffff 1 1 +NMaxShutters 0x00000104 0xffffffff 1 1 +ShutEvtNumber 0x00000105 0xffffffff 1 0 +RstShutterCounter 0x00000106 0xffffffff 1 1 +ShutRearmDeadTime 0x00000107 0xffffffff 1 1 +* +* spill generator = 0x120 +SpillLength 0x00000120 0xffffffff 1 1 +SpillStartupDeadTime 0x00000121 0xffffffff 1 1 +SpillInterpulseDeadTime 0x00000122 0xffffffff 1 1 +SpillDelay 0x00000123 0xffffffff 1 1 +NMaxSpills 0x00000124 0xffffffff 1 1 +SpillEvtNumber 0x00000125 0xffffffff 1 0 +RstSpillCounter 0x00000126 0xffffffff 1 1 +SpillRearmDeadTime 0x00000127 0xffffffff 1 1 +* +* Event formatter = 0x140 +Enable_Record_Data 0x00000140 0xffffffff 1 1 +* +* Handshakes = 0x160 +HandshakeTypeW 0x00000160 0xffffffff 0 1 +HandshakeConfW 0x00000161 0xffffffff 0 1 +TPx3_T0SyncLenW 0x00000162 0xffffffff 0 1 +HandshakeTypeR 0x00000168 0xffffffff 1 0 +HandshakeConfR 0x00000169 0xffffffff 1 0 +TPx3_T0SyncLenR 0x0000016a 0xffffffff 1 0 +TPx3_ShDTimeLenR 0x0000016b 0xffffffff 1 0 + diff --git a/firmware/minitlu/scripts/build_bitstream.sh b/firmware/minitlu/scripts/build_bitstream.sh new file mode 100644 index 00000000..a06a8f0b --- /dev/null +++ b/firmware/minitlu/scripts/build_bitstream.sh @@ -0,0 +1,27 @@ +#!/bin/sh +# +# Script to build firmware for FMC-based AIDA mini-TLU +# +# This script builds the bitstream using ISE and the ISE project generated +# by setup_workspace.sh +# +# cd to the working directory and execute this script.... +# +# David Cussans, December 2013 +# + +export BOARD_TYPE=sp605 +export ISE_VER=ise14 + +export FW_WORKSPACE=`pwd` +echo "Workspace directory = " ${FW_WORKSPACE}/workspace +TLUDir=`pwd`/fmc-mtlu +echo "Directory with TLU source files = ${TLUDir}" + +pushd $FW_WORKSPACE/workspace + +xtclsh $TLUDir/firmware/config/$ISE_VER/$BOARD_TYPE/build_bitstream.tcl + +echo "Bitstream built in ${FW_WORKSPACE}/workspace" + +popd diff --git a/firmware/minitlu/scripts/fmc_tlu_addr_table b/firmware/minitlu/scripts/fmc_tlu_addr_table new file mode 100644 index 00000000..eb8db2e3 --- /dev/null +++ b/firmware/minitlu/scripts/fmc_tlu_addr_table @@ -0,0 +1,15 @@ +# Example addr_table file to show the format +# +# num name base addr_width +0 DUTInterfaces 0x020 5 +1 triggerInputs 0x040 5 +2 triggerLogic 0x060 5 +3 eventBuffer 0x080 5 +4 logic_clocks 0x0A0 5 +5 i2c_master 0x0C0 3 +6 Trigger_Generator 0x0E0 5 +7 Shutter_Generator 0x100 5 +8 Spill_Generator 0x120 5 +9 Event_Formatter 0x140 5 +10 Handshakes 0x160 5 +11 version 0x000 0 diff --git a/firmware/minitlu/scripts/generate_arrivaltime_lut.py b/firmware/minitlu/scripts/generate_arrivaltime_lut.py new file mode 100644 index 00000000..21127cb1 --- /dev/null +++ b/firmware/minitlu/scripts/generate_arrivaltime_lut.py @@ -0,0 +1,109 @@ + +# Look for rising and falling edges in 8-bit vector. +# Print out in form suitable for initializing VHDL array... + +# from bitstring import BitArray + + +firstRisingEdge = [] +lastFallingEdge = [] +multipleEdgesPresent = [] +risingEdgePresent = [] +fallingEdgePresent = [] + +for value in range(0,512): + print hex(value) + + # Initialize array and get zero'th element + bitSetArray = [] + bitSet = ( 1 & value) + bitSetArray.append(bitSet) + + risingEdges = [] + fallingEdges = [] + + for bit in range (1,9): + bitSet = (( 1 << bit ) & value) >> bit + # print bitSet + bitSetArray.append(bitSet) + if (bitSetArray[bit-1] ==0) and (bitSetArray[bit] == 1): + print "Rising edge found" + risingEdges.append(bit) + if (bitSetArray[bit-1] ==1) and (bitSetArray[bit] == 0): + print "Falling edge found" + fallingEdges.append(bit) + + if len(risingEdges) != 0: + firstRisingEdge.append(risingEdges[0] - 1) + risingEdgePresent.append(1) + else: + firstRisingEdge.append(0) + risingEdgePresent.append(0) + + if len(fallingEdges) != 0: + lastFallingEdge.append(fallingEdges[len(fallingEdges)-1] -1 ) + fallingEdgePresent.append(1) + else: + lastFallingEdge.append(0) + fallingEdgePresent.append(0) + + if (len(risingEdges) >1 ) or (len(risingEdges) >1 ): + multipleEdgesPresent.append(1) + else: + multipleEdgesPresent.append(0) + + + print bitSetArray , risingEdges , fallingEdges + +print firstRisingEdge +print risingEdgePresent + +print + +print lastFallingEdge +print fallingEdgePresent + +print + +print multipleEdgesPresent + +# Rising and falling edge times encoded as a LUT. Contents: +# MRFrrrfff +# M = multiple edges present ( more then one rising or falling edge) +# R = at least one rising edge present +# F = at least one falling edge present. + +for value in range(0,512): + + if not (value % 8): + # print newline every eight values. + print + + word = lastFallingEdge[value] + (firstRisingEdge[value]<<3) + (fallingEdgePresent[value]<<6) + (risingEdgePresent[value]<<7) + (multipleEdgesPresent[value]<<8) + +# print "last falling edge" , hex(lastFallingEdge[value]) +# print "first rising edge" , hex(firstRisingEdge[value]) +# print "multi edges?" , multipleEdgesPresent[value] + + bitString = "" + + for bit in range (0,9): + bitSet = (( 1 << bit ) & word) >> bit + if bitSet: + bitString = "1" + bitString + else: + bitString = "0" + bitString + +# print hex(value) +# print hex(word) + print ("\"" + bitString + "\","), + + +# print + + +# valBits = BitArray(int = value, length = 8) +# print BitArray + +# Find the first rising edge in time and the last falling edge... + diff --git a/firmware/minitlu/scripts/make_fmc-mtlu_pintest_ise.tcl b/firmware/minitlu/scripts/make_fmc-mtlu_pintest_ise.tcl new file mode 100644 index 00000000..3252e4d0 --- /dev/null +++ b/firmware/minitlu/scripts/make_fmc-mtlu_pintest_ise.tcl @@ -0,0 +1,540 @@ +# +# Builds a Xilinx ISE project for AIDA FMC miniTLU pin-test firmware +# +# Needs to have code checked out into current working directory as fmc-mtlu/firmware +# Should just be able to work in the "workspace" directory generated by setup_project process... +# +# David Cussans, Bristol, Oct 2014 +# +# Project automation script for fmc-mtlu +# +# Created for ISE version 14.7 +# +# This file contains several Tcl procedures (procs) that you can use to automate +# your project by running from xtclsh or the Project Navigator Tcl console. +# If you load this file (using the Tcl command: source fmc-mtlu.tcl), then you can +# run any of the procs included here. +# +# This script is generated assuming your project has HDL sources. +# Several of the defined procs won't apply to an EDIF or NGC based project. +# If that is the case, simply remove them from this script. +# +# You may also edit any of these procs to customize them. See comments in each +# proc for more instructions. +# +# This file contains the following procedures: +# +# Top Level procs (meant to be called directly by the user): +# run_process: you can use this top-level procedure to run any processes +# that you choose to by adding and removing comments, or by +# adding new entries. +# rebuild_project: you can alternatively use this top-level procedure +# to recreate your entire project, and the run selected processes. +# +# Lower Level (helper) procs (called under in various cases by the top level procs): +# show_help: print some basic information describing how this script works +# add_source_files: adds the listed source files to your project. +# set_project_props: sets the project properties that were in effect when this +# script was generated. +# create_libraries: creates and adds file to VHDL libraries that were defined when +# this script was generated. +# set_process_props: set the process properties as they were set for your project +# when this script was generated. +# + +set myProject "fmc-mtlu" +set myScript "./fmc-mtlu.tcl" + +# +# Main (top-level) routines +# +# run_process +# This procedure is used to run processes on an existing project. You may comment or +# uncomment lines to control which processes are run. This routine is set up to run +# the Implement Design and Generate Programming File processes by default. This proc +# also sets process properties as specified in the "set_process_props" proc. Only +# those properties which have values different from their current settings in the project +# file will be modified in the project. +# +proc run_process {} { + + global myScript + global myProject + + ## put out a 'heartbeat' - so we know something's happening. + puts "\n$myScript: running ($myProject)...\n" + + if { ! [ open_project ] } { + return false + } + + set_process_props + # + # Remove the comment characters (#'s) to enable the following commands + # process run "Synthesize" + # process run "Translate" + # process run "Map" + # process run "Place & Route" + # + set task "Implement Design" + if { ! [run_task $task] } { + puts "$myScript: $task run failed, check run output for details." + project close + return + } + + set task "Generate Programming File" + if { ! [run_task $task] } { + puts "$myScript: $task run failed, check run output for details." + project close + return + } + + puts "Run completed (successfully)." + project close + +} + +# +# rebuild_project +# +# This procedure renames the project file (if it exists) and recreates the project. +# It then sets project properties and adds project sources as specified by the +# set_project_props and add_source_files support procs. It recreates VHDL Libraries +# as they existed at the time this script was generated. +# +# It then calls run_process to set process properties and run selected processes. +# +proc rebuild_project {} { + + global myScript + global myProject + + project close + ## put out a 'heartbeat' - so we know something's happening. + puts "\n$myScript: Rebuilding ($myProject)...\n" + + set proj_exts [ list ise xise gise ] + foreach ext $proj_exts { + set proj_name "${myProject}.$ext" + if { [ file exists $proj_name ] } { + file delete $proj_name + } + } + + project new $myProject + set_project_props + add_source_files + create_libraries + puts "$myScript: project rebuild completed." + + run_process + +} + +# +# Support Routines +# + +# +proc run_task { task } { + + # helper proc for run_process + + puts "Running '$task'" + set result [ process run "$task" ] + # + # check process status (and result) + set status [ process get $task status ] + if { ( ( $status != "up_to_date" ) && \ + ( $status != "warnings" ) ) || \ + ! $result } { + return false + } + return true +} + +# +# show_help: print information to help users understand the options available when +# running this script. +# +proc show_help {} { + + global myScript + + puts "" + puts "usage: xtclsh $myScript <options>" + puts " or you can run xtclsh and then enter 'source $myScript'." + puts "" + puts "options:" + puts " run_process - set properties and run processes." + puts " rebuild_project - rebuild the project from scratch and run processes." + puts " set_project_props - set project properties (device, speed, etc.)" + puts " add_source_files - add source files" + puts " create_libraries - create vhdl libraries" + puts " set_process_props - set process property values" + puts " show_help - print this message" + puts "" +} + +proc open_project {} { + + global myScript + global myProject + + if { ! [ file exists ${myProject}.xise ] } { + ## project file isn't there, rebuild it. + puts "Project $myProject not found. Use project_rebuild to recreate it." + return false + } + + project open $myProject + + return true + +} +# +# set_project_props +# +# This procedure sets the project properties as they were set in the project +# at the time this script was generated. +# +proc set_project_props {} { + + global myScript + + if { ! [ open_project ] } { + return false + } + + puts "$myScript: Setting project properties..." + + project set family "Spartan6" + project set device "xc6slx45t" + project set package "fgg484" + project set speed "-3" + project set top_level_module_type "HDL" + project set synthesis_tool "XST (VHDL/Verilog)" + project set simulator "ISim (VHDL/Verilog)" + project set "Preferred Language" "Verilog" + project set "Enable Message Filtering" "false" + +} + + +# +# add_source_files +# +# This procedure add the source files that were known to the project at the +# time this script was generated. +# +proc add_source_files {} { + + global myScript + + if { ! [ open_project ] } { + return false + } + + puts "$myScript: Adding sources to project..." + + xfile add "fmc-mtlu/firmware/hdl/test/comb_generator_rtl.vhd" + xfile add "fmc-mtlu/firmware/hdl/test/fmc_tlu_pin_test.vhd" + xfile add "fmc-mtlu/firmware/ucf/sp605_FMC_mTLU_v1a_pintest.ucf" + xfile add "fmc-mtlu/firmware/hdl/common/clocks_s6_extphy.vhd" + xfile add "fmc-mtlu/firmware/hdl/test/clock_divider_s6.v" + + # Set the Top Module as well... + project set top "struct" "fmcTlu_pinTest" + + puts "$myScript: project sources reloaded." + +} ; # end add_source_files + +# +# create_libraries +# +# This procedure defines VHDL libraries and associates files with those libraries. +# It is expected to be used when recreating the project. Any libraries defined +# when this script was generated are recreated by this procedure. +# +proc create_libraries {} { + + global myScript + + if { ! [ open_project ] } { + return false + } + + puts "$myScript: Creating libraries..." + + + # must close the project or library definitions aren't saved. + project save + +} ; # end create_libraries + +# +# set_process_props +# +# This procedure sets properties as requested during script generation (either +# all of the properties, or only those modified from their defaults). +# +proc set_process_props {} { + + global myScript + + if { ! [ open_project ] } { + return false + } + + puts "$myScript: setting process properties..." + + project set "Compiled Library Directory" "\$XILINX/<language>/<simulator>" + project set "Global Optimization" "Off" -process "Map" + project set "Pack I/O Registers/Latches into IOBs" "Off" -process "Map" + project set "Place And Route Mode" "Route Only" -process "Place & Route" + project set "Regenerate Core" "Under Current Project Setting" -process "Regenerate Core" + project set "Filter Files From Compile Order" "true" + project set "Last Applied Goal" "Balanced" + project set "Last Applied Strategy" "Xilinx Default (unlocked)" + project set "Last Unlock Status" "false" + project set "Manual Compile Order" "false" + project set "Placer Effort Level" "High" -process "Map" + project set "Extra Cost Tables" "0" -process "Map" + project set "LUT Combining" "Off" -process "Map" + project set "Combinatorial Logic Optimization" "false" -process "Map" + project set "Starting Placer Cost Table (1-100)" "1" -process "Map" + project set "Power Reduction" "Off" -process "Map" + project set "Report Fastest Path(s) in Each Constraint" "true" -process "Generate Post-Place & Route Static Timing" + project set "Generate Datasheet Section" "true" -process "Generate Post-Place & Route Static Timing" + project set "Generate Timegroups Section" "false" -process "Generate Post-Place & Route Static Timing" + project set "Report Fastest Path(s) in Each Constraint" "true" -process "Generate Post-Map Static Timing" + project set "Generate Datasheet Section" "true" -process "Generate Post-Map Static Timing" + project set "Generate Timegroups Section" "false" -process "Generate Post-Map Static Timing" + project set "Property Specification in Project File" "Store all values" + project set "Reduce Control Sets" "Auto" -process "Synthesize - XST" + project set "Shift Register Minimum Size" "2" -process "Synthesize - XST" + project set "Case Implementation Style" "None" -process "Synthesize - XST" + project set "RAM Extraction" "true" -process "Synthesize - XST" + project set "ROM Extraction" "true" -process "Synthesize - XST" + project set "FSM Encoding Algorithm" "Auto" -process "Synthesize - XST" + project set "Optimization Goal" "Speed" -process "Synthesize - XST" + project set "Optimization Effort" "Normal" -process "Synthesize - XST" + project set "Resource Sharing" "true" -process "Synthesize - XST" + project set "Shift Register Extraction" "true" -process "Synthesize - XST" + project set "User Browsed Strategy Files" "" + project set "VHDL Source Analysis Standard" "VHDL-93" + project set "Analysis Effort Level" "Standard" -process "Analyze Power Distribution (XPower Analyzer)" + project set "Analysis Effort Level" "Standard" -process "Generate Text Power Report" + project set "Input TCL Command Script" "" -process "Generate Text Power Report" + project set "Load Physical Constraints File" "Default" -process "Analyze Power Distribution (XPower Analyzer)" + project set "Load Physical Constraints File" "Default" -process "Generate Text Power Report" + project set "Load Simulation File" "Default" -process "Analyze Power Distribution (XPower Analyzer)" + project set "Load Simulation File" "Default" -process "Generate Text Power Report" + project set "Load Setting File" "" -process "Analyze Power Distribution (XPower Analyzer)" + project set "Load Setting File" "" -process "Generate Text Power Report" + project set "Setting Output File" "" -process "Generate Text Power Report" + project set "Produce Verbose Report" "false" -process "Generate Text Power Report" + project set "Other XPWR Command Line Options" "" -process "Generate Text Power Report" + project set "Essential Bits" "false" -process "Generate Programming File" + project set "Other Bitgen Command Line Options" "" -process "Generate Programming File" + project set "Maximum Signal Name Length" "20" -process "Generate IBIS Model" + project set "Show All Models" "false" -process "Generate IBIS Model" + project set "VCCAUX Voltage Level" "2.5V" -process "Generate IBIS Model" + project set "Disable Detailed Package Model Insertion" "false" -process "Generate IBIS Model" + project set "Launch SDK after Export" "true" -process "Export Hardware Design To SDK with Bitstream" + project set "Launch SDK after Export" "true" -process "Export Hardware Design To SDK without Bitstream" + project set "Target UCF File Name" "" -process "Back-annotate Pin Locations" + project set "Ignore User Timing Constraints" "false" -process "Map" + project set "Register Ordering" "4" -process "Map" + project set "Use RLOC Constraints" "Yes" -process "Map" + project set "Other Map Command Line Options" "" -process "Map" + project set "Use LOC Constraints" "true" -process "Translate" + project set "Other Ngdbuild Command Line Options" "" -process "Translate" + project set "Use 64-bit PlanAhead on 64-bit Systems" "true" -process "Floorplan Area/IO/Logic (PlanAhead)" + project set "Use 64-bit PlanAhead on 64-bit Systems" "true" -process "I/O Pin Planning (PlanAhead) - Pre-Synthesis" + project set "Use 64-bit PlanAhead on 64-bit Systems" "true" -process "I/O Pin Planning (PlanAhead) - Post-Synthesis" + project set "Ignore User Timing Constraints" "false" -process "Place & Route" + project set "Other Place & Route Command Line Options" "" -process "Place & Route" + project set "Use DSP Block" "Auto" -process "Synthesize - XST" + project set "UserID Code (8 Digit Hexadecimal)" "0xFFFFFFFF" -process "Generate Programming File" + project set "Configuration Pin Done" "Pull Up" -process "Generate Programming File" + project set "Enable External Master Clock" "false" -process "Generate Programming File" + project set "Create ASCII Configuration File" "false" -process "Generate Programming File" + project set "Create Bit File" "true" -process "Generate Programming File" + project set "Enable BitStream Compression" "false" -process "Generate Programming File" + project set "Run Design Rules Checker (DRC)" "true" -process "Generate Programming File" + project set "Enable Cyclic Redundancy Checking (CRC)" "true" -process "Generate Programming File" + project set "Create IEEE 1532 Configuration File" "false" -process "Generate Programming File" + project set "Create ReadBack Data Files" "false" -process "Generate Programming File" + project set "Configuration Pin Program" "Pull Up" -process "Generate Programming File" + project set "Place MultiBoot Settings into Bitstream" "false" -process "Generate Programming File" + project set "Configuration Rate" "2" -process "Generate Programming File" + project set "Set SPI Configuration Bus Width" "1" -process "Generate Programming File" + project set "JTAG Pin TCK" "Pull Up" -process "Generate Programming File" + project set "JTAG Pin TDI" "Pull Up" -process "Generate Programming File" + project set "JTAG Pin TDO" "Pull Up" -process "Generate Programming File" + project set "JTAG Pin TMS" "Pull Up" -process "Generate Programming File" + project set "Unused IOB Pins" "Pull Down" -process "Generate Programming File" + project set "Watchdog Timer Value" "0xFFFF" -process "Generate Programming File" + project set "Security" "Enable Readback and Reconfiguration" -process "Generate Programming File" + project set "FPGA Start-Up Clock" "CCLK" -process "Generate Programming File" + project set "Done (Output Events)" "Default (4)" -process "Generate Programming File" + project set "Drive Done Pin High" "false" -process "Generate Programming File" + project set "Enable Outputs (Output Events)" "Default (5)" -process "Generate Programming File" + project set "Wait for DCM and PLL Lock (Output Events)" "Default (NoWait)" -process "Generate Programming File" + project set "Release Write Enable (Output Events)" "Default (6)" -process "Generate Programming File" + project set "Enable Internal Done Pipe" "true" -process "Generate Programming File" + project set "Drive Awake Pin During Suspend/Wake Sequence" "false" -process "Generate Programming File" + project set "Enable Suspend/Wake Global Set/Reset" "false" -process "Generate Programming File" + project set "Enable Multi-Pin Wake-Up Suspend Mode" "false" -process "Generate Programming File" + project set "GTS Cycle During Suspend/Wakeup Sequence" "4" -process "Generate Programming File" + project set "GWE Cycle During Suspend/Wakeup Sequence" "5" -process "Generate Programming File" + project set "Wakeup Clock" "Startup Clock" -process "Generate Programming File" + project set "Allow Logic Optimization Across Hierarchy" "false" -process "Map" + project set "Maximum Compression" "false" -process "Map" + project set "Generate Detailed MAP Report" "false" -process "Map" + project set "Map Slice Logic into Unused Block RAMs" "false" -process "Map" + project set "Perform Timing-Driven Packing and Placement" "false" + project set "Trim Unconnected Signals" "true" -process "Map" + project set "Create I/O Pads from Ports" "false" -process "Translate" + project set "Macro Search Path" "" -process "Translate" + project set "Netlist Translation Type" "Timestamp" -process "Translate" + project set "User Rules File for Netlister Launcher" "" -process "Translate" + project set "Allow Unexpanded Blocks" "false" -process "Translate" + project set "Allow Unmatched LOC Constraints" "false" -process "Translate" + project set "Allow Unmatched Timing Group Constraints" "false" -process "Translate" + project set "Perform Advanced Analysis" "false" -process "Generate Post-Place & Route Static Timing" + project set "Report Paths by Endpoint" "3" -process "Generate Post-Place & Route Static Timing" + project set "Report Type" "Verbose Report" -process "Generate Post-Place & Route Static Timing" + project set "Number of Paths in Error/Verbose Report" "3" -process "Generate Post-Place & Route Static Timing" + project set "Stamp Timing Model Filename" "" -process "Generate Post-Place & Route Static Timing" + project set "Report Unconstrained Paths" "" -process "Generate Post-Place & Route Static Timing" + project set "Perform Advanced Analysis" "false" -process "Generate Post-Map Static Timing" + project set "Report Paths by Endpoint" "3" -process "Generate Post-Map Static Timing" + project set "Report Type" "Verbose Report" -process "Generate Post-Map Static Timing" + project set "Number of Paths in Error/Verbose Report" "3" -process "Generate Post-Map Static Timing" + project set "Report Unconstrained Paths" "" -process "Generate Post-Map Static Timing" + project set "Number of Clock Buffers" "16" -process "Synthesize - XST" + project set "Add I/O Buffers" "true" -process "Synthesize - XST" + project set "Global Optimization Goal" "AllClockNets" -process "Synthesize - XST" + project set "Keep Hierarchy" "No" -process "Synthesize - XST" + project set "Max Fanout" "100000" -process "Synthesize - XST" + project set "Register Balancing" "No" -process "Synthesize - XST" + project set "Register Duplication" "true" -process "Synthesize - XST" + project set "Library for Verilog Sources" "" -process "Synthesize - XST" + project set "Export Results to XPower Estimator" "" -process "Generate Text Power Report" + project set "Asynchronous To Synchronous" "false" -process "Synthesize - XST" + project set "Automatic BRAM Packing" "false" -process "Synthesize - XST" + project set "BRAM Utilization Ratio" "100" -process "Synthesize - XST" + project set "Bus Delimiter" "<>" -process "Synthesize - XST" + project set "Case" "Maintain" -process "Synthesize - XST" + project set "Cores Search Directories" "" -process "Synthesize - XST" + project set "Cross Clock Analysis" "false" -process "Synthesize - XST" + project set "DSP Utilization Ratio" "100" -process "Synthesize - XST" + project set "Equivalent Register Removal" "true" -process "Synthesize - XST" + project set "FSM Style" "LUT" -process "Synthesize - XST" + project set "Generate RTL Schematic" "Yes" -process "Synthesize - XST" + project set "Generics, Parameters" "" -process "Synthesize - XST" + project set "Hierarchy Separator" "/" -process "Synthesize - XST" + project set "HDL INI File" "" -process "Synthesize - XST" + project set "LUT Combining" "Auto" -process "Synthesize - XST" + project set "Library Search Order" "" -process "Synthesize - XST" + project set "Netlist Hierarchy" "As Optimized" -process "Synthesize - XST" + project set "Optimize Instantiated Primitives" "false" -process "Synthesize - XST" + project set "Pack I/O Registers into IOBs" "Auto" -process "Synthesize - XST" + project set "Power Reduction" "false" -process "Synthesize - XST" + project set "Read Cores" "true" -process "Synthesize - XST" + project set "Use Clock Enable" "Auto" -process "Synthesize - XST" + project set "Use Synchronous Reset" "Auto" -process "Synthesize - XST" + project set "Use Synchronous Set" "Auto" -process "Synthesize - XST" + project set "Use Synthesis Constraints File" "true" -process "Synthesize - XST" + project set "Verilog Include Directories" "" -process "Synthesize - XST" + project set "Verilog Macros" "" -process "Synthesize - XST" + project set "Work Directory" "/users/phdgc/IPBus_stuff/fmc_tlu_alvaro_test_oct14/aida_minitlu_pinoutTest/workspace/xst" -process "Synthesize - XST" + project set "Write Timing Constraints" "false" -process "Synthesize - XST" + project set "Other XST Command Line Options" "" -process "Synthesize - XST" + project set "Timing Mode" "Performance Evaluation" -process "Map" + project set "Generate Asynchronous Delay Report" "false" -process "Place & Route" + project set "Generate Clock Region Report" "false" -process "Place & Route" + project set "Generate Post-Place & Route Power Report" "false" -process "Place & Route" + project set "Generate Post-Place & Route Simulation Model" "false" -process "Place & Route" + project set "Power Reduction" "false" -process "Place & Route" + project set "Place & Route Effort Level (Overall)" "High" -process "Place & Route" + project set "Auto Implementation Compile Order" "true" + project set "Equivalent Register Removal" "true" -process "Map" + project set "Placer Extra Effort" "None" -process "Map" + project set "Power Activity File" "" -process "Map" + project set "Register Duplication" "Off" -process "Map" + project set "Generate Constraints Interaction Report" "false" -process "Generate Post-Map Static Timing" + project set "Synthesis Constraints File" "" -process "Synthesize - XST" + project set "RAM Style" "Auto" -process "Synthesize - XST" + project set "Maximum Number of Lines in Report" "1000" -process "Generate Text Power Report" + project set "MultiBoot: Insert IPROG CMD in the Bitfile" "Enable" -process "Generate Programming File" + project set "Output File Name" "fmcTlu_pinTest" -process "Generate IBIS Model" + project set "Timing Mode" "Performance Evaluation" -process "Place & Route" + project set "Create Binary Configuration File" "false" -process "Generate Programming File" + project set "Enable Debugging of Serial Mode BitStream" "false" -process "Generate Programming File" + project set "Create Logic Allocation File" "false" -process "Generate Programming File" + project set "Create Mask File" "false" -process "Generate Programming File" + project set "Retry Configuration if CRC Error Occurs" "false" -process "Generate Programming File" + project set "MultiBoot: Starting Address for Next Configuration" "0x00000000" -process "Generate Programming File" + project set "MultiBoot: Starting Address for Golden Configuration" "0x00000000" -process "Generate Programming File" + project set "MultiBoot: Use New Mode for Next Configuration" "true" -process "Generate Programming File" + project set "MultiBoot: User-Defined Register for Failsafe Scheme" "0x0000" -process "Generate Programming File" + project set "Setup External Master Clock Division" "1" -process "Generate Programming File" + project set "Allow SelectMAP Pins to Persist" "false" -process "Generate Programming File" + project set "Mask Pins for Multi-Pin Wake-Up Suspend Mode" "0x00" -process "Generate Programming File" + project set "Enable Multi-Threading" "2" -process "Map" + project set "Generate Constraints Interaction Report" "false" -process "Generate Post-Place & Route Static Timing" + project set "Move First Flip-Flop Stage" "true" -process "Synthesize - XST" + project set "Move Last Flip-Flop Stage" "true" -process "Synthesize - XST" + project set "ROM Style" "Auto" -process "Synthesize - XST" + project set "Safe Implementation" "No" -process "Synthesize - XST" + project set "Power Activity File" "" -process "Place & Route" + project set "Extra Effort (Highest PAR level only)" "None" -process "Place & Route" + project set "MultiBoot: Next Configuration Mode" "001" -process "Generate Programming File" + project set "Encrypt Bitstream" "false" -process "Generate Programming File" + project set "Enable Multi-Threading" "2" -process "Place & Route" + project set "AES Initial Vector" "" -process "Generate Programming File" + project set "Encrypt Key Select" "BBRAM" -process "Generate Programming File" + project set "AES Key (Hex String)" "" -process "Generate Programming File" + project set "Input Encryption Key File" "" -process "Generate Programming File" + project set "Functional Model Target Language" "Verilog" -process "View HDL Source" + project set "Change Device Speed To" "-3" -process "Generate Post-Place & Route Static Timing" + project set "Change Device Speed To" "-3" -process "Generate Post-Map Static Timing" + + puts "$myScript: project property values set." + +} ; # end set_process_props + +proc main {} { + + if { [llength $::argv] == 0 } { + show_help + return true + } + + foreach option $::argv { + switch $option { + "show_help" { show_help } + "run_process" { run_process } + "rebuild_project" { rebuild_project } + "set_project_props" { set_project_props } + "add_source_files" { add_source_files } + "create_libraries" { create_libraries } + "set_process_props" { set_process_props } + default { puts "unrecognized option: $option"; show_help } + } + } +} + +if { $tcl_interactive } { + show_help +} else { + if {[catch {main} result]} { + puts "$myScript failed: $result." + } +} + diff --git a/firmware/minitlu/scripts/setup_workspace.sh b/firmware/minitlu/scripts/setup_workspace.sh new file mode 100644 index 00000000..01a6aa4c --- /dev/null +++ b/firmware/minitlu/scripts/setup_workspace.sh @@ -0,0 +1,100 @@ +#!/bin/sh +# +# Script to build firmware for FMC-based AIDA mini-TLU +# +# This script creates an ISE project. +# +# Create a working directory and execute this script.... +# +# Once project has been created either open in ISE or +# execute the build_bitstream.sh script. +# +# David Cussans, December 2013 +# + +export FW_WORKSPACE=`pwd` +echo "Current directory = " $FW_WORKSPACE +export BOARD_TYPE=sp605 +export ISE_VER=ise14 +export VERSION=trunk + +echo "Setting up AIDA mini-TLU code version $VERSION" + +# Check out FMC-MTLU code +TLUDir=`pwd`/fmc-mtlu +if [ ! -d "$TLUDir" ]; then + echo "Checking out AIDA mini-TLU code" + mkdir fmc-mtlu + pushd fmc-mtlu + svn co http://svn.ohwr.org/fmc-mtlu/${VERSION}/firmware + popd + echo "Checked out FMC-MTLU code" +fi + +# Check out IPBus code +IPBusDir=`pwd`/IPBus2 +if [ ! -d "$IPBusDir" ]; then + mkdir $IPBusDir + pushd $IPBusDir + svn co http://svn.cern.ch/guest/cactus/tags/ipbus_fw/ipbus_2_0_v1/firmware + echo "Checked out IPBus2 code" + popd +fi + +# Check out directory for external cores ( e.g. I2C) +if [ ! -d "external" ]; then + echo "Checking out I2C code" + svn co http://cactus.hepforge.org/svn/tags/firmware_pre_131_RAL/firmware/external +fi + +# Create a directory contain the build products. +WorkDir=workspace +if [ ! -d "$WorkDir" ]; then + mkdir workspace + mkdir workspace/ipcore_dir + echo "Made workspace" +fi + +export REPOS_BUILD_DIR=`pwd`/workspace + +pushd workspace + +# Create soft links to ipbus, fmc-mtlu code , i2c code +if [ ! -e "ipbus" ]; then + ln -s $IPBusDir ipbus +fi + +if [ ! -e "fmc-mtlu" ]; then + ln -s ../fmc-mtlu . +fi + +if [ ! -e "external" ]; then + ln -s ../external . +fi + + +if [ ! -e "file_list" ]; then + ln -s $TLUDir/firmware/config/$ISE_VER/$BOARD_TYPE/file_list . +fi + +if [ ! -e "ipbus" ]; then + ln -s ../$IPBusDir/firmware/config/$ISE_VER/$BOARD_TYPE/file_list . +fi + + +pushd ipcore_dir +if [ ! -e "coregen.cgp" ]; then + ln -s $TLUDir/firmware/config/$ISE_VER/$BOARD_TYPE/coregen.cgp . +fi +popd + +#export REPOS_FW_DIR=$IPBusDir +export REPOS_FW_DIR=ipbus + +echo "IPBus directory = " $IPBusDir +echo "FMC-MTLU directry = " $TLUDir + +xtclsh $TLUDir/firmware/config/$ISE_VER/$BOARD_TYPE/setup_project.tcl + +echo "Finished setting up ISE project." +echo "Open in $ISE_VER and build bit-stream ,or execute build_bitstream.sh" diff --git a/firmware/minitlu/scripts/test_aida_tlu.py b/firmware/minitlu/scripts/test_aida_tlu.py new file mode 100644 index 00000000..9a431489 --- /dev/null +++ b/firmware/minitlu/scripts/test_aida_tlu.py @@ -0,0 +1,242 @@ +# +# Script to exercise AIDA mini-TLU +# +# David Cussans, December 2012 +# +# Modified by Alvaro Dosil, January 2013 + +from PyChipsUser import * +from FmcTluI2c import * +from ROOT import * + +import sys +import time + + +def mean(TS): + val=0 + for i in range(1,len(TS)): + val+=TS[i]-TS[i-1] + return val/(len(TS)-1) + + + +bAddrTab = AddressTable("./aida_mini_tlu_addr_map.txt") + +# Assume DIP-switch controlled address. Switches at 1 +board = ChipsBusUdp(bAddrTab,"192.168.200.16",50001) + +# Check the bus for I2C devices +boardi2c = FmcTluI2c(board) + +firmwareID=board.read("FirmwareId") +firmwareID=board.read("FirmwareId") + +print "Firmware = " , hex(firmwareID) + +print "Scanning I2C bus:" +scanResults = boardi2c.i2c_scan() +print scanResults + +boardId = boardi2c.get_serial_number() +print "FMC-TLU serial number = " , boardId + +resetClocks = 0 +resetSerdes = 1 + +# set DACs to -200mV +print "Setting all threshold DAC to -200mV " +boardi2c.set_threshold_voltage(7, 1.0) + +clockStatus = board.read("LogicClocksCSR") +print "Clock status = " , hex(clockStatus) + +if resetClocks: + print "Resetting clocks" + board.write("LogicRst", 1 ) + + clockStatus = board.read("LogicClocksCSR") + print "Clock status after reset = " , hex(clockStatus) + +inputStatus = board.read("SerdesRstR") +print "Input status = " , hex(inputStatus) + +if resetSerdes: + board.write("SerdesRstW", 0x00000003 ) + inputStatus = board.read("SerdesRstR") + print "Input status during reset = " , hex(inputStatus) + + board.write("SerdesRstW", 0x00000000 ) + inputStatus = board.read("SerdesRstR") + print "Input status after reset = " , hex(inputStatus) + + board.write("SerdesRstW", 0x00000004 ) + inputStatus = board.read("SerdesRstR") + print "Input status during calibration = " , hex(inputStatus) + + board.write("SerdesRstW", 0x00000000 ) + inputStatus = board.read("SerdesRstR") + print "Input status after calibration = " , hex(inputStatus) + +# Look at status of input IODELAYs +numLoops = 5 + +for iLoop in range(0,numLoops): + + inputStatus = board.read("SerdesRstR") + print "Input status = " , hex(inputStatus) + + count0 = board.read("ThrCount0R") + print " Count 0 = " , count0 + + count1 = board.read("ThrCount1R") + print " Count 1 = " , count1 + + count2 = board.read("ThrCount2R") + print " Count 2 = " , count2 + + count3 = board.read("ThrCount3R") + print " Count 3 = " , count3 + + time.sleep(1.0) + +board.write("InternalTriggerIntervalW",0) + +print "Enabling DUT 1" +board.write("DUTMaskW",0) +board.write("TriggerMaskW",0x0) +board.write("TriggerVetoW",0) +print "Trigger inputs enabled: ", board.read("TriggerMaskR") + +print "Reseting FIFO" +board.write("EventFifoCSR",0x2) + +print "Enabling to record data" +board.write("Enable_Record_Data",1) + +print "Enabling handshake: No-handshake" +board.write("HandshakeTypeW",1) + +TriggerInterval = 160 +board.write("InternalTriggerIntervalW",TriggerInterval) #0->Internal pulse generator disabled. Any other value will generate pulses with a frequency of n*6.25ns + +#sys.exit(0) + +f=open("data/data.dat",'w') + +n=600000 #number of measurements +data={"EvtType":[],"InputTrig":[],"CoarseTS":[],"FineTS0":[],"FineTS1":[],"FineTS2":[],"FineTS3":[],"EvtNumber":[], "TS":[]} +read=range(4) +trigger_old=0 +i=0 +while i<n: + PostVetoTrig = board.read("PostVetoTriggersR") + if PostVetoTrig!=trigger_old: + f.write("word "+str(hex(i))+"\n") + for j in range(4): + read[j] = board.read("EventFifoData") + f.write(str(hex(read[j]))+"\n") + trigger_old = PostVetoTrig + else: continue + data["EvtType"].append(read[0] >> 28) + data["InputTrig"].append((read[0]&0xfff0000)>>16) + data["CoarseTS"].append(((read[0]&0xffff)<<32) | read[1]) + data["FineTS0"].append(read[2]>>24) + data["FineTS1"].append(read[2]>>16 & 0xff) + data["FineTS2"].append(read[2]>>8 & 0xff) + data["FineTS3"].append(read[2] & 0xff) + data["EvtNumber"].append(read[3]) + #if read[3]&0x84000000!=0: sys.exit(0) + + if data["InputTrig"][i]==0x800: + data["TS"].append(data["CoarseTS"][i]*25+(data["FineTS0"][i]>>3)*6.25+(data["FineTS0"][i]&0x7)*0.781) + elif data["InputTrig"][i]==0x400: + data["TS"].append(data["CoarseTS"][i]*25+(data["FineTS1"][i]>>3)*6.25+(data["FineTS1"][i]&0x7)*0.781) + elif data["InputTrig"][i]==0x200: + data["TS"].append(data["CoarseTS"][i]*25+(data["FineTS2"][i]>>3)*6.25+(data["FineTS2"][i]&0x7)*0.781) + elif data["InputTrig"][i]==0x100: + data["TS"].append(data["CoarseTS"][i]*25+(data["FineTS3"][i]>>3)*6.25+(data["FineTS3"][i]&0x7)*0.781) + else: + data["TS"].append(data["CoarseTS"][i]*25+(data["FineTS0"][i]>>3)*6.25+(data["FineTS0"][i]&0x7)*0.781) + #print data["CoarseTS"][i], (data["FineTS0"][i]&0x18)>>3 + #hFineTS.Fill(data["FineTS0"][i]&0x7) + #if i!=0: + # print i, data["TS"][i]-data["TS"][i-1], data["EvtNumber"][i] + #hTS.Fill(data["TS"][i]-data["TS"][i-1]) + if TriggerInterval==0 and data["EvtType"][i]==0: + print "Error!!! internal trigger detected!" + if TriggerInterval!=0 and data["EvtType"][i]!=0: + print "Error!!! external trigger detected!", + print hex(data["InputTrig"][i]), "EvtNumber:",data["EvtNumber"][i] + #time.sleep(.05) + #if i%100000==0: board.write("EventFifoCSR",0x2) + i+=1 + +f.close() + +meanVal=0 +if len(data["TS"])<500: + meanVal=mean(data["TS"]) +else: + meanVal=mean(data["TS"][0:500]) +print meanVal +plotWidth=100 + +hTS = TH1D("hTS", "hTS", int(plotWidth/0.78), meanVal-plotWidth/2., meanVal+plotWidth/2.) +hFineTS0 = TH1D("hFineTS0", "hFineTS0", 8, 0, 8) +hFineTS1 = TH1D("hFineTS1", "hFineTS1", 8, 0, 8) +hFineTS2 = TH1D("hFineTS2", "hFineTS2", 8, 0, 8) +hFineTS3 = TH1D("hFineTS3", "hFineTS3", 8, 0, 8) +h6TS0 = TH1D("h6TS3","h6TS0",4,0,4) +h6TS1 = TH1D("h6TS3","h6TS1",4,0,4) +h6TS2 = TH1D("h6TS3","h6TS2",4,0,4) +h6TS3 = TH1D("h6TS3","h6TS3",4,0,4) +hEvtNumber = TH1D("hEvtNumber", "hEvtNumber", 11, -1, 10) + +hTS.GetXaxis().SetTitle("#Delta Time stamp [ns]") +hTS.GetYaxis().SetTitle("#events") +hEvtNumber.GetYaxis().SetTitle("#events") + +for i in range(len(data["TS"])): + if i!=0: + interval=data["TS"][i]-data["TS"][i-1] + hTS.Fill(interval) + hEvtNumber.Fill(data["EvtNumber"][i]-data["EvtNumber"][i-1]) + + hFineTS0.Fill(data["FineTS0"][i]&0x7) + hFineTS1.Fill(data["FineTS1"][i]&0x7) + hFineTS2.Fill(data["FineTS2"][i]&0x7) + hFineTS3.Fill(data["FineTS3"][i]&0x7) + h6TS0.Fill(data["FineTS0"][i]>>3) + h6TS1.Fill(data["FineTS1"][i]>>3) + h6TS2.Fill(data["FineTS2"][i]>>3) + h6TS3.Fill(data["FineTS3"][i]>>3) + +cPlots = TCanvas("cPlots","cPlots",900,600) +cPlots.Divide(2) +cPlots.cd(1) +hTS.Draw() +cPlots.cd(2) +hEvtNumber.Draw() + +c6TS = TCanvas("c6TS", "c6TS", 1000,900) +c6TS.Divide(2,2) +c6TS.cd(1) +h6TS0.Draw() +c6TS.cd(2) +h6TS1.Draw() +c6TS.cd(3) +h6TS2.Draw() +c6TS.cd(4) +h6TS3.Draw() + +cFineTS = TCanvas("cFineTS","cFineTS",1000,900) +cFineTS.Divide(2,2) +cFineTS.cd(1) +hFineTS0.Draw() +cFineTS.cd(2) +hFineTS1.Draw() +cFineTS.cd(3) +hFineTS2.Draw() +cFineTS.cd(4) +hFineTS3.Draw() diff --git a/firmware/minitlu/scripts/test_aida_tlu.sh b/firmware/minitlu/scripts/test_aida_tlu.sh new file mode 100755 index 00000000..dca0744c --- /dev/null +++ b/firmware/minitlu/scripts/test_aida_tlu.sh @@ -0,0 +1,5 @@ +#!/bin/bash +# +export PYTHONPATH=~/PyChips_1_4_3/src + +python test_aida_tlu.py diff --git a/firmware/minitlu/scripts/test_aida_tlu_thresholds.py b/firmware/minitlu/scripts/test_aida_tlu_thresholds.py new file mode 100644 index 00000000..efbc2387 --- /dev/null +++ b/firmware/minitlu/scripts/test_aida_tlu_thresholds.py @@ -0,0 +1,43 @@ +from PyChipsUser import * +from FmcTluI2c import * +import time + +boardIpAddr = "192.168.200.16" +boardPortNum = 50001 + +addrTable = AddressTable("./aida_mini_tlu_addr_map.txt") + +board = ChipsBusUdp(addrTable, boardIpAddr, boardPortNum) + +# Check the bus for I2C devices +boardi2c = FmcTluI2c(board) + +boardFirmware = board.read("FirmwareId") +print "Firmware version = " , hex(boardFirmware) + +print "Scanning I2C bus:" +scanResults = boardi2c.i2c_scan() +print scanResults + +boardId = boardi2c.get_serial_number() +print "FMC-TLU serial number = " , boardId + +#dacValue = 0x4100 +#dacValue = 0xFFFF +#dacValue = 0x0000 +#dacValue = 0x7FFF +#print "Setting Vthreshold for all DACs. Code = ", dacValue +#boardi2c.set_dac(7,dacValue) + +#time.sleep(2.0) + +#dacValue = 0x6000 +#print "Setting Vthreshold for DAC 0. Code = ", dacValue +#boardi2c.set_dac(0,dacValue) + +#time.sleep(2.0) + +# set DACs to -100mV +Vthresh = -0.10 +print "Setting Vthreshold for all DACs. Voltage = " , Vthresh +boardi2c.set_threshold_voltage(7, Vthresh) diff --git a/firmware/minitlu/scripts/test_aida_tlu_trig_counter.py b/firmware/minitlu/scripts/test_aida_tlu_trig_counter.py new file mode 100644 index 00000000..35c91e6d --- /dev/null +++ b/firmware/minitlu/scripts/test_aida_tlu_trig_counter.py @@ -0,0 +1,106 @@ +from PyChipsUser import * +from FmcTluI2c import * + +import sys +import time + +boardIpAddr = "192.168.200.16" +boardPortNum = 50001 + +addrTable = AddressTable("./aida_mini_tlu_addr_map.txt") + +board = ChipsBusUdp(addrTable, boardIpAddr, boardPortNum) + +# Check the bus for I2C devices +boardi2c = FmcTluI2c(board) + +boardFirmware = board.read("FirmwareId") +print "Firmware version = " , hex(boardFirmware) + +print "Scanning I2C bus:" +scanResults = boardi2c.i2c_scan() +print scanResults + +boardId = boardi2c.get_serial_number() +print "FMC-TLU serial number = " , boardId + +resetClocks = 0 +resetSerdes = 0 + +# set DACs to -200mV +print "Setting all threshold DAC to -50mV " +boardi2c.set_threshold_voltage(7, -0.050) + +clockStatus = board.read("LogicClocksCSR") +print "Clock status = " , hex(clockStatus) + +if resetClocks: + print "Resetting clocks" + board.write("LogicRst", 1 ) + + clockStatus = board.read("LogicClocksCSR") + print "Clock status after reset = " , hex(clockStatus) + +#print "Setting PLL input to ext. clk" +#board.write("LogicRst", 0 ) +#clockStatus = board.read("LogicClocksCSR") +#print "Clock status = " , hex(clockStatus) + + +inputStatus = board.read("SerdesRstR") +print "Input status = " , hex(inputStatus) + +if resetSerdes: + board.write("SerdesRst", 0x00000003 ) + inputStatus = board.read("SerdesRst") + print "Input status during reset = " , hex(inputStatus) + + board.write("SerdesRst", 0x00000000 ) + inputStatus = board.read("SerdesRst") + print "Input status after reset = " , hex(inputStatus) + + board.write("SerdesRst", 0x00000004 ) + inputStatus = board.read("SerdesRst") + print "Input status during calibration = " , hex(inputStatus) + + board.write("SerdesRst", 0x00000000 ) + inputStatus = board.read("SerdesRst") + print "Input status after calibration = " , hex(inputStatus) + + +# Look at status of input IODELAYs +numLoops = 100 + +oldCount = [0,0,0,0] +sleepTime = 1.0 + +for iLoop in range(0,numLoops): + + inputStatus = board.read("SerdesRstR") + print "Input status = " , hex(inputStatus) + + count0 = board.read("ThrCount0R") + rate0 = (count0 - oldCount[0])/sleepTime + oldCount[0] = count0 + print " Count , rate 0 = " , count0 , rate0 + + count1 = board.read("ThrCount1R") + rate1 = (count1 - oldCount[1])/sleepTime + oldCount[1] = count1 + print " Count , rate 1 = " , count1 , rate1 + + count2 = board.read("ThrCount2R") + rate2 = (count2 - oldCount[2])/sleepTime + oldCount[2] = count2 + print " Count , rate 2 = " , count2 ,rate2 + + count3 = board.read("ThrCount3R") + rate3 = (count3 - oldCount[3])/sleepTime + oldCount[3] = count3 + print " Count ,rate 3 = " , count3 ,rate3 + + print "\n\n" + + time.sleep(sleepTime) + + diff --git a/firmware/minitlu/simulation/questa/fmc_tlu.cr.mti b/firmware/minitlu/simulation/questa/fmc_tlu.cr.mti new file mode 100644 index 00000000..9657c10d --- /dev/null +++ b/firmware/minitlu/simulation/questa/fmc_tlu.cr.mti @@ -0,0 +1,31 @@ +/afs/phy.bris.ac.uk/cad/designs/fmc-mtlu/trunk/firmware/src/fmc-tlu_sp601.vhdl {1 {vcom -work work -2008 -explicit -vopt /afs/phy.bris.ac.uk/cad/designs/fmc-mtlu/trunk/firmware/src/fmc-tlu_sp601.vhdl +QuestaSim vcom 6.6d Compiler 2010.11 Nov 1 2010 +-- Loading package standard +-- Loading package std_logic_1164 +-- Loading package vcomponents +-- Compiling entity fmc_tlu_sp601 +-- Compiling architecture rtl of fmc_tlu_sp601 + +} {} {}} /afs/phy.bris.ac.uk/cad/designs/fmc-mtlu/trunk/firmware/src/dtype_fd.vhdl {1 {vcom -work work -2008 -explicit -vopt /afs/phy.bris.ac.uk/cad/designs/fmc-mtlu/trunk/firmware/src/dtype_fd.vhdl +QuestaSim vcom 6.6d Compiler 2010.11 Nov 1 2010 +-- Loading package standard +-- Loading package std_logic_1164 +-- Compiling entity dtype_fd +-- Compiling architecture rtl of dtype_fd + +} {} {}} /afs/phy.bris.ac.uk/cad/designs/fmc-mtlu/trunk/firmware/src/pulse_shaper.vhdl {1 {vcom -work work -2008 -explicit -vopt /afs/phy.bris.ac.uk/cad/designs/fmc-mtlu/trunk/firmware/src/pulse_shaper.vhdl +QuestaSim vcom 6.6d Compiler 2010.11 Nov 1 2010 +-- Loading package standard +-- Loading package std_logic_1164 +-- Loading package vcomponents +-- Compiling entity pulse_shaper +-- Compiling architecture rtl of pulse_shaper + +} {} {}} /afs/phy.bris.ac.uk/cad/designs/fmc-mtlu/trunk/firmware/src/dtype_fdpe.vhdl {1 {vcom -work work -2008 -explicit -vopt /afs/phy.bris.ac.uk/cad/designs/fmc-mtlu/trunk/firmware/src/dtype_fdpe.vhdl +QuestaSim vcom 6.6d Compiler 2010.11 Nov 1 2010 +-- Loading package standard +-- Loading package std_logic_1164 +-- Compiling entity dtype_fdpe +-- Compiling architecture dtype_v of dtype_fdpe + +} {} {}} diff --git a/firmware/minitlu/simulation/questa/fmc_tlu.mpf b/firmware/minitlu/simulation/questa/fmc_tlu.mpf new file mode 100644 index 00000000..f146724b --- /dev/null +++ b/firmware/minitlu/simulation/questa/fmc_tlu.mpf @@ -0,0 +1,1592 @@ +; Copyright 1991-2010 Mentor Graphics Corporation +; +; All Rights Reserved. +; +; THIS WORK CONTAINS TRADE SECRET AND PROPRIETARY INFORMATION WHICH IS THE PROPERTY OF +; MENTOR GRAPHICS CORPORATION OR ITS LICENSORS AND IS SUBJECT TO LICENSE TERMS. +; + +[Library] +std = $MODEL_TECH/../std +ieee = $MODEL_TECH/../ieee +verilog = $MODEL_TECH/../verilog +; to use Vital 1995 version of the standard +; IEEE library must be mapped to the vital1995 library +; one cannot use the vital1995 library directly because it assume that it +; is the IEEE library. If vital1995 and vital2000 are being mixed together then +; ieee must be mapped to vital1995 and vital200 mapped to vital2000 +; ieee = $MODEL_TECH/../vital1995 +; for compatiblity with previously the VITAL2000 maps to a seperate library from IEEE +; if one should not reference vital from both the ieee library and the vital library becasue +; the vital packages are effectively different. If one needs to reference both libraies the +; vital2000 and ieee MUST be mapped to the same library either $MODEL_TECH/../ieee +; or $MODEL_TECH/../vital2000 +vital2000 = $MODEL_TECH/../vital2000 +std_developerskit = $MODEL_TECH/../std_developerskit +synopsys = $MODEL_TECH/../synopsys +modelsim_lib = $MODEL_TECH/../modelsim_lib +sv_std = $MODEL_TECH/../sv_std +mtiAvm = $MODEL_TECH/../avm +mtiOvm = $MODEL_TECH/../ovm-2.1.1 +mtiUPF = $MODEL_TECH/../upf_lib +mtiPA = $MODEL_TECH/../pa_lib +floatfixlib = $MODEL_TECH/../floatfixlib +mc2_lib = $MODEL_TECH/../mc2_lib +;vhdl_psl_checkers = $MODEL_TECH/../vhdl_psl_checkers // Source files only for this release +;verilog_psl_checkers = $MODEL_TECH/../verilog_psl_checkers // Source files only for this release +;mvc_lib = $MODEL_TECH/../mvc_lib +unisim = $XILINX/vhdl/questa/6.6d/lin64/unisim + +work = work +[vcom] +; VHDL93 variable selects language version as the default. +; Default is VHDL-2002. +; Value of 0 or 1987 for VHDL-1987. +; Value of 1 or 1993 for VHDL-1993. +; Default or value of 2 or 2002 for VHDL-2002. +; Value of 3 or 2008 for VHDL-2008 +VHDL93 = 2002 + +; Show source line containing error. Default is off. +; Show_source = 1 + +; Turn off unbound-component warnings. Default is on. +; Show_Warning1 = 0 + +; Turn off process-without-a-wait-statement warnings. Default is on. +; Show_Warning2 = 0 + +; Turn off null-range warnings. Default is on. +; Show_Warning3 = 0 + +; Turn off no-space-in-time-literal warnings. Default is on. +; Show_Warning4 = 0 + +; Turn off multiple-drivers-on-unresolved-signal warnings. Default is on. +; Show_Warning5 = 0 + +; Turn off optimization for IEEE std_logic_1164 package. Default is on. +; Optimize_1164 = 0 + +; Turn on resolving of ambiguous function overloading in favor of the +; "explicit" function declaration (not the one automatically created by +; the compiler for each type declaration). Default is off. +; The .ini file has Explicit enabled so that std_logic_signed/unsigned +; will match the behavior of synthesis tools. +Explicit = 1 + +; Turn off acceleration of the VITAL packages. Default is to accelerate. +; NoVital = 1 + +; Turn off VITAL compliance checking. Default is checking on. +; NoVitalCheck = 1 + +; Ignore VITAL compliance checking errors. Default is to not ignore. +; IgnoreVitalErrors = 1 + +; Turn off VITAL compliance checking warnings. Default is to show warnings. +; Show_VitalChecksWarnings = 0 + +; Turn off PSL assertion warning messages. Default is to show warnings. +; Show_PslChecksWarnings = 0 + +; Enable parsing of embedded PSL assertions. Default is enabled. +; EmbeddedPsl = 0 + +; Keep silent about case statement static warnings. +; Default is to give a warning. +; NoCaseStaticError = 1 + +; Keep silent about warnings caused by aggregates that are not locally static. +; Default is to give a warning. +; NoOthersStaticError = 1 + +; Treat as errors: +; case statement static warnings +; warnings caused by aggregates that are not locally static +; Overrides NoCaseStaticError, NoOthersStaticError settings. +; PedanticErrors = 1 + +; Turn off inclusion of debugging info within design units. +; Default is to include debugging info. +; NoDebug = 1 + +; Turn off "Loading..." messages. Default is messages on. +; Quiet = 1 + +; Turn on some limited synthesis rule compliance checking. Checks only: +; -- signals used (read) by a process must be in the sensitivity list +; CheckSynthesis = 1 + +; Activate optimizations on expressions that do not involve signals, +; waits, or function/procedure/task invocations. Default is off. +; ScalarOpts = 1 + +; Turns on lint-style checking. +; Show_Lint = 1 + +; Require the user to specify a configuration for all bindings, +; and do not generate a compile time default binding for the +; component. This will result in an elaboration error of +; 'component not bound' if the user fails to do so. Avoids the rare +; issue of a false dependency upon the unused default binding. +; RequireConfigForAllDefaultBinding = 1 + +; Perform default binding at compile time. +; Default is to do default binding at load time. +; BindAtCompile = 1; + +; Inhibit range checking on subscripts of arrays. Range checking on +; scalars defined with subtypes is inhibited by default. +; NoIndexCheck = 1 + +; Inhibit range checks on all (implicit and explicit) assignments to +; scalar objects defined with subtypes. +; NoRangeCheck = 1 + +; Run the 0-in compiler on the VHDL source files +; Default is off. +; ZeroIn = 1 + +; Set the options to be passed to the 0-in compiler. +; Default is "". +; ZeroInOptions = "" + +; Set the synthesis prefix to be honored for synthesis pragma recognition. +; Default is "". +; SynthPrefix = "" + +; Turn on code coverage in VHDL design units. Default is off. +; Coverage = sbceft + +; Turn off code coverage in VHDL subprograms. Default is on. +; CoverageSub = 0 + +; Automatically exclude VHDL case statement OTHERS choice branches. +; This includes OTHERS choices in selected signal assigment statements. +; Default is to not exclude. +; CoverExcludeDefault = 1 + +; Control compiler and VOPT optimizations that are allowed when +; code coverage is on. Refer to the comment for this in the [vlog] area. +; CoverOpt = 3 + +; Inform code coverage optimizations to respect VHDL 'H' and 'L' +; values on signals in conditions and expressions, and to not automatically +; convert them to '1' and '0'. Default is to not convert. +; CoverRespectHandL = 0 + +; Increase or decrease the maximum number of rows allowed in a UDP table +; implementing a VHDL condition coverage or expression coverage expression. +; More rows leads to a longer compile time, but more expressions covered. +; CoverMaxUDPRows = 192 + +; Increase or decrease the maximum number of input patterns that are present +; in FEC table. This leads to a longer compile time with more expressions +; covered with FEC metric. +; CoverMaxFECRows = 192 + +; Enable or disable Focused Expression Coverage analysis for conditions and +; expressions. Focused Expression Coverage data is provided by default when +; expression and/or condition coverage is active. +; CoverFEC = 0 + +; Enable or disable UDP Coverage analysis for conditions and expressions. +; UDP Coverage data is provided by default when expression and/or condition +; coverage is active. +; CoverUDP = 0 + +; Enable or disable short circuit evaluation of conditions and expressions when +; condition or expression coverage is active. Short circuit evaluation is enabled +; by default. +; CoverShortCircuit = 0 + +; Enable code coverage reporting of code that has been optimized away. +; The default is not to report. +; CoverReportCancelled = 1 + +; Use this directory for compiler temporary files instead of "work/_temp" +; CompilerTempDir = /tmp + +; Set this to cause the compilers to force data to be committed to disk +; when the files are closed. +; SyncCompilerFiles = 1 + +; Add VHDL-AMS declarations to package STANDARD +; Default is not to add +; AmsStandard = 1 + +; Range and length checking will be performed on array indices and discrete +; ranges, and when violations are found within subprograms, errors will be +; reported. Default is to issue warnings for violations, because subprograms +; may not be invoked. +; NoDeferSubpgmCheck = 0 + +; Turn ON detection of FSMs having single bit current state variable. +; FsmSingle = 1 + +; Turn off reset state transitions in FSM. +; FsmResetTrans = 0 + +; Turn ON detection of FSM Implicit Transitions. +; FsmImplicitTrans = 1 + +; Do not show immediate assertions with constant expressions in +; GUI/report/UCDB etc. By default immediate assertions with constant +; expressions are shown in GUI/report/UCDB etc. This does not affect ; +; evaluation of immediate assertions. +; ShowConstantImmediateAsserts = 0 + +[vlog] +; Turn off inclusion of debugging info within design units. +; Default is to include debugging info. +; NoDebug = 1 + +; Turn on `protect compiler directive processing. +; Default is to ignore `protect directives. +; Protect = 1 + +; Turn off "Loading..." messages. Default is messages on. +; Quiet = 1 + +; Turn on Verilog hazard checking (order-dependent accessing of global vars). +; Default is off. +; Hazard = 1 + +; Turn on converting regular Verilog identifiers to uppercase. Allows case +; insensitivity for module names. Default is no conversion. +; UpCase = 1 + +; Activate optimizations on expressions that do not involve signals, +; waits, or function/procedure/task invocations. Default is off. +; ScalarOpts = 1 + +; Turns on lint-style checking. +; Show_Lint = 1 + +; Show source line containing error. Default is off. +; Show_source = 1 + +; Turn on bad option warning. Default is off. +; Show_BadOptionWarning = 1 + +; Revert back to IEEE 1364-1995 syntax, default is 0 (off). +; vlog95compat = 1 + +; Turn off PSL warning messages. Default is to show warnings. +; Show_PslChecksWarnings = 0 + +; Enable parsing of embedded PSL assertions. Default is enabled. +; EmbeddedPsl = 0 + +; Set the threshold for automatically identifying sparse Verilog memories. +; A memory with depth equal to or more than the sparse memory threshold gets +; marked as sparse automatically, unless specified otherwise in source code +; or by +nosparse commandline option of vlog or vopt. +; The default is 1M. (i.e. memories with depth equal +; to or greater than 1M are marked as sparse) +; SparseMemThreshold = 1048576 + +; Run the 0-in compiler on the Verilog source files +; Default is off. +; ZeroIn = 1 + +; Set the options to be passed to the 0-in compiler. +; Default is "". +; ZeroInOptions = "" + +; Set the synthesis prefix to be honored for synthesis pragma recognition. +; Default is "". +; SynthPrefix = "" + +; Set the option to treat all files specified in a vlog invocation as a +; single compilation unit. The default value is set to 0 which will treat +; each file as a separate compilation unit as specified in the P1800 draft standard. +; MultiFileCompilationUnit = 1 + +; Turn on code coverage in Verilog design units. Default is off. +; Coverage = sbceft + +; Automatically exclude Verilog case statement default branches. +; Default is to not automatically exclude defaults. +; CoverExcludeDefault = 1 + +; Increase or decrease the maximum number of rows allowed in a UDP table +; implementing a Verilog condition coverage or expression coverage expression. +; More rows leads to a longer compile time, but more expressions covered. +; CoverMaxUDPRows = 192 + +; Increase or decrease the maximum number of input patterns that are present +; in FEC table. This leads to a longer compile time with more expressions +; covered with FEC metric. +; CoverMaxFECRows = 192 + +; Enable or disable Focused Expression Coverage analysis for conditions and +; expressions. Focused Expression Coverage data is provided by default when +; expression and/or condition coverage is active. +; CoverFEC = 0 + +; Enable or disable UDP Coverage analysis for conditions and expressions. +; UDP Coverage data is provided by default when expression and/or condition +; coverage is active. +; CoverUDP = 0 + +; Enable or disable short circuit evaluation of conditions and expressions when +; condition or expression coverage is active. Short circuit evaluation is enabled +; by default. +; CoverShortCircuit = 0 + + +; Turn on code coverage in VLOG `celldefine modules and modules included +; using vlog -v and -y. Default is off. +; CoverCells = 1 + +; Enable code coverage reporting of code that has been optimized away. +; The default is not to report. +; CoverReportCancelled = 1 + +; Control compiler and VOPT optimizations that are allowed when +; code coverage is on. This is a number from 1 to 4, with the following +; meanings (the default is 3): +; 1 -- Turn off all optimizations that affect coverage reports. +; 2 -- Allow optimizations that allow large performance improvements +; by invoking sequential processes only when the data changes. +; This may make major reductions in coverage counts. +; 3 -- In addition, allow optimizations that may change expressions or +; remove some statements. Allow constant propagation. Allow VHDL +; subprogram inlining and VHDL FF recognition. +; 4 -- In addition, allow optimizations that may remove major regions of +; code by changing assignments to built-ins or removing unused +; signals. Change Verilog gates to continuous assignments. +; CoverOpt = 3 + +; Specify the override for the default value of "cross_num_print_missing" +; option for the Cross in Covergroups. If not specified then LRM default +; value of 0 (zero) is used. This is a compile time option. +; SVCrossNumPrintMissingDefault = 0 + +; Setting following to 1 would cause creation of variables which +; would represent the value of Coverpoint expressions. This is used +; in conjunction with "SVCoverpointExprVariablePrefix" option +; in the modelsim.ini +; EnableSVCoverpointExprVariable = 0 + +; Specify the override for the prefix used in forming the variable names +; which represent the Coverpoint expressions. This is used in conjunction with +; "EnableSVCoverpointExprVariable" option of the modelsim.ini +; The default prefix is "expr". +; The variable name is +; variable name => <prefix>_<coverpoint name> +; SVCoverpointExprVariablePrefix = expr + +; Override for the default value of the SystemVerilog covergroup, +; coverpoint, and cross option.goal (defined to be 100 in the LRM). +; NOTE: It does not override specific assignments in SystemVerilog +; source code. NOTE: The modelsim.ini variable "SVCovergroupGoal" +; in the [vsim] section can override this value. +; SVCovergroupGoalDefault = 100 + +; Override for the default value of the SystemVerilog covergroup, +; coverpoint, and cross type_option.goal (defined to be 100 in the LRM) +; NOTE: It does not override specific assignments in SystemVerilog +; source code. NOTE: The modelsim.ini variable "SVCovergroupTypeGoal" +; in the [vsim] section can override this value. +; SVCovergroupTypeGoalDefault = 100 + +; Specify the override for the default value of "strobe" option for the +; Covergroup Type. This is a compile time option which forces "strobe" to +; a user specified default value and supersedes SystemVerilog specified +; default value of '0'(zero). NOTE: This can be overriden by a runtime +; modelsim.ini variable "SVCovergroupStrobe" in the [vsim] section. +; SVCovergroupStrobeDefault = 0 + +; Specify the override for the default value of "merge_instances" option for +; the Covergroup Type. This is a compile time option which forces +; "merge_instances" to a user specified default value and supersedes +; SystemVerilog specified default value of '0'(zero). +; SVCovergroupMergeInstancesDefault = 0 + +; Specify the override for the default value of "per_instance" option for the +; Covergroup variables. This is a compile time option which forces "per_instance" +; to a user specified default value and supersedes SystemVerilog specified +; default value of '0'(zero). +; SVCovergroupPerInstanceDefault = 0 + +; Specify the override for the default value of "get_inst_coverage" option for the +; Covergroup variables. This is a compile time option which forces +; "get_inst_coverage" to a user specified default value and supersedes +; SystemVerilog specified default value of '0'(zero). +; SVCovergroupGetInstCoverageDefault = 0 + +; +; A space separated list of resource libraries that contain precompiled +; packages. The behavior is identical to using the "-L" switch. +; +; LibrarySearchPath = <path/lib> [<path/lib> ...] +LibrarySearchPath = mtiAvm mtiOvm mtiUPF + +; The behavior is identical to the "-mixedansiports" switch. Default is off. +; MixedAnsiPorts = 1 + +; Enable SystemVerilog 3.1a $typeof() function. Default is off. +; EnableTypeOf = 1 + +; Only allow lower case pragmas. Default is disabled. +; AcceptLowerCasePragmaOnly = 1 + +; Set the maximum depth permitted for a recursive include file nesting. +; IncludeRecursionDepthMax = 5 + +; Turn ON detection of FSMs having single bit current state variable. +; FsmSingle = 1 + +; Turn off reset state transitions in FSM. +; FsmResetTrans = 0 + +; Turn off detections of FSMs having x-assignment. +; FsmXAssign = 0 + +; Turn ON detection of FSM Implicit Transitions. +; FsmImplicitTrans = 1 + +; List of file suffixes which will be read as SystemVerilog. White space +; in extensions can be specified with a back-slash: "\ ". Back-slashes +; can be specified with two consecutive back-slashes: "\\"; +; SVFileExtensions = sv svp svh + +; This setting is the same as the vlog -sv command line switch. +; Enables SystemVerilog features and keywords when true (1). +; When false (0), the rules of IEEE Std 1364-2001 are followed and +; SystemVerilog keywords are ignored. +; Svlog = 0 + +; Prints attribute placed upon SV packages during package import +; when true (1). The attribute will be ignored when this +; entry is false (0). The attribute name is "package_load_message". +; The value of this attribute is a string literal. +; Default is true (1). +; PrintSVPackageLoadingAttribute = 1 + +; Do not show immediate assertions with constant expressions in +; GUI/reports/UCDB etc. By default immediate assertions with constant +; expressions are shown in GUI/reports/UCDB etc. This does not affect +; evaluation of immediate assertions. +; ShowConstantImmediateAsserts = 0 + +; Controls if untyped parameters that are initialized with values greater +; than 2147483647 are mapped to generics of type INTEGER or ignored. +; If mapped to VHDL Integers, values greater than 2147483647 +; are mapped to negative values. +; Default is to map these parameter to generic of type INTEGER +; ForceUnsignedToVHDLInteger = 1 + +; Enable AMS wreal (wired real) extensions. Default is 0. +; WrealType = 1 + +[sccom] +; Enable use of SCV include files and library. Default is off. +; UseScv = 1 + +; Add C++ compiler options to the sccom command line by using this variable. +; CppOptions = -g + +; Use custom C++ compiler located at this path rather than the default path. +; The path should point directly at a compiler executable. +; CppPath = /usr/bin/g++ + +; Enable verbose messages from sccom. Default is off. +; SccomVerbose = 1 + +; sccom logfile. Default is no logfile. +; SccomLogfile = sccom.log + +; Enable use of SC_MS include files and library. Default is off. +; UseScMs = 1 + +[vopt] +; Turn on code coverage in vopt. Default is off. +; Coverage = sbceft + +; Control compiler optimizations that are allowed when +; code coverage is on. Refer to the comment for this in the [vlog] area. +; CoverOpt = 3 + +; Increase or decrease the maximum number of rows allowed in a UDP table +; implementing a vopt condition coverage or expression coverage expression. +; More rows leads to a longer compile time, but more expressions covered. +; CoverMaxUDPRows = 192 + +; Increase or decrease the maximum number of input patterns that are present +; in FEC table. This leads to a longer compile time with more expressions +; covered with FEC metric. +; CoverMaxFECRows = 192 + +; Enable code coverage reporting of code that has been optimized away. +; The default is not to report. +; CoverReportCancelled = 1 + +; Do not show immediate assertions with constant expressions in +; GUI/reports/UCDB etc. By default immediate assertions with constant +; expressions are shown in GUI/reports/UCDB etc. This does not affect +; evaluation of immediate assertions. +; ShowConstantImmediateAsserts = 0 + +; Set the maximum number of iterations permitted for a generate loop. +; Restricting this permits the implementation to recognize infinite +; generate loops. +; GenerateLoopIterationMax = 100000 + +; Set the maximum depth permitted for a recursive generate instantiation. +; Restricting this permits the implementation to recognize infinite +; recursions. +; GenerateRecursionDepthMax = 200 + + +[vsim] +; vopt flow +; Set to turn on automatic optimization of a design. +; Default is on +VoptFlow = 1 + +; vopt automatic SDF +; If automatic design optimization is on, enables automatic compilation +; of SDF files. +; Default is on, uncomment to turn off. +; VoptAutoSDFCompile = 0 + +; Automatic SDF compilation +; Disables automatic compilation of SDF files in flows that support it. +; Default is on, uncomment to turn off. +; NoAutoSDFCompile = 1 + +; Simulator resolution +; Set to fs, ps, ns, us, ms, or sec with optional prefix of 1, 10, or 100. +Resolution = ns + +; Disable certain code coverage exclusions automatically. +; Assertions and FSM are exluded from the code coverage by default +; Set AutoExclusionsDisable = fsm to enable code coverage for fsm +; Set AutoExclusionsDisable = assertions to enable code coverage for assertions +; Set AutoExclusionsDisable = all to enable code coverage for all the automatic exclusions +; Or specify comma or space separated list +;AutoExclusionsDisable = fsm,assertions + +; User time unit for run commands +; Set to default, fs, ps, ns, us, ms, or sec. The default is to use the +; unit specified for Resolution. For example, if Resolution is 100ps, +; then UserTimeUnit defaults to ps. +; Should generally be set to default. +UserTimeUnit = default + +; Default run length +RunLength = 100 ns + +; Maximum iterations that can be run without advancing simulation time +IterationLimit = 5000 + +; Control PSL and Verilog Assume directives during simulation +; Set SimulateAssumeDirectives = 0 to disable assume being simulated as asserts +; Set SimulateAssumeDirectives = 1 to enable assume simulation as asserts +; SimulateAssumeDirectives = 1 + +; Control the simulation of PSL and SVA +; These switches can be overridden by the vsim command line switches: +; -psl, -nopsl, -sva, -nosva. +; Set SimulatePSL = 0 to disable PSL simulation +; Set SimulatePSL = 1 to enable PSL simulation (default) +; SimulatePSL = 1 +; Set SimulateSVA = 0 to disable SVA simulation +; Set SimulateSVA = 1 to enable concurrent SVA simulation (default) +; SimulateSVA = 1 + +; Directives to license manager can be set either as single value or as +; space separated multi-values: +; vhdl Immediately reserve a VHDL license +; vlog Immediately reserve a Verilog license +; plus Immediately reserve a VHDL and Verilog license +; noqueue Do not wait in the license queue when a license is not available +; viewsim Try for viewer license but accept simulator license(s) instead +; of queuing for viewer license (PE ONLY) +; noviewer Disable checkout of msimviewer and vsim-viewer license +; features (PE ONLY) +; noslvhdl Disable checkout of qhsimvh and vsim license features +; noslvlog Disable checkout of qhsimvl and vsimvlog license features +; nomix Disable checkout of msimhdlmix and hdlmix license features +; nolnl Disable checkout of msimhdlsim and hdlsim license features +; mixedonly Disable checkout of qhsimvh,qhsimvl,vsim,vsimvlog license +; features +; lnlonly Disable checkout of qhsimvh,qhsimvl,vsim,vsimvlog,msimhdlmix, +; hdlmix license features +; Single value: +; License = plus +; Multi-value: +; License = noqueue plus + +; Stop the simulator after a VHDL assertion message. +; Or stop the simulator after SystemVerilog severity system task. +; The severity of VHDL assertion or severity system task +; should be higher or equal. +; 0 = Note 1 = Warning 2 = Error 3 = Failure 4 = Fatal +BreakOnAssertion = 3 + +; VHDL assertion Message Format +; %S - Severity Level +; %R - Report Message +; %T - Time of assertion +; %D - Delta +; %I - Instance or Region pathname (if available) +; %i - Instance pathname with process +; %O - Process name +; %K - Kind of object path is to return: Instance, Signal, Process or Unknown +; %P - Instance or Region path without leaf process +; %F - File +; %L - Line number of assertion or, if assertion is in a subprogram, line +; from which the call is made +; %% - Print '%' character +; If specific format for assertion level is defined, use its format. +; If specific format is not defined for assertion level: +; - and if failure occurs during elaboration, use MessageFormatBreakLine; +; - and if assertion triggers a breakpoint (controlled by BreakOnAssertion +; level), use MessageFormatBreak; +; - otherwise, use MessageFormat. +; MessageFormatBreakLine = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F Line: %L\n" +; MessageFormatBreak = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F\n" +; MessageFormat = "** %S: %R\n Time: %T Iteration: %D%I\n" +; MessageFormatNote = "** %S: %R\n Time: %T Iteration: %D%I\n" +; MessageFormatWarning = "** %S: %R\n Time: %T Iteration: %D%I\n" +; MessageFormatError = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F\n" +; MessageFormatFail = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F\n" +; MessageFormatFatal = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F\n" + +; Error File - alternate file for storing error messages +; ErrorFile = error.log + + +; Simulation Breakpoint messages +; This flag controls the display of function names when reporting the location +; where the simulator stops do to a breakpoint or fatal error. +; Example w/function name: # Break in Process ctr at counter.vhd line 44 +; Example wo/function name: # Break at counter.vhd line 44 +ShowFunctions = 1 + +; Default radix for all windows and commands. +; Set to symbolic, ascii, binary, octal, decimal, hex, unsigned +DefaultRadix = symbolic + +; VSIM Startup command +; Startup = do startup.do + +; VSIM Shutdown file +; Filename to save u/i formats and configurations. +; ShutdownFile = restart.do +; To explicitly disable auto save: +; ShutdownFile = --disable-auto-save + +; File for saving command transcript +TranscriptFile = transcript + +; File for saving command history +; CommandHistory = cmdhist.log + +; Specify whether paths in simulator commands should be described +; in VHDL or Verilog format. +; For VHDL, PathSeparator = / +; For Verilog, PathSeparator = . +; Must not be the same character as DatasetSeparator. +PathSeparator = / + +; Specify the dataset separator for fully rooted contexts. +; The default is ':'. For example: sim:/top +; Must not be the same character as PathSeparator. +DatasetSeparator = : + +; Specify a unique path separator for the Signal Spy set of functions. +; The default will be to use the PathSeparator variable. +; Must not be the same character as DatasetSeparator. +; SignalSpyPathSeparator = / + +; Used to control parsing of HDL identifiers input to the tool. +; This includes CLI commands, vsim/vopt/vlog/vcom options, +; string arguments to FLI/VPI/DPI calls, etc. +; If set to 1, accept either Verilog escaped Id syntax or +; VHDL extended id syntax, regardless of source language. +; If set to 0, the syntax of the source language must be used. +; Each identifier in a hierarchical name may need different syntax, +; e.g. "/top/\vhdl*ext*id\/middle/\vlog*ext*id /bottom" or +; "top.\vhdl*ext*id\.middle.\vlog*ext*id .bottom" +; GenerousIdentifierParsing = 1 + +; Disable VHDL assertion messages +; IgnoreNote = 1 +; IgnoreWarning = 1 +; IgnoreError = 1 +; IgnoreFailure = 1 + +; Disable SystemVerilog assertion messages +; IgnoreSVAInfo = 1 +; IgnoreSVAWarning = 1 +; IgnoreSVAError = 1 +; IgnoreSVAFatal = 1 + +; Do not print any additional information from Severity System tasks. +; Only the message provided by the user is printed along with severity +; information. +; SVAPrintOnlyUserMessage = 1; + +; Default force kind. May be freeze, drive, deposit, or default +; or in other terms, fixed, wired, or charged. +; A value of "default" will use the signal kind to determine the +; force kind, drive for resolved signals, freeze for unresolved signals +; DefaultForceKind = freeze + +; Control the iteration of events when a VHDL signal is forced to a value +; This flag can be set to honour the signal update event in next iteration, +; the default is to update and propagate in the same iteration. +; ForceSigNextIter = 1 + + +; If zero, open files when elaborated; otherwise, open files on +; first read or write. Default is 0. +; DelayFileOpen = 1 + +; Control VHDL files opened for write. +; 0 = Buffered, 1 = Unbuffered +UnbufferedOutput = 0 + +; Control the number of VHDL files open concurrently. +; This number should always be less than the current ulimit +; setting for max file descriptors. +; 0 = unlimited +ConcurrentFileLimit = 40 + +; Control the number of hierarchical regions displayed as +; part of a signal name shown in the Wave window. +; A value of zero tells VSIM to display the full name. +; The default is 0. +; WaveSignalNameWidth = 0 + +; Turn off warnings when changing VHDL constants and generics +; Default is 1 to generate warning messages +; WarnConstantChange = 0 + +; Turn off warnings from accelerated versions of the std_logic_arith, +; std_logic_unsigned, and std_logic_signed packages. +; StdArithNoWarnings = 1 + +; Turn off warnings from accelerated versions of the IEEE numeric_std +; and numeric_bit packages. +; NumericStdNoWarnings = 1 + +; Use old-style (pre-6.6) VHDL FOR generate statement iteration names +; in the design hierarchy. +; This style is controlled by the value of the GenerateFormat +; value described next. Default is to use new-style names, which +; comprise the generate statement label, '(', the value of the generate +; parameter, and a closing ')'. +; Uncomment this to use old-style names. +; OldVhdlForGenNames = 1 + +; Control the format of the old-style VHDL FOR generate statement region +; name for each iteration. Do not quote it. +; The format string here must contain the conversion codes %s and %d, +; in that order, and no other conversion codes. The %s represents +; the generate statement label; the %d represents the generate parameter value +; at a particular iteration (this is the position number if the generate parameter +; is of an enumeration type). Embedded whitespace is allowed (but discouraged); +; leading and trailing whitespace is ignored. +; Application of the format must result in a unique region name over all +; loop iterations for a particular immediately enclosing scope so that name +; lookup can function properly. The default is %s__%d. +; GenerateFormat = %s__%d + +; Specify whether checkpoint files should be compressed. +; The default is 1 (compressed). +; CheckpointCompressMode = 0 + +; Specify gcc compiler used in the compilation of automatically generated DPI exportwrapper. +; Use custom gcc compiler located at this path rather than the default path. +; The path should point directly at a compiler executable. +; DpiCppPath = <your-gcc-installation>/bin/gcc + +; Specify whether to enable SystemVerilog DPI "out-of-the-blue" calls. +; The term "out-of-the-blue" refers to SystemVerilog export function calls +; made from C functions that don't have the proper context setup +; (as is the case when running under "DPI-C" import functions). +; When this is enabled, one can call a DPI export function +; (but not task) from any C code. +; the setting of this variable can be one of the following values: +; 0 : dpioutoftheblue call is disabled (default) +; 1 : dpioutoftheblue call is enabled, but export call debug support is not available. +; 2 : dpioutoftheblue call is enabled, and limited export call debug support is available. +; DpiOutOfTheBlue = 1 + +; Specify whether continuous assignments are run before other normal priority +; processes scheduled in the same iteration. This event ordering minimizes race +; differences between optimized and non-optimized designs, and is the default +; behavior beginning with the 6.5 release. For pre-6.5 event ordering, set +; ImmediateContinuousAssign to 0. +; The default is 1 (enabled). +; ImmediateContinuousAssign = 0 + +; List of dynamically loaded objects for Verilog PLI applications +; Veriuser = veriuser.sl + +; Which default VPI object model should the tool conform to? +; The 1364 modes are Verilog-only, for backwards compatibility with older +; libraries, and SystemVerilog objects are not available in these modes. +; +; In the absence of a user-specified default, the tool default is the +; latest available LRM behavior. +; Options for PliCompatDefault are: +; VPI_COMPATIBILITY_VERSION_1364v1995 +; VPI_COMPATIBILITY_VERSION_1364v2001 +; VPI_COMPATIBILITY_VERSION_1364v2005 +; VPI_COMPATIBILITY_VERSION_1800v2005 +; VPI_COMPATIBILITY_VERSION_1800v2008 +; +; Synonyms for each string are also recognized: +; VPI_COMPATIBILITY_VERSION_1364v1995 (1995, 95, 1364v1995, 1364V1995, VL1995) +; VPI_COMPATIBILITY_VERSION_1364v2001 (2001, 01, 1364v2001, 1364V2001, VL2001) +; VPI_COMPATIBILITY_VERSION_1364v2005 (1364v2005, 1364V2005, VL2005) +; VPI_COMPATIBILITY_VERSION_1800v2005 (2005, 05, 1800v2005, 1800V2005, SV2005) +; VPI_COMPATIBILITY_VERSION_1800v2008 (2008, 08, 1800v2008, 1800V2008, SV2008) + + +; PliCompatDefault = VPI_COMPATIBILITY_VERSION_1800v2005 + +; Specify default options for the restart command. Options can be one +; or more of: -force -nobreakpoint -nolist -nolog -nowave -noassertions +; DefaultRestartOptions = -force + +; Turn on (1) or off (0) WLF file compression. +; The default is 1 (compress WLF file). +; WLFCompress = 0 + +; Specify whether to save all design hierarchy (1) in the WLF file +; or only regions containing logged signals (0). +; The default is 0 (save only regions with logged signals). +; WLFSaveAllRegions = 1 + +; WLF file time limit. Limit WLF file by time, as closely as possible, +; to the specified amount of simulation time. When the limit is exceeded +; the earliest times get truncated from the file. +; If both time and size limits are specified the most restrictive is used. +; UserTimeUnits are used if time units are not specified. +; The default is 0 (no limit). Example: WLFTimeLimit = {100 ms} +; WLFTimeLimit = 0 + +; WLF file size limit. Limit WLF file size, as closely as possible, +; to the specified number of megabytes. If both time and size limits +; are specified then the most restrictive is used. +; The default is 0 (no limit). +; WLFSizeLimit = 1000 + +; Specify whether or not a WLF file should be deleted when the +; simulation ends. A value of 1 will cause the WLF file to be deleted. +; The default is 0 (do not delete WLF file when simulation ends). +; WLFDeleteOnQuit = 1 + +; Specify whether or not a WLF file should be indexed during +; simulation. If set to 0, the WLF file will not be indexed. +; The default is 1, indexed the WLF file. +; WLFIndex = 0 + +; Specify whether or not a WLF file should be optimized during +; simulation. If set to 0, the WLF file will not be optimized. +; The default is 1, optimize the WLF file. +; WLFOptimize = 0 + +; Specify the name of the WLF file. +; The default is vsim.wlf +; WLFFilename = vsim.wlf + +; Specify whether to lock the WLF file using system lockd locking mechanism. +; Locking the file prevents other invocations of ModelSim/Questa tools from +; inadvertently overwriting the WLF file. +; The default is 1, lock the WLF file. +; WLFFileLock = 0 + +; Specify the WLF reader cache size limit for each open WLF file. +; The size is giving in megabytes. A value of 0 turns off the +; WLF cache. +; WLFSimCacheSize allows a different cache size to be set for +; simulation WLF file independent of post-simulation WLF file +; viewing. If WLFSimCacheSize is not set it defaults to the +; WLFCacheSize setting. +; The default WLFCacheSize setting is enabled to 256M per open WLF file. +; WLFCacheSize = 2000 +; WLFSimCacheSize = 500 + +; Specify the WLF file event collapse mode. +; 0 = Preserve all events and event order. (same as -wlfnocollapse) +; 1 = Only record values of logged objects at the end of a simulator iteration. +; (same as -wlfcollapsedelta) +; 2 = Only record values of logged objects at the end of a simulator time step. +; (same as -wlfcollapsetime) +; The default is 1. +; WLFCollapseMode = 0 + +; Specify whether WLF file logging can use threads on multi-processor machines +; if 0, no threads will be used, if 1, threads will be used if the system has +; more than one processor +; WLFUseThreads = 1 + +; Turn on/off undebuggable SystemC type warnings. Default is on. +; ShowUndebuggableScTypeWarning = 0 + +; Turn on/off unassociated SystemC name warnings. Default is off. +; ShowUnassociatedScNameWarning = 1 + +; Turn on/off SystemC IEEE 1666 deprecation warnings. Default is off. +; ScShowIeeeDeprecationWarnings = 1 + +; Turn on/off the check for multiple drivers on a SystemC sc_signal. Default is off. +; ScEnableScSignalWriteCheck = 1 + +; Set SystemC default time unit. +; Set to fs, ps, ns, us, ms, or sec with optional +; prefix of 1, 10, or 100. The default is 1 ns. +; The ScTimeUnit value is honored if it is coarser than Resolution. +; If ScTimeUnit is finer than Resolution, it is set to the value +; of Resolution. For example, if Resolution is 100ps and ScTimeUnit is ns, +; then the default time unit will be 1 ns. However if Resolution +; is 10 ns and ScTimeUnit is ns, then the default time unit will be 10 ns. +ScTimeUnit = ns + +; Set SystemC sc_main stack size. The stack size is set as an integer +; number followed by the unit which can be Kb(Kilo-byte), Mb(Mega-byte) or +; Gb(Giga-byte). Default is 10 Mb. The stack size for sc_main depends +; on the amount of data on the sc_main() stack and the memory required +; to succesfully execute the longest function call chain of sc_main(). +ScMainStackSize = 10 Mb + +; Turn on/off execution of remainder of sc_main upon quitting the current +; simulation session. If the cumulative length of sc_main() in terms of +; simulation time units is less than the length of the current simulation +; run upon quit or restart, sc_main() will be in the middle of execution. +; This switch gives the option to execute the remainder of sc_main upon +; quitting simulation. The drawback of not running sc_main till the end +; is memory leaks for objects created by sc_main. If on, the remainder of +; sc_main will be executed ignoring all delays. This may cause the simulator +; to crash if the code in sc_main is dependent on some simulation state. +; Default is on. +ScMainFinishOnQuit = 1 + +; Set the SCV relationship name that will be used to identify phase +; relations. If the name given to a transactor relation matches this +; name, the transactions involved will be treated as phase transactions +ScvPhaseRelationName = mti_phase + +; Customize the vsim kernel shutdown behavior at the end of the simulation. +; Some common causes of the end of simulation are $finish (implicit or explicit), +; sc_stop(), tf_dofinish(), and assertion failures. +; This should be set to "ask", "exit", or "stop". The default is "ask". +; "ask" -- In batch mode, the vsim kernel will abruptly exit. +; In GUI mode, a dialog box will pop up and ask for user confirmation +; whether or not to quit the simulation. +; "stop" -- Cause the simulation to stay loaded in memory. This can make some +; post-simulation tasks easier. +; "exit" -- The simulation will abruptly exit without asking for any confirmation. +; "final" -- Run SystemVerilog final blocks then behave as "stop". +; Note: This variable can be overridden with the vsim "-onfinish" command line switch. +OnFinish = ask + +; Print pending deferred assertion messages. +; Deferred assertion messages may be scheduled after the $finish in the same +; time step. Deferred assertions scheduled to print after the $finish are +; printed before exiting with severity level NOTE since it's not known whether +; the assertion is still valid due to being printed in the active region +; instead of the reactive region where they are normally printed. +; OnFinishPendingAssert = 1; + +; Print "simstats" result at the end of simulation before shutdown. +; If this is enabled, the simstats result will be printed out before shutdown. +; The default is off. +; PrintSimStats = 1 + +; Assertion File - alternate file for storing VHDL/PSL/Verilog assertion messages +; AssertFile = assert.log + +; Run simulator in assertion debug mode. Default is off. +; AssertionDebug = 1 + +; Turn on/off PSL/SVA/VHDL assertion enable. Default is on. +; AssertionEnable = 0 + +; Set PSL/SVA/VHDL concurrent assertion fail limit. Default is -1. +; Any positive integer, -1 for infinity. +; AssertionLimit = 1 + +; Turn on/off PSL concurrent assertion pass log. Default is off. +; The flag does not affect SVA +; AssertionPassLog = 1 + +; Turn on/off PSL concurrent assertion fail log. Default is on. +; The flag does not affect SVA +; AssertionFailLog = 0 + +; Turn on/off SVA concurrent assertion local var printing in -assertdebug mode. Default is on. +; AssertionFailLocalVarLog = 0 + +; Set action type for PSL/SVA concurrent assertion fail action. Default is continue. +; 0 = Continue 1 = Break 2 = Exit +; AssertionFailAction = 1 + +; Enable the active thread monitor in the waveform display when assertion debug is enabled. +; AssertionActiveThreadMonitor = 1 + +; Control how many waveform rows will be used for displaying the active threads. Default is 5. +; AssertionActiveThreadMonitorLimit = 5 + +; Assertion thread limit after which assertion would be killed/switched off. +; The default is -1 (unlimited). If the number of threads for an assertion go +; beyond this limit, the assertion would be either switched off or killed. This +; limit applies to only assert directives. +;AssertionThreadLimit = -1 + +; Action to be taken once the assertion thread limit is reached. Default +; is kill. It can have a value of off or kill. In case of kill, all the existing +; threads are terminated and no new attempts are started. In case of off, the +; existing attempts keep on evaluating but no new attempts are started. This +; variable applies to only assert directives. +;AssertionThreadLimitAction = kill + +; Cover thread limit after which cover would be killed/switched off. +; The default is -1 (unlimited). If the number of threads for a cover go +; beyond this limit, the cover would be either switched off or killed. This +; limit applies to only cover directives. +;CoverThreadLimit = -1 + +; Action to be taken once the cover thread limit is reached. Default +; is kill. It can have a value of off or kill. In case of kill, all the existing +; threads are terminated and no new attempts are started. In case of off, the +; existing attempts keep on evaluating but no new attempts are started. This +; variable applies to only cover directives. +;CoverThreadLimitAction = kill + + +; By default immediate assertions do not participate in Assertion Coverage calculations +; unless they are executed. This switch causes all immediate assertions in the design +; to participate in Assertion Coverage calculations, whether attempted or not. +; UnattemptedImmediateAssertions = 0 + + + +; As per strict 1850-2005 PSL LRM, an always property can either pass +; or fail. However, by default, Questa reports multiple passes and +; multiple fails on top always/never property (always/never operator +; is the top operator under Verification Directive). The reason +; being that Questa reports passes and fails on per attempt of the +; top always/never property. Use the following flag to instruct +; Questa to strictly follow LRM. With this flag, all assert/never +; directives will start an attempt once at start of simulation. +; The attempt can either fail, match or match vacuously. +; For e.g. if always is the top operator under assert, the always will +; keep on checking the property at every clock. If the property under +; always fails, the directive will be considered failed and no more +; checking will be done for that directive. A top always property, +; if it does not fail, will show a pass at end of simulation. +; The default value is '0' (i.e. zero is off). For example: +; PslOneAttempt = 1 + +; Specify the number of clock ticks to represent infinite clock ticks. +; This affects eventually!, until! and until_!. If at End of Simulation +; (EOS) an active strong-property has not clocked this number of +; clock ticks then neither pass or fail (vacuous match) is returned +; else respective fail/pass is returned. The default value is '0' (zero) +; which effectively does not check for clock tick condition. For example: +; PslInfinityThreshold = 5000 + +; Control how many thread start times will be preserved for ATV viewing for a given assertion +; instance. Default is -1 (ALL). +; ATVStartTimeKeepCount = -1 + +; Turn on/off code coverage +; CodeCoverage = 0 + +; Count all code coverage condition and expression truth table rows that match. +; CoverCountAll = 1 + +; Turn off automatic inclusion of VHDL integers in toggle coverage. Default +; is to include them. +; ToggleNoIntegers = 1 + +; Set the maximum number of values that are collected for toggle coverage of +; VHDL integers. Default is 100; +; ToggleMaxIntValues = 100 + +; Set the maximum number of values that are collected for toggle coverage of +; Verilog real. Default is 100; +; ToggleMaxRealValues = 100 + +; Turn on automatic inclusion of Verilog integers in toggle coverage, except +; for enumeration types. Default is to include them. +; ToggleVlogIntegers = 0 + +; Turn on automatic inclusion of Verilog real type in toggle coverage, except +; for shortreal types. Default is to not include them. +; ToggleVlogReal = 1 + +; Turn on automatic inclusion of Verilog fixed-size unpacked arrays, VHDL multi-d arrays +; and VHDL arrays-of-arrays in toggle coverage. +; Default is to not include them. +; ToggleFixedSizeArray = 1 + +; Increase or decrease the maximum size of Verilog unpacked fixed-size arrays, +; VHDL multi-d arrays and VHDL arrays-of-arrays that are included for toggle coverage. +; This leads to a longer simulation time with bigger arrays covered with toggle coverage. +; Default is 1024. +; ToggleMaxFixedSizeArray = 1024 + +; Treat Verilog multi-dimensional packed vectors and packed structures as equivalently sized +; one-dimensional packed vectors for toggle coverage. Default is 0. +; TogglePackedAsVec = 0 + +; Treat Verilog enumerated types as equivalently sized one-dimensional packed vectors for +; toggle coverage. Default is 0. +; ToggleVlogEnumBits = 0 + +; Limit the widths of registers automatically tracked for toggle coverage. Default is 128. +; For unlimited width, set to 0. +; ToggleWidthLimit = 128 + +; Limit the counts that are tracked for toggle coverage. When all edges for a bit have +; reached this count, further activity on the bit is ignored. Default is 1. +; For unlimited counts, set to 0. +; ToggleCountLimit = 1 + +; Change the mode of extended toggle coverage. Default is 3. Valid modes are 1, 2 and 3. +; Following is the toggle coverage calculation criteria based on extended toggle mode: +; Mode 1: 0L->1H & 1H->0L & any one 'Z' transition (to/from 'Z'). +; Mode 2: 0L->1H & 1H->0L & one transition to 'Z' & one transition from 'Z'. +; Mode 3: 0L->1H & 1H->0L & all 'Z' transitions. +; ExtendedToggleMode = 3 + +; Turn on/off all PSL/SVA cover directive enables. Default is on. +; CoverEnable = 0 + +; Turn on/off PSL/SVA cover log. Default is off "0". +; CoverLog = 1 + +; Set "at_least" value for all PSL/SVA cover directives. Default is 1. +; CoverAtLeast = 2 + +; Set "limit" value for all PSL/SVA cover directives. Default is -1. +; Any positive integer, -1 for infinity. +; CoverLimit = 1 + +; Specify the coverage database filename. +; Default is "" (i.e. database is NOT automatically saved on close). +; UCDBFilename = vsim.ucdb + +; Specify the maximum limit for the number of Cross (bin) products reported +; in XML and UCDB report against a Cross. A warning is issued if the limit +; is crossed. Default is zero. vsim switch -cvgmaxrptrhscross can override this +; setting. +; MaxReportRhsSVCrossProducts = 1000 + +; Specify the override for the "auto_bin_max" option for the Covergroups. +; If not specified then value from Covergroup "option" is used. +; SVCoverpointAutoBinMax = 64 + +; Specify the override for the value of "cross_num_print_missing" +; option for the Cross in Covergroups. If not specified then value +; specified in the "option.cross_num_print_missing" is used. This +; is a runtime option. NOTE: This overrides any "cross_num_print_missing" +; value specified by user in source file and any SVCrossNumPrintMissingDefault +; specified in modelsim.ini. +; SVCrossNumPrintMissing = 0 + +; Specify whether to use the value of "cross_num_print_missing" +; option in report and GUI for the Cross in Covergroups. If not specified then +; cross_num_print_missing is ignored for creating reports and displaying +; covergroups in GUI. Default is 0, which means ignore "cross_num_print_missing". +; UseSVCrossNumPrintMissing = 0 + +; Specify the override for the value of "strobe" option for the +; Covergroup Type. If not specified then value in "type_option.strobe" +; will be used. This is runtime option which forces "strobe" to +; user specified value and supersedes user specified values in the +; SystemVerilog Code. NOTE: This also overrides the compile time +; default value override specified using "SVCovergroupStrobeDefault" +; SVCovergroupStrobe = 0 + +; Override for explicit assignments in source code to "option.goal" of +; SystemVerilog covergroup, coverpoint, and cross. It also overrides the +; default value of "option.goal" (defined to be 100 in the SystemVerilog +; LRM) and the value of modelsim.ini variable "SVCovergroupGoalDefault". +; SVCovergroupGoal = 100 + +; Override for explicit assignments in source code to "type_option.goal" of +; SystemVerilog covergroup, coverpoint, and cross. It also overrides the +; default value of "type_option.goal" (defined to be 100 in the SystemVerilog +; LRM) and the value of modelsim.ini variable "SVCovergroupTypeGoalDefault". +; SVCovergroupTypeGoal = 100 + +; Enforce the 6.3 behavior of covergroup get_coverage() and get_inst_coverage() +; builtin functions, and report. This setting changes the default values of +; option.get_inst_coverage and type_option.merge_instances to ensure the 6.3 +; behavior if explicit assignments are not made on option.get_inst_coverage and +; type_option.merge_instances by the user. There are two vsim command line +; options, -cvg63 and -nocvg63 to override this setting from vsim command line. +; The default value of this variable from release 6.6 onwards is 0. This default +; drives compliance with the clarified behavior in the IEEE 1800-2009 standard. +; SVCovergroup63Compatibility = 0 + +; Enforce the 6.5 default behavior of covergroup get_coverage() builtin +; functions, GUI, and report. This setting changes the default values of +; type_option.merge_instances to ensure the 6.5 default behavior if explicit +; assignments are not made on type_option.merge_instances by the user. +; There are two vsim command line options, -cvgmergeinstances and +; -nocvgmergeinstances to override this setting from vsim command line. +; The default value of this variable from release 6.6 onwards is 0. This default +; drives compliance with the clarified behavior in the IEEE 1800-2009 standard. +; SvCovergroupMergeInstancesDefault = 1 + +; Enable or disable generation of more detailed information about the sampling +; of covergroup, cross, and coverpoints. It provides the details of the number +; of times the covergroup instance and type were sampled, as well as details +; about why covergroup, cross and coverpoint were not covered. A non-zero value +; is to enable this feature. 0 is to disable this feature. Default is 0 +; SVCovergroupSampleInfo = 0 + +; Specify the maximum number of Coverpoint bins in whole design for +; all Covergroups. +; MaxSVCoverpointBinsDesign = 2147483648 + +; Specify maximum number of Coverpoint bins in any instance of a Covergroup +; MaxSVCoverpointBinsInst = 2147483648 + +; Specify the maximum number of Cross bins in whole design for +; all Covergroups. +; MaxSVCrossBinsDesign = 2147483648 + +; Specify maximum number of Cross bins in any instance of a Covergroup +; MaxSVCrossBinsInst = 2147483648 + +; Set weight for all PSL/SVA cover directives. Default is 1. +; CoverWeight = 2 + +; Check vsim plusargs. Default is 0 (off). +; 0 = Don't check plusargs +; 1 = Warning on unrecognized plusarg +; 2 = Error and exit on unrecognized plusarg +; CheckPlusargs = 1 + +; Load the specified shared objects with the RTLD_GLOBAL flag. +; This gives global visibility to all symbols in the shared objects, +; meaning that subsequently loaded shared objects can bind to symbols +; in the global shared objects. The list of shared objects should +; be whitespace delimited. This option is not supported on the +; Windows or AIX platforms. +; GlobalSharedObjectList = example1.so example2.so example3.so + +; Run the 0in tools from within the simulator. +; Default is off. +; ZeroIn = 1 + +; Set the options to be passed to the 0in runtime tool. +; Default value set to "". +; ZeroInOptions = "" + +; Initial seed for the random number generator of the root thread (SystemVerilog). +; NOTE: This variable can be overridden with the vsim "-sv_seed" command line switch. +; The default value is 0. +; Sv_Seed = 0 + +; Specify the solver "engine" that vsim will select for constrained random +; generation. +; Valid values are: +; "auto" - automatically select the best engine for the current +; constraint scenario +; "bdd" - evaluate all constraint scenarios using the BDD solver engine +; "act" - evaluate all constraint scenarios using the ACT solver engine +; While the BDD solver engine is generally efficient with constraint scenarios +; involving bitwise logical relationships, the ACT solver engine can exhibit +; superior performance with constraint scenarios involving large numbers of +; random variables related via arithmetic operators (+, *, etc). +; NOTE: At this time, the "auto" setting is equivalent to the "bdd" setting. +; NOTE: This variable can be overridden with the vsim "-solveengine" command +; line switch. +; The default value is "auto". +; SolveEngine = auto + +; Specify if the solver should attempt to ignore overflow/underflow semantics +; for arithmetic constraints (multiply, addition, subtraction) in order to +; improve performance. The "solveignoreoverflow" attribute can be specified on +; a per-call basis to randomize() to override this setting. +; The default value is 0 (overflow/underflow is not ignored). Set to 1 to +; ignore overflow/underflow. +; SolveIgnoreOverflow = 0 + +; Specifies the maximum size that a dynamic array may be resized to by the +; solver. If the solver attempts to resize a dynamic array to a size greater +; than the specified limit, the solver will abort with an error. +; The default value is 2000. A value of 0 indicates no limit. +; SolveArrayResizeMax = 2000 + +; Error message severity when randomize() failure is detected (SystemVerilog). +; 0 = No error 1 = Warning 2 = Error 3 = Failure 4 = Fatal +; The default is 0 (no error). +; SolveFailSeverity = 0 + +; Enable/disable debug information for randomize() failures. +; NOTE: This variable can be overridden with the vsim "-solvefaildbug" command +; line switch. +; The default is 0 (disabled). Set to 1 to enable. +; SolveFailDebug = 0 + +; Specify the maximum size of the solution graph generated by the BDD solver. +; This value can be used to force the BDD solver to abort the evaluation of a +; complex constraint scenario that cannot be evaluated with finite memory. +; This value is specified in 1000s of nodes. +; The default value is 10000. A value of 0 indicates no limit. +; SolveGraphMaxSize = 10000 + +; Specify the maximum number of evaluations that may be performed on the +; solution graph by the BDD solver. This value can be used to force the BDD +; solver to abort the evaluation of a complex constraint scenario that cannot +; be evaluated in finite time. This value is specified in 10000s of evaluations. +; The default value is 10000. A value of 0 indicates no limit. +; SolveGraphMaxEval = 10000 + +; Specify the maximum number of tests that the ACT solver may evaluate before +; abandoning an attempt to solve a particular constraint scenario. +; The default value is 20000000. A value of 0 indicates no limit. +; SolveACTMaxTests = 20000000 + +; Specify the number of times the ACT solver will retry to evaluate a constraint +; scenario that fails due to the SolveACTMaxTests threshold. +; The default value is 0 (no retry). +; SolveACTRetryCount = 0 + +; SolveSpeculateLevel controls whether or not the solver performs speculation +; during the evaluation of a constraint scenario. +; Speculation is an attempt to partition complex constraint scenarios by +; choosing a 'speculation' subset of the variables and constraints. This +; 'speculation' set is solved independently of the remaining constraints. +; The solver then attempts to solve the remaining variables and constraints +; (the 'dependent' set). If this attempt fails, the solver backs up and +; re-solves the 'speculation' set, then retries the 'dependent' set. +; Valid values are: +; 0 - no speculation +; 1 - enable speculation that maintains LRM specified distribution +; 2 - enable other speculation - may yield non-LRM distribution +; Currently, distribution constraints and solve-before constraints are +; used in selecting the 'speculation' sets for speculation level 1. Non-LRM +; compliant speculation includes random variables in condition expressions. +; The default value is 0. +; SolveSpeculateLevel = 0 + +; By default, when speculation is enabled, the solver first tries to solve a +; constraint scenario *without* speculation. If the solver fails to evaluate +; the constraint scenario (due to time/memory limits) then the solver will +; re-evaluate the constraint scenario with speculation. If SolveSpeculateFirst +; is set to 1, the solver will skip the initial non-speculative attempt to +; evaluate the constraint scenario. (Only applies when SolveSpeculateLevel is +; non-zero) +; The default value is 0. +; SolveSpeculateFirst = 0 + +; Specify the maximum bit width of a variable in a conditional expression that +; may be considered as the basis for "conditional" speculation. (Only applies +; when SolveSpeculateLevel=2) +; The default value is 6. +; SolveSpeculateMaxCondWidth = 6 + +; Specify the maximum number of attempts to solve a speculative set of random +; variables and constraints. Exceeding this limit will cause the solver to +; abandon the current speculative set. (Only applies when SolveSpeculateLevel +; is non-zero) +; The default value is 100. +; SolveSpeculateMaxIterations = 100 + +; Specifies whether to attempt speculation on solve-before constraints or +; distribution constraints first. A value of 0 specifies that solve-before +; constraints are attempted first as the basis for speculative randomization. +; A value of 1 specifies that distribution constraints are attempted first +; as the basis for speculative randomization. +; The default value is 0. +; SolveSpeculateDistFirst = 0 + +; If the non-speculative BDD solver fails to evaluate a constraint scenario +; (due to time/memory limits) then the solver can be instructed to automatically +; re-evaluate the constraint scenario with the ACT solver engine. Set +; SolveACTbeforeSpeculate to 1 to enable this feature. +; The default value is 0 (do not re-evaluate with the ACT solver). +; SolveACTbeforeSpeculate = 0 + +; Use SolveFlags to specify options that will guide the behavior of the +; constraint solver. These options may improve the performance of the +; constraint solver for some testcases, and decrease the performance of the +; constraint solver for others. +; Valid flags are: +; i = disable bit interleaving for >, >=, <, <= constraints (BDD engine) +; n = disable bit interleaving for all constraints (BDD engine) +; r = reverse bit interleaving (BDD engine) +; The default value is "" (no options). +; SolveFlags = + +; Specify random sequence compatiblity with a prior letter release. This +; option is used to get the same random sequences during simulation as +; as a prior letter release. Only prior letter releases (of the current +; number release) are allowed. +; NOTE: Only those random sequence changes due to solver optimizations are +; reverted by this variable. Random sequence changes due to solver bugfixes +; cannot be un-done. +; NOTE: This variable can be overridden with the vsim "-solverev" command +; line switch. +; Default value set to "" (no compatibility). +; SolveRev = + +; Environment variable expansion of command line arguments has been depricated +; in favor shell level expansion. Universal environment variable expansion +; inside -f files is support and continued support for MGC Location Maps provide +; alternative methods for handling flexible pathnames. +; The following line may be uncommented and the value set to 1 to re-enable this +; deprecated behavior. The default value is 0. +; DeprecatedEnvironmentVariableExpansion = 0 + +; Turn on/off collapsing of bus ports in VCD dumpports output +DumpportsCollapse = 1 + +; Location of Multi-Level Verification Component (MVC) installation. +; The default location is the product installation directory. +; MvcHome = $MODEL_TECH/... + +; Initialize SystemVerilog enums using the base type's default value +; instead of the leftmost value. +; EnumBaseInit = 1 + +[lmc] +; The simulator's interface to Logic Modeling's SmartModel SWIFT software +libsm = $MODEL_TECH/libsm.sl +; The simulator's interface to Logic Modeling's SmartModel SWIFT software (Windows NT) +; libsm = $MODEL_TECH/libsm.dll +; Logic Modeling's SmartModel SWIFT software (HP 9000 Series 700) +; libswift = $LMC_HOME/lib/hp700.lib/libswift.sl +; Logic Modeling's SmartModel SWIFT software (IBM RISC System/6000) +; libswift = $LMC_HOME/lib/ibmrs.lib/swift.o +; Logic Modeling's SmartModel SWIFT software (Sun4 Solaris) +; libswift = $LMC_HOME/lib/sun4Solaris.lib/libswift.so +; Logic Modeling's SmartModel SWIFT software (Windows NT) +; libswift = $LMC_HOME/lib/pcnt.lib/libswift.dll +; Logic Modeling's SmartModel SWIFT software (non-Enterprise versions of Linux) +; libswift = $LMC_HOME/lib/x86_linux.lib/libswift.so +; Logic Modeling's SmartModel SWIFT software (Enterprise versions of Linux) +; libswift = $LMC_HOME/lib/linux.lib/libswift.so + +; The simulator's interface to Logic Modeling's hardware modeler SFI software +libhm = $MODEL_TECH/libhm.sl +; The simulator's interface to Logic Modeling's hardware modeler SFI software (Windows NT) +; libhm = $MODEL_TECH/libhm.dll +; Logic Modeling's hardware modeler SFI software (HP 9000 Series 700) +; libsfi = <sfi_dir>/lib/hp700/libsfi.sl +; Logic Modeling's hardware modeler SFI software (IBM RISC System/6000) +; libsfi = <sfi_dir>/lib/rs6000/libsfi.a +; Logic Modeling's hardware modeler SFI software (Sun4 Solaris) +; libsfi = <sfi_dir>/lib/sun4.solaris/libsfi.so +; Logic Modeling's hardware modeler SFI software (Windows NT) +; libsfi = <sfi_dir>/lib/pcnt/lm_sfi.dll +; Logic Modeling's hardware modeler SFI software (Linux) +; libsfi = <sfi_dir>/lib/linux/libsfi.so + +[msg_system] +; Change a message severity or suppress a message. +; The format is: <msg directive> = <msg number>[,<msg number>...] +; suppress can be used to achieve +nowarn<CODE> functionality +; The format is: suppress = <CODE>,<msg number>,[<CODE>,<msg number>,...] +; Examples: +; note = 3009 +; warning = 3033 +; error = 3010,3016 +; fatal = 3016,3033 +; suppress = 3009,3016,3043 +; suppress = 3009,CNNODP,3043,TFMPC +; suppress = 8683,8684 +; The command verror <msg number> can be used to get the complete +; description of a message. + +; Control transcripting of Verilog display system task messages and +; PLI/FLI print function call messages. The system tasks include +; $display[bho], $strobe[bho], Smonitor{bho], and $write[bho]. They +; also include the analogous file I/O tasks that write to STDOUT +; (i.e. $fwrite or $fdisplay). The PLI/FLI calls include io_printf, +; vpi_printf, mti_PrintMessage, and mti_PrintFormatted. The default +; is to have messages appear only in the transcript. The other +; settings are to send messages to the wlf file only (messages that +; are recorded in the wlf file can be viewed in the MsgViewer) or +; to both the transcript and the wlf file. The valid values are +; tran {transcript only (default)} +; wlf {wlf file only} +; both {transcript and wlf file} +; displaymsgmode = tran + +; Control transcripting of elaboration/runtime messages not +; addressed by the displaymsgmode setting. The default is to +; have messages appear in the transcript and recorded in the wlf +; file (messages that are recorded in the wlf file can be viewed +; in the MsgViewer). The other settings are to send messages +; only to the transcript or only to the wlf file. The valid +; values are +; both {default} +; tran {transcript only} +; wlf {wlf file only} +; msgmode = both +[Project] +; Warning -- Do not edit the project properties directly. +; Property names are dynamic in nature and property +; values have special syntax. Changing property data directly +; can result in a corrupt MPF file. All project properties +; can be modified through project window dialogs. +Project_Version = 6 +Project_DefaultLib = work +Project_SortMethod = unused +Project_Files_Count = 5 +Project_File_0 = /afs/phy.bris.ac.uk/cad/designs/fmc-mtlu/trunk/firmware/src/fmc-tlu_sp601.vhdl +Project_File_P_0 = vhdl_novitalcheck 0 file_type vhdl group_id 0 cover_nofec 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 vhdl_enable0In 0 folder {Top Level} last_compile 1298653495 vhdl_disableopt 0 vhdl_vital 0 cover_excludedefault 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_explicit 1 vhdl_showsource 0 vhdl_warn3 1 cover_covercells 0 vhdl_0InOptions {} vhdl_warn4 1 voptflow 1 cover_optlevel 3 vhdl_options {} vhdl_warn5 1 toggle - ood 0 cover_noshort 0 compile_to work compile_order 2 cover_nosub 0 dont_compile 0 vhdl_use93 2008 +Project_File_1 = /afs/phy.bris.ac.uk/cad/designs/fmc-mtlu/trunk/firmware/src/pulse_shaper.vhdl +Project_File_P_1 = vhdl_novitalcheck 0 file_type vhdl group_id 0 cover_nofec 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 vhdl_enable0In 0 folder {Top Level} last_compile 1298654919 vhdl_disableopt 0 cover_excludedefault 0 vhdl_vital 0 vhdl_warn1 1 vhdl_showsource 0 vhdl_explicit 1 vhdl_warn2 1 vhdl_0InOptions {} cover_covercells 0 vhdl_warn3 1 vhdl_options {} cover_optlevel 3 voptflow 1 vhdl_warn4 1 ood 0 toggle - vhdl_warn5 1 compile_to work cover_noshort 0 compile_order 3 dont_compile 0 cover_nosub 0 vhdl_use93 2008 +Project_File_2 = /afs/phy.bris.ac.uk/cad/designs/fmc-mtlu/trunk/firmware/src/dtype_fd.vhdl +Project_File_P_2 = vhdl_novitalcheck 0 file_type vhdl group_id 0 cover_nofec 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 vhdl_enable0In 0 folder {Top Level} last_compile 1298563433 vhdl_disableopt 0 vhdl_vital 0 cover_excludedefault 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_explicit 1 vhdl_showsource 0 vhdl_warn3 1 cover_covercells 0 vhdl_0InOptions {} vhdl_warn4 1 voptflow 1 cover_optlevel 3 vhdl_options {} vhdl_warn5 1 toggle - ood 0 cover_noshort 0 compile_to work compile_order 0 cover_nosub 0 dont_compile 0 vhdl_use93 2008 +Project_File_3 = /afs/phy.bris.ac.uk/cad/designs/fmc-mtlu/trunk/firmware/src/fmc_tlu_sp601_tb.vhdl +Project_File_P_3 = vhdl_novitalcheck 0 file_type vhdl group_id 0 cover_nofec 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 vhdl_enable0In 0 folder {Top Level} last_compile 1298652988 vhdl_disableopt 0 cover_excludedefault 0 vhdl_vital 0 vhdl_warn1 1 vhdl_showsource 0 vhdl_explicit 1 vhdl_warn2 1 vhdl_0InOptions {} cover_covercells 0 vhdl_warn3 1 vhdl_options {} cover_optlevel 3 voptflow 1 vhdl_warn4 1 ood 1 toggle - vhdl_warn5 1 compile_to work cover_noshort 0 compile_order 4 dont_compile 0 cover_nosub 0 vhdl_use93 2008 +Project_File_4 = /afs/phy.bris.ac.uk/cad/designs/fmc-mtlu/trunk/firmware/src/dtype_fdpe.vhdl +Project_File_P_4 = vhdl_novitalcheck 0 file_type vhdl group_id 0 cover_nofec 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 vhdl_enable0In 0 folder {Top Level} last_compile 1298458157 vhdl_disableopt 0 vhdl_vital 0 cover_excludedefault 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_explicit 1 vhdl_showsource 0 vhdl_warn3 1 cover_covercells 0 vhdl_0InOptions {} vhdl_warn4 1 voptflow 1 cover_optlevel 3 vhdl_options {} vhdl_warn5 1 toggle - ood 0 cover_noshort 0 compile_to work compile_order 1 cover_nosub 0 dont_compile 0 vhdl_use93 2008 +Project_Sim_Count = 0 +Project_Folder_Count = 0 +Echo_Compile_Output = 0 +Save_Compile_Report = 1 +Project_Opt_Count = 0 +ForceSoftPaths = 0 +ProjectStatusDelay = 5000 +VERILOG_DoubleClick = Edit +VERILOG_CustomDoubleClick = +SYSTEMVERILOG_DoubleClick = Edit +SYSTEMVERILOG_CustomDoubleClick = +VHDL_DoubleClick = Edit +VHDL_CustomDoubleClick = +PSL_DoubleClick = Edit +PSL_CustomDoubleClick = +TEXT_DoubleClick = Edit +TEXT_CustomDoubleClick = +SYSTEMC_DoubleClick = Edit +SYSTEMC_CustomDoubleClick = +TCL_DoubleClick = Edit +TCL_CustomDoubleClick = +MACRO_DoubleClick = Edit +MACRO_CustomDoubleClick = +VCD_DoubleClick = Edit +VCD_CustomDoubleClick = +SDF_DoubleClick = Edit +SDF_CustomDoubleClick = +XML_DoubleClick = Edit +XML_CustomDoubleClick = +LOGFILE_DoubleClick = Edit +LOGFILE_CustomDoubleClick = +UCDB_DoubleClick = Edit +UCDB_CustomDoubleClick = +PROJECT_DoubleClick = Edit +PROJECT_CustomDoubleClick = +Project_Major_Version = 6 +Project_Minor_Version = 6 diff --git a/firmware/minitlu/simulation/questa/fmctlu_v0_1_testbench.fdo b/firmware/minitlu/simulation/questa/fmctlu_v0_1_testbench.fdo new file mode 100644 index 00000000..415ecc20 --- /dev/null +++ b/firmware/minitlu/simulation/questa/fmctlu_v0_1_testbench.fdo @@ -0,0 +1,115 @@ +###################################################################### +## +## Filename: fmctlu_v0_1_testbench.fdo +## Created on: Fri Feb 28 10:49:46 GMT 2014 +## +## Auto generated by Project Navigator for Behavioral Simulation +## +## ---------------------DO NOT EDIT THIS FILE------------------------- +## You may want to add additional commands to control the simulation +## in the user specific do file (<module>.udo) which is automatically +## generated in the project directory and will not be removed on +## subsequent simulation flows run from Project Navigator. +## ---------------------DO NOT EDIT THIS FILE------------------------- +## +###################################################################### +# +# Create work library +# +vlib work +# +# Compile sources +# +vcom -explicit -93 "ipbus/firmware/ipbus_core/hdl/ipbus_trans_decl.vhd" +vcom -explicit -93 "ipbus/firmware/ipbus_core/hdl/ipbus_package.vhd" +vcom -explicit -93 "ipbus/firmware/ipbus_core/hdl/udp_tx_mux.vhd" +vcom -explicit -93 "ipbus/firmware/ipbus_core/hdl/udp_txtransactor_if_simple.vhd" +vcom -explicit -93 "ipbus/firmware/ipbus_core/hdl/udp_status_buffer.vhd" +vcom -explicit -93 "ipbus/firmware/ipbus_core/hdl/udp_rxtransactor_if_simple.vhd" +vcom -explicit -93 "ipbus/firmware/ipbus_core/hdl/udp_rxram_shim.vhd" +vcom -explicit -93 "ipbus/firmware/ipbus_core/hdl/udp_rxram_mux.vhd" +vcom -explicit -93 "ipbus/firmware/ipbus_core/hdl/udp_rarp_block.vhd" +vcom -explicit -93 "ipbus/firmware/ipbus_core/hdl/udp_packet_parser.vhd" +vcom -explicit -93 "ipbus/firmware/ipbus_core/hdl/udp_ipaddr_block.vhd" +vcom -explicit -93 "ipbus/firmware/ipbus_core/hdl/udp_dualportram_tx.vhd" +vcom -explicit -93 "ipbus/firmware/ipbus_core/hdl/udp_dualportram_rx.vhd" +vcom -explicit -93 "ipbus/firmware/ipbus_core/hdl/udp_dualportram.vhd" +vcom -explicit -93 "ipbus/firmware/ipbus_core/hdl/udp_do_rx_reset.vhd" +vcom -explicit -93 "ipbus/firmware/ipbus_core/hdl/udp_clock_crossing_if.vhd" +vcom -explicit -93 "ipbus/firmware/ipbus_core/hdl/udp_byte_sum.vhd" +vcom -explicit -93 "ipbus/firmware/ipbus_core/hdl/udp_build_status.vhd" +vcom -explicit -93 "ipbus/firmware/ipbus_core/hdl/udp_build_resend.vhd" +vcom -explicit -93 "ipbus/firmware/ipbus_core/hdl/udp_build_ping.vhd" +vcom -explicit -93 "ipbus/firmware/ipbus_core/hdl/udp_build_payload.vhd" +vcom -explicit -93 "ipbus/firmware/ipbus_core/hdl/udp_build_arp.vhd" +vcom -explicit -93 "ipbus/firmware/ipbus_core/hdl/udp_buffer_selector.vhd" +vcom -explicit -93 "ipbus/firmware/ipbus_core/hdl/transactor_sm.vhd" +vcom -explicit -93 "ipbus/firmware/ipbus_core/hdl/transactor_if.vhd" +vcom -explicit -93 "ipbus/firmware/ipbus_core/hdl/transactor_cfg.vhd" +vcom -explicit -93 "ipbus/firmware/example_designs/hdl/clock_div.vhd" +vcom -explicit -93 "ipcore_dir/tri_mode_eth_mac_v5_4.vhd" +vcom -explicit -93 "ipcore_dir/mac_fifo_axi4.vhd" +vcom -explicit -93 "ipbus/firmware/ipbus_core/hdl/udp_if_flat.vhd" +vcom -explicit -93 "ipbus/firmware/ipbus_core/hdl/trans_arb.vhd" +vcom -explicit -93 "ipbus/firmware/ipbus_core/hdl/transactor.vhd" +vcom -explicit -93 "ipbus/firmware/ipbus_core/hdl/stretcher.vhd" +vcom -explicit -93 "ipbus/firmware/ethernet/hdl/emac_hostbus_decl.vhd" +vcom -explicit -93 "fmc-mtlu/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/fmcTLU_pkg.vhd" +vcom -explicit -93 "fmc-mtlu/firmware/hdl/common/ipbus_addr_decode.vhd" +vcom -explicit -93 "external/opencores_i2c/i2c_master_registers.vhd" +vcom -explicit -93 "external/opencores_i2c/i2c_master_byte_ctrl.vhd" +vcom -explicit -93 "external/opencores_i2c/i2c_master_bit_ctrl.vhd" +vcom -explicit -93 "../IPBus2/firmware/slaves/hdl/ipbus_reg_types.vhd" +vlog "../fmc-mtlu/firmware/hdl/test/clock_divider_s6.v" +vcom -explicit -93 "../fmc-mtlu/firmware/hdl/common/counterWithReset_rtl.vhd" +vcom -explicit -93 "ipcore_dir/tlu_event_fifo.vhd" +vcom -explicit -93 "ipcore_dir/internalTriggerGenerator.vhd" +vcom -explicit -93 "ipcore_dir/FIFO.vhd" +vcom -explicit -93 "ipbus/firmware/ipbus_core/hdl/ipbus_fabric.vhd" +vcom -explicit -93 "ipbus/firmware/ipbus_core/hdl/ipbus_ctrl.vhd" +vcom -explicit -93 "ipbus/firmware/ethernet/hdl/eth_s6_gmii.vhd" +vcom -explicit -93 "fmc-mtlu/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/fmcTLU_pkg_body.vhd" +vcom -explicit -93 "fmc-mtlu/firmware/hdl/common/registerCounter_rtl.vhd" +vcom -explicit -93 "fmc-mtlu/firmware/hdl/common/ipbus_ver.vhd" +vcom -explicit -93 "fmc-mtlu/firmware/hdl/common/dualSERDES_1to4_rtl.vhd" +vcom -explicit -93 "fmc-mtlu/firmware/hdl/common/clocks_s6_extphy.vhd" +vcom -explicit -93 "fmc-mtlu/firmware/hdl/common/arrivalTimeLUT_rtl.vhd" +vcom -explicit -93 "external/opencores_i2c/i2c_master_top.vhd" +vcom -explicit -93 "../IPBus2/firmware/slaves/hdl/ipbus_ctrlreg_v.vhd" +vcom -explicit -93 "../fmc-mtlu/firmware/hdl/common/synchronizeRegisters_rtl.vhd" +vcom -explicit -93 "fmc-mtlu/firmware/hdl/common/triggerLogic_rtl.vhd" +vcom -explicit -93 "fmc-mtlu/firmware/hdl/common/triggerInputs_rtl.vhd" +vcom -explicit -93 "fmc-mtlu/firmware/hdl/common/logic_clocks_rtl.vhd" +vcom -explicit -93 "fmc-mtlu/firmware/hdl/common/IPBusInterface_rtl.vhd" +vcom -explicit -93 "fmc-mtlu/firmware/hdl/common/i2c_master_rtl.vhd" +vcom -explicit -93 "fmc-mtlu/firmware/hdl/common/eventFormatter_rtl.vhd" +vcom -explicit -93 "fmc-mtlu/firmware/hdl/common/eventBuffer_rtl.vhd" +vcom -explicit -93 "fmc-mtlu/firmware/hdl/common/DUTInterfaces_rtl.vhd" +vcom -explicit -93 "fmc-mtlu/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/top_extphy_struct.vhd" +vcom -explicit -93 "fmc-mtlu/firmware/simulation_src/fmc-tlu_v0-1_test-bench.vhd" +vlog "/automount/users/software/CAD/Xilinx/14.7_64b/14.7/ISE_DS/ISE//verilog/src/glbl.v" +# +# Call vsim to invoke simulator +# +vsim -voptargs="+acc" -t 1ps -L xilinxcorelib_ver -L unisims_ver -L unimacro_ver -L secureip -lib work work.fmctlu_v0_1_testbench glbl +# +# Source the wave do file +# +do {fmctlu_v0_1_testbench_wave.fdo} +# +# Set the window types +# +view wave +view structure +view signals +# +# Source the user do file +# +do {fmctlu_v0_1_testbench.udo} +# +# Run simulation for this time +# +run 1000ns +# +# End +# diff --git a/firmware/minitlu/simulation/questa/fmctlu_v0_1_testbench.udo b/firmware/minitlu/simulation/questa/fmctlu_v0_1_testbench.udo new file mode 100644 index 00000000..82867cb7 --- /dev/null +++ b/firmware/minitlu/simulation/questa/fmctlu_v0_1_testbench.udo @@ -0,0 +1,10 @@ +###################################################################### +## +## Filename: fmctlu_v0_1_testbench.udo +## Created on: Wed Feb 26 17:46:18 GMT 2014 +## +## Auto generated by Project Navigator for Post-Behavioral Simulation +## +## You may want to edit this file to control your simulation. +## +###################################################################### diff --git a/firmware/minitlu/simulation/questa/fmctlu_v0_1_testbench_wave.fdo b/firmware/minitlu/simulation/questa/fmctlu_v0_1_testbench_wave.fdo new file mode 100644 index 00000000..26b48530 --- /dev/null +++ b/firmware/minitlu/simulation/questa/fmctlu_v0_1_testbench_wave.fdo @@ -0,0 +1,12 @@ +###################################################################### +## +## Filename: fmctlu_v0_1_testbench_wave.fdo +## Created on: Wed Feb 26 17:46:21 GMT 2014 +## +## Auto generated by Project Navigator for Post-Behavioral Simulation +## +## You may want to edit this file to control your simulation windows. +## +###################################################################### +add wave * +# add wave /glbl/GSR diff --git a/firmware/minitlu/simulation/questa/modelsim.ini b/firmware/minitlu/simulation/questa/modelsim.ini new file mode 100644 index 00000000..b025bbda --- /dev/null +++ b/firmware/minitlu/simulation/questa/modelsim.ini @@ -0,0 +1,1868 @@ +; Copyright 1991-2013 Mentor Graphics Corporation +; +; All Rights Reserved. +; +; THIS WORK CONTAINS TRADE SECRET AND PROPRIETARY INFORMATION WHICH IS THE PROPERTY OF +; MENTOR GRAPHICS CORPORATION OR ITS LICENSORS AND IS SUBJECT TO LICENSE TERMS. +; + +[Library] +others = $MODEL_TECH/../modelsim.ini +; +; VITAL concerns: +; +; The library ieee contains (among other packages) the packages of the +; VITAL 2000 standard. When a design uses VITAL 2000 exclusively, it should use +; the physical library ieee (recommended), or use the physical library +; vital2000, but not both. The design can use logical library ieee and/or +; vital2000 as long as each of these maps to the same physical library, either +; ieee or vital2000. +; +; A design using the 1995 version of the VITAL packages, whether or not +; it also uses the 2000 version of the VITAL packages, must have logical library +; name ieee mapped to physical library vital1995. (A design cannot use library +; vital1995 directly because some packages in this library use logical name ieee +; when referring to the other packages in the library.) The design source +; should use logical name ieee when referring to any packages there except the +; VITAL 2000 packages. Any VITAL 2000 present in the design must use logical +; name vital2000 (mapped to physical library vital2000) to refer to those +; packages. +; ieee = $MODEL_TECH/../vital1995 +; +; For compatiblity with previous releases, logical library name vital2000 maps +; to library vital2000 (a different library than library ieee, containing the +; same packages). +; A design should not reference VITAL from both the ieee library and the +; vital2000 library because the vital packages are effectively different. +; A design that references both the ieee and vital2000 libraries must have +; both logical names ieee and vital2000 mapped to the same library, either of +; these: +; $MODEL_TECH/../ieee +; $MODEL_TECH/../vital2000 +; +;vhdl_psl_checkers = $MODEL_TECH/../vhdl_psl_checkers // Source files only for this release +;verilog_psl_checkers = $MODEL_TECH/../verilog_psl_checkers // Source files only for this release +;mvc_lib = $MODEL_TECH/../mvc_lib + + +unimacro = /software/CAD/Xilinx/14.7_64b/14.7/ISE_DS/ISE/vhdl/questasim/10.2c_5/lin64/unimacro +simprim = /software/CAD/Xilinx/14.7_64b/14.7/ISE_DS/ISE/vhdl/questasim/10.2c_5/lin64/simprim +unisim = /software/CAD/Xilinx/14.7_64b/14.7/ISE_DS/ISE/vhdl/questasim/10.2c_5/lin64/unisim +xilinxcorelib = /software/CAD/Xilinx/14.7_64b/14.7/ISE_DS/ISE/vhdl/questasim/10.2c_5/lin64/xilinxcorelib +unimacro_ver = /software/CAD/Xilinx/14.7_64b/14.7/ISE_DS/ISE/verilog/questasim/10.2c_5/lin64/unimacro_ver +simprims_ver = /software/CAD/Xilinx/14.7_64b/14.7/ISE_DS/ISE/verilog/questasim/10.2c_5/lin64/simprims_ver +unisims_ver = /software/CAD/Xilinx/14.7_64b/14.7/ISE_DS/ISE/verilog/questasim/10.2c_5/lin64/unisims_ver +xilinxcorelib_ver = /software/CAD/Xilinx/14.7_64b/14.7/ISE_DS/ISE/verilog/questasim/10.2c_5/lin64/xilinxcorelib_ver +secureip = /software/CAD/Xilinx/14.7_64b/14.7/ISE_DS/ISE/verilog/questasim/10.2c_5/lin64/secureip + +[DefineOptionset] +; Define optionset entries for the various compilers, vmake, and vsim. +; These option sets can be used with the "-optionset <optionsetname>" syntax. +; i.e. +; vlog -optionset COMPILEDEBUG top.sv +; vsim -optionset UVMDEBUG my_top +; +; Following are some useful examples. + +; define a vsim optionset for uvm debugging +UVMDEBUG = -uvmcontrol=all -msgmode both -displaymsgmode both -classdebug -onfinish stop + +; define a vopt optionset for debugging +VOPTDEBUG = +acc -debugdb + + +[vcom] +; VHDL93 variable selects language version as the default. +; Default is VHDL-2002. +; Value of 0 or 1987 for VHDL-1987. +; Value of 1 or 1993 for VHDL-1993. +; Default or value of 2 or 2002 for VHDL-2002. +; Value of 3 or 2008 for VHDL-2008 +VHDL93 = 2002 + +; Ignore VHDL-2008 declaration of REAL_VECTOR in package STANDARD. Default is off. +; ignoreStandardRealVector = 1 + +; Show source line containing error. Default is off. +; Show_source = 1 + +; Turn off unbound-component warnings. Default is on. +; Show_Warning1 = 0 + +; Turn off process-without-a-wait-statement warnings. Default is on. +; Show_Warning2 = 0 + +; Turn off null-range warnings. Default is on. +; Show_Warning3 = 0 + +; Turn off no-space-in-time-literal warnings. Default is on. +; Show_Warning4 = 0 + +; Turn off multiple-drivers-on-unresolved-signal warnings. Default is on. +; Show_Warning5 = 0 + +; Turn off optimization for IEEE std_logic_1164 package. Default is on. +; Optimize_1164 = 0 + +; Turn on resolving of ambiguous function overloading in favor of the +; "explicit" function declaration (not the one automatically created by +; the compiler for each type declaration). Default is off. +; The .ini file has Explicit enabled so that std_logic_signed/unsigned +; will match the behavior of synthesis tools. +Explicit = 1 + +; Turn off acceleration of the VITAL packages. Default is to accelerate. +; NoVital = 1 + +; Turn off VITAL compliance checking. Default is checking on. +; NoVitalCheck = 1 + +; Ignore VITAL compliance checking errors. Default is to not ignore. +; IgnoreVitalErrors = 1 + +; Turn off VITAL compliance checking warnings. Default is to show warnings. +; Show_VitalChecksWarnings = 0 + +; Turn off PSL assertion warning messages. Default is to show warnings. +; Show_PslChecksWarnings = 0 + +; Enable parsing of embedded PSL assertions. Default is enabled. +; EmbeddedPsl = 0 + +; Keep silent about case statement static warnings. +; Default is to give a warning. +; NoCaseStaticError = 1 + +; Keep silent about warnings caused by aggregates that are not locally static. +; Default is to give a warning. +; NoOthersStaticError = 1 + +; Treat as errors: +; case statement static warnings +; warnings caused by aggregates that are not locally static +; Overrides NoCaseStaticError, NoOthersStaticError settings. +; PedanticErrors = 1 + +; Turn off inclusion of debugging info within design units. +; Default is to include debugging info. +; NoDebug = 1 + +; Turn off "Loading..." messages. Default is messages on. +; Quiet = 1 + +; Turn on some limited synthesis rule compliance checking. Checks only: +; -- signals used (read) by a process must be in the sensitivity list +; CheckSynthesis = 1 + +; Activate optimizations on expressions that do not involve signals, +; waits, or function/procedure/task invocations. Default is off. +; ScalarOpts = 1 + +; Turns on lint-style checking. +; Show_Lint = 1 + +; Require the user to specify a configuration for all bindings, +; and do not generate a compile time default binding for the +; component. This will result in an elaboration error of +; 'component not bound' if the user fails to do so. Avoids the rare +; issue of a false dependency upon the unused default binding. +; RequireConfigForAllDefaultBinding = 1 + +; Perform default binding at compile time. +; Default is to do default binding at load time. +; BindAtCompile = 1; + +; Inhibit range checking on subscripts of arrays. Range checking on +; scalars defined with subtypes is inhibited by default. +; NoIndexCheck = 1 + +; Inhibit range checks on all (implicit and explicit) assignments to +; scalar objects defined with subtypes. +; NoRangeCheck = 1 + +; Set the prefix to be honored for synthesis/coverage pragma recognition. +; Default is "". +; AddPragmaPrefix = "" + +; Ignore synthesis and coverage pragmas with this prefix. +; Default is "". +; IgnorePragmaPrefix = "" + +; Turn on code coverage in VHDL design units. Default is off. +; Coverage = sbceft + +; Turn off code coverage in VHDL subprograms. Default is on. +; CoverSub = 0 + +; Automatically exclude VHDL case statement OTHERS choice branches. +; This includes OTHERS choices in selected signal assigment statements. +; Default is to not exclude. +; CoverExcludeDefault = 1 + +; Control compiler and VOPT optimizations that are allowed when +; code coverage is on. Refer to the comment for this in the [vlog] area. +; CoverOpt = 3 + +; Turn on or off clkOpt optimization for code coverage. Default is on. +; CoverClkOpt = 1 + +; Turn on or off clkOpt optimization builtins for code coverage. Default is on. +; CoverClkOptBuiltins = 0 + +; Inform code coverage optimizations to respect VHDL 'H' and 'L' +; values on signals in conditions and expressions, and to not automatically +; convert them to '1' and '0'. Default is to not convert. +; CoverRespectHandL = 0 + +; Increase or decrease the maximum number of rows allowed in a UDP table +; implementing a VHDL condition coverage or expression coverage expression. +; More rows leads to a longer compile time, but more expressions covered. +; CoverMaxUDPRows = 192 + +; Increase or decrease the maximum number of input patterns that are present +; in FEC table. This leads to a longer compile time with more expressions +; covered with FEC metric. +; CoverMaxFECRows = 192 + +; Increase or decrease the limit on the size of expressions and conditions +; considered for expression and condition coverages. Higher FecUdpEffort leads +; to higher compile, optimize and simulation time, but more expressions and +; conditions are considered for coverage in the design. FecUdpEffort can +; be set to a number ranging from 1 (low) to 3 (high), defined as: +; 1 - (low) Only small expressions and conditions considered for coverage. +; 2 - (medium) Bigger expressions and conditions considered for coverage. +; 3 - (high) Very large expressions and conditions considered for coverage. +; The default setting is 1 (low). +; FecUdpEffort = 1 + +; Enable or disable Focused Expression Coverage analysis for conditions and +; expressions. Focused Expression Coverage data is provided by default when +; expression and/or condition coverage is active. +; CoverFEC = 0 + +; Enable or disable UDP Coverage analysis for conditions and expressions. +; UDP Coverage data is disabled by default when expression and/or condition +; coverage is active. +; CoverUDP = 1 + +; Enable or disable bit-blasting multi-bit operands of reduction prefix expressions +; for expression/condition coverage. +; CoverExpandReductionPrefix = 1 + +; Enable or disable short circuit evaluation of conditions and expressions when +; condition or expression coverage is active. Short circuit evaluation is enabled +; by default. +; CoverShortCircuit = 0 + +; Enable code coverage reporting of code that has been optimized away. +; The default is not to report. +; CoverReportCancelled = 1 + +; Enable deglitching of code coverage in combinatorial, non-clocked, processes. +; Default is no deglitching. +; CoverDeglitchOn = 1 + +; Control the code coverage deglitching period. A period of 0, eliminates delta +; cycle glitches. The value of CoverDeglitchPeriod needs to be either be 0 or a +; time string that includes time units. Examples: 0 or 10.0ps or "10.0 ps". +; CoverDeglitchPeriod = 0 + +; Use this directory for compiler temporary files instead of "work/_temp" +; CompilerTempDir = /tmp + +; Set this to cause the compilers to force data to be committed to disk +; when the files are closed. +; SyncCompilerFiles = 1 + +; Add VHDL-AMS declarations to package STANDARD +; Default is not to add +; AmsStandard = 1 + +; Range and length checking will be performed on array indices and discrete +; ranges, and when violations are found within subprograms, errors will be +; reported. Default is to issue warnings for violations, because subprograms +; may not be invoked. +; NoDeferSubpgmCheck = 0 + +; Turn ON detection of FSMs having single bit current state variable. +; FsmSingle = 1 + +; Turn off reset state transitions in FSM. +; FsmResetTrans = 0 + +; Turn ON detection of FSM Implicit Transitions. +; FsmImplicitTrans = 1 + +; Controls whether or not to show immediate assertions with constant expressions +; in GUI/report/UCDB etc. By default, immediate assertions with constant +; expressions are shown in GUI/report/UCDB etc. This does not affect +; evaluation of immediate assertions. +; ShowConstantImmediateAsserts = 0 + +; Controls how VHDL basic identifiers are stored with the design unit. +; Does not make the language case-sensitive, affects only how declarations +; declared with basic identifiers have their names stored and printed +; (in the GUI, examine, etc.). +; Default is to preserve the case as originally depicted in the VHDL source. +; Value of 0 indicates to change all basic identifiers to lower case. +; PreserveCase = 0 + +; For Configuration Declarations, controls the effect that USE clauses have +; on visibility inside the configuration items being configured. If 1 +; (the default), then use pre-10.0 behavior. If 0, then for stricter LRM-compliance, +; extend the visibility of objects made visible through USE clauses into nested +; component configurations. +; OldVHDLConfigurationVisibility = 0 + +; Allows VHDL configuration declarations to be in a different library from +; the corresponding configured entity. Default is to not allow this for +; stricter LRM-compliance. +; SeparateConfigLibrary = 1; + +; Determine how mode OUT subprogram parameters of type array and record are treated. +; If 0 (the default), then only VHDL 2008 will do this initialization. +; If 1, always initialize the mode OUT parameter to its default value. +; If 2, do not initialize the mode OUT out parameter. +; Note that prior to release 10.1, all language versions did not initialize mode +; OUT array and record type parameters, unless overridden here via this mechanism. +; In release 10.1 and later, only files compiled with VHDL 2008 will cause this +; initialization, unless overridden here. +; InitOutCompositeParam = 0 + +; Generate symbols debugging database in only some special cases to save on +; the number of files in the library. For other design-units, this database is +; generated on-demand in vsim. +; Default is to to generate debugging database for all design-units. +; SmartDbgSym = 1 + +[vlog] +; Turn off inclusion of debugging info within design units. +; Default is to include debugging info. +; NoDebug = 1 + +; Turn on `protect compiler directive processing. +; Default is to ignore `protect directives. +; Protect = 1 + +; Turn off "Loading..." messages. Default is messages on. +; Quiet = 1 + +; Turn on Verilog hazard checking (order-dependent accessing of global vars). +; Default is off. +; Hazard = 1 + +; Turn on converting regular Verilog identifiers to uppercase. Allows case +; insensitivity for module names. Default is no conversion. +; UpCase = 1 + +; Activate optimizations on expressions that do not involve signals, +; waits, or function/procedure/task invocations. Default is off. +; ScalarOpts = 1 + +; Turns on lint-style checking. +; Show_Lint = 1 + +; Show source line containing error. Default is off. +; Show_source = 1 + +; Turn on bad option warning. Default is off. +; Show_BadOptionWarning = 1 + +; Revert back to IEEE 1364-1995 syntax, default is 0 (off). +; vlog95compat = 1 + +; Turn off PSL warning messages. Default is to show warnings. +; Show_PslChecksWarnings = 0 + +; Enable parsing of embedded PSL assertions. Default is enabled. +; EmbeddedPsl = 0 + +; Set the threshold for automatically identifying sparse Verilog memories. +; A memory with depth equal to or more than the sparse memory threshold gets +; marked as sparse automatically, unless specified otherwise in source code +; or by +nosparse commandline option of vlog or vopt. +; The default is 1M. (i.e. memories with depth equal +; to or greater than 1M are marked as sparse) +; SparseMemThreshold = 1048576 + +; Set the prefix to be honored for synthesis and coverage pragma recognition. +; Default is "". +; AddPragmaPrefix = "" + +; Ignore synthesis and coverage pragmas with this prefix. +; Default is "". +; IgnorePragmaPrefix = "" + +; Set the option to treat all files specified in a vlog invocation as a +; single compilation unit. The default value is set to 0 which will treat +; each file as a separate compilation unit as specified in the P1800 draft standard. +; MultiFileCompilationUnit = 1 + +; Turn on code coverage in Verilog design units. Default is off. +; Coverage = sbceft + +; Automatically exclude Verilog case statement default branches. +; Default is to not automatically exclude defaults. +; CoverExcludeDefault = 1 + +; Increase or decrease the maximum number of rows allowed in a UDP table +; implementing a VHDL condition coverage or expression coverage expression. +; More rows leads to a longer compile time, but more expressions covered. +; CoverMaxUDPRows = 192 + +; Increase or decrease the maximum number of input patterns that are present +; in FEC table. This leads to a longer compile time with more expressions +; covered with FEC metric. +; CoverMaxFECRows = 192 + +; Increase or decrease the limit on the size of expressions and conditions +; considered for expression and condition coverages. Higher FecUdpEffort leads +; to higher compile, optimize and simulation time, but more expressions and +; conditions are considered for coverage in the design. FecUdpEffort can +; be set to a number ranging from 1 (low) to 3 (high), defined as: +; 1 - (low) Only small expressions and conditions considered for coverage. +; 2 - (medium) Bigger expressions and conditions considered for coverage. +; 3 - (high) Very large expressions and conditions considered for coverage. +; The default setting is 1 (low). +; FecUdpEffort = 1 + +; Enable or disable Focused Expression Coverage analysis for conditions and +; expressions. Focused Expression Coverage data is provided by default when +; expression and/or condition coverage is active. +; CoverFEC = 0 + +; Enable or disable UDP Coverage analysis for conditions and expressions. +; UDP Coverage data is disabled by default when expression and/or condition +; coverage is active. +; CoverUDP = 1 + +; Enable or disable bit-blasting multi-bit operands of reduction prefix expressions +; for expression/condition coverage. +; CoverExpandReductionPrefix = 1 + +; Enable or disable short circuit evaluation of conditions and expressions when +; condition or expression coverage is active. Short circuit evaluation is enabled +; by default. +; CoverShortCircuit = 0 + +; Enable deglitching of code coverage in combinatorial, non-clocked, processes. +; Default is no deglitching. +; CoverDeglitchOn = 1 + +; Control the code coverage deglitching period. A period of 0, eliminates delta +; cycle glitches. The value of CoverDeglitchPeriod needs to be either be 0 or a +; time string that includes time units. Examples: 0 or 10.0ps or "10.0 ps". +; CoverDeglitchPeriod = 0 + +; Turn on code coverage in VLOG `celldefine modules, modules containing +; specify blocks, and modules included using vlog -v and -y. Default is off. +; CoverCells = 1 + +; Enable code coverage reporting of code that has been optimized away. +; The default is not to report. +; CoverReportCancelled = 1 + +; Control compiler and VOPT optimizations that are allowed when +; code coverage is on. This is a number from 0 to 5, with the following +; meanings (the default is 3): +; 5 -- All allowable optimizations are on. +; 4 -- Turn off removing unreferenced code. +; 3 -- Turn off process, always block and if statement merging. +; 2 -- Turn off expression optimization, converting primitives +; to continuous assignments, VHDL subprogram inlining. +; and VHDL clkOpt (converting FF's to builtins). +; 1 -- Turn off continuous assignment optimizations and clock suppression. +; 0 -- Turn off Verilog module inlining and VHDL arch inlining. +; HOWEVER, if fsm coverage is turned on, optimizations will be forced to +; level 3, with also turning off converting primitives to continuous assigns. +; CoverOpt = 3 + +; Specify the override for the default value of "cross_num_print_missing" +; option for the Cross in Covergroups. If not specified then LRM default +; value of 0 (zero) is used. This is a compile time option. +; SVCrossNumPrintMissingDefault = 0 + +; Setting following to 1 would cause creation of variables which +; would represent the value of Coverpoint expressions. This is used +; in conjunction with "SVCoverpointExprVariablePrefix" option +; in the modelsim.ini +; EnableSVCoverpointExprVariable = 0 + +; Specify the override for the prefix used in forming the variable names +; which represent the Coverpoint expressions. This is used in conjunction with +; "EnableSVCoverpointExprVariable" option of the modelsim.ini +; The default prefix is "expr". +; The variable name is +; variable name => <prefix>_<coverpoint name> +; SVCoverpointExprVariablePrefix = expr + +; Override for the default value of the SystemVerilog covergroup, +; coverpoint, and cross option.goal (defined to be 100 in the LRM). +; NOTE: It does not override specific assignments in SystemVerilog +; source code. NOTE: The modelsim.ini variable "SVCovergroupGoal" +; in the [vsim] section can override this value. +; SVCovergroupGoalDefault = 100 + +; Override for the default value of the SystemVerilog covergroup, +; coverpoint, and cross type_option.goal (defined to be 100 in the LRM) +; NOTE: It does not override specific assignments in SystemVerilog +; source code. NOTE: The modelsim.ini variable "SVCovergroupTypeGoal" +; in the [vsim] section can override this value. +; SVCovergroupTypeGoalDefault = 100 + +; Specify the override for the default value of "strobe" option for the +; Covergroup Type. This is a compile time option which forces "strobe" to +; a user specified default value and supersedes SystemVerilog specified +; default value of '0'(zero). NOTE: This can be overriden by a runtime +; modelsim.ini variable "SVCovergroupStrobe" in the [vsim] section. +; SVCovergroupStrobeDefault = 0 + +; Specify the override for the default value of "per_instance" option for the +; Covergroup variables. This is a compile time option which forces "per_instance" +; to a user specified default value and supersedes SystemVerilog specified +; default value of '0'(zero). +; SVCovergroupPerInstanceDefault = 0 + +; Specify the override for the default value of "get_inst_coverage" option for the +; Covergroup variables. This is a compile time option which forces +; "get_inst_coverage" to a user specified default value and supersedes +; SystemVerilog specified default value of '0'(zero). +; SVCovergroupGetInstCoverageDefault = 0 + +; +; A space separated list of resource libraries that contain precompiled +; packages. The behavior is identical to using the "-L" switch. +; +; LibrarySearchPath = <path/lib> [<path/lib> ...] +LibrarySearchPath = mtiAvm mtiOvm mtiUvm mtiUPF + +; The behavior is identical to the "-mixedansiports" switch. Default is off. +; MixedAnsiPorts = 1 + +; Enable SystemVerilog 3.1a $typeof() function. Default is off. +; EnableTypeOf = 1 + +; Only allow lower case pragmas. Default is disabled. +; AcceptLowerCasePragmaOnly = 1 + +; Set the maximum depth permitted for a recursive include file nesting. +; IncludeRecursionDepthMax = 5 + +; Turn ON detection of FSMs having single bit current state variable. +; FsmSingle = 1 + +; Turn off reset state transitions in FSM. +; FsmResetTrans = 0 + +; Turn off detections of FSMs having x-assignment. +; FsmXAssign = 0 + +; Turn ON detection of FSM Implicit Transitions. +; FsmImplicitTrans = 1 + +; List of file suffixes which will be read as SystemVerilog. White space +; in extensions can be specified with a back-slash: "\ ". Back-slashes +; can be specified with two consecutive back-slashes: "\\"; +; SVFileExtensions = sv svp svh + +; This setting is the same as the vlog -sv command line switch. +; Enables SystemVerilog features and keywords when true (1). +; When false (0), the rules of IEEE Std 1364-2001 are followed and +; SystemVerilog keywords are ignored. +; Svlog = 0 + +; Prints attribute placed upon SV packages during package import +; when true (1). The attribute will be ignored when this +; entry is false (0). The attribute name is "package_load_message". +; The value of this attribute is a string literal. +; Default is true (1). +; PrintSVPackageLoadingAttribute = 1 + +; Do not show immediate assertions with constant expressions in +; GUI/reports/UCDB etc. By default immediate assertions with constant +; expressions are shown in GUI/reports/UCDB etc. This does not affect +; evaluation of immediate assertions. +; ShowConstantImmediateAsserts = 0 + +; Controls if untyped parameters that are initialized with values greater +; than 2147483647 are mapped to generics of type INTEGER or ignored. +; If mapped to VHDL Integers, values greater than 2147483647 +; are mapped to negative values. +; Default is to map these parameter to generic of type INTEGER +; ForceUnsignedToVHDLInteger = 1 + +; Enable AMS wreal (wired real) extensions. Default is 0. +; WrealType = 1 + +; Controls SystemVerilog Language Extensions. These options enable +; some non-LRM compliant behavior. Valid extensions are "feci", +; "pae", "uslt", "spsl", "sccts", "iddp" and "atpi". +; SVExtensions = uslt,spsl,sccts + +; Generate symbols debugging database in only some special cases to save on +; the number of files in the library. For other design-units, this database is +; generated on-demand in vsim. +; Default is to to generate debugging database for all design-units. +; SmartDbgSym = 1 + +[sccom] +; Enable use of SCV include files and library. Default is off. +; UseScv = 1 + +; Add C++ compiler options to the sccom command line by using this variable. +; CppOptions = -g + +; Use custom C++ compiler located at this path rather than the default path. +; The path should point directly at a compiler executable. +; CppPath = /usr/bin/g++ + +; Enable verbose messages from sccom. Default is off. +; SccomVerbose = 1 + +; sccom logfile. Default is no logfile. +; SccomLogfile = sccom.log + +; Enable use of SC_MS include files and library. Default is off. +; UseScMs = 1 + +[vopt] +; Turn on code coverage in vopt. Default is off. +; Coverage = sbceft + +; Control compiler optimizations that are allowed when +; code coverage is on. Refer to the comment for this in the [vlog] area. +; CoverOpt = 3 + +; Increase or decrease the maximum number of rows allowed in a UDP table +; implementing a VHDL condition coverage or expression coverage expression. +; More rows leads to a longer compile time, but more expressions covered. +; CoverMaxUDPRows = 192 + +; Increase or decrease the maximum number of input patterns that are present +; in FEC table. This leads to a longer compile time with more expressions +; covered with FEC metric. +; CoverMaxFECRows = 192 + +; Increase or decrease the limit on the size of expressions and conditions +; considered for expression and condition coverages. Higher FecUdpEffort leads +; to higher compile, optimize and simulation time, but more expressions and +; conditions are considered for coverage in the design. FecUdpEffort can +; be set to a number ranging from 1 (low) to 3 (high), defined as: +; 1 - (low) Only small expressions and conditions considered for coverage. +; 2 - (medium) Bigger expressions and conditions considered for coverage. +; 3 - (high) Very large expressions and conditions considered for coverage. +; The default setting is 1 (low). +; FecUdpEffort = 1 + +; Enable code coverage reporting of code that has been optimized away. +; The default is not to report. +; CoverReportCancelled = 1 + +; Enable deglitching of code coverage in combinatorial, non-clocked, processes. +; Default is no deglitching. +; CoverDeglitchOn = 1 + +; Control the code coverage deglitching period. A period of 0, eliminates delta +; cycle glitches. The value of CoverDeglitchPeriod needs to be either be 0 or a +; time string that includes time units. Examples: 0 or 10.0ps or "10.0 ps". +; CoverDeglitchPeriod = 0 + +; Do not show immediate assertions with constant expressions in +; GUI/reports/UCDB etc. By default immediate assertions with constant +; expressions are shown in GUI/reports/UCDB etc. This does not affect +; evaluation of immediate assertions. +; ShowConstantImmediateAsserts = 0 + +; Set the maximum number of iterations permitted for a generate loop. +; Restricting this permits the implementation to recognize infinite +; generate loops. +; GenerateLoopIterationMax = 100000 + +; Set the maximum depth permitted for a recursive generate instantiation. +; Restricting this permits the implementation to recognize infinite +; recursions. +; GenerateRecursionDepthMax = 200 + +; Set the number of processes created during the code generation phase. +; By default a heuristic is used to set this value. This may be set to 0 +; to disable this feature completely. +; ParallelJobs = 0 + +; Controls SystemVerilog Language Extensions. These options enable +; some non-LRM compliant behavior. Valid extensions are "feci", +; "pae", "uslt", "spsl" and "sccts". +; SVExtensions = uslt,spsl,sccts + +[vsim] +; vopt flow +; Set to turn on automatic optimization of a design. +; Default is on +VoptFlow = 1 + +; Simulator resolution +; Set to fs, ps, ns, us, ms, or sec with optional prefix of 1, 10, or 100. +Resolution = ns + +; Disable certain code coverage exclusions automatically. +; Assertions and FSM are exluded from the code coverage by default +; Set AutoExclusionsDisable = fsm to enable code coverage for fsm +; Set AutoExclusionsDisable = assertions to enable code coverage for assertions +; Set AutoExclusionsDisable = all to enable code coverage for all the automatic exclusions +; Or specify comma or space separated list +;AutoExclusionsDisable = fsm,assertions + +; User time unit for run commands +; Set to default, fs, ps, ns, us, ms, or sec. The default is to use the +; unit specified for Resolution. For example, if Resolution is 100ps, +; then UserTimeUnit defaults to ps. +; Should generally be set to default. +UserTimeUnit = default + +; Default run length +RunLength = 100 + +; Maximum iterations that can be run without advancing simulation time +IterationLimit = 20000 + +; Set XPROP assertion fail limit. Default is 5. +; Any positive integer, -1 for infinity. +; XpropAssertionLimit = 5 + +; Control PSL and Verilog Assume directives during simulation +; Set SimulateAssumeDirectives = 0 to disable assume being simulated as asserts +; Set SimulateAssumeDirectives = 1 to enable assume simulation as asserts +; SimulateAssumeDirectives = 1 + +; Control the simulation of PSL and SVA +; These switches can be overridden by the vsim command line switches: +; -psl, -nopsl, -sva, -nosva. +; Set SimulatePSL = 0 to disable PSL simulation +; Set SimulatePSL = 1 to enable PSL simulation (default) +; SimulatePSL = 1 +; Set SimulateSVA = 0 to disable SVA simulation +; Set SimulateSVA = 1 to enable concurrent SVA simulation (default) +; SimulateSVA = 1 + +; Control SVA and VHDL immediate assertion directives during simulation +; Set SimulateImmedAsserts = 0 to disable simulation of immediate asserts +; Set SimulateImmedAsserts = 1 to enable simulation of immediate asserts +; SimulateImmedAsserts = 1 + +; Directives to license manager can be set either as single value or as +; space separated multi-values: +; vhdl Immediately reserve a VHDL license +; vlog Immediately reserve a Verilog license +; plus Immediately reserve a VHDL and Verilog license +; noqueue Do not wait in the license queue when a license is not available +; viewsim Try for viewer license but accept simulator license(s) instead +; of queuing for viewer license (PE ONLY) +; noviewer Disable checkout of msimviewer and vsim-viewer license +; features (PE ONLY) +; noslvhdl Disable checkout of qhsimvh and vsim license features +; noslvlog Disable checkout of qhsimvl and vsimvlog license features +; nomix Disable checkout of msimhdlmix and hdlmix license features +; nolnl Disable checkout of msimhdlsim and hdlsim license features +; mixedonly Disable checkout of qhsimvh,qhsimvl,vsim,vsimvlog license +; features +; lnlonly Disable checkout of qhsimvh,qhsimvl,vsim,vsimvlog,msimhdlmix, +; hdlmix license features +; Single value: +; License = plus +; Multi-value: +; License = noqueue plus + +; Severity level of a VHDL assertion message or of a SystemVerilog immediate assertion +; which will cause a running simulation to stop. +; VHDL assertions and SystemVerilog immediate assertions that occur with the +; given severity or higher will cause a running simulation to stop. +; This value is ignored during elaboration. +; 0 = Note 1 = Warning 2 = Error 3 = Failure 4 = Fatal +BreakOnAssertion = 3 + +; The class debug feature enables more visibility and tracking of class instances +; during simulation. By default this feature is 0 (disabled). To enable this +; feature set ClassDebug to 1. +; ClassDebug = 1 + +; Message Format conversion specifications: +; %S - Severity Level of message/assertion +; %R - Text of message +; %T - Time of message +; %D - Delta value (iteration number) of Time +; %K - Kind of path: Instance/Region/Signal/Process/Foreign Process/Unknown/Protected +; %i - Instance/Region/Signal pathname with Process name (if available) +; %I - shorthand for one of these: +; " %K: %i" +; " %K: %i File: %F" (when path is not Process or Signal) +; except that the %i in this case does not report the Process name +; %O - Process name +; %P - Instance/Region path without leaf process +; %F - File name +; %L - Line number; if assertion message, then line number of assertion or, if +; assertion is in a subprogram, line from which the call is made +; %u - Design unit name in form library.primary +; %U - Design unit name in form library.primary(secondary) +; %% - The '%' character itself +; +; If specific format for Severity Level is defined, use that format. +; Else, for a message that occurs during elaboration: +; -- Failure/Fatal message in VHDL region that is not a Process, and in +; certain non-VHDL regions, uses MessageFormatBreakLine; +; -- Failure/Fatal message otherwise uses MessageFormatBreak; +; -- Note/Warning/Error message uses MessageFormat. +; Else, for a message that occurs during runtime and triggers a breakpoint because +; of the BreakOnAssertion setting: +; -- if in a VHDL region that is not a Process, uses MessageFormatBreakLine; +; -- otherwise uses MessageFormatBreak. +; Else (a runtime message that does not trigger a breakpoint) uses MessageFormat. +; +; MessageFormatNote = "** %S: %R\n Time: %T Iteration: %D%I\n" +; MessageFormatWarning = "** %S: %R\n Time: %T Iteration: %D%I\n" +; MessageFormatError = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F\n" +; MessageFormatFail = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F\n" +; MessageFormatFatal = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F\n" +; MessageFormatBreakLine = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F Line: %L\n" +; MessageFormatBreak = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F\n" +; MessageFormat = "** %S: %R\n Time: %T Iteration: %D%I\n" + +; Error File - alternate file for storing error messages +; ErrorFile = error.log + +; Simulation Breakpoint messages +; This flag controls the display of function names when reporting the location +; where the simulator stops because of a breakpoint or fatal error. +; Example with function name: # Break in Process ctr at counter.vhd line 44 +; Example without function name: # Break at counter.vhd line 44 +; Default value is 1. +ShowFunctions = 1 + +; Default radix for all windows and commands. +; Radix may be one of: symbolic, ascii, binary, octal, decimal, hex, unsigned +; Flags may be one of: enumnumeric, showbase +DefaultRadix = hexadecimal +DefaultRadixFlags = showbase +; Set to 1 for make the signal_force VHDL and Verilog functions use the +; default radix when processing the force value. Prior to 10.2 signal_force +; used the default radix, now it always uses symbolic unless value explicitly indicates base +;SignalForceFunctionUseDefaultRadix = 0 + +; VSIM Startup command +; Startup = do startup.do + +; VSIM Shutdown file +; Filename to save u/i formats and configurations. +; ShutdownFile = restart.do +; To explicitly disable auto save: +; ShutdownFile = --disable-auto-save + +; File for saving command transcript +TranscriptFile = transcript + +; File for saving command history +; CommandHistory = cmdhist.log + +; Specify whether paths in simulator commands should be described +; in VHDL or Verilog format. +; For VHDL, PathSeparator = / +; For Verilog, PathSeparator = . +; Must not be the same character as DatasetSeparator. +PathSeparator = / + +; Specify the dataset separator for fully rooted contexts. +; The default is ':'. For example: sim:/top +; Must not be the same character as PathSeparator. +DatasetSeparator = : + +; Specify a unique path separator for the Signal Spy set of functions. +; The default will be to use the PathSeparator variable. +; Must not be the same character as DatasetSeparator. +; SignalSpyPathSeparator = / + +; Used to control parsing of HDL identifiers input to the tool. +; This includes CLI commands, vsim/vopt/vlog/vcom options, +; string arguments to FLI/VPI/DPI calls, etc. +; If set to 1, accept either Verilog escaped Id syntax or +; VHDL extended id syntax, regardless of source language. +; If set to 0, the syntax of the source language must be used. +; Each identifier in a hierarchical name may need different syntax, +; e.g. "/top/\vhdl*ext*id\/middle/\vlog*ext*id /bottom" or +; "top.\vhdl*ext*id\.middle.\vlog*ext*id .bottom" +; GenerousIdentifierParsing = 1 + +; Disable VHDL assertion messages +; IgnoreNote = 1 +; IgnoreWarning = 1 +; IgnoreError = 1 +; IgnoreFailure = 1 + +; Disable SystemVerilog assertion messages +; IgnoreSVAInfo = 1 +; IgnoreSVAWarning = 1 +; IgnoreSVAError = 1 +; IgnoreSVAFatal = 1 + +; Do not print any additional information from Severity System tasks. +; Only the message provided by the user is printed along with severity +; information. +; SVAPrintOnlyUserMessage = 1; + +; Default force kind. May be freeze, drive, deposit, or default +; or in other terms, fixed, wired, or charged. +; A value of "default" will use the signal kind to determine the +; force kind, drive for resolved signals, freeze for unresolved signals +; DefaultForceKind = freeze + +; Control the iteration of events when a VHDL signal is forced to a value +; This flag can be set to honour the signal update event in next iteration, +; the default is to update and propagate in the same iteration. +; ForceSigNextIter = 1 + + +; If zero, open files when elaborated; otherwise, open files on +; first read or write. Default is 0. +; DelayFileOpen = 1 + +; Control VHDL files opened for write. +; 0 = Buffered, 1 = Unbuffered +UnbufferedOutput = 0 + +; Control the number of VHDL files open concurrently. +; This number should always be less than the current ulimit +; setting for max file descriptors. +; 0 = unlimited +ConcurrentFileLimit = 40 + +; Control the number of hierarchical regions displayed as +; part of a signal name shown in the Wave window. +; A value of zero tells VSIM to display the full name. +; The default is 0. +; WaveSignalNameWidth = 0 + +; Turn off warnings when changing VHDL constants and generics +; Default is 1 to generate warning messages +; WarnConstantChange = 0 + +; Turn off warnings from accelerated versions of the std_logic_arith, +; std_logic_unsigned, and std_logic_signed packages. +; StdArithNoWarnings = 1 + +; Turn off warnings from accelerated versions of the IEEE numeric_std +; and numeric_bit packages. +; NumericStdNoWarnings = 1 + +; Use old-style (pre-6.6) VHDL FOR generate statement iteration names +; in the design hierarchy. +; This style is controlled by the value of the GenerateFormat +; value described next. Default is to use new-style names, which +; comprise the generate statement label, '(', the value of the generate +; parameter, and a closing ')'. +; Uncomment this to use old-style names. +; OldVhdlForGenNames = 1 + +; Control the format of the old-style VHDL FOR generate statement region +; name for each iteration. Do not quote it. +; The format string here must contain the conversion codes %s and %d, +; in that order, and no other conversion codes. The %s represents +; the generate statement label; the %d represents the generate parameter value +; at a particular iteration (this is the position number if the generate parameter +; is of an enumeration type). Embedded whitespace is allowed (but discouraged); +; leading and trailing whitespace is ignored. +; Application of the format must result in a unique region name over all +; loop iterations for a particular immediately enclosing scope so that name +; lookup can function properly. The default is %s__%d. +; GenerateFormat = %s__%d + +; Enable changes in VHDL elaboration to allow for Variable Logging +; This trades off simulation performance for the ability to log variables +; efficiently. By default this is disable for maximum simulation performance +; VhdlVariableLogging = 1 + +; Make VHDL packages in PDUs have there own copy of a package instead +; of sharing the package between PDUs. By default share packages +; VhdlSeparatePduPackage = 0 + +; Specify whether checkpoint files should be compressed. +; The default is 1 (compressed). +; CheckpointCompressMode = 0 + +; Specify gcc compiler used in the compilation of automatically generated DPI exportwrapper. +; Use custom gcc compiler located at this path rather than the default path. +; The path should point directly at a compiler executable. +; DpiCppPath = <your-gcc-installation>/bin/gcc + +; Specify whether to enable SystemVerilog DPI "out-of-the-blue" calls. +; The term "out-of-the-blue" refers to SystemVerilog export function calls +; made from C functions that don't have the proper context setup +; (as is the case when running under "DPI-C" import functions). +; When this is enabled, one can call a DPI export function +; (but not task) from any C code. +; the setting of this variable can be one of the following values: +; 0 : dpioutoftheblue call is disabled (default) +; 1 : dpioutoftheblue call is enabled, but export call debug support is not available. +; 2 : dpioutoftheblue call is enabled, and limited export call debug support is available. +; DpiOutOfTheBlue = 1 + +; Specify whether continuous assignments are run before other normal priority +; processes scheduled in the same iteration. This event ordering minimizes race +; differences between optimized and non-optimized designs, and is the default +; behavior beginning with the 6.5 release. For pre-6.5 event ordering, set +; ImmediateContinuousAssign to 0. +; The default is 1 (enabled). +; ImmediateContinuousAssign = 0 + +; List of dynamically loaded objects for Verilog PLI applications +; Veriuser = veriuser.sl + +; Which default VPI object model should the tool conform to? +; The 1364 modes are Verilog-only, for backwards compatibility with older +; libraries, and SystemVerilog objects are not available in these modes. +; +; In the absence of a user-specified default, the tool default is the +; latest available LRM behavior. +; Options for PliCompatDefault are: +; VPI_COMPATIBILITY_VERSION_1364v1995 +; VPI_COMPATIBILITY_VERSION_1364v2001 +; VPI_COMPATIBILITY_VERSION_1364v2005 +; VPI_COMPATIBILITY_VERSION_1800v2005 +; VPI_COMPATIBILITY_VERSION_1800v2008 +; +; Synonyms for each string are also recognized: +; VPI_COMPATIBILITY_VERSION_1364v1995 (1995, 95, 1364v1995, 1364V1995, VL1995) +; VPI_COMPATIBILITY_VERSION_1364v2001 (2001, 01, 1364v2001, 1364V2001, VL2001) +; VPI_COMPATIBILITY_VERSION_1364v2005 (1364v2005, 1364V2005, VL2005) +; VPI_COMPATIBILITY_VERSION_1800v2005 (2005, 05, 1800v2005, 1800V2005, SV2005) +; VPI_COMPATIBILITY_VERSION_1800v2008 (2008, 08, 1800v2008, 1800V2008, SV2008) + + +; PliCompatDefault = VPI_COMPATIBILITY_VERSION_1800v2005 + +; Specify whether the Verilog system task $fopen or vpi_mcd_open() +; will create directories that do not exist when opening the file +; in "a" or "w" mode. +; The default is 0 (do not create non-existent directories) +; CreateDirForFileAccess = 1 + +; Specify default options for the restart command. Options can be one +; or more of: -force -nobreakpoint -nolist -nolog -nowave -noassertions +; DefaultRestartOptions = -force + + +; Specify default UVM-aware debug options if the vsim -uvmcontrol switch is not used. +; Valid options include: all, none, verbose, disable, struct, msglog, trlog, certe. +; Options can be enabled by just adding the name, or disabled by prefixing the option with a "-". +; The list of options must be delimited by commas, without spaces or tabs. +; The default is UVMControl = struct + +; Some examples +; To turn on all available UVM-aware debug features: +; UVMControl = all +; To turn on the struct window, mesage logging, and transaction logging: +; UVMControl = struct,msglog,trlog +; To turn on all options except certe: +; UVMControl = all,-certe +; To completely disable all UVM-aware debug functionality: +; UVMControl = disable + +; Specify the WildcardFilter setting. +; A space separated list of object types to be excluded when performing +; wildcard matches with log, wave, etc commands. The default value for this variable is: +; "Variable Constant Generic Parameter SpecParam Memory Assertion Cover Endpoint ScVariable CellInternal ImmediateAssert VHDLFile" +; See "Using the WildcardFilter Preference Variable" in the documentation for +; details on how to use this variable and for descriptions of the filter types. +WildcardFilter = Variable Constant Generic Parameter SpecParam Memory Assertion Cover Endpoint ScVariable CellInternal ImmediateAssert VHDLFile + +; Specify the WildcardSizeThreshold setting. +; This integer setting specifies the size at which objects will be excluded when +; performing wildcard matches with log, wave, etc commands. Objects of size equal +; to or greater than the WildcardSizeThreshold will be filtered out from the wildcard +; matches. The size is a simple calculation of number of bits or items in the object. +; The default value is 8k (8192). Setting this value to 0 will disable the checking +; of object size against this threshold and allow all objects of any size to be logged. +WildcardSizeThreshold = 8192 + +; Specify whether warning messages are output when objects are filtered out due to the +; WildcardSizeThreshold. The default is 0 (no messages generated). +WildcardSizeThresholdVerbose = 0 + +; Turn on (1) or off (0) WLF file compression. +; The default is 1 (compress WLF file). +; WLFCompress = 0 + +; Specify whether to save all design hierarchy (1) in the WLF file +; or only regions containing logged signals (0). +; The default is 0 (save only regions with logged signals). +; WLFSaveAllRegions = 1 + +; WLF file time limit. Limit WLF file by time, as closely as possible, +; to the specified amount of simulation time. When the limit is exceeded +; the earliest times get truncated from the file. +; If both time and size limits are specified the most restrictive is used. +; UserTimeUnits are used if time units are not specified. +; The default is 0 (no limit). Example: WLFTimeLimit = {100 ms} +; WLFTimeLimit = 0 + +; WLF file size limit. Limit WLF file size, as closely as possible, +; to the specified number of megabytes. If both time and size limits +; are specified then the most restrictive is used. +; The default is 0 (no limit). +; WLFSizeLimit = 1000 + +; Specify whether or not a WLF file should be deleted when the +; simulation ends. A value of 1 will cause the WLF file to be deleted. +; The default is 0 (do not delete WLF file when simulation ends). +; WLFDeleteOnQuit = 1 + +; Specify whether or not a WLF file should be optimized during +; simulation. If set to 0, the WLF file will not be optimized. +; The default is 1, optimize the WLF file. +; WLFOptimize = 0 + +; Specify the name of the WLF file. +; The default is vsim.wlf +; WLFFilename = vsim.wlf + +; Specify whether to lock the WLF file. +; Locking the file prevents other invocations of ModelSim/Questa tools from +; inadvertently overwriting the WLF file. +; The default is 1, lock the WLF file. +; WLFFileLock = 0 + +; Specify the update interval for the WLF file. +; Value is the number of seconds between updated. After at least the +; interval number of seconds, the wlf file is flushed, ensuring that the data +; is correct when viewed from a separate live viewer. Setting to 0 means no +; updating. Default is 10 seconds, which has a tiny performance impact +; WLFUpdateInterval = 10 + +; Specify the WLF reader cache size limit for each open WLF file. +; The size is giving in megabytes. A value of 0 turns off the +; WLF cache. +; WLFSimCacheSize allows a different cache size to be set for +; simulation WLF file independent of post-simulation WLF file +; viewing. If WLFSimCacheSize is not set it defaults to the +; WLFCacheSize setting. +; The default WLFCacheSize setting is enabled to 2000M per open WLF file on most +; platforms; on Windows, the setting is 1000M to help avoid filling process memory. +; WLFCacheSize = 2000 +; WLFSimCacheSize = 500 + +; Specify the WLF file event collapse mode. +; 0 = Preserve all events and event order. (same as -wlfnocollapse) +; 1 = Only record values of logged objects at the end of a simulator iteration. +; (same as -wlfcollapsedelta) +; 2 = Only record values of logged objects at the end of a simulator time step. +; (same as -wlfcollapsetime) +; The default is 1. +; WLFCollapseMode = 0 + +; Specify whether WLF file logging can use threads on multi-processor machines +; if 0, no threads will be used, if 1, threads will be used if the system has +; more than one processor +; WLFUseThreads = 1 + +; Specify the size of objects that will trigger "large object" messages +; at log/wave/list time. The size calculation of the object is the same as that +; used by the WildcardSizeThreshold. The default LargeObjectSize size is 500,000. +; Setting LargeObjectSize to 0 will disable these messages. +; LargeObjectSize = 500000 + +; Turn on/off undebuggable SystemC type warnings. Default is on. +; ShowUndebuggableScTypeWarning = 0 + +; Turn on/off unassociated SystemC name warnings. Default is off. +; ShowUnassociatedScNameWarning = 1 + +; Turn on/off SystemC IEEE 1666 deprecation warnings. Default is off. +; ScShowIeeeDeprecationWarnings = 1 + +; Turn on/off the check for multiple drivers on a SystemC sc_signal. Default is off. +; ScEnableScSignalWriteCheck = 1 + +; Set SystemC default time unit. +; Set to fs, ps, ns, us, ms, or sec with optional +; prefix of 1, 10, or 100. The default is 1 ns. +; The ScTimeUnit value is honored if it is coarser than Resolution. +; If ScTimeUnit is finer than Resolution, it is set to the value +; of Resolution. For example, if Resolution is 100ps and ScTimeUnit is ns, +; then the default time unit will be 1 ns. However if Resolution +; is 10 ns and ScTimeUnit is ns, then the default time unit will be 10 ns. +ScTimeUnit = ns + +; Set SystemC sc_main stack size. The stack size is set as an integer +; number followed by the unit which can be Kb(Kilo-byte), Mb(Mega-byte) or +; Gb(Giga-byte). Default is 10 Mb. The stack size for sc_main depends +; on the amount of data on the sc_main() stack and the memory required +; to succesfully execute the longest function call chain of sc_main(). +ScMainStackSize = 10 Mb + +; Set SystemC thread stack size. The stack size is set as an integer +; number followed by the unit which can be Kb(Kilo-byte), Mb(Mega-byte) or +; Gb(Giga-byte). The stack size for sc_thread depends +; on the amount of data on the sc_thread stack and the memory required +; to succesfully execute the thread. +; ScStackSize = 1 Mb + +; Turn on/off execution of remainder of sc_main upon quitting the current +; simulation session. If the cumulative length of sc_main() in terms of +; simulation time units is less than the length of the current simulation +; run upon quit or restart, sc_main() will be in the middle of execution. +; This switch gives the option to execute the remainder of sc_main upon +; quitting simulation. The drawback of not running sc_main till the end +; is memory leaks for objects created by sc_main. If on, the remainder of +; sc_main will be executed ignoring all delays. This may cause the simulator +; to crash if the code in sc_main is dependent on some simulation state. +; Default is on. +ScMainFinishOnQuit = 1 + +; Set the SCV relationship name that will be used to identify phase +; relations. If the name given to a transactor relation matches this +; name, the transactions involved will be treated as phase transactions +ScvPhaseRelationName = mti_phase + +; Customize the vsim kernel shutdown behavior at the end of the simulation. +; Some common causes of the end of simulation are $finish (implicit or explicit), +; sc_stop(), tf_dofinish(), and assertion failures. +; This should be set to "ask", "exit", or "stop". The default is "ask". +; "ask" -- In batch mode, the vsim kernel will abruptly exit. +; In GUI mode, a dialog box will pop up and ask for user confirmation +; whether or not to quit the simulation. +; "stop" -- Cause the simulation to stay loaded in memory. This can make some +; post-simulation tasks easier. +; "exit" -- The simulation will abruptly exit without asking for any confirmation. +; "final" -- Run SystemVerilog final blocks then behave as "stop". +; Note: This variable can be overridden with the vsim "-onfinish" command line switch. +OnFinish = ask + +; Print pending deferred assertion messages. +; Deferred assertion messages may be scheduled after the $finish in the same +; time step. Deferred assertions scheduled to print after the $finish are +; printed before exiting with severity level NOTE since it's not known whether +; the assertion is still valid due to being printed in the active region +; instead of the reactive region where they are normally printed. +; OnFinishPendingAssert = 1; + +; Print "simstats" result +; 0 == do not print simstats +; 1 == print at end of simulation +; 2 == print at end of run +; 3 == print at end of run and end of simulation +; default == 0 +; PrintSimStats = 1 + +; Print "simstats" result in Tcl list form +; 0 == do not print simstats +; 1 == print at end of simulation +; 2 == print at end of run +; 3 == print at end of run and end of simulation +; default == 0 +; PrintSimStatsList = 1 + +; Assertion File - alternate file for storing VHDL/PSL/Verilog assertion messages +; AssertFile = assert.log + +; Enable assertion counts. Default is off. +; AssertionCover = 1 + +; Run simulator in assertion debug mode. Default is off. +; AssertionDebug = 1 + +; Turn on/off PSL/SVA/VHDL assertion enable. Default is on. +; AssertionEnable = 0 + +; Set PSL/SVA/VHDL concurrent assertion fail limit. Default is -1. +; Any positive integer, -1 for infinity. +; AssertionLimit = 1 + +; Turn on/off concurrent assertion pass log. Default is off. +; Assertion pass logging is only enabled when assertion is browseable +; and assertion debug is enabled. +; AssertionPassLog = 1 + +; Turn on/off PSL concurrent assertion fail log. Default is on. +; The flag does not affect SVA +; AssertionFailLog = 0 + +; Turn on/off SVA concurrent assertion local var printing in -assertdebug mode. Default is on. +; AssertionFailLocalVarLog = 0 + +; Set action type for PSL/SVA concurrent assertion fail action. Default is continue. +; 0 = Continue 1 = Break 2 = Exit +; AssertionFailAction = 1 + +; Enable the active thread monitor in the waveform display when assertion debug is enabled. +; AssertionActiveThreadMonitor = 1 + +; Control how many waveform rows will be used for displaying the active threads. Default is 5. +; AssertionActiveThreadMonitorLimit = 5 + +; Assertion thread limit after which assertion would be killed/switched off. +; The default is -1 (unlimited). If the number of threads for an assertion go +; beyond this limit, the assertion would be either switched off or killed. This +; limit applies to only assert directives. +;AssertionThreadLimit = -1 + +; Action to be taken once the assertion thread limit is reached. Default +; is kill. It can have a value of off or kill. In case of kill, all the existing +; threads are terminated and no new attempts are started. In case of off, the +; existing attempts keep on evaluating but no new attempts are started. This +; variable applies to only assert directives. +;AssertionThreadLimitAction = kill + +; Cover thread limit after which cover would be killed/switched off. +; The default is -1 (unlimited). If the number of threads for a cover go +; beyond this limit, the cover would be either switched off or killed. This +; limit applies to only cover directives. +;CoverThreadLimit = -1 + +; Action to be taken once the cover thread limit is reached. Default +; is kill. It can have a value of off or kill. In case of kill, all the existing +; threads are terminated and no new attempts are started. In case of off, the +; existing attempts keep on evaluating but no new attempts are started. This +; variable applies to only cover directives. +;CoverThreadLimitAction = kill + + +; By default immediate assertions do not participate in Assertion Coverage calculations +; unless they are executed. This switch causes all immediate assertions in the design +; to participate in Assertion Coverage calculations, whether attempted or not. +; UnattemptedImmediateAssertions = 0 + +; By default immediate covers participate in Coverage calculations +; whether they are attempted or not. This switch causes all unattempted +; immediate covers in the design to stop participating in Coverage +; calculations. +; UnattemptedImmediateCovers = 0 + +; By default pass action block is not executed for assertions on vacuous +; success. The following variable is provided to enable execution of +; pass action block on vacuous success. The following variable is only effective +; if the user does not disable pass action block execution by using either +; system tasks or CLI. Also there is a performance penalty for enabling +; the following variable. +;AssertionEnableVacuousPassActionBlock = 1 + +; As per strict 1850-2005 PSL LRM, an always property can either pass +; or fail. However, by default, Questa reports multiple passes and +; multiple fails on top always/never property (always/never operator +; is the top operator under Verification Directive). The reason +; being that Questa reports passes and fails on per attempt of the +; top always/never property. Use the following flag to instruct +; Questa to strictly follow LRM. With this flag, all assert/never +; directives will start an attempt once at start of simulation. +; The attempt can either fail, match or match vacuously. +; For e.g. if always is the top operator under assert, the always will +; keep on checking the property at every clock. If the property under +; always fails, the directive will be considered failed and no more +; checking will be done for that directive. A top always property, +; if it does not fail, will show a pass at end of simulation. +; The default value is '0' (i.e. zero is off). For example: +; PslOneAttempt = 1 + +; Specify the number of clock ticks to represent infinite clock ticks. +; This affects eventually!, until! and until_!. If at End of Simulation +; (EOS) an active strong-property has not clocked this number of +; clock ticks then neither pass or fail (vacuous match) is returned +; else respective fail/pass is returned. The default value is '0' (zero) +; which effectively does not check for clock tick condition. For example: +; PslInfinityThreshold = 5000 + +; Control how many thread start times will be preserved for ATV viewing for a given assertion +; instance. Default is -1 (ALL). +; ATVStartTimeKeepCount = -1 + +; Turn on/off code coverage +; CodeCoverage = 0 + +; This option applies to condition and expression coverage UDP tables. It +; has no effect unless UDP is enabled for coverage with vcom/vlog/vopt -coverudp. +; If this option is used and a match occurs in more than one row in the UDP table, +; none of the counts for all matching rows is incremented. By default, counts are +; incremented for all matching rows. +; CoverCountAll = 1 + +; Turn off automatic inclusion of VHDL integers in toggle coverage. Default +; is to include them. +; ToggleNoIntegers = 1 + +; Set the maximum number of values that are collected for toggle coverage of +; VHDL integers. Default is 100; +; ToggleMaxIntValues = 100 + +; Set the maximum number of values that are collected for toggle coverage of +; Verilog real. Default is 100; +; ToggleMaxRealValues = 100 + +; Turn on automatic inclusion of Verilog integers in toggle coverage, except +; for enumeration types. Default is to include them. +; ToggleVlogIntegers = 0 + +; Turn on automatic inclusion of Verilog real type in toggle coverage, except +; for shortreal types. Default is to not include them. +; ToggleVlogReal = 1 + +; Turn on automatic inclusion of Verilog fixed-size unpacked arrays, VHDL multi-d arrays +; and VHDL arrays-of-arrays in toggle coverage. +; Default is to not include them. +; ToggleFixedSizeArray = 1 + +; Increase or decrease the maximum size of Verilog unpacked fixed-size arrays, +; VHDL multi-d arrays and VHDL arrays-of-arrays that are included for toggle coverage. +; This leads to a longer simulation time with bigger arrays covered with toggle coverage. +; Default is 1024. +; ToggleMaxFixedSizeArray = 1024 + +; Treat Verilog multi-dimensional packed vectors and packed structures as equivalently sized +; one-dimensional packed vectors for toggle coverage. Default is 0. +; TogglePackedAsVec = 0 + +; Treat Verilog enumerated types as equivalently sized one-dimensional packed vectors for +; toggle coverage. Default is 0. +; ToggleVlogEnumBits = 0 + +; Turn off automatic inclusion of VHDL records in toggle coverage. +; Default is to include them. +; ToggleVHDLRecords = 0 + +; Limit the widths of registers automatically tracked for toggle coverage. Default is 128. +; For unlimited width, set to 0. +; ToggleWidthLimit = 128 + +; Limit the counts that are tracked for toggle coverage. When all edges for a bit have +; reached this count, further activity on the bit is ignored. Default is 1. +; For unlimited counts, set to 0. +; ToggleCountLimit = 1 + +; Change the mode of extended toggle coverage. Default is 3. Valid modes are 1, 2 and 3. +; Following is the toggle coverage calculation criteria based on extended toggle mode: +; Mode 1: 0L->1H & 1H->0L & any one 'Z' transition (to/from 'Z'). +; Mode 2: 0L->1H & 1H->0L & one transition to 'Z' & one transition from 'Z'. +; Mode 3: 0L->1H & 1H->0L & all 'Z' transitions. +; ExtendedToggleMode = 3 + +; Enable toggle statistics collection only for ports. Default is 0. +; TogglePortsOnly = 1 + +; Limit the counts that are tracked for Focussed Expression Coverage. When a bin has +; reached this count, further tracking of the input patterns linked to it is ignored. +; Default is 1. For unlimited counts, set to 0. +; NOTE: Changing this value from its default value may affect simulation performance. +; FecCountLimit = 1 + +; Limit the counts that are tracked for UDP Coverage. When a bin has +; reached this count, further tracking of the input patterns linked to it is ignored. +; Default is 1. For unlimited counts, set to 0. +; NOTE: Changing this value from its default value may affect simulation performance. +; UdpCountLimit = 1 + +; Control toggle coverage deglitching period. A period of 0, eliminates delta +; cycle glitches. This is the default. The value of ToggleDeglitchPeriod needs to be either +; 0 or a time string that includes time units. Examples: 0 or 10.0ps or "10.0 ps". +; ToggleDeglitchPeriod = 10.0ps + +; Turn on/off all PSL/SVA cover directive enables. Default is on. +; CoverEnable = 0 + +; Turn on/off PSL/SVA cover log. Default is off "0". +; CoverLog = 1 + +; Set "at_least" value for all PSL/SVA cover directives. Default is 1. +; CoverAtLeast = 2 + +; Set "limit" value for all PSL/SVA cover directives. Default is -1. +; Any positive integer, -1 for infinity. +; CoverLimit = 1 + +; Specify the coverage database filename. +; Default is "" (i.e. database is NOT automatically saved on close). +; UCDBFilename = vsim.ucdb + +; Specify the maximum limit for the number of Cross (bin) products reported +; in XML and UCDB report against a Cross. A warning is issued if the limit +; is crossed. Default is zero. vsim switch -cvgmaxrptrhscross can override this +; setting. +; MaxReportRhsSVCrossProducts = 1000 + +; Specify the override for the "auto_bin_max" option for the Covergroups. +; If not specified then value from Covergroup "option" is used. +; SVCoverpointAutoBinMax = 64 + +; Specify the override for the value of "cross_num_print_missing" +; option for the Cross in Covergroups. If not specified then value +; specified in the "option.cross_num_print_missing" is used. This +; is a runtime option. NOTE: This overrides any "cross_num_print_missing" +; value specified by user in source file and any SVCrossNumPrintMissingDefault +; specified in modelsim.ini. +; SVCrossNumPrintMissing = 0 + +; Specify whether to use the value of "cross_num_print_missing" +; option in report and GUI for the Cross in Covergroups. If not specified then +; cross_num_print_missing is ignored for creating reports and displaying +; covergroups in GUI. Default is 0, which means ignore "cross_num_print_missing". +; UseSVCrossNumPrintMissing = 0 + +; Specify the threshold of Coverpoint wildcard bin value range size, above which +; a warning will be triggered. The default is 4K -- 12 wildcard bits. +; SVCoverpointWildCardBinValueSizeWarn = 4096 + +; Specify the override for the value of "strobe" option for the +; Covergroup Type. If not specified then value in "type_option.strobe" +; will be used. This is runtime option which forces "strobe" to +; user specified value and supersedes user specified values in the +; SystemVerilog Code. NOTE: This also overrides the compile time +; default value override specified using "SVCovergroupStrobeDefault" +; SVCovergroupStrobe = 0 + +; Override for explicit assignments in source code to "option.goal" of +; SystemVerilog covergroup, coverpoint, and cross. It also overrides the +; default value of "option.goal" (defined to be 100 in the SystemVerilog +; LRM) and the value of modelsim.ini variable "SVCovergroupGoalDefault". +; SVCovergroupGoal = 100 + +; Override for explicit assignments in source code to "type_option.goal" of +; SystemVerilog covergroup, coverpoint, and cross. It also overrides the +; default value of "type_option.goal" (defined to be 100 in the SystemVerilog +; LRM) and the value of modelsim.ini variable "SVCovergroupTypeGoalDefault". +; SVCovergroupTypeGoal = 100 + +; Enforce the 6.3 behavior of covergroup get_coverage() and get_inst_coverage() +; builtin functions, and report. This setting changes the default values of +; option.get_inst_coverage and type_option.merge_instances to ensure the 6.3 +; behavior if explicit assignments are not made on option.get_inst_coverage and +; type_option.merge_instances by the user. There are two vsim command line +; options, -cvg63 and -nocvg63 to override this setting from vsim command line. +; The default value of this variable from release 6.6 onwards is 0. This default +; drives compliance with the clarified behavior in the IEEE 1800-2009 standard. +; SVCovergroup63Compatibility = 0 + +; Enforce the default behavior of covergroup get_coverage() builtin function, GUI +; and report. This variable sets the default value of type_option.merge_instances. +; There are two vsim command line options, -cvgmergeinstances and +; -nocvgmergeinstances to override this setting from vsim command line. +; The default value of this variable is 0. This default +; drives compliance with the clarified behavior in the IEEE 1800-2009 standard. +; SVCovergroupMergeInstancesDefault = 0 + +; Enable or disable generation of more detailed information about the sampling +; of covergroup, cross, and coverpoints. It provides the details of the number +; of times the covergroup instance and type were sampled, as well as details +; about why covergroup, cross and coverpoint were not covered. A non-zero value +; is to enable this feature. 0 is to disable this feature. Default is 0 +; SVCovergroupSampleInfo = 0 + +; Specify the maximum number of Coverpoint bins in whole design for +; all Covergroups. +; MaxSVCoverpointBinsDesign = 2147483648 + +; Specify maximum number of Coverpoint bins in any instance of a Covergroup +; MaxSVCoverpointBinsInst = 2147483648 + +; Specify the maximum number of Cross bins in whole design for +; all Covergroups. +; MaxSVCrossBinsDesign = 2147483648 + +; Specify maximum number of Cross bins in any instance of a Covergroup +; MaxSVCrossBinsInst = 2147483648 + +; Specify whether vsim will collect the coverage data of zero-weight coverage items or not. +; By default, this variable is set 0, in which case option.no_collect setting will take effect. +; If this variable is set to 1, all zero-weight coverage items will not be saved. +; Note that the usage of vsim switch -cvgzwnocollect, if present, will override the setting +; of this variable. +; CvgZWNoCollect = 1 + +; Specify a space delimited list of double quoted TCL style +; regular expressions which will be matched against the text of all messages. +; If any regular expression is found to be contained within any message, the +; status for that message will not be propagated to the UCDB TESTSTATUS. +; If no match is detected, then the status will be propagated to the +; UCDB TESTSTATUS. More than one such regular expression text is allowed, +; and each message text is compared for each regular expression in the list. +; UCDBTestStatusMessageFilter = "Done with Test Bench" "Ignore .* message" + +; Set weight for all PSL/SVA cover directives. Default is 1. +; CoverWeight = 2 + +; Check vsim plusargs. Default is 0 (off). +; 0 = Don't check plusargs +; 1 = Warning on unrecognized plusarg +; 2 = Error and exit on unrecognized plusarg +; CheckPlusargs = 1 + +; Load the specified shared objects with the RTLD_GLOBAL flag. +; This gives global visibility to all symbols in the shared objects, +; meaning that subsequently loaded shared objects can bind to symbols +; in the global shared objects. The list of shared objects should +; be whitespace delimited. This option is not supported on the +; Windows or AIX platforms. +; GlobalSharedObjectList = example1.so example2.so example3.so + +; Initial seed for the random number generator of the root thread (SystemVerilog). +; NOTE: This variable can be overridden with the vsim "-sv_seed" command line switch. +; The default value is 0. +; Sv_Seed = 0 + +; Specify the solver "engine" that vsim will select for constrained random +; generation. +; Valid values are: +; "auto" - automatically select the best engine for the current +; constraint scenario +; "bdd" - evaluate all constraint scenarios using the BDD solver engine +; "act" - evaluate all constraint scenarios using the ACT solver engine +; While the BDD solver engine is generally efficient with constraint scenarios +; involving bitwise logical relationships, the ACT solver engine can exhibit +; superior performance with constraint scenarios involving large numbers of +; random variables related via arithmetic operators (+, *, etc). +; NOTE: This variable can be overridden with the vsim "-solveengine" command +; line switch. +; The default value is "auto". +; SolveEngine = auto + +; Specify if the solver should attempt to ignore overflow/underflow semantics +; for arithmetic constraints (multiply, addition, subtraction) in order to +; improve performance. The "solveignoreoverflow" attribute can be specified on +; a per-call basis to randomize() to override this setting. +; The default value is 0 (overflow/underflow is not ignored). Set to 1 to +; ignore overflow/underflow. +; SolveIgnoreOverflow = 0 + +; Specifies the maximum size that a dynamic array may be resized to by the +; solver. If the solver attempts to resize a dynamic array to a size greater +; than the specified limit, the solver will abort with an error. +; The default value is 2000. A value of 0 indicates no limit. +; SolveArrayResizeMax = 2000 + +; Error message severity when randomize() failure is detected (SystemVerilog). +; 0 = No error 1 = Warning 2 = Error 3 = Failure 4 = Fatal +; The default is 0 (no error). +; SolveFailSeverity = 0 + +; Error message severity for suppressible errors that are detected in a +; solve/before constraint. +; NOTE: This variable can be overridden with the vsim "-solvebeforeerrorseverity" +; command line switch. +; 0 = No error 1 = Warning 2 = Error 3 = Failure 4 = Fatal +; The default is 3 (failure). +; SolveBeforeErrorSeverity = 3 + +; Enable/disable debug information for randomize() failures. +; NOTE: This variable can be overridden with the vsim "-solvefaildebug" command +; line switch. +; The default is 0 (disabled). Set to 1 to enable basic debug (with no +; performance penalty). Set to 2 for enhanced debug (will result in slower +; runtime performance). +; SolveFailDebug = 0 + +; Upon encountering a randomize() failure, generate a simplified testcase that +; will reproduce the failure. Optionally output the testcase to a file. +; Testcases for 'no-solution' failures will only be produced if SolveFailDebug +; is enabled (see above). +; NOTE: This variable can be overridden with the vsim "-solvefailtestcase" +; command line switch. +; The default is OFF (do not generate a testcase). To enable testcase +; generation, uncomment this variable. To redirect testcase generation to a +; file, specify the name of the output file. +; SolveFailTestcase = + +; Specify the maximum size of the solution graph generated by the BDD solver. +; This value can be used to force the BDD solver to abort the evaluation of a +; complex constraint scenario that cannot be evaluated with finite memory. +; This value is specified in 1000s of nodes. +; The default value is 10000. A value of 0 indicates no limit. +; SolveGraphMaxSize = 10000 + +; Specify the maximum number of evaluations that may be performed on the +; solution graph by the BDD solver. This value can be used to force the BDD +; solver to abort the evaluation of a complex constraint scenario that cannot +; be evaluated in finite time. This value is specified in 10000s of evaluations. +; The default value is 10000. A value of 0 indicates no limit. +; SolveGraphMaxEval = 10000 + +; Specify the maximum number of tests that the ACT solver may evaluate before +; abandoning an attempt to solve a particular constraint scenario. +; The default value is 2000000. A value of 0 indicates no limit. +; SolveACTMaxTests = 2000000 + +; Specify the maximum number of operations that the ACT solver may perform +; before abandoning an attempt to solve a particular constraint scenario. The +; value is specified in 1000000s of operations. +; The default value is 10000. A value of 0 indicates no limit. +; SolveACTMaxOps = 10000 + +; Specify the number of times the ACT solver will retry to evaluate a constraint +; scenario that fails due to the SolveACTMax[Tests|Ops] threshold. +; The default value is 0 (no retry). +; SolveACTRetryCount = 0 + +; Specify random sequence compatiblity with a prior letter release. This +; option is used to get the same random sequences during simulation as +; as a prior letter release. Only prior letter releases (of the current +; number release) are allowed. +; NOTE: Only those random sequence changes due to solver optimizations are +; reverted by this variable. Random sequence changes due to solver bugfixes +; cannot be un-done. +; NOTE: This variable can be overridden with the vsim "-solverev" command +; line switch. +; Default value set to "" (no compatibility). +; SolveRev = + +; Environment variable expansion of command line arguments has been depricated +; in favor shell level expansion. Universal environment variable expansion +; inside -f files is support and continued support for MGC Location Maps provide +; alternative methods for handling flexible pathnames. +; The following line may be uncommented and the value set to 1 to re-enable this +; deprecated behavior. The default value is 0. +; DeprecatedEnvironmentVariableExpansion = 0 + +; Specify the memory threshold for the System Verilog garbage collector. +; The value is the number of megabytes of class objects that must accumulate +; before the garbage collector is run. +; The GCThreshold setting is used when class debug mode is disabled to allow +; less frequent garbage collection and better simulation performance. +; The GCThresholdClassDebug setting is used when class debug mode is enabled +; to allow for more frequent garbage collection. +; GCThreshold = 100 +; GCThresholdClassDebug = 5 + +; Turn on/off collapsing of bus ports in VCD dumpports output +DumpportsCollapse = 1 + +; Location of Multi-Level Verification Component (MVC) installation. +; The default location is the product installation directory. +MvcHome = $MODEL_TECH/.. + +; Initialize SystemVerilog enums using the base type's default value +; instead of the leftmost value. +; EnumBaseInit = 1 + +; Suppress file type registration. +; SuppressFileTypeReg = 1 + +; Controls SystemVerilog Language Extensions. These options enable +; some non-LRM compliant behavior. Valid extensions are "feci", +; "pae", "uslt", "spsl" and "sccts". +; SVExtensions = uslt,spsl,sccts + +; Controls the formatting of '%p' and '%P' conversion specification, used in $display +; and similar system tasks. +; 1. SVPrettyPrintFlags=I<n><S|T> use <n> spaces(S) or tabs(T) per indentation level. +; The 'I' flag when present causes relevant data types to be expanded and indented into +; a more readable format. +; (e.g. SVPrettyPrintFlags=I4S will cause 4 spaces to be used per indentation level). +; 2. SVPrettyPrintFlags=L<numLines> limits the output to <numLines> lines. +; (e.g. SVPrettyPrintFlags=L20 will limit the output to 20 lines). +; 3. SVPrettyPrintFlags=C<numChars> limits the output to <numChars> characters. +; (e.g. SVPrettyPrintFlags=C256 will limit the output to 256 characters). +; 4. SVPrettyPrintFlags=F<numFields> limits the output to <numFields> of relevant datatypes +; (e.g. SVPrettyPrintFlags=F4 will limit the output to 4 fields of a structure). +; 5. SVPrettyPrintFlags=E<numElements> limits the output to <numElements> of relevant datatypes +; (e.g. SVPrettyPrintFlags=E50 will limit the output to 50 elements of an array). +; 6. SVPrettyPrintFlags=D<depth> suppresses the output of sub-elements below <depth>. +; (e.g. SVPrettyPrintFlags=D5 will suppresses the output of sub elements below a depth of 5). +; 7. Items 1-6 above can be combined as a comma separated list. +; (e.g. SVPrettyPrintFlags=I4S,L20,C256,F4,E50,D5) +; SVPrettyPrintFlags=I4S + +[lmc] +; The simulator's interface to Logic Modeling's SmartModel SWIFT software +libsm = $MODEL_TECH/libsm.sl +; The simulator's interface to Logic Modeling's SmartModel SWIFT software (Windows NT) +; libsm = $MODEL_TECH/libsm.dll +; Logic Modeling's SmartModel SWIFT software (HP 9000 Series 700) +; libswift = $LMC_HOME/lib/hp700.lib/libswift.sl +; Logic Modeling's SmartModel SWIFT software (IBM RISC System/6000) +; libswift = $LMC_HOME/lib/ibmrs.lib/swift.o +; Logic Modeling's SmartModel SWIFT software (Sun4 Solaris) +; libswift = $LMC_HOME/lib/sun4Solaris.lib/libswift.so +; Logic Modeling's SmartModel SWIFT software (Windows NT) +; libswift = $LMC_HOME/lib/pcnt.lib/libswift.dll +; Logic Modeling's SmartModel SWIFT software (non-Enterprise versions of Linux) +; libswift = $LMC_HOME/lib/x86_linux.lib/libswift.so +; Logic Modeling's SmartModel SWIFT software (Enterprise versions of Linux) +; libswift = $LMC_HOME/lib/linux.lib/libswift.so + +; The simulator's interface to Logic Modeling's hardware modeler SFI software +libhm = $MODEL_TECH/libhm.sl +; The simulator's interface to Logic Modeling's hardware modeler SFI software (Windows NT) +; libhm = $MODEL_TECH/libhm.dll +; Logic Modeling's hardware modeler SFI software (HP 9000 Series 700) +; libsfi = <sfi_dir>/lib/hp700/libsfi.sl +; Logic Modeling's hardware modeler SFI software (IBM RISC System/6000) +; libsfi = <sfi_dir>/lib/rs6000/libsfi.a +; Logic Modeling's hardware modeler SFI software (Sun4 Solaris) +; libsfi = <sfi_dir>/lib/sun4.solaris/libsfi.so +; Logic Modeling's hardware modeler SFI software (Windows NT) +; libsfi = <sfi_dir>/lib/pcnt/lm_sfi.dll +; Logic Modeling's hardware modeler SFI software (Linux) +; libsfi = <sfi_dir>/lib/linux/libsfi.so + +[msg_system] +; Change a message severity or suppress a message. +; The format is: <msg directive> = <msg number>[,<msg number>...] +; suppress can be used to achieve +nowarn<CODE> functionality +; The format is: suppress = <CODE>,<msg number>,[<CODE>,<msg number>,...] +; Examples: +suppress = 8780 ;an explanation can be had by running: verror 8780 +; note = 3009 +; warning = 3033 +; error = 3010,3016 +; fatal = 3016,3033 +; suppress = 3009,3016,3043 +; suppress = 3009,CNNODP,3043,TFMPC +; suppress = 8683,8684 +; The command verror <msg number> can be used to get the complete +; description of a message. + +; Control transcripting of Verilog display system task messages and +; PLI/FLI print function call messages. The system tasks include +; $display[bho], $strobe[bho], $monitor[bho], and $write[bho]. They +; also include the analogous file I/O tasks that write to STDOUT +; (i.e. $fwrite or $fdisplay). The PLI/FLI calls include io_printf, +; vpi_printf, mti_PrintMessage, and mti_PrintFormatted. The default +; is to have messages appear only in the transcript. The other +; settings are to send messages to the wlf file only (messages that +; are recorded in the wlf file can be viewed in the MsgViewer) or +; to both the transcript and the wlf file. The valid values are +; tran {transcript only (default)} +; wlf {wlf file only} +; both {transcript and wlf file} +; displaymsgmode = tran + +; Control transcripting of elaboration/runtime messages not +; addressed by the displaymsgmode setting. The default is to +; have messages appear only in the transcript. The other settings +; are to send messages to the wlf file only (messages that are +; recorded in the wlf file can be viewed in the MsgViewer) or to both +; the transcript and the wlf file. The valid values are +; tran {transcript only (default)} +; wlf {wlf file only} +; both {transcript and wlf file} +; msgmode = tran + +[utils] +; Default Library Type +; Set to determine the default type for a library created with "vlib" +; 0 - legacy library using subdirectories for design units +; 1 - archive library (deprecated) +; 2 - flat library +; DefaultLibType = 2 + +; Archive Library Compact Value +; Sets compaction trigger for archive libraries. The value is the percentage +; of free space in the archive. +; ArchiveLibCompact = 0.5 + +; Flat Library Page Size +; Set the size in bytes for flat library file pages. Very large libraries +; may benefit from a larger value, at the expense of disk space. +; FlatLibPageSize = 8192 + +; Flat Library Page Cleanup Percentage +; Set the percentage of total pages deleted before library cleanup can occur. +; This setting is applied together with FlatLibPageDeleteThreshold. +; FlatLibPageDeletePercentage = 50 + +; Flat Library Page Cleanup Threshold +; Set the number of pages deleted before library cleanup can occur. +; This setting is applied together with FlatLibPageDeletePercentage. +; FlatLibPageDeleteThreshold = 1000 + diff --git a/firmware/minitlu/simulation/questa/vish_stacktrace.vstf b/firmware/minitlu/simulation/questa/vish_stacktrace.vstf new file mode 100644 index 00000000..605b4499 --- /dev/null +++ b/firmware/minitlu/simulation/questa/vish_stacktrace.vstf @@ -0,0 +1,140 @@ +# Current time Fri Feb 25 16:14:05 2011 +# QuestaSim Stack Trace +# Program = vish +# Id = "6.6d" +# Version = "2010.11" +# Date = "Nov 1 2010" +# Platform = linux +# 0 0x00be3430: '<unknown (@0xbe3430)>' +# 1 0x083c6903: 'TclpObjNormalizePath + 0x41b' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 2 0x083c6c2a: 'TclpMatchInDirectory + 0x292' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 3 0x083a4f62: 'Tcl_FSMatchInDirectory + 0x3e' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 4 0x083927b9: 'TclDoGlob + 0x235' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 5 0x08392b2f: 'TclDoGlob + 0x5ab' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 6 0x08392ec2: 'TclGlob + 0x10e' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 7 0x08393c6f: 'Tcl_GlobObjCmd + 0xa8f' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 8 0x08364625: 'TclEvalObjvInternal + 0x341' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 9 0x08389f57: 'TclExprFloatError + 0x2a1b' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 10 0x0838f03f: 'TclCompEvalObj + 0x107' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 11 0x083b4895: 'TclObjInterpProc + 0x24d' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 12 0x08364625: 'TclEvalObjvInternal + 0x341' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 13 0x08389f57: 'TclExprFloatError + 0x2a1b' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 14 0x0838f03f: 'TclCompEvalObj + 0x107' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 15 0x083b4895: 'TclObjInterpProc + 0x24d' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 16 0x08364625: 'TclEvalObjvInternal + 0x341' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 17 0x08365ab9: 'Tcl_EvalEx + 0x1cd' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 18 0x0836657f: 'Tcl_EvalObjEx + 0x5f' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 19 0x083b3eff: 'Tcl_UplevelObjCmd + 0x93' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 20 0x08364625: 'TclEvalObjvInternal + 0x341' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 21 0x08389f57: 'TclExprFloatError + 0x2a1b' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 22 0x0838f03f: 'TclCompEvalObj + 0x107' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 23 0x083665b8: 'Tcl_EvalObjEx + 0x98' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 24 0x00b6eac0: 'Itcl_EvalMemberCode + 0x17c' in '/net/asimov/users/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/linux/libitcl3.2.so' +# 25 0x00b6f895: 'Itcl_ExecMethod + 0xc1' in '/net/asimov/users/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/linux/libitcl3.2.so' +# 26 0x08364625: 'TclEvalObjvInternal + 0x341' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 27 0x08389f57: 'TclExprFloatError + 0x2a1b' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 28 0x0838f03f: 'TclCompEvalObj + 0x107' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 29 0x083665b8: 'Tcl_EvalObjEx + 0x98' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 30 0x00b6eac0: 'Itcl_EvalMemberCode + 0x17c' in '/net/asimov/users/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/linux/libitcl3.2.so' +# 31 0x00b6f895: 'Itcl_ExecMethod + 0xc1' in '/net/asimov/users/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/linux/libitcl3.2.so' +# 32 0x00b761ed: 'Itcl_EvalArgs + 0x65' in '/net/asimov/users/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/linux/libitcl3.2.so' +# 33 0x00b701ea: 'Itcl_HandleInstance + 0x17e' in '/net/asimov/users/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/linux/libitcl3.2.so' +# 34 0x08364625: 'TclEvalObjvInternal + 0x341' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 35 0x08389f57: 'TclExprFloatError + 0x2a1b' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 36 0x0838f03f: 'TclCompEvalObj + 0x107' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 37 0x083665b8: 'Tcl_EvalObjEx + 0x98' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 38 0x083ab9af: 'Tcl_NamespaceObjCmd + 0xe3b' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 39 0x08364625: 'TclEvalObjvInternal + 0x341' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 40 0x08365ab9: 'Tcl_EvalEx + 0x1cd' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 41 0x083516df: 'Tk_BindEvent + 0xecb' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 42 0x083528f1: 'TkBindEventProc + 0xb1' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 43 0x082d5945: 'Tk_HandleEvent + 0x45d' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 44 0x082d6149: 'TkQueueEventForAllChildren + 0xad' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 45 0x083ac0bc: 'Tcl_ServiceEvent + 0x54' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 46 0x083ac2ee: 'Tcl_DoOneEvent + 0x72' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 47 0x08353144: 'Tk_TkwaitObjCmd + 0x204' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 48 0x08364625: 'TclEvalObjvInternal + 0x341' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 49 0x08389f57: 'TclExprFloatError + 0x2a1b' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 50 0x0838f03f: 'TclCompEvalObj + 0x107' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 51 0x083b4895: 'TclObjInterpProc + 0x24d' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 52 0x08364625: 'TclEvalObjvInternal + 0x341' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 53 0x08389f57: 'TclExprFloatError + 0x2a1b' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 54 0x0838f03f: 'TclCompEvalObj + 0x107' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 55 0x083b4895: 'TclObjInterpProc + 0x24d' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 56 0x08364625: 'TclEvalObjvInternal + 0x341' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 57 0x08365ab9: 'Tcl_EvalEx + 0x1cd' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 58 0x0836657f: 'Tcl_EvalObjEx + 0x5f' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 59 0x08369be5: 'Tcl_EvalObjCmd + 0x45' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 60 0x08364625: 'TclEvalObjvInternal + 0x341' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 61 0x08389f57: 'TclExprFloatError + 0x2a1b' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 62 0x0838f03f: 'TclCompEvalObj + 0x107' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 63 0x083b4895: 'TclObjInterpProc + 0x24d' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 64 0x08364625: 'TclEvalObjvInternal + 0x341' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 65 0x08365ab9: 'Tcl_EvalEx + 0x1cd' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 66 0x0836657f: 'Tcl_EvalObjEx + 0x5f' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 67 0x08369be5: 'Tcl_EvalObjCmd + 0x45' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 68 0x08364625: 'TclEvalObjvInternal + 0x341' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 69 0x08389f57: 'TclExprFloatError + 0x2a1b' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 70 0x0838f03f: 'TclCompEvalObj + 0x107' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 71 0x083b4895: 'TclObjInterpProc + 0x24d' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 72 0x08364625: 'TclEvalObjvInternal + 0x341' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 73 0x08389f57: 'TclExprFloatError + 0x2a1b' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 74 0x0838f03f: 'TclCompEvalObj + 0x107' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 75 0x083665b8: 'Tcl_EvalObjEx + 0x98' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 76 0x082e4cd0: 'TkInvokeButton + 0x4c' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 77 0x082e4ec7: 'TkInvokeButton + 0x243' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 78 0x08364625: 'TclEvalObjvInternal + 0x341' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 79 0x0836638a: 'Tcl_EvalObjv + 0x8a' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 80 0x083666bd: 'Tcl_EvalObjEx + 0x19d' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 81 0x083b3eff: 'Tcl_UplevelObjCmd + 0x93' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 82 0x08364625: 'TclEvalObjvInternal + 0x341' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 83 0x08389f57: 'TclExprFloatError + 0x2a1b' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 84 0x0838f03f: 'TclCompEvalObj + 0x107' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 85 0x083b4895: 'TclObjInterpProc + 0x24d' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 86 0x08364625: 'TclEvalObjvInternal + 0x341' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 87 0x08365ab9: 'Tcl_EvalEx + 0x1cd' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 88 0x083516df: 'Tk_BindEvent + 0xecb' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 89 0x083528f1: 'TkBindEventProc + 0xb1' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 90 0x082d5945: 'Tk_HandleEvent + 0x45d' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 91 0x082d6149: 'TkQueueEventForAllChildren + 0xad' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 92 0x083ac0bc: 'Tcl_ServiceEvent + 0x54' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 93 0x083ac2ee: 'Tcl_DoOneEvent + 0x72' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 94 0x08353144: 'Tk_TkwaitObjCmd + 0x204' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 95 0x08364625: 'TclEvalObjvInternal + 0x341' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 96 0x08389f57: 'TclExprFloatError + 0x2a1b' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 97 0x0838f03f: 'TclCompEvalObj + 0x107' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 98 0x083b4895: 'TclObjInterpProc + 0x24d' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 99 0x08364625: 'TclEvalObjvInternal + 0x341' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 100 0x08389f57: 'TclExprFloatError + 0x2a1b' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 101 0x0838f03f: 'TclCompEvalObj + 0x107' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 102 0x083b4895: 'TclObjInterpProc + 0x24d' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 103 0x08364625: 'TclEvalObjvInternal + 0x341' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 104 0x08389f57: 'TclExprFloatError + 0x2a1b' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 105 0x0838f03f: 'TclCompEvalObj + 0x107' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 106 0x083665b8: 'Tcl_EvalObjEx + 0x98' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 107 0x082ef89b: 'TkInvokeMenu + 0xa3' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 108 0x082f18f4: 'TkFreeMenuReferences + 0x139c' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 109 0x08364625: 'TclEvalObjvInternal + 0x341' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 110 0x0836638a: 'Tcl_EvalObjv + 0x8a' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 111 0x083666bd: 'Tcl_EvalObjEx + 0x19d' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 112 0x083b3eff: 'Tcl_UplevelObjCmd + 0x93' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 113 0x08364625: 'TclEvalObjvInternal + 0x341' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 114 0x08389f57: 'TclExprFloatError + 0x2a1b' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 115 0x0838f03f: 'TclCompEvalObj + 0x107' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 116 0x083b4895: 'TclObjInterpProc + 0x24d' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 117 0x08364625: 'TclEvalObjvInternal + 0x341' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 118 0x08365ab9: 'Tcl_EvalEx + 0x1cd' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 119 0x083516df: 'Tk_BindEvent + 0xecb' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 120 0x083528f1: 'TkBindEventProc + 0xb1' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 121 0x082d5945: 'Tk_HandleEvent + 0x45d' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 122 0x082d6149: 'TkQueueEventForAllChildren + 0xad' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 123 0x083ac0bc: 'Tcl_ServiceEvent + 0x54' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 124 0x083ac2ee: 'Tcl_DoOneEvent + 0x72' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 125 0x082d620d: 'Tk_MainLoop + 0x19' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 126 0x082dd3e0: 'Tk_MainEx + 0x298' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 127 0x0816da78: 'vish_inner_loop + 0x238' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 128 0x0816e78c: 'main + 0x87c' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# 129 0x003f9bb1: '_libc_start_main + 0xe1' in '/lib/libc.so.6' +# 130 0x080bd18c: 'start + 0x1c' in '/software/CAD/Mentor/2010_2011/HDS2008.1b/questasim/bin/../linux/vish' +# End of Stack Trace + diff --git a/firmware/minitlu/simulation/questa/vsim.wlf b/firmware/minitlu/simulation/questa/vsim.wlf new file mode 100644 index 0000000000000000000000000000000000000000..53122dbe80bed5188883e3dbcf2580985d4c202a GIT binary patch literal 32768 zcmeI(Z)_9i9l-JDp6AX!|4HI=;v`Pu<Pu+MppG49&0k`0h=CXgAt3=u0b^n(aqZZN z|AfKXk`;!aQ?-z&uys<)SlSTU6>U<>6s_9C1gxT=<zHJmC_|_~kP0Iu&;}asG3|@J zSYP$ks^23$&)GVibpH6cS6`i`5BZQ6Ata-d4t5z`I686i8y%T+5`<hMTu+y`vt1VO z_w@K8k4}BJG<M#Y$5vP>yfVZh#E|5QkeFQPg^27;BoYr%F8#<b2sf0XG^Aj$B+8Y@ zFqmKwQ@~g>7&8`THfLcgUv{vSSXTKu;VOT>k*)L-HjM0u>x=rk1K7@lI6Ge9u!ejw zs|RUOxX&7o())>8qv4L&W)F(^to3zHYa{Z7V&RCjtdzHvx)E!$q6X*6veMEj3$ksp z_QrkD7{k<9gZ@yQ{i&6#!CVRI2}fdf%$1|+(&`F3PAfstm^U1cb@)-x7xHxb>=<dR zvF;x0s+Fjzt+lc$64->g?SyBnNQI3G+-&u9(0l3W+G5!hLH?-4({70ekhR_8wR(L~ z<nIhctsUL%sH8g<j9X(76b}Wg9sWpn9eyhsX=id-H_CBGdr(EG%^llh=|f%KU}NXy zTE0btD!7~v5wl$8m;1Zpj-V&z4~NvmVci^#1mqru-{kA^4D|WK5&lBzB`5#+EcL{! z6&#z5c;?>Poqr)FvCTwGYY-_aMRFTGtVQzGNL929r8Ut1s>Gz^qg$fw1Hphh#LkmH z)wO^Xnq{UQxyf12R%0X|KuQ^Dk{1^_+2RER7c*Y@B4HuBh~2|1k|8zI<isTjMz0mF zGkS!S>YjKo>T}oeiOyIT+or`o!}*I`NMIHyQuiYz(<|@X<zmYejAdAm(#DpgOHxUu zlu_7!rIIJ{cq}7hW>$)>Zv~0Og0f4jK}k2Id5I?NqtuU`g1QXJj4i2WI3rfJC{s7Z zQAdX_;`6%OR|LcDfwV^F9Cg1krSTwcEQn;PK8=c99=db$LIc-M@G_!NH_b>HF0#H@ zy<FFb3$@J%)oXTV9nLSvMt7XrH#Aw^qRgFoKDAK0QA4uV?bdD2e=3JBaVl+^jQ36X z+ZD4D>Hpw^j<Cn;jz&`N)FV`pw}w?AIZ~z|e<<dQggn9h?mk~Eob_;56>C;wbAy^O z@}IUS<MPLyHSC%cyauT}(Ji5Nx1F6+E96~F#dBnJ=yi9yPyWoFc@i!g8c=dfu}PN6 zNg5Z9W2xZpOF2n6NqyN~cf__(-aVfcBrR@Wj5TGP@j$xF_!DliF@qSN_OgMZI`&ze z%vd51aK@rc#;8DORbD@8qa*o*!T<pf009sH0T2KI5C8!X009sH0T2KI5C8!X009sH z0T2KI5C8!X_%R9KKhr+{FE)>6`xFLmHM7`(Qj|DFB{N8fdkpa$hYhsc%ZR8%VuF@^ zjKzNwkwL_OMV=T45>+^tGcY2)#b^>(OcY_1t{~~`*BD6&BH{#NQV;{{6xGE1h1_h# zt<zt#rso_6mQfH9VS0E|e1IALPvQ(VaJv<M<A<hI?;M;~zhmT8S8v{7&ASwg_hGv3 z;9YiuQJKEr(CrLejgY%`Mqe&swSMC_L}VHLT$YH0@Zw#f*E3{F{eqS$<0q#D+&Ggv zdT&ZSBoS1pK34N3Ym-Dq{~12$(ogC?GpXD~=#O$`{yA}yy(+N6C^?A5ukuv$&#Ny_ zn+wC|%RPruel?hPz1rr?yN+|OJ0GMUot|I2hu4qSWSy%GE}ivId~6pd=6}OhjX3V( zT*@_AHHf#&d+QZt)eYzD@dtCSZk6QcYp)(INNg~#+*hUAp>RwR<zffIxkSDERlEL{ zq_|i!?rP|&o^0qI)VP>KwO1|Yb?Z&c*@jyrR~XMcK7=(_92bU_gKf`D<$dvotiY<; z<JfLsu_&LI+g9GYSIo}2e|KHwoZ4NI0ykXCPMuix`LdmR(G#-HC59Ul>Zak$Zr%1_ z?Qf*<ZKIy}!joA-=G|eOD@ameL;VM1Quc7iKg|~;S9YMFF|1OhA8ox|GBBii^0;_S z%D&)_U2<M)5c$WCIVV=17B@@{<{WK4P<&!gF=iJIoy({nBu}RoXT5#KE{y5)uj%Wa zD>}RE?2!$^&DB|^7RA`kjnhr1&!k>@)ys?x<@~PT$Y9QR+j~oFCDQHQ`T@&m^ULP< z_w}C{cwQRV*80hWf?YPIU2zJ#X7f89w4Qn?%`<ks;E3JuCYRHX4$*;j{+OOb_$?p+ z0w4eaAOHd&00JNY0w4eaAOHd&00JNY0w4eaAOHd&00JNY0wD0i1aKGa|Cj%}|F1<{ zmMpaeWpNnMs!v<LvOih=Y4~SU^acN9_NVcmJj(t8Do9p;4bA?^>R(9Glht2CvwyPs zi!}Sw>Yu%pX8+T;l~(`zOZgzbMWo%I!I(`(f13QY+@s{*tlCYJ|6-n)&(Y*BB$IzL z*>IP&Emo3Ww$kJu*YYFTYi9~+^{3HaldS$U`u8WRKaKu5p>ygZDt?9#fmVMS{hL)C z_tZmHrt-A<*q7@2g~{gc!Uy$}%z<f>N{c4s&8qy#jM2q3`R^w*`RA){tty{)vqD&! zcU@a;qpg2W?)3+GSLW9i@r9_(9(<wTe--}HdC9_Wtp2X>=O;pi-^Tq9zm5ANcKuwJ z;$oSup=+>u%7HYl;bh!js#`y$V9wqmXCB3U=~3MGD+dkppOx%yWCdQTJ#IHRl5u}- z+gN#Tv6zkj`tG{Q+FeQocC8ty{;F~3yXc8lS?5;ki?fqme<IoS-JbZ(g(o@v=v|yU zn(X?k7kzNpeBoS3<)k^E*8Fmn>&fH%$JrOo_+!p%FD7e#>%xh*bB+#sx{6OYXM3kJ z>!%)te0gt6t+3hkb0%(BJ+e-C@LEnq!I9ydal;X9-EVKZ^k)YwHsj6MuZw%m4m@8t zu#FQVA5GMJvZM0=vFln}Pr2~AeZOeCx%s_UXs=Jcajx6=!}cm%4FVtl0w4eaAOHd& z00JNY0w4eaAOHd&00JNY0w4eaAOHd&00JNY0wD1H0?_}V|3m-({^tYEfB*=900@8p p2!H?xfB*=900@8p2!H?xfB*=900@8p2!H?xfB*=9!2gH9e*i*sX>|Yq literal 0 HcmV?d00001 diff --git a/firmware/minitlu/simulation/scripts/README b/firmware/minitlu/simulation/scripts/README new file mode 100644 index 00000000..0a4c8cca --- /dev/null +++ b/firmware/minitlu/simulation/scripts/README @@ -0,0 +1,6 @@ + +add_files.tcl - Works, but crude + +addfiles_sim.tcl - Dave N's script. ( used as basis for add_files.tcl ) + +setup.sh - Dave N's script to build Modelsim FLI MAC hardware. Doesn't work yet diff --git a/firmware/minitlu/simulation/scripts/add_files.tcl b/firmware/minitlu/simulation/scripts/add_files.tcl new file mode 100644 index 00000000..86382b5e --- /dev/null +++ b/firmware/minitlu/simulation/scripts/add_files.tcl @@ -0,0 +1,86 @@ +set xlib_vhdl $::env(ISE_VHDL_MTI) +set xlib_vlog $::env(ISE_VLOG_MTI) + +project new ./ fmc_tlu_sim +vmap unisim $xlib_vhdl/unisim +vmap unimacro $xlib_vhdl/unimacro +vmap secureip $xlib_vlog/secureip +vmap xilinxcorelib $xlib_vhdl/xilinxcorelib + +project addfile $::env(FW_WORKSPACE)/workspace/ipbus/firmware/ipbus_core/hdl/ipbus_trans_decl.vhd +project addfile $::env(FW_WORKSPACE)/workspace/ipbus/firmware/ipbus_core/hdl/ipbus_package.vhd +project addfile $::env(FW_WORKSPACE)/workspace/ipbus/firmware/ipbus_core/hdl/udp_tx_mux.vhd +project addfile $::env(FW_WORKSPACE)/workspace/ipbus/firmware/ipbus_core/hdl/udp_txtransactor_if_simple.vhd +project addfile $::env(FW_WORKSPACE)/workspace/ipbus/firmware/ipbus_core/hdl/udp_status_buffer.vhd +project addfile $::env(FW_WORKSPACE)/workspace/ipbus/firmware/ipbus_core/hdl/udp_rxtransactor_if_simple.vhd +project addfile $::env(FW_WORKSPACE)/workspace/ipbus/firmware/ipbus_core/hdl/udp_rxram_shim.vhd +project addfile $::env(FW_WORKSPACE)/workspace/ipbus/firmware/ipbus_core/hdl/udp_rxram_mux.vhd +project addfile $::env(FW_WORKSPACE)/workspace/ipbus/firmware/ipbus_core/hdl/udp_rarp_block.vhd +project addfile $::env(FW_WORKSPACE)/workspace/ipbus/firmware/ipbus_core/hdl/udp_packet_parser.vhd +project addfile $::env(FW_WORKSPACE)/workspace/ipbus/firmware/ipbus_core/hdl/udp_ipaddr_block.vhd +project addfile $::env(FW_WORKSPACE)/workspace/ipbus/firmware/ipbus_core/hdl/udp_dualportram_tx.vhd +project addfile $::env(FW_WORKSPACE)/workspace/ipbus/firmware/ipbus_core/hdl/udp_dualportram_rx.vhd +project addfile $::env(FW_WORKSPACE)/workspace/ipbus/firmware/ipbus_core/hdl/udp_dualportram.vhd +project addfile $::env(FW_WORKSPACE)/workspace/ipbus/firmware/ipbus_core/hdl/udp_do_rx_reset.vhd +project addfile $::env(FW_WORKSPACE)/workspace/ipbus/firmware/ipbus_core/hdl/udp_clock_crossing_if.vhd +project addfile $::env(FW_WORKSPACE)/workspace/ipbus/firmware/ipbus_core/hdl/udp_byte_sum.vhd +project addfile $::env(FW_WORKSPACE)/workspace/ipbus/firmware/ipbus_core/hdl/udp_build_status.vhd +project addfile $::env(FW_WORKSPACE)/workspace/ipbus/firmware/ipbus_core/hdl/udp_build_resend.vhd +project addfile $::env(FW_WORKSPACE)/workspace/ipbus/firmware/ipbus_core/hdl/udp_build_ping.vhd +project addfile $::env(FW_WORKSPACE)/workspace/ipbus/firmware/ipbus_core/hdl/udp_build_payload.vhd +project addfile $::env(FW_WORKSPACE)/workspace/ipbus/firmware/ipbus_core/hdl/udp_build_arp.vhd +project addfile $::env(FW_WORKSPACE)/workspace/ipbus/firmware/ipbus_core/hdl/udp_buffer_selector.vhd +project addfile $::env(FW_WORKSPACE)/workspace/ipbus/firmware/ipbus_core/hdl/transactor_sm.vhd +project addfile $::env(FW_WORKSPACE)/workspace/ipbus/firmware/ipbus_core/hdl/transactor_if.vhd +project addfile $::env(FW_WORKSPACE)/workspace/ipbus/firmware/ipbus_core/hdl/transactor_cfg.vhd +project addfile $::env(FW_WORKSPACE)/workspace/ipbus/firmware/example_designs/hdl/clock_div.vhd +project addfile $::env(FW_WORKSPACE)/workspace/ipcore_dir/tri_mode_eth_mac_v5_4.vhd +project addfile $::env(FW_WORKSPACE)/workspace/ipcore_dir/mac_fifo_axi4.vhd +project addfile $::env(FW_WORKSPACE)/workspace/ipbus/firmware/ipbus_core/hdl/udp_if_flat.vhd +project addfile $::env(FW_WORKSPACE)/workspace/ipbus/firmware/ipbus_core/hdl/trans_arb.vhd +project addfile $::env(FW_WORKSPACE)/workspace/ipbus/firmware/ipbus_core/hdl/transactor.vhd +project addfile $::env(FW_WORKSPACE)/workspace/ipbus/firmware/ipbus_core/hdl/stretcher.vhd +project addfile $::env(FW_WORKSPACE)/workspace/ipbus/firmware/ethernet/hdl/emac_hostbus_decl.vhd +project addfile $::env(FW_WORKSPACE)/workspace/fmc-mtlu/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/fmcTLU_pkg.vhd +project addfile $::env(FW_WORKSPACE)/workspace/fmc-mtlu/firmware/hdl/common/ipbus_addr_decode.vhd +project addfile $::env(FW_WORKSPACE)/workspace/external/opencores_i2c/i2c_master_registers.vhd +project addfile $::env(FW_WORKSPACE)/workspace/external/opencores_i2c/i2c_master_byte_ctrl.vhd +project addfile $::env(FW_WORKSPACE)/workspace/external/opencores_i2c/i2c_master_bit_ctrl.vhd +project addfile $::env(FW_WORKSPACE)/workspace/ipbus/firmware/slaves/hdl/syncreg_w.vhd +project addfile $::env(FW_WORKSPACE)/workspace/ipbus/firmware/slaves/hdl/syncreg_r.vhd +project addfile $::env(FW_WORKSPACE)/workspace/ipbus/firmware/slaves/hdl/ipbus_reg_types.vhd +project addfile $::env(FW_WORKSPACE)/workspace/fmc-mtlu/firmware/hdl/common/counterWithReset_rtl.vhd +project addfile $::env(FW_WORKSPACE)/workspace/ipcore_dir/tlu_event_fifo.vhd +project addfile $::env(FW_WORKSPACE)/workspace/ipcore_dir/internalTriggerGenerator.vhd +project addfile $::env(FW_WORKSPACE)/workspace/ipcore_dir/FIFO.vhd +project addfile $::env(FW_WORKSPACE)/workspace/ipbus/firmware/ipbus_core/hdl/ipbus_fabric.vhd +project addfile $::env(FW_WORKSPACE)/workspace/ipbus/firmware/ipbus_core/hdl/ipbus_ctrl.vhd +project addfile $::env(FW_WORKSPACE)/workspace/ipbus/firmware/ethernet/hdl/eth_s6_gmii.vhd +project addfile $::env(FW_WORKSPACE)/workspace/fmc-mtlu/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/fmcTLU_pkg_body.vhd +project addfile $::env(FW_WORKSPACE)/workspace/fmc-mtlu/firmware/hdl/common/registerCounter_rtl.vhd +project addfile $::env(FW_WORKSPACE)/workspace/fmc-mtlu/firmware/hdl/common/ipbus_ver.vhd +project addfile $::env(FW_WORKSPACE)/workspace/fmc-mtlu/firmware/hdl/common/dualSERDES_1to4_rtl.vhd +project addfile $::env(FW_WORKSPACE)/workspace/fmc-mtlu/firmware/hdl/common/clocks_s6_extphy.vhd +project addfile $::env(FW_WORKSPACE)/workspace/fmc-mtlu/firmware/hdl/common/arrivalTimeLUT_rtl.vhd +project addfile $::env(FW_WORKSPACE)/workspace/external/opencores_i2c/i2c_master_top.vhd +project addfile $::env(FW_WORKSPACE)/workspace/ipbus/firmware/slaves/hdl/ipbus_syncreg_v.vhd +project addfile $::env(FW_WORKSPACE)/workspace/fmc-mtlu/firmware/hdl/common/triggerLogic_rtl.vhd +project addfile $::env(FW_WORKSPACE)/workspace/fmc-mtlu/firmware/hdl/common/triggerInputs_rtl.vhd +project addfile $::env(FW_WORKSPACE)/workspace/fmc-mtlu/firmware/hdl/common/logic_clocks_rtl.vhd +project addfile $::env(FW_WORKSPACE)/workspace/fmc-mtlu/firmware/hdl/common/IPBusInterface_rtl.vhd +project addfile $::env(FW_WORKSPACE)/workspace/fmc-mtlu/firmware/hdl/common/i2c_master_rtl.vhd +project addfile $::env(FW_WORKSPACE)/workspace/fmc-mtlu/firmware/hdl/common/eventFormatter_rtl.vhd +project addfile $::env(FW_WORKSPACE)/workspace/fmc-mtlu/firmware/hdl/common/eventBuffer_rtl.vhd +project addfile $::env(FW_WORKSPACE)/workspace/fmc-mtlu/firmware/hdl/common/DUTInterfaces_rtl.vhd +project addfile $::env(FW_WORKSPACE)/workspace/fmc-mtlu/firmware/hdl/common/synchronizeRegisters_rtl.vhd +project addfile $::env(FW_WORKSPACE)/workspace/fmc-mtlu/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/top_extphy_struct.vhd +project addfile $::env(FW_WORKSPACE)/workspace/fmc-mtlu/firmware/hdl/test/clock_divider_s6.v +project addfile $::env(FW_WORKSPACE)/workspace/ipbus/firmware/slaves/hdl/ipbus_syncreg_v.vhd +project addfile $::env(FW_WORKSPACE)/workspace/ipbus/firmware/slaves/hdl/ipbus_ctrlreg_v.vhd +project addfile $::env(FW_WORKSPACE)/workspace/fmc-mtlu/firmware/simulation_src/fmc-tlu_v0-1_test-bench.vhd +project addfile $::env(FW_WORKSPACE)/workspace/fmc-mtlu/firmware/simulation_src/pmtPulseGenerator_rtl.vhd + +project calculateorder +project close +quit + diff --git a/firmware/minitlu/simulation/scripts/addfiles_sim.tcl b/firmware/minitlu/simulation/scripts/addfiles_sim.tcl new file mode 100644 index 00000000..128b3fbf --- /dev/null +++ b/firmware/minitlu/simulation/scripts/addfiles_sim.tcl @@ -0,0 +1,38 @@ +# Horrible hacky TCL script to build ISE project from hierarchy of source lists + +proc dofile {f} { + set fp [open $f r] + set files [read $fp] + close $fp + foreach f_line [split $files "\n"] { + if {$f_line == "" || [string index $f_line 0] == "#"} { + continue + } + set l [split $f_line] + set cmd [lindex $l 0] + set arg1 [lindex $l 1] + set arg2 [lindex $l 2] + set f_list [glob $::env(REPOS_FW_DIR)/$arg1] + foreach f_loc $f_list { + set f_loc_s [exec basename $f_loc] + if {$cmd == "hdl"} { + addfile $f_loc $arg2 + } elseif {$cmd == "core"} { + addcore $f_loc $arg2 + } elseif {$cmd == "include"} { + dofile $f_loc + } + } + } +} + +proc addfile {f lib} { + project addfile $f +} + +proc addcore {f lib} { + addfile [file rootname $f].vhd $lib +} + +dofile $::env(REPOS_BUILD_DIR)/file_list + diff --git a/firmware/minitlu/simulation/scripts/file_list b/firmware/minitlu/simulation/scripts/file_list new file mode 100644 index 00000000..04242c09 --- /dev/null +++ b/firmware/minitlu/simulation/scripts/file_list @@ -0,0 +1,67 @@ +hdl $FW_WORKSPACE/workspace/ipbus/firmware/ipbus_core/hdl/ipbus_trans_decl.vhd +hdl $FW_WORKSPACE/workspace/ipbus/firmware/ipbus_core/hdl/ipbus_package.vhd +hdl $FW_WORKSPACE/workspace/ipbus/firmware/ipbus_core/hdl/udp_tx_mux.vhd +hdl $FW_WORKSPACE/workspace/ipbus/firmware/ipbus_core/hdl/udp_txtransactor_if_simple.vhd +hdl $FW_WORKSPACE/workspace/ipbus/firmware/ipbus_core/hdl/udp_status_buffer.vhd +hdl $FW_WORKSPACE/workspace/ipbus/firmware/ipbus_core/hdl/udp_rxtransactor_if_simple.vhd +hdl $FW_WORKSPACE/workspace/ipbus/firmware/ipbus_core/hdl/udp_rxram_shim.vhd +hdl $FW_WORKSPACE/workspace/ipbus/firmware/ipbus_core/hdl/udp_rxram_mux.vhd +hdl $FW_WORKSPACE/workspace/ipbus/firmware/ipbus_core/hdl/udp_rarp_block.vhd +hdl $FW_WORKSPACE/workspace/ipbus/firmware/ipbus_core/hdl/udp_packet_parser.vhd +hdl $FW_WORKSPACE/workspace/ipbus/firmware/ipbus_core/hdl/udp_ipaddr_block.vhd +hdl $FW_WORKSPACE/workspace/ipbus/firmware/ipbus_core/hdl/udp_dualportram_tx.vhd +hdl $FW_WORKSPACE/workspace/ipbus/firmware/ipbus_core/hdl/udp_dualportram_rx.vhd +hdl $FW_WORKSPACE/workspace/ipbus/firmware/ipbus_core/hdl/udp_dualportram.vhd +hdl $FW_WORKSPACE/workspace/ipbus/firmware/ipbus_core/hdl/udp_do_rx_reset.vhd +hdl $FW_WORKSPACE/workspace/ipbus/firmware/ipbus_core/hdl/udp_clock_crossing_if.vhd +hdl $FW_WORKSPACE/workspace/ipbus/firmware/ipbus_core/hdl/udp_byte_sum.vhd +hdl $FW_WORKSPACE/workspace/ipbus/firmware/ipbus_core/hdl/udp_build_status.vhd +hdl $FW_WORKSPACE/workspace/ipbus/firmware/ipbus_core/hdl/udp_build_resend.vhd +hdl $FW_WORKSPACE/workspace/ipbus/firmware/ipbus_core/hdl/udp_build_ping.vhd +hdl $FW_WORKSPACE/workspace/ipbus/firmware/ipbus_core/hdl/udp_build_payload.vhd +hdl $FW_WORKSPACE/workspace/ipbus/firmware/ipbus_core/hdl/udp_build_arp.vhd +hdl $FW_WORKSPACE/workspace/ipbus/firmware/ipbus_core/hdl/udp_buffer_selector.vhd +hdl $FW_WORKSPACE/workspace/ipbus/firmware/ipbus_core/hdl/transactor_sm.vhd +hdl $FW_WORKSPACE/workspace/ipbus/firmware/ipbus_core/hdl/transactor_if.vhd +hdl $FW_WORKSPACE/workspace/ipbus/firmware/ipbus_core/hdl/transactor_cfg.vhd +hdl $FW_WORKSPACE/workspace/ipbus/firmware/example_designs/hdl/clock_div.vhd +hdl $FW_WORKSPACE/workspace/ipcore_dir/tri_mode_eth_mac_v5_4.vhd +hdl $FW_WORKSPACE/workspace/ipcore_dir/mac_fifo_axi4.vhd +hdl $FW_WORKSPACE/workspace/ipbus/firmware/ipbus_core/hdl/udp_if_flat.vhd +hdl $FW_WORKSPACE/workspace/ipbus/firmware/ipbus_core/hdl/trans_arb.vhd +hdl $FW_WORKSPACE/workspace/ipbus/firmware/ipbus_core/hdl/transactor.vhd +hdl $FW_WORKSPACE/workspace/ipbus/firmware/ipbus_core/hdl/stretcher.vhd +hdl $FW_WORKSPACE/workspace/ipbus/firmware/ethernet/hdl/emac_hostbus_decl.vhd +hdl $FW_WORKSPACE/workspace/fmc-mtlu/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/fmcTLU_pkg.vhd +hdl $FW_WORKSPACE/workspace/fmc-mtlu/firmware/hdl/common/ipbus_addr_decode.vhd +hdl $FW_WORKSPACE/workspace/external/opencores_i2c/i2c_master_registers.vhd +hdl $FW_WORKSPACE/workspace/external/opencores_i2c/i2c_master_byte_ctrl.vhd +hdl $FW_WORKSPACE/workspace/external/opencores_i2c/i2c_master_bit_ctrl.vhd +hdl $FW_WORKSPACE/workspace/ipbus/firmware/slaves/hdl/syncreg_w.vhd +hdl $FW_WORKSPACE/workspace/ipbus/firmware/slaves/hdl/syncreg_r.vhd +hdl $FW_WORKSPACE/workspace/ipbus/firmware/slaves/hdl/ipbus_reg_types.vhd +hdl $FW_WORKSPACE/workspace/fmc-mtlu/firmware/hdl/common/counterWithReset_rtl.vhd +hdl $FW_WORKSPACE/workspace/ipcore_dir/tlu_event_fifo.vhd +hdl $FW_WORKSPACE/workspace/ipcore_dir/internalTriggerGenerator.vhd +hdl $FW_WORKSPACE/workspace/ipcore_dir/FIFO.vhd +hdl $FW_WORKSPACE/workspace/ipbus/firmware/ipbus_core/hdl/ipbus_fabric.vhd +hdl $FW_WORKSPACE/workspace/ipbus/firmware/ipbus_core/hdl/ipbus_ctrl.vhd +hdl $FW_WORKSPACE/workspace/ipbus/firmware/ethernet/hdl/eth_s6_gmii.vhd +hdl $FW_WORKSPACE/workspace/fmc-mtlu/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/fmcTLU_pkg_body.vhd +hdl $FW_WORKSPACE/workspace/fmc-mtlu/firmware/hdl/common/registerCounter_rtl.vhd +hdl $FW_WORKSPACE/workspace/fmc-mtlu/firmware/hdl/common/ipbus_ver.vhd +hdl $FW_WORKSPACE/workspace/fmc-mtlu/firmware/hdl/common/dualSERDES_1to4_rtl.vhd +hdl $FW_WORKSPACE/workspace/fmc-mtlu/firmware/hdl/common/clocks_s6_extphy.vhd +hdl $FW_WORKSPACE/workspace/fmc-mtlu/firmware/hdl/common/arrivalTimeLUT_rtl.vhd +hdl $FW_WORKSPACE/workspace/external/opencores_i2c/i2c_master_top.vhd +hdl $FW_WORKSPACE/workspace/ipbus/firmware/slaves/hdl/ipbus_syncreg_v.vhd +hdl $FW_WORKSPACE/workspace/fmc-mtlu/firmware/hdl/common/triggerLogic_rtl.vhd +hdl $FW_WORKSPACE/workspace/fmc-mtlu/firmware/hdl/common/triggerInputs_rtl.vhd +hdl $FW_WORKSPACE/workspace/fmc-mtlu/firmware/hdl/common/logic_clocks_rtl.vhd +hdl $FW_WORKSPACE/workspace/fmc-mtlu/firmware/hdl/common/IPBusInterface_rtl.vhd +hdl $FW_WORKSPACE/workspace/fmc-mtlu/firmware/hdl/common/i2c_master_rtl.vhd +hdl $FW_WORKSPACE/workspace/fmc-mtlu/firmware/hdl/common/eventFormatter_rtl.vhd +hdl $FW_WORKSPACE/workspace/fmc-mtlu/firmware/hdl/common/eventBuffer_rtl.vhd +hdl $FW_WORKSPACE/workspace/fmc-mtlu/firmware/hdl/common/DUTInterfaces_rtl.vhd +hdl $FW_WORKSPACE/workspace/fmc-mtlu/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/top_extphy_struct.vhd +hdl $FW_WORKSPACE/workspace/fmc-mtlu/firmware/hdl/test/clock_divider_s6.v diff --git a/firmware/minitlu/simulation/scripts/file_list.sav b/firmware/minitlu/simulation/scripts/file_list.sav new file mode 100644 index 00000000..a071b636 --- /dev/null +++ b/firmware/minitlu/simulation/scripts/file_list.sav @@ -0,0 +1,7 @@ +hdl ipbus/firmware/sim/hdl/top_sim.vhd +hdl ipbus/firmware/sim/hdl/clock_sim.vhd +hdl ipbus/firmware/ethernet/sim/eth_mac_sim.vhd +hdl ipbus/firmware/ethernet/hdl/emac_hostbus_decl.vhd +include ipbus/firmware/ipbus_core/cfg/file_list +include ipbus/firmware/example_designs/cfg/file_list + diff --git a/firmware/minitlu/simulation/scripts/files.txt b/firmware/minitlu/simulation/scripts/files.txt new file mode 100644 index 00000000..2c0379cd --- /dev/null +++ b/firmware/minitlu/simulation/scripts/files.txt @@ -0,0 +1,68 @@ +$FW_WORKSPACE/workspace/ipbus/firmware/ipbus_core/hdl/ipbus_trans_decl.vhd +$FW_WORKSPACE/workspace/ipbus/firmware/ipbus_core/hdl/ipbus_package.vhd +$FW_WORKSPACE/workspace/ipbus/firmware/ipbus_core/hdl/udp_tx_mux.vhd +$FW_WORKSPACE/workspace/ipbus/firmware/ipbus_core/hdl/udp_txtransactor_if_simple.vhd +$FW_WORKSPACE/workspace/ipbus/firmware/ipbus_core/hdl/udp_status_buffer.vhd +$FW_WORKSPACE/workspace/ipbus/firmware/ipbus_core/hdl/udp_rxtransactor_if_simple.vhd +$FW_WORKSPACE/workspace/ipbus/firmware/ipbus_core/hdl/udp_rxram_shim.vhd +$FW_WORKSPACE/workspace/ipbus/firmware/ipbus_core/hdl/udp_rxram_mux.vhd +$FW_WORKSPACE/workspace/ipbus/firmware/ipbus_core/hdl/udp_rarp_block.vhd +$FW_WORKSPACE/workspace/ipbus/firmware/ipbus_core/hdl/udp_packet_parser.vhd +$FW_WORKSPACE/workspace/ipbus/firmware/ipbus_core/hdl/udp_ipaddr_block.vhd +$FW_WORKSPACE/workspace/ipbus/firmware/ipbus_core/hdl/udp_dualportram_tx.vhd +$FW_WORKSPACE/workspace/ipbus/firmware/ipbus_core/hdl/udp_dualportram_rx.vhd +$FW_WORKSPACE/workspace/ipbus/firmware/ipbus_core/hdl/udp_dualportram.vhd +$FW_WORKSPACE/workspace/ipbus/firmware/ipbus_core/hdl/udp_do_rx_reset.vhd +$FW_WORKSPACE/workspace/ipbus/firmware/ipbus_core/hdl/udp_clock_crossing_if.vhd +$FW_WORKSPACE/workspace/ipbus/firmware/ipbus_core/hdl/udp_byte_sum.vhd +$FW_WORKSPACE/workspace/ipbus/firmware/ipbus_core/hdl/udp_build_status.vhd +$FW_WORKSPACE/workspace/ipbus/firmware/ipbus_core/hdl/udp_build_resend.vhd +$FW_WORKSPACE/workspace/ipbus/firmware/ipbus_core/hdl/udp_build_ping.vhd +$FW_WORKSPACE/workspace/ipbus/firmware/ipbus_core/hdl/udp_build_payload.vhd +$FW_WORKSPACE/workspace/ipbus/firmware/ipbus_core/hdl/udp_build_arp.vhd +$FW_WORKSPACE/workspace/ipbus/firmware/ipbus_core/hdl/udp_buffer_selector.vhd +$FW_WORKSPACE/workspace/ipbus/firmware/ipbus_core/hdl/transactor_sm.vhd +$FW_WORKSPACE/workspace/ipbus/firmware/ipbus_core/hdl/transactor_if.vhd +$FW_WORKSPACE/workspace/ipbus/firmware/ipbus_core/hdl/transactor_cfg.vhd +$FW_WORKSPACE/workspace/ipbus/firmware/example_designs/hdl/clock_div.vhd +$FW_WORKSPACE/workspace/ipcore_dir/tri_mode_eth_mac_v5_4.vhd +$FW_WORKSPACE/workspace/ipcore_dir/mac_fifo_axi4.vhd +$FW_WORKSPACE/workspace/ipbus/firmware/ipbus_core/hdl/udp_if_flat.vhd +$FW_WORKSPACE/workspace/ipbus/firmware/ipbus_core/hdl/trans_arb.vhd +$FW_WORKSPACE/workspace/ipbus/firmware/ipbus_core/hdl/transactor.vhd +$FW_WORKSPACE/workspace/ipbus/firmware/ipbus_core/hdl/stretcher.vhd +$FW_WORKSPACE/workspace/ipbus/firmware/ethernet/hdl/emac_hostbus_decl.vhd +$FW_WORKSPACE/workspace/fmc-mtlu/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/fmcTLU_pkg.vhd +$FW_WORKSPACE/workspace/fmc-mtlu/firmware/hdl/common/ipbus_addr_decode.vhd +$FW_WORKSPACE/workspace/external/opencores_i2c/i2c_master_registers.vhd +$FW_WORKSPACE/workspace/external/opencores_i2c/i2c_master_byte_ctrl.vhd +$FW_WORKSPACE/workspace/external/opencores_i2c/i2c_master_bit_ctrl.vhd +$FW_WORKSPACE/workspace/ipbus/firmware/slaves/hdl/syncreg_w.vhd +$FW_WORKSPACE/workspace/ipbus/firmware/slaves/hdl/syncreg_r.vhd +$FW_WORKSPACE/workspace/ipbus/firmware/slaves/hdl/ipbus_reg_types.vhd +$FW_WORKSPACE/workspace/fmc-mtlu/firmware/hdl/common/counterWithReset_rtl.vhd +$FW_WORKSPACE/workspace/ipcore_dir/tlu_event_fifo.vhd +$FW_WORKSPACE/workspace/ipcore_dir/internalTriggerGenerator.vhd +$FW_WORKSPACE/workspace/ipcore_dir/FIFO.vhd +$FW_WORKSPACE/workspace/ipbus/firmware/ipbus_core/hdl/ipbus_fabric.vhd +$FW_WORKSPACE/workspace/ipbus/firmware/ipbus_core/hdl/ipbus_ctrl.vhd +$FW_WORKSPACE/workspace/ipbus/firmware/ethernet/hdl/eth_s6_gmii.vhd +$FW_WORKSPACE/workspace/fmc-mtlu/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/fmcTLU_pkg_body.vhd +$FW_WORKSPACE/workspace/fmc-mtlu/firmware/hdl/common/registerCounter_rtl.vhd +$FW_WORKSPACE/workspace/fmc-mtlu/firmware/hdl/common/ipbus_ver.vhd +$FW_WORKSPACE/workspace/fmc-mtlu/firmware/hdl/common/dualSERDES_1to4_rtl.vhd +$FW_WORKSPACE/workspace/fmc-mtlu/firmware/hdl/common/clocks_s6_extphy.vhd +$FW_WORKSPACE/workspace/fmc-mtlu/firmware/hdl/common/arrivalTimeLUT_rtl.vhd +$FW_WORKSPACE/workspace/external/opencores_i2c/i2c_master_top.vhd +$FW_WORKSPACE/workspace/ipbus/firmware/slaves/hdl/ipbus_syncreg_v.vhd +$FW_WORKSPACE/workspace/fmc-mtlu/firmware/hdl/common/triggerLogic_rtl.vhd +$FW_WORKSPACE/workspace/fmc-mtlu/firmware/hdl/common/triggerInputs_rtl.vhd +$FW_WORKSPACE/workspace/fmc-mtlu/firmware/hdl/common/logic_clocks_rtl.vhd +$FW_WORKSPACE/workspace/fmc-mtlu/firmware/hdl/common/IPBusInterface_rtl.vhd +$FW_WORKSPACE/workspace/fmc-mtlu/firmware/hdl/common/i2c_master_rtl.vhd +$FW_WORKSPACE/workspace/fmc-mtlu/firmware/hdl/common/eventFormatter_rtl.vhd +$FW_WORKSPACE/workspace/fmc-mtlu/firmware/hdl/common/eventBuffer_rtl.vhd +$FW_WORKSPACE/workspace/fmc-mtlu/firmware/hdl/common/DUTInterfaces_rtl.vhd +$FW_WORKSPACE/workspace/fmc-mtlu/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/top_extphy_struct.vhd +$FW_WORKSPACE/workspace/fmc-mtlu/firmware/hdl/test/clock_divider_s6.v + diff --git a/firmware/minitlu/simulation/scripts/fmc_tlu_sim.cr.mti b/firmware/minitlu/simulation/scripts/fmc_tlu_sim.cr.mti new file mode 100644 index 00000000..8f30acbb --- /dev/null +++ b/firmware/minitlu/simulation/scripts/fmc_tlu_sim.cr.mti @@ -0,0 +1,717 @@ +/automount/users/phdgc/IPBus_stuff/fmc_tlu_test/external/opencores_i2c/i2c_master_top.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/external/opencores_i2c/i2c_master_top.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Loading package std_logic_arith +-- Loading package STD_LOGIC_UNSIGNED +-- Compiling entity i2c_master_top +-- Compiling architecture arch of i2c_master_top + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/triggerInputs_rtl.vhd {0 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/triggerInputs_rtl.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Loading package NUMERIC_STD +-- Loading package ipbus +-- Loading package fmcTLU +-- Loading package VCOMPONENTS +-- Compiling entity triggerInputs +-- Compiling architecture rtl of triggerInputs +-- Loading entity dualSERDES_1to4 +-- Loading entity arrivalTimeLUT +** Error: /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/triggerInputs_rtl.vhd(174): (vcom-1436) Actual expression (infix expression) of formal "deserialized_data_i" is not globally static. +** Error: /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/triggerInputs_rtl.vhd(207): (vcom-1436) Actual expression (infix expression) of formal "deserialized_data_i" is not globally static. +** Error: /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/triggerInputs_rtl.vhd(228): VHDL Compiler exiting + +} {14.0 17.0} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/top_extphy_struct.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/top_extphy_struct.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Loading package NUMERIC_STD +-- Compiling entity top_extphy +-- Loading package ipbus +-- Loading package emac_hostbus_decl +-- Loading package fmcTLU +-- Loading package VCOMPONENTS +-- Compiling architecture struct of top_extphy +-- Loading entity DUTInterfaces +-- Loading entity IPBusInterface +-- Loading entity eventBuffer +-- Loading entity eventFormatter +-- Loading entity i2c_master +-- Loading entity logic_clocks +-- Loading entity triggerInputs +-- Loading entity triggerLogic + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/i2c_master_rtl.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/i2c_master_rtl.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Loading package NUMERIC_STD +-- Loading package ipbus +-- Compiling entity i2c_master +-- Compiling architecture rtl of i2c_master +-- Loading package std_logic_arith +-- Loading package STD_LOGIC_UNSIGNED +-- Loading entity i2c_master_top + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/DUTInterfaces_rtl.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/DUTInterfaces_rtl.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Loading package NUMERIC_STD +-- Loading package ipbus +-- Loading package VCOMPONENTS +-- Compiling entity DUTInterfaces +-- Compiling architecture rtl of DUTInterfaces + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/external/opencores_i2c/i2c_master_byte_ctrl.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/external/opencores_i2c/i2c_master_byte_ctrl.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Loading package std_logic_arith +-- Loading package STD_LOGIC_UNSIGNED +-- Compiling entity i2c_master_byte_ctrl +-- Compiling architecture arch of i2c_master_byte_ctrl + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/synchronizeRegisters_rtl.vhd {0 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/synchronizeRegisters_rtl.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +** Error: (vcom-7) Failed to open design unit file "/automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/synchronizeRegisters_rtl.vhd" in read mode. +No such file or directory. (errno = ENOENT) + +} {3.0 4.0} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/slaves/hdl/syncreg_w.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/slaves/hdl/syncreg_w.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Compiling entity syncreg_w +-- Compiling architecture rtl of syncreg_w + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/external/opencores_i2c/i2c_master_bit_ctrl.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/external/opencores_i2c/i2c_master_bit_ctrl.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Loading package std_logic_arith +-- Loading package STD_LOGIC_UNSIGNED +-- Compiling entity i2c_master_bit_ctrl +-- Compiling architecture arch of i2c_master_bit_ctrl + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_dualportram_tx.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_dualportram_tx.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Loading package NUMERIC_STD +-- Compiling entity udp_DualPortRAM_tx +-- Compiling architecture v3 of udp_DualPortRAM_tx + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ethernet/hdl/emac_hostbus_decl.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ethernet/hdl/emac_hostbus_decl.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Compiling package emac_hostbus_decl + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/ipbus_trans_decl.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/ipbus_trans_decl.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Compiling package ipbus_trans_decl + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_build_payload.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_build_payload.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Loading package NUMERIC_STD +-- Compiling entity udp_build_payload +-- Compiling architecture rtl of udp_build_payload + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_rxtransactor_if_simple.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_rxtransactor_if_simple.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Loading package NUMERIC_STD +-- Compiling entity udp_rxtransactor_if +-- Compiling architecture simple of udp_rxtransactor_if + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_buffer_selector.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_buffer_selector.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Loading package NUMERIC_STD +-- Compiling entity udp_buffer_selector +-- Compiling architecture simple of udp_buffer_selector + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_rxram_mux.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_rxram_mux.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Loading package NUMERIC_STD +-- Compiling entity udp_rxram_mux +-- Compiling architecture rtl of udp_rxram_mux + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/external/opencores_i2c/i2c_master_registers.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/external/opencores_i2c/i2c_master_registers.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Loading package std_logic_arith +-- Loading package STD_LOGIC_UNSIGNED +-- Compiling entity i2c_master_registers +-- Compiling architecture arch of i2c_master_registers + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_status_buffer.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_status_buffer.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Loading package NUMERIC_STD +-- Compiling entity udp_status_buffer +-- Compiling architecture rtl of udp_status_buffer + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_do_rx_reset.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_do_rx_reset.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Loading package NUMERIC_STD +-- Compiling entity udp_do_rx_reset +-- Compiling architecture rtl of udp_do_rx_reset + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_rarp_block.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_rarp_block.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Loading package NUMERIC_STD +-- Compiling entity udp_rarp_block +-- Compiling architecture rtl of udp_rarp_block + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/transactor_if.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/transactor_if.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Loading package NUMERIC_STD +-- Loading package ipbus_trans_decl +-- Compiling entity transactor_if +-- Compiling architecture rtl of transactor_if + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_build_resend.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_build_resend.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Loading package NUMERIC_STD +-- Compiling entity udp_build_resend +-- Compiling architecture rtl of udp_build_resend + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/transactor_sm.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/transactor_sm.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Loading package NUMERIC_STD +-- Loading package ipbus +-- Compiling entity transactor_sm +-- Compiling architecture rtl of transactor_sm + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/fmcTLU_pkg_body.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/fmcTLU_pkg_body.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Compiling package body fmcTLU +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Loading package fmcTLU + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/triggerLogic_rtl.vhd {0 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/triggerLogic_rtl.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Loading package NUMERIC_STD +-- Loading package ipbus +-- Compiling entity triggerLogic +-- Compiling architecture rtl of triggerLogic +** Error: (vcom-11) Could not find work.sync_reg. +** Error: /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/triggerLogic_rtl.vhd(142): (vcom-1195) Cannot find expanded name "work.sync_reg". +** Error: /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/triggerLogic_rtl.vhd(142): Unknown expanded name. +** Error: (vcom-11) Could not find work.internaltriggergenerator. +** Error: /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/triggerLogic_rtl.vhd(227): (vcom-1195) Cannot find expanded name "work.internalTriggerGenerator". +** Error: /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/triggerLogic_rtl.vhd(227): Unknown expanded name. +** Error: (vcom-11) Could not find work.sync_reg. +** Error: /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/triggerLogic_rtl.vhd(260): (vcom-1195) Cannot find expanded name "work.sync_reg". +** Error: /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/triggerLogic_rtl.vhd(260): Unknown expanded name. +** Error: (vcom-11) Could not find work.sync_reg. +** Error: /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/triggerLogic_rtl.vhd(268): (vcom-1195) Cannot find expanded name "work.sync_reg". +** Error: /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/triggerLogic_rtl.vhd(268): Unknown expanded name. +** Error: /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/triggerLogic_rtl.vhd(301): VHDL Compiler exiting + +} {10.0 23.0} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_clock_crossing_if.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_clock_crossing_if.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Loading package NUMERIC_STD +-- Compiling entity udp_clock_crossing_if +-- Compiling architecture rtl of udp_clock_crossing_if + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/eventBuffer_rtl.vhd {0 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/eventBuffer_rtl.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Loading package NUMERIC_STD +-- Loading package ipbus +-- Compiling entity eventBuffer +-- Compiling architecture rtl of eventBuffer +** Error: (vcom-11) Could not find work.tlu_event_fifo. +** Error: /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/eventBuffer_rtl.vhd(133): (vcom-1195) Cannot find expanded name "work.tlu_event_fifo". +** Error: /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/eventBuffer_rtl.vhd(133): Unknown expanded name. +-- Loading entity registerCounter +** Error: /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/eventBuffer_rtl.vhd(173): VHDL Compiler exiting + +} {10.0 13.0 14.0 15.0} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/logic_clocks_rtl.vhd {0 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/logic_clocks_rtl.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Loading package NUMERIC_STD +-- Loading package ipbus +-- Loading package VCOMPONENTS +-- Compiling entity logic_clocks +-- Compiling architecture rtl of logic_clocks +** Error: /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/logic_clocks_rtl.vhd(300): (vcom-1436) Actual expression (infix expression) of formal "RST" is not globally static. +** Error: /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/logic_clocks_rtl.vhd(380): VHDL Compiler exiting + +} {11.0 13.0} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_dualportram.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_dualportram.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Loading package NUMERIC_STD +-- Compiling entity udp_DualPortRAM +-- Compiling architecture initial of udp_DualPortRAM + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_build_status.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_build_status.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Loading package NUMERIC_STD +-- Compiling entity udp_build_status +-- Compiling architecture rtl of udp_build_status + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/example_designs/hdl/clock_div.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/example_designs/hdl/clock_div.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Loading package std_logic_arith +-- Loading package STD_LOGIC_UNSIGNED +-- Loading package VCOMPONENTS +-- Compiling entity clock_div +-- Compiling architecture rtl of clock_div + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/arrivalTimeLUT_rtl.vhd {0 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/arrivalTimeLUT_rtl.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Loading package NUMERIC_STD +-- Compiling entity arrivalTimeLUT +-- Compiling architecture rtl of arrivalTimeLUT +** Error: (vcom-11) Could not find work.counterup. +** Error: /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/arrivalTimeLUT_rtl.vhd(178): (vcom-1195) Cannot find expanded name "work.CounterUp". +** Error: /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/arrivalTimeLUT_rtl.vhd(178): Unknown expanded name. +** Error: /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/arrivalTimeLUT_rtl.vhd(187): VHDL Compiler exiting + +} {9.0 13.0} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/trans_arb.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/trans_arb.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Loading package NUMERIC_STD +-- Loading package ipbus_trans_decl +-- Compiling entity trans_arb +-- Compiling architecture rtl of trans_arb + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/workspace/ipcore_dir/tlu_event_fifo.vhd {0 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/workspace/ipcore_dir/tlu_event_fifo.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +** Error: (vcom-7) Failed to open design unit file "/automount/users/phdgc/IPBus_stuff/fmc_tlu_test/workspace/ipcore_dir/tlu_event_fifo.vhd" in read mode. +No such file or directory. (errno = ENOENT) + +} {3.0 4.0} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_tx_mux.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_tx_mux.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Loading package NUMERIC_STD +-- Compiling entity udp_tx_mux +-- Compiling architecture rtl of udp_tx_mux + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_build_ping.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_build_ping.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Loading package NUMERIC_STD +-- Compiling entity udp_build_ping +-- Compiling architecture rtl of udp_build_ping + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_packet_parser.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_packet_parser.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Compiling entity udp_packet_parser +-- Compiling architecture v3 of udp_packet_parser + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_txtransactor_if_simple.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_txtransactor_if_simple.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Loading package NUMERIC_STD +-- Compiling entity udp_txtransactor_if +-- Compiling architecture simple of udp_txtransactor_if + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/ipbus_addr_decode.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/ipbus_addr_decode.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Loading package NUMERIC_STD +-- Loading package ipbus +-- Compiling package ipbus_addr_decode +-- Compiling package body ipbus_addr_decode +-- Loading package ipbus_addr_decode + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/slaves/hdl/syncreg_r.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/slaves/hdl/syncreg_r.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Compiling entity syncreg_r +-- Compiling architecture rtl of syncreg_r + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/ipbus_ctrl.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/ipbus_ctrl.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Loading package ipbus +-- Loading package ipbus_trans_decl +-- Compiling entity ipbus_ctrl +-- Compiling architecture rtl of ipbus_ctrl +-- Loading package NUMERIC_STD +-- Loading entity UDP_if +-- Loading entity trans_arb +-- Loading entity transactor +-- Loading entity stretcher + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/simulation_src/fmc-tlu_v0-1_test-bench.vhd {0 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/simulation_src/fmc-tlu_v0-1_test-bench.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +** Error: (vcom-7) Failed to open design unit file "/automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/simulation_src/fmc-tlu_v0-1_test-bench.vhd" in read mode. +No such file or directory. (errno = ENOENT) + +} {3.0 4.0} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/transactor.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/transactor.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Loading package NUMERIC_STD +-- Loading package ipbus +-- Loading package ipbus_trans_decl +-- Compiling entity transactor +-- Compiling architecture rtl of transactor +-- Loading entity transactor_if +-- Loading entity transactor_sm +-- Loading entity transactor_cfg + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/ipbus_fabric.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/ipbus_fabric.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Loading package ipbus +-- Loading package NUMERIC_STD +-- Loading package ipbus_addr_decode +-- Compiling entity ipbus_fabric +-- Compiling architecture rtl of ipbus_fabric + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_build_arp.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_build_arp.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Loading package NUMERIC_STD +-- Compiling entity udp_build_arp +-- Compiling architecture rtl of udp_build_arp + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/IPBusInterface_rtl.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/IPBusInterface_rtl.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Loading package NUMERIC_STD +-- Loading package ipbus +-- Loading package emac_hostbus_decl +-- Compiling entity IPBusInterface +-- Compiling architecture rtl of IPBusInterface +-- Loading package VCOMPONENTS +-- Loading entity clocks_s6_extphy +-- Loading entity eth_s6_gmii +-- Loading package ipbus_trans_decl +-- Loading entity ipbus_ctrl +-- Loading package ipbus_addr_decode +-- Loading entity ipbus_fabric +-- Loading entity ipbus_ver + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/simulation_src/pmtPulseGenerator_rtl.vhd {0 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/simulation_src/pmtPulseGenerator_rtl.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +** Error: (vcom-7) Failed to open design unit file "/automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/simulation_src/pmtPulseGenerator_rtl.vhd" in read mode. +No such file or directory. (errno = ENOENT) + +} {3.0 4.0} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/registerCounter_rtl.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/registerCounter_rtl.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Compiling entity registerCounter +-- Compiling architecture rtl of registerCounter + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/slaves/hdl/ipbus_syncreg_v.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/slaves/hdl/ipbus_syncreg_v.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Loading package NUMERIC_STD +-- Loading package ipbus +-- Loading package ipbus_reg_types +-- Compiling entity ipbus_syncreg_v +-- Compiling architecture rtl of ipbus_syncreg_v +-- Loading entity syncreg_w +-- Loading entity syncreg_r + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/clocks_s6_extphy.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/clocks_s6_extphy.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Loading package VCOMPONENTS +-- Compiling entity clocks_s6_extphy +-- Compiling architecture rtl of clocks_s6_extphy + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/counterWithReset_rtl.vhd {0 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/counterWithReset_rtl.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +** Error: (vcom-7) Failed to open design unit file "/automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/counterWithReset_rtl.vhd" in read mode. +No such file or directory. (errno = ENOENT) + +} {3.0 4.0} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/dualSERDES_1to4_rtl.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/dualSERDES_1to4_rtl.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Loading package NUMERIC_STD +-- Loading package VCOMPONENTS +-- Compiling entity dualSERDES_1to4 +-- Compiling architecture rtl of dualSERDES_1to4 + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/stretcher.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/stretcher.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Compiling entity stretcher +-- Compiling architecture rtl of stretcher +-- Loading package std_logic_arith +-- Loading package STD_LOGIC_UNSIGNED +-- Loading package VCOMPONENTS +-- Loading entity clock_div + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/workspace/ipcore_dir/tri_mode_eth_mac_v5_4.vhd {0 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/workspace/ipcore_dir/tri_mode_eth_mac_v5_4.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +** Error: (vcom-7) Failed to open design unit file "/automount/users/phdgc/IPBus_stuff/fmc_tlu_test/workspace/ipcore_dir/tri_mode_eth_mac_v5_4.vhd" in read mode. +No such file or directory. (errno = ENOENT) + +} {3.0 4.0} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/workspace/ipcore_dir/internalTriggerGenerator.vhd {0 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/workspace/ipcore_dir/internalTriggerGenerator.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +** Error: (vcom-7) Failed to open design unit file "/automount/users/phdgc/IPBus_stuff/fmc_tlu_test/workspace/ipcore_dir/internalTriggerGenerator.vhd" in read mode. +No such file or directory. (errno = ENOENT) + +} {3.0 4.0} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/ipbus_ver.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/ipbus_ver.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Loading package ipbus +-- Compiling entity ipbus_ver +-- Compiling architecture rtl of ipbus_ver + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_if_flat.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_if_flat.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Loading package NUMERIC_STD +-- Compiling entity UDP_if +-- Compiling architecture flat of UDP_if +-- Loading entity udp_ipaddr_block +-- Loading entity udp_rarp_block +-- Loading entity udp_build_arp +-- Loading entity udp_build_payload +-- Loading entity udp_build_ping +-- Loading entity udp_build_resend +-- Loading entity udp_build_status +-- Loading entity udp_status_buffer +-- Loading entity udp_byte_sum +-- Loading entity udp_do_rx_reset +-- Loading entity udp_packet_parser +-- Loading entity udp_rxram_mux +-- Loading entity udp_DualPortRAM +-- Loading entity udp_buffer_selector +-- Loading entity udp_rxram_shim +-- Loading entity udp_DualPortRAM_rx +-- Loading entity udp_DualPortRAM_tx +-- Loading entity udp_rxtransactor_if +-- Loading entity udp_tx_mux +-- Loading entity udp_txtransactor_if +-- Loading entity udp_clock_crossing_if + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/slaves/hdl/ipbus_ctrlreg_v.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/slaves/hdl/ipbus_ctrlreg_v.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Loading package NUMERIC_STD +-- Loading package ipbus +-- Loading package ipbus_reg_types +-- Compiling entity ipbus_ctrlreg_v +-- Compiling architecture rtl of ipbus_ctrlreg_v + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/workspace/ipcore_dir/mac_fifo_axi4.vhd {0 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/workspace/ipcore_dir/mac_fifo_axi4.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +** Error: (vcom-7) Failed to open design unit file "/automount/users/phdgc/IPBus_stuff/fmc_tlu_test/workspace/ipcore_dir/mac_fifo_axi4.vhd" in read mode. +No such file or directory. (errno = ENOENT) + +} {3.0 4.0} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/eventFormatter_rtl.vhd {0 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/eventFormatter_rtl.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Loading package NUMERIC_STD +-- Loading package fmcTLU +-- Loading package ipbus +-- Compiling entity eventFormatter +-- Compiling architecture rtl of eventFormatter +** Warning: /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/eventFormatter_rtl.vhd(135): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association. +** Warning: /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/eventFormatter_rtl.vhd(151): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association. +** Error: (vcom-11) Could not find work.fifo. +** Error: /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/eventFormatter_rtl.vhd(312): (vcom-1195) Cannot find expanded name "work.FIFO". +** Error: /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/eventFormatter_rtl.vhd(312): Unknown expanded name. +** Error: /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/eventFormatter_rtl.vhd(410): VHDL Compiler exiting + +} {13.0 17.0} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_ipaddr_block.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_ipaddr_block.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Loading package NUMERIC_STD +-- Compiling entity udp_ipaddr_block +-- Compiling architecture rtl of udp_ipaddr_block + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ethernet/hdl/eth_s6_gmii.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ethernet/hdl/eth_s6_gmii.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Loading package VCOMPONENTS +-- Loading package emac_hostbus_decl +-- Compiling entity eth_s6_gmii +-- Compiling architecture rtl of eth_s6_gmii + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_rxram_shim.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_rxram_shim.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Loading package NUMERIC_STD +-- Compiling entity udp_rxram_shim +-- Compiling architecture simple of udp_rxram_shim + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/test/clock_divider_s6.v {1 {vlog -work work -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/test/clock_divider_s6.v +QuestaSim vlog 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Compiling module clock_divider_s6 + +Top level modules: + clock_divider_s6 + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_dualportram_rx.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_dualportram_rx.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Loading package NUMERIC_STD +-- Compiling entity udp_DualPortRAM_rx +-- Compiling architecture striped of udp_DualPortRAM_rx + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/ipbus_package.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/ipbus_package.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Compiling package ipbus + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/slaves/hdl/ipbus_reg_types.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/slaves/hdl/ipbus_reg_types.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Compiling package ipbus_reg_types +-- Compiling package body ipbus_reg_types +-- Loading package ipbus_reg_types + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/transactor_cfg.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/transactor_cfg.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Loading package NUMERIC_STD +-- Compiling entity transactor_cfg +-- Compiling architecture rtl of transactor_cfg + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_byte_sum.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_byte_sum.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Loading package NUMERIC_STD +-- Compiling entity udp_byte_sum +-- Compiling architecture rtl of udp_byte_sum + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/workspace/ipcore_dir/FIFO.vhd {0 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/workspace/ipcore_dir/FIFO.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +** Error: (vcom-7) Failed to open design unit file "/automount/users/phdgc/IPBus_stuff/fmc_tlu_test/workspace/ipcore_dir/FIFO.vhd" in read mode. +No such file or directory. (errno = ENOENT) + +} {3.0 4.0} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/fmcTLU_pkg.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/fmcTLU_pkg.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Compiling package fmcTLU + +} {} {}} diff --git a/firmware/minitlu/simulation/scripts/fmc_tlu_sim.mpf b/firmware/minitlu/simulation/scripts/fmc_tlu_sim.mpf new file mode 100644 index 00000000..0319b813 --- /dev/null +++ b/firmware/minitlu/simulation/scripts/fmc_tlu_sim.mpf @@ -0,0 +1,2067 @@ +; Copyright 1991-2013 Mentor Graphics Corporation +; +; All Rights Reserved. +; +; THIS WORK CONTAINS TRADE SECRET AND PROPRIETARY INFORMATION WHICH IS THE PROPERTY OF +; MENTOR GRAPHICS CORPORATION OR ITS LICENSORS AND IS SUBJECT TO LICENSE TERMS. +; + +[Library] +others = modelsim.ini +; +; VITAL concerns: +; +; The library ieee contains (among other packages) the packages of the +; VITAL 2000 standard. When a design uses VITAL 2000 exclusively, it should use +; the physical library ieee (recommended), or use the physical library +; vital2000, but not both. The design can use logical library ieee and/or +; vital2000 as long as each of these maps to the same physical library, either +; ieee or vital2000. +; +; A design using the 1995 version of the VITAL packages, whether or not +; it also uses the 2000 version of the VITAL packages, must have logical library +; name ieee mapped to physical library vital1995. (A design cannot use library +; vital1995 directly because some packages in this library use logical name ieee +; when referring to the other packages in the library.) The design source +; should use logical name ieee when referring to any packages there except the +; VITAL 2000 packages. Any VITAL 2000 present in the design must use logical +; name vital2000 (mapped to physical library vital2000) to refer to those +; packages. +; ieee = $MODEL_TECH/../vital1995 +; +; For compatiblity with previous releases, logical library name vital2000 maps +; to library vital2000 (a different library than library ieee, containing the +; same packages). +; A design should not reference VITAL from both the ieee library and the +; vital2000 library because the vital packages are effectively different. +; A design that references both the ieee and vital2000 libraries must have +; both logical names ieee and vital2000 mapped to the same library, either of +; these: +; $MODEL_TECH/../ieee +; $MODEL_TECH/../vital2000 +; +;vhdl_psl_checkers = $MODEL_TECH/../vhdl_psl_checkers // Source files only for this release +;verilog_psl_checkers = $MODEL_TECH/../verilog_psl_checkers // Source files only for this release +;mvc_lib = $MODEL_TECH/../mvc_lib + + + +work = work +unisim = /software/CAD/Xilinx/14.7_64b/14.7/ISE_DS/ISE/vhdl/questasim/10.2c_5/lin64//unisim +unimacro = /software/CAD/Xilinx/14.7_64b/14.7/ISE_DS/ISE/vhdl/questasim/10.2c_5/lin64//unimacro +secureip = /software/CAD/Xilinx/14.7_64b/14.7/ISE_DS/ISE/verilog/questasim/10.2c_5/lin64//secureip +xilinxcorelib = /software/CAD/Xilinx/14.7_64b/14.7/ISE_DS/ISE/vhdl/questasim/10.2c_5/lin64//xilinxcorelib +[DefineOptionset] +; Define optionset entries for the various compilers, vmake, and vsim. +; These option sets can be used with the "-optionset <optionsetname>" syntax. +; i.e. +; vlog -optionset COMPILEDEBUG top.sv +; vsim -optionset UVMDEBUG my_top +; +; Following are some useful examples. + +; define a vsim optionset for uvm debugging +UVMDEBUG = -uvmcontrol=all -msgmode both -displaymsgmode both -classdebug -onfinish stop + +; define a vopt optionset for debugging +VOPTDEBUG = +acc -debugdb + + +[vcom] +; VHDL93 variable selects language version as the default. +; Default is VHDL-2002. +; Value of 0 or 1987 for VHDL-1987. +; Value of 1 or 1993 for VHDL-1993. +; Default or value of 2 or 2002 for VHDL-2002. +; Value of 3 or 2008 for VHDL-2008 +VHDL93 = 2002 + +; Ignore VHDL-2008 declaration of REAL_VECTOR in package STANDARD. Default is off. +; ignoreStandardRealVector = 1 + +; Show source line containing error. Default is off. +; Show_source = 1 + +; Turn off unbound-component warnings. Default is on. +; Show_Warning1 = 0 + +; Turn off process-without-a-wait-statement warnings. Default is on. +; Show_Warning2 = 0 + +; Turn off null-range warnings. Default is on. +; Show_Warning3 = 0 + +; Turn off no-space-in-time-literal warnings. Default is on. +; Show_Warning4 = 0 + +; Turn off multiple-drivers-on-unresolved-signal warnings. Default is on. +; Show_Warning5 = 0 + +; Turn off optimization for IEEE std_logic_1164 package. Default is on. +; Optimize_1164 = 0 + +; Turn on resolving of ambiguous function overloading in favor of the +; "explicit" function declaration (not the one automatically created by +; the compiler for each type declaration). Default is off. +; The .ini file has Explicit enabled so that std_logic_signed/unsigned +; will match the behavior of synthesis tools. +Explicit = 1 + +; Turn off acceleration of the VITAL packages. Default is to accelerate. +; NoVital = 1 + +; Turn off VITAL compliance checking. Default is checking on. +; NoVitalCheck = 1 + +; Ignore VITAL compliance checking errors. Default is to not ignore. +; IgnoreVitalErrors = 1 + +; Turn off VITAL compliance checking warnings. Default is to show warnings. +; Show_VitalChecksWarnings = 0 + +; Turn off PSL assertion warning messages. Default is to show warnings. +; Show_PslChecksWarnings = 0 + +; Enable parsing of embedded PSL assertions. Default is enabled. +; EmbeddedPsl = 0 + +; Keep silent about case statement static warnings. +; Default is to give a warning. +; NoCaseStaticError = 1 + +; Keep silent about warnings caused by aggregates that are not locally static. +; Default is to give a warning. +; NoOthersStaticError = 1 + +; Treat as errors: +; case statement static warnings +; warnings caused by aggregates that are not locally static +; Overrides NoCaseStaticError, NoOthersStaticError settings. +; PedanticErrors = 1 + +; Turn off inclusion of debugging info within design units. +; Default is to include debugging info. +; NoDebug = 1 + +; Turn off "Loading..." messages. Default is messages on. +; Quiet = 1 + +; Turn on some limited synthesis rule compliance checking. Checks only: +; -- signals used (read) by a process must be in the sensitivity list +; CheckSynthesis = 1 + +; Activate optimizations on expressions that do not involve signals, +; waits, or function/procedure/task invocations. Default is off. +; ScalarOpts = 1 + +; Turns on lint-style checking. +; Show_Lint = 1 + +; Require the user to specify a configuration for all bindings, +; and do not generate a compile time default binding for the +; component. This will result in an elaboration error of +; 'component not bound' if the user fails to do so. Avoids the rare +; issue of a false dependency upon the unused default binding. +; RequireConfigForAllDefaultBinding = 1 + +; Perform default binding at compile time. +; Default is to do default binding at load time. +; BindAtCompile = 1; + +; Inhibit range checking on subscripts of arrays. Range checking on +; scalars defined with subtypes is inhibited by default. +; NoIndexCheck = 1 + +; Inhibit range checks on all (implicit and explicit) assignments to +; scalar objects defined with subtypes. +; NoRangeCheck = 1 + +; Set the prefix to be honored for synthesis/coverage pragma recognition. +; Default is "". +; AddPragmaPrefix = "" + +; Ignore synthesis and coverage pragmas with this prefix. +; Default is "". +; IgnorePragmaPrefix = "" + +; Turn on code coverage in VHDL design units. Default is off. +; Coverage = sbceft + +; Turn off code coverage in VHDL subprograms. Default is on. +; CoverSub = 0 + +; Automatically exclude VHDL case statement OTHERS choice branches. +; This includes OTHERS choices in selected signal assigment statements. +; Default is to not exclude. +; CoverExcludeDefault = 1 + +; Control compiler and VOPT optimizations that are allowed when +; code coverage is on. Refer to the comment for this in the [vlog] area. +; CoverOpt = 3 + +; Turn on or off clkOpt optimization for code coverage. Default is on. +; CoverClkOpt = 1 + +; Turn on or off clkOpt optimization builtins for code coverage. Default is on. +; CoverClkOptBuiltins = 0 + +; Inform code coverage optimizations to respect VHDL 'H' and 'L' +; values on signals in conditions and expressions, and to not automatically +; convert them to '1' and '0'. Default is to not convert. +; CoverRespectHandL = 0 + +; Increase or decrease the maximum number of rows allowed in a UDP table +; implementing a VHDL condition coverage or expression coverage expression. +; More rows leads to a longer compile time, but more expressions covered. +; CoverMaxUDPRows = 192 + +; Increase or decrease the maximum number of input patterns that are present +; in FEC table. This leads to a longer compile time with more expressions +; covered with FEC metric. +; CoverMaxFECRows = 192 + +; Increase or decrease the limit on the size of expressions and conditions +; considered for expression and condition coverages. Higher FecUdpEffort leads +; to higher compile, optimize and simulation time, but more expressions and +; conditions are considered for coverage in the design. FecUdpEffort can +; be set to a number ranging from 1 (low) to 3 (high), defined as: +; 1 - (low) Only small expressions and conditions considered for coverage. +; 2 - (medium) Bigger expressions and conditions considered for coverage. +; 3 - (high) Very large expressions and conditions considered for coverage. +; The default setting is 1 (low). +; FecUdpEffort = 1 + +; Enable or disable Focused Expression Coverage analysis for conditions and +; expressions. Focused Expression Coverage data is provided by default when +; expression and/or condition coverage is active. +; CoverFEC = 0 + +; Enable or disable UDP Coverage analysis for conditions and expressions. +; UDP Coverage data is disabled by default when expression and/or condition +; coverage is active. +; CoverUDP = 1 + +; Enable or disable bit-blasting multi-bit operands of reduction prefix expressions +; for expression/condition coverage. +; CoverExpandReductionPrefix = 1 + +; Enable or disable short circuit evaluation of conditions and expressions when +; condition or expression coverage is active. Short circuit evaluation is enabled +; by default. +; CoverShortCircuit = 0 + +; Enable code coverage reporting of code that has been optimized away. +; The default is not to report. +; CoverReportCancelled = 1 + +; Enable deglitching of code coverage in combinatorial, non-clocked, processes. +; Default is no deglitching. +; CoverDeglitchOn = 1 + +; Control the code coverage deglitching period. A period of 0, eliminates delta +; cycle glitches. The value of CoverDeglitchPeriod needs to be either be 0 or a +; time string that includes time units. Examples: 0 or 10.0ps or "10.0 ps". +; CoverDeglitchPeriod = 0 + +; Use this directory for compiler temporary files instead of "work/_temp" +; CompilerTempDir = /tmp + +; Set this to cause the compilers to force data to be committed to disk +; when the files are closed. +; SyncCompilerFiles = 1 + +; Add VHDL-AMS declarations to package STANDARD +; Default is not to add +; AmsStandard = 1 + +; Range and length checking will be performed on array indices and discrete +; ranges, and when violations are found within subprograms, errors will be +; reported. Default is to issue warnings for violations, because subprograms +; may not be invoked. +; NoDeferSubpgmCheck = 0 + +; Turn ON detection of FSMs having single bit current state variable. +; FsmSingle = 1 + +; Turn off reset state transitions in FSM. +; FsmResetTrans = 0 + +; Turn ON detection of FSM Implicit Transitions. +; FsmImplicitTrans = 1 + +; Controls whether or not to show immediate assertions with constant expressions +; in GUI/report/UCDB etc. By default, immediate assertions with constant +; expressions are shown in GUI/report/UCDB etc. This does not affect +; evaluation of immediate assertions. +; ShowConstantImmediateAsserts = 0 + +; Controls how VHDL basic identifiers are stored with the design unit. +; Does not make the language case-sensitive, affects only how declarations +; declared with basic identifiers have their names stored and printed +; (in the GUI, examine, etc.). +; Default is to preserve the case as originally depicted in the VHDL source. +; Value of 0 indicates to change all basic identifiers to lower case. +; PreserveCase = 0 + +; For Configuration Declarations, controls the effect that USE clauses have +; on visibility inside the configuration items being configured. If 1 +; (the default), then use pre-10.0 behavior. If 0, then for stricter LRM-compliance, +; extend the visibility of objects made visible through USE clauses into nested +; component configurations. +; OldVHDLConfigurationVisibility = 0 + +; Allows VHDL configuration declarations to be in a different library from +; the corresponding configured entity. Default is to not allow this for +; stricter LRM-compliance. +; SeparateConfigLibrary = 1; + +; Determine how mode OUT subprogram parameters of type array and record are treated. +; If 0 (the default), then only VHDL 2008 will do this initialization. +; If 1, always initialize the mode OUT parameter to its default value. +; If 2, do not initialize the mode OUT out parameter. +; Note that prior to release 10.1, all language versions did not initialize mode +; OUT array and record type parameters, unless overridden here via this mechanism. +; In release 10.1 and later, only files compiled with VHDL 2008 will cause this +; initialization, unless overridden here. +; InitOutCompositeParam = 0 + +; Generate symbols debugging database in only some special cases to save on +; the number of files in the library. For other design-units, this database is +; generated on-demand in vsim. +; Default is to to generate debugging database for all design-units. +; SmartDbgSym = 1 + +[vlog] +; Turn off inclusion of debugging info within design units. +; Default is to include debugging info. +; NoDebug = 1 + +; Turn on `protect compiler directive processing. +; Default is to ignore `protect directives. +; Protect = 1 + +; Turn off "Loading..." messages. Default is messages on. +; Quiet = 1 + +; Turn on Verilog hazard checking (order-dependent accessing of global vars). +; Default is off. +; Hazard = 1 + +; Turn on converting regular Verilog identifiers to uppercase. Allows case +; insensitivity for module names. Default is no conversion. +; UpCase = 1 + +; Activate optimizations on expressions that do not involve signals, +; waits, or function/procedure/task invocations. Default is off. +; ScalarOpts = 1 + +; Turns on lint-style checking. +; Show_Lint = 1 + +; Show source line containing error. Default is off. +; Show_source = 1 + +; Turn on bad option warning. Default is off. +; Show_BadOptionWarning = 1 + +; Revert back to IEEE 1364-1995 syntax, default is 0 (off). +; vlog95compat = 1 + +; Turn off PSL warning messages. Default is to show warnings. +; Show_PslChecksWarnings = 0 + +; Enable parsing of embedded PSL assertions. Default is enabled. +; EmbeddedPsl = 0 + +; Set the threshold for automatically identifying sparse Verilog memories. +; A memory with depth equal to or more than the sparse memory threshold gets +; marked as sparse automatically, unless specified otherwise in source code +; or by +nosparse commandline option of vlog or vopt. +; The default is 1M. (i.e. memories with depth equal +; to or greater than 1M are marked as sparse) +; SparseMemThreshold = 1048576 + +; Set the prefix to be honored for synthesis and coverage pragma recognition. +; Default is "". +; AddPragmaPrefix = "" + +; Ignore synthesis and coverage pragmas with this prefix. +; Default is "". +; IgnorePragmaPrefix = "" + +; Set the option to treat all files specified in a vlog invocation as a +; single compilation unit. The default value is set to 0 which will treat +; each file as a separate compilation unit as specified in the P1800 draft standard. +; MultiFileCompilationUnit = 1 + +; Turn on code coverage in Verilog design units. Default is off. +; Coverage = sbceft + +; Automatically exclude Verilog case statement default branches. +; Default is to not automatically exclude defaults. +; CoverExcludeDefault = 1 + +; Increase or decrease the maximum number of rows allowed in a UDP table +; implementing a VHDL condition coverage or expression coverage expression. +; More rows leads to a longer compile time, but more expressions covered. +; CoverMaxUDPRows = 192 + +; Increase or decrease the maximum number of input patterns that are present +; in FEC table. This leads to a longer compile time with more expressions +; covered with FEC metric. +; CoverMaxFECRows = 192 + +; Increase or decrease the limit on the size of expressions and conditions +; considered for expression and condition coverages. Higher FecUdpEffort leads +; to higher compile, optimize and simulation time, but more expressions and +; conditions are considered for coverage in the design. FecUdpEffort can +; be set to a number ranging from 1 (low) to 3 (high), defined as: +; 1 - (low) Only small expressions and conditions considered for coverage. +; 2 - (medium) Bigger expressions and conditions considered for coverage. +; 3 - (high) Very large expressions and conditions considered for coverage. +; The default setting is 1 (low). +; FecUdpEffort = 1 + +; Enable or disable Focused Expression Coverage analysis for conditions and +; expressions. Focused Expression Coverage data is provided by default when +; expression and/or condition coverage is active. +; CoverFEC = 0 + +; Enable or disable UDP Coverage analysis for conditions and expressions. +; UDP Coverage data is disabled by default when expression and/or condition +; coverage is active. +; CoverUDP = 1 + +; Enable or disable bit-blasting multi-bit operands of reduction prefix expressions +; for expression/condition coverage. +; CoverExpandReductionPrefix = 1 + +; Enable or disable short circuit evaluation of conditions and expressions when +; condition or expression coverage is active. Short circuit evaluation is enabled +; by default. +; CoverShortCircuit = 0 + +; Enable deglitching of code coverage in combinatorial, non-clocked, processes. +; Default is no deglitching. +; CoverDeglitchOn = 1 + +; Control the code coverage deglitching period. A period of 0, eliminates delta +; cycle glitches. The value of CoverDeglitchPeriod needs to be either be 0 or a +; time string that includes time units. Examples: 0 or 10.0ps or "10.0 ps". +; CoverDeglitchPeriod = 0 + +; Turn on code coverage in VLOG `celldefine modules, modules containing +; specify blocks, and modules included using vlog -v and -y. Default is off. +; CoverCells = 1 + +; Enable code coverage reporting of code that has been optimized away. +; The default is not to report. +; CoverReportCancelled = 1 + +; Control compiler and VOPT optimizations that are allowed when +; code coverage is on. This is a number from 0 to 5, with the following +; meanings (the default is 3): +; 5 -- All allowable optimizations are on. +; 4 -- Turn off removing unreferenced code. +; 3 -- Turn off process, always block and if statement merging. +; 2 -- Turn off expression optimization, converting primitives +; to continuous assignments, VHDL subprogram inlining. +; and VHDL clkOpt (converting FF's to builtins). +; 1 -- Turn off continuous assignment optimizations and clock suppression. +; 0 -- Turn off Verilog module inlining and VHDL arch inlining. +; HOWEVER, if fsm coverage is turned on, optimizations will be forced to +; level 3, with also turning off converting primitives to continuous assigns. +; CoverOpt = 3 + +; Specify the override for the default value of "cross_num_print_missing" +; option for the Cross in Covergroups. If not specified then LRM default +; value of 0 (zero) is used. This is a compile time option. +; SVCrossNumPrintMissingDefault = 0 + +; Setting following to 1 would cause creation of variables which +; would represent the value of Coverpoint expressions. This is used +; in conjunction with "SVCoverpointExprVariablePrefix" option +; in the modelsim.ini +; EnableSVCoverpointExprVariable = 0 + +; Specify the override for the prefix used in forming the variable names +; which represent the Coverpoint expressions. This is used in conjunction with +; "EnableSVCoverpointExprVariable" option of the modelsim.ini +; The default prefix is "expr". +; The variable name is +; variable name => <prefix>_<coverpoint name> +; SVCoverpointExprVariablePrefix = expr + +; Override for the default value of the SystemVerilog covergroup, +; coverpoint, and cross option.goal (defined to be 100 in the LRM). +; NOTE: It does not override specific assignments in SystemVerilog +; source code. NOTE: The modelsim.ini variable "SVCovergroupGoal" +; in the [vsim] section can override this value. +; SVCovergroupGoalDefault = 100 + +; Override for the default value of the SystemVerilog covergroup, +; coverpoint, and cross type_option.goal (defined to be 100 in the LRM) +; NOTE: It does not override specific assignments in SystemVerilog +; source code. NOTE: The modelsim.ini variable "SVCovergroupTypeGoal" +; in the [vsim] section can override this value. +; SVCovergroupTypeGoalDefault = 100 + +; Specify the override for the default value of "strobe" option for the +; Covergroup Type. This is a compile time option which forces "strobe" to +; a user specified default value and supersedes SystemVerilog specified +; default value of '0'(zero). NOTE: This can be overriden by a runtime +; modelsim.ini variable "SVCovergroupStrobe" in the [vsim] section. +; SVCovergroupStrobeDefault = 0 + +; Specify the override for the default value of "per_instance" option for the +; Covergroup variables. This is a compile time option which forces "per_instance" +; to a user specified default value and supersedes SystemVerilog specified +; default value of '0'(zero). +; SVCovergroupPerInstanceDefault = 0 + +; Specify the override for the default value of "get_inst_coverage" option for the +; Covergroup variables. This is a compile time option which forces +; "get_inst_coverage" to a user specified default value and supersedes +; SystemVerilog specified default value of '0'(zero). +; SVCovergroupGetInstCoverageDefault = 0 + +; +; A space separated list of resource libraries that contain precompiled +; packages. The behavior is identical to using the "-L" switch. +; +; LibrarySearchPath = <path/lib> [<path/lib> ...] +LibrarySearchPath = mtiAvm mtiOvm mtiUvm mtiUPF + +; The behavior is identical to the "-mixedansiports" switch. Default is off. +; MixedAnsiPorts = 1 + +; Enable SystemVerilog 3.1a $typeof() function. Default is off. +; EnableTypeOf = 1 + +; Only allow lower case pragmas. Default is disabled. +; AcceptLowerCasePragmaOnly = 1 + +; Set the maximum depth permitted for a recursive include file nesting. +; IncludeRecursionDepthMax = 5 + +; Turn ON detection of FSMs having single bit current state variable. +; FsmSingle = 1 + +; Turn off reset state transitions in FSM. +; FsmResetTrans = 0 + +; Turn off detections of FSMs having x-assignment. +; FsmXAssign = 0 + +; Turn ON detection of FSM Implicit Transitions. +; FsmImplicitTrans = 1 + +; List of file suffixes which will be read as SystemVerilog. White space +; in extensions can be specified with a back-slash: "\ ". Back-slashes +; can be specified with two consecutive back-slashes: "\\"; +; SVFileExtensions = sv svp svh + +; This setting is the same as the vlog -sv command line switch. +; Enables SystemVerilog features and keywords when true (1). +; When false (0), the rules of IEEE Std 1364-2001 are followed and +; SystemVerilog keywords are ignored. +; Svlog = 0 + +; Prints attribute placed upon SV packages during package import +; when true (1). The attribute will be ignored when this +; entry is false (0). The attribute name is "package_load_message". +; The value of this attribute is a string literal. +; Default is true (1). +; PrintSVPackageLoadingAttribute = 1 + +; Do not show immediate assertions with constant expressions in +; GUI/reports/UCDB etc. By default immediate assertions with constant +; expressions are shown in GUI/reports/UCDB etc. This does not affect +; evaluation of immediate assertions. +; ShowConstantImmediateAsserts = 0 + +; Controls if untyped parameters that are initialized with values greater +; than 2147483647 are mapped to generics of type INTEGER or ignored. +; If mapped to VHDL Integers, values greater than 2147483647 +; are mapped to negative values. +; Default is to map these parameter to generic of type INTEGER +; ForceUnsignedToVHDLInteger = 1 + +; Enable AMS wreal (wired real) extensions. Default is 0. +; WrealType = 1 + +; Controls SystemVerilog Language Extensions. These options enable +; some non-LRM compliant behavior. Valid extensions are "feci", +; "pae", "uslt", "spsl", "sccts", "iddp" and "atpi". +; SVExtensions = uslt,spsl,sccts + +; Generate symbols debugging database in only some special cases to save on +; the number of files in the library. For other design-units, this database is +; generated on-demand in vsim. +; Default is to to generate debugging database for all design-units. +; SmartDbgSym = 1 + +[sccom] +; Enable use of SCV include files and library. Default is off. +; UseScv = 1 + +; Add C++ compiler options to the sccom command line by using this variable. +; CppOptions = -g + +; Use custom C++ compiler located at this path rather than the default path. +; The path should point directly at a compiler executable. +; CppPath = /usr/bin/g++ + +; Enable verbose messages from sccom. Default is off. +; SccomVerbose = 1 + +; sccom logfile. Default is no logfile. +; SccomLogfile = sccom.log + +; Enable use of SC_MS include files and library. Default is off. +; UseScMs = 1 + +[vopt] +; Turn on code coverage in vopt. Default is off. +; Coverage = sbceft + +; Control compiler optimizations that are allowed when +; code coverage is on. Refer to the comment for this in the [vlog] area. +; CoverOpt = 3 + +; Increase or decrease the maximum number of rows allowed in a UDP table +; implementing a VHDL condition coverage or expression coverage expression. +; More rows leads to a longer compile time, but more expressions covered. +; CoverMaxUDPRows = 192 + +; Increase or decrease the maximum number of input patterns that are present +; in FEC table. This leads to a longer compile time with more expressions +; covered with FEC metric. +; CoverMaxFECRows = 192 + +; Increase or decrease the limit on the size of expressions and conditions +; considered for expression and condition coverages. Higher FecUdpEffort leads +; to higher compile, optimize and simulation time, but more expressions and +; conditions are considered for coverage in the design. FecUdpEffort can +; be set to a number ranging from 1 (low) to 3 (high), defined as: +; 1 - (low) Only small expressions and conditions considered for coverage. +; 2 - (medium) Bigger expressions and conditions considered for coverage. +; 3 - (high) Very large expressions and conditions considered for coverage. +; The default setting is 1 (low). +; FecUdpEffort = 1 + +; Enable code coverage reporting of code that has been optimized away. +; The default is not to report. +; CoverReportCancelled = 1 + +; Enable deglitching of code coverage in combinatorial, non-clocked, processes. +; Default is no deglitching. +; CoverDeglitchOn = 1 + +; Control the code coverage deglitching period. A period of 0, eliminates delta +; cycle glitches. The value of CoverDeglitchPeriod needs to be either be 0 or a +; time string that includes time units. Examples: 0 or 10.0ps or "10.0 ps". +; CoverDeglitchPeriod = 0 + +; Do not show immediate assertions with constant expressions in +; GUI/reports/UCDB etc. By default immediate assertions with constant +; expressions are shown in GUI/reports/UCDB etc. This does not affect +; evaluation of immediate assertions. +; ShowConstantImmediateAsserts = 0 + +; Set the maximum number of iterations permitted for a generate loop. +; Restricting this permits the implementation to recognize infinite +; generate loops. +; GenerateLoopIterationMax = 100000 + +; Set the maximum depth permitted for a recursive generate instantiation. +; Restricting this permits the implementation to recognize infinite +; recursions. +; GenerateRecursionDepthMax = 200 + +; Set the number of processes created during the code generation phase. +; By default a heuristic is used to set this value. This may be set to 0 +; to disable this feature completely. +; ParallelJobs = 0 + +; Controls SystemVerilog Language Extensions. These options enable +; some non-LRM compliant behavior. Valid extensions are "feci", +; "pae", "uslt", "spsl" and "sccts". +; SVExtensions = uslt,spsl,sccts + +[vsim] +; vopt flow +; Set to turn on automatic optimization of a design. +; Default is on +VoptFlow = 1 + +; Simulator resolution +; Set to fs, ps, ns, us, ms, or sec with optional prefix of 1, 10, or 100. +Resolution = ns + +; Disable certain code coverage exclusions automatically. +; Assertions and FSM are exluded from the code coverage by default +; Set AutoExclusionsDisable = fsm to enable code coverage for fsm +; Set AutoExclusionsDisable = assertions to enable code coverage for assertions +; Set AutoExclusionsDisable = all to enable code coverage for all the automatic exclusions +; Or specify comma or space separated list +;AutoExclusionsDisable = fsm,assertions + +; User time unit for run commands +; Set to default, fs, ps, ns, us, ms, or sec. The default is to use the +; unit specified for Resolution. For example, if Resolution is 100ps, +; then UserTimeUnit defaults to ps. +; Should generally be set to default. +UserTimeUnit = default + +; Default run length +RunLength = 100 ns + +; Maximum iterations that can be run without advancing simulation time +IterationLimit = 20000 + +; Set XPROP assertion fail limit. Default is 5. +; Any positive integer, -1 for infinity. +; XpropAssertionLimit = 5 + +; Control PSL and Verilog Assume directives during simulation +; Set SimulateAssumeDirectives = 0 to disable assume being simulated as asserts +; Set SimulateAssumeDirectives = 1 to enable assume simulation as asserts +; SimulateAssumeDirectives = 1 + +; Control the simulation of PSL and SVA +; These switches can be overridden by the vsim command line switches: +; -psl, -nopsl, -sva, -nosva. +; Set SimulatePSL = 0 to disable PSL simulation +; Set SimulatePSL = 1 to enable PSL simulation (default) +; SimulatePSL = 1 +; Set SimulateSVA = 0 to disable SVA simulation +; Set SimulateSVA = 1 to enable concurrent SVA simulation (default) +; SimulateSVA = 1 + +; Control SVA and VHDL immediate assertion directives during simulation +; Set SimulateImmedAsserts = 0 to disable simulation of immediate asserts +; Set SimulateImmedAsserts = 1 to enable simulation of immediate asserts +; SimulateImmedAsserts = 1 + +; Directives to license manager can be set either as single value or as +; space separated multi-values: +; vhdl Immediately reserve a VHDL license +; vlog Immediately reserve a Verilog license +; plus Immediately reserve a VHDL and Verilog license +; noqueue Do not wait in the license queue when a license is not available +; viewsim Try for viewer license but accept simulator license(s) instead +; of queuing for viewer license (PE ONLY) +; noviewer Disable checkout of msimviewer and vsim-viewer license +; features (PE ONLY) +; noslvhdl Disable checkout of qhsimvh and vsim license features +; noslvlog Disable checkout of qhsimvl and vsimvlog license features +; nomix Disable checkout of msimhdlmix and hdlmix license features +; nolnl Disable checkout of msimhdlsim and hdlsim license features +; mixedonly Disable checkout of qhsimvh,qhsimvl,vsim,vsimvlog license +; features +; lnlonly Disable checkout of qhsimvh,qhsimvl,vsim,vsimvlog,msimhdlmix, +; hdlmix license features +; Single value: +; License = plus +; Multi-value: +; License = noqueue plus + +; Severity level of a VHDL assertion message or of a SystemVerilog immediate assertion +; which will cause a running simulation to stop. +; VHDL assertions and SystemVerilog immediate assertions that occur with the +; given severity or higher will cause a running simulation to stop. +; This value is ignored during elaboration. +; 0 = Note 1 = Warning 2 = Error 3 = Failure 4 = Fatal +BreakOnAssertion = 3 + +; The class debug feature enables more visibility and tracking of class instances +; during simulation. By default this feature is 0 (disabled). To enable this +; feature set ClassDebug to 1. +; ClassDebug = 1 + +; Message Format conversion specifications: +; %S - Severity Level of message/assertion +; %R - Text of message +; %T - Time of message +; %D - Delta value (iteration number) of Time +; %K - Kind of path: Instance/Region/Signal/Process/Foreign Process/Unknown/Protected +; %i - Instance/Region/Signal pathname with Process name (if available) +; %I - shorthand for one of these: +; " %K: %i" +; " %K: %i File: %F" (when path is not Process or Signal) +; except that the %i in this case does not report the Process name +; %O - Process name +; %P - Instance/Region path without leaf process +; %F - File name +; %L - Line number; if assertion message, then line number of assertion or, if +; assertion is in a subprogram, line from which the call is made +; %u - Design unit name in form library.primary +; %U - Design unit name in form library.primary(secondary) +; %% - The '%' character itself +; +; If specific format for Severity Level is defined, use that format. +; Else, for a message that occurs during elaboration: +; -- Failure/Fatal message in VHDL region that is not a Process, and in +; certain non-VHDL regions, uses MessageFormatBreakLine; +; -- Failure/Fatal message otherwise uses MessageFormatBreak; +; -- Note/Warning/Error message uses MessageFormat. +; Else, for a message that occurs during runtime and triggers a breakpoint because +; of the BreakOnAssertion setting: +; -- if in a VHDL region that is not a Process, uses MessageFormatBreakLine; +; -- otherwise uses MessageFormatBreak. +; Else (a runtime message that does not trigger a breakpoint) uses MessageFormat. +; +; MessageFormatNote = "** %S: %R\n Time: %T Iteration: %D%I\n" +; MessageFormatWarning = "** %S: %R\n Time: %T Iteration: %D%I\n" +; MessageFormatError = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F\n" +; MessageFormatFail = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F\n" +; MessageFormatFatal = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F\n" +; MessageFormatBreakLine = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F Line: %L\n" +; MessageFormatBreak = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F\n" +; MessageFormat = "** %S: %R\n Time: %T Iteration: %D%I\n" + +; Error File - alternate file for storing error messages +; ErrorFile = error.log + +; Simulation Breakpoint messages +; This flag controls the display of function names when reporting the location +; where the simulator stops because of a breakpoint or fatal error. +; Example with function name: # Break in Process ctr at counter.vhd line 44 +; Example without function name: # Break at counter.vhd line 44 +; Default value is 1. +ShowFunctions = 1 + +; Default radix for all windows and commands. +; Radix may be one of: symbolic, ascii, binary, octal, decimal, hex, unsigned +; Flags may be one of: enumnumeric, showbase +DefaultRadix = hexadecimal +DefaultRadixFlags = showbase +; Set to 1 for make the signal_force VHDL and Verilog functions use the +; default radix when processing the force value. Prior to 10.2 signal_force +; used the default radix, now it always uses symbolic unless value explicitly indicates base +;SignalForceFunctionUseDefaultRadix = 0 + +; VSIM Startup command +; Startup = do startup.do + +; VSIM Shutdown file +; Filename to save u/i formats and configurations. +; ShutdownFile = restart.do +; To explicitly disable auto save: +; ShutdownFile = --disable-auto-save + +; File for saving command transcript +TranscriptFile = transcript + +; File for saving command history +; CommandHistory = cmdhist.log + +; Specify whether paths in simulator commands should be described +; in VHDL or Verilog format. +; For VHDL, PathSeparator = / +; For Verilog, PathSeparator = . +; Must not be the same character as DatasetSeparator. +PathSeparator = / + +; Specify the dataset separator for fully rooted contexts. +; The default is ':'. For example: sim:/top +; Must not be the same character as PathSeparator. +DatasetSeparator = : + +; Specify a unique path separator for the Signal Spy set of functions. +; The default will be to use the PathSeparator variable. +; Must not be the same character as DatasetSeparator. +; SignalSpyPathSeparator = / + +; Used to control parsing of HDL identifiers input to the tool. +; This includes CLI commands, vsim/vopt/vlog/vcom options, +; string arguments to FLI/VPI/DPI calls, etc. +; If set to 1, accept either Verilog escaped Id syntax or +; VHDL extended id syntax, regardless of source language. +; If set to 0, the syntax of the source language must be used. +; Each identifier in a hierarchical name may need different syntax, +; e.g. "/top/\vhdl*ext*id\/middle/\vlog*ext*id /bottom" or +; "top.\vhdl*ext*id\.middle.\vlog*ext*id .bottom" +; GenerousIdentifierParsing = 1 + +; Disable VHDL assertion messages +; IgnoreNote = 1 +; IgnoreWarning = 1 +; IgnoreError = 1 +; IgnoreFailure = 1 + +; Disable SystemVerilog assertion messages +; IgnoreSVAInfo = 1 +; IgnoreSVAWarning = 1 +; IgnoreSVAError = 1 +; IgnoreSVAFatal = 1 + +; Do not print any additional information from Severity System tasks. +; Only the message provided by the user is printed along with severity +; information. +; SVAPrintOnlyUserMessage = 1; + +; Default force kind. May be freeze, drive, deposit, or default +; or in other terms, fixed, wired, or charged. +; A value of "default" will use the signal kind to determine the +; force kind, drive for resolved signals, freeze for unresolved signals +; DefaultForceKind = freeze + +; Control the iteration of events when a VHDL signal is forced to a value +; This flag can be set to honour the signal update event in next iteration, +; the default is to update and propagate in the same iteration. +; ForceSigNextIter = 1 + + +; If zero, open files when elaborated; otherwise, open files on +; first read or write. Default is 0. +; DelayFileOpen = 1 + +; Control VHDL files opened for write. +; 0 = Buffered, 1 = Unbuffered +UnbufferedOutput = 0 + +; Control the number of VHDL files open concurrently. +; This number should always be less than the current ulimit +; setting for max file descriptors. +; 0 = unlimited +ConcurrentFileLimit = 40 + +; Control the number of hierarchical regions displayed as +; part of a signal name shown in the Wave window. +; A value of zero tells VSIM to display the full name. +; The default is 0. +; WaveSignalNameWidth = 0 + +; Turn off warnings when changing VHDL constants and generics +; Default is 1 to generate warning messages +; WarnConstantChange = 0 + +; Turn off warnings from accelerated versions of the std_logic_arith, +; std_logic_unsigned, and std_logic_signed packages. +; StdArithNoWarnings = 1 + +; Turn off warnings from accelerated versions of the IEEE numeric_std +; and numeric_bit packages. +; NumericStdNoWarnings = 1 + +; Use old-style (pre-6.6) VHDL FOR generate statement iteration names +; in the design hierarchy. +; This style is controlled by the value of the GenerateFormat +; value described next. Default is to use new-style names, which +; comprise the generate statement label, '(', the value of the generate +; parameter, and a closing ')'. +; Uncomment this to use old-style names. +; OldVhdlForGenNames = 1 + +; Control the format of the old-style VHDL FOR generate statement region +; name for each iteration. Do not quote it. +; The format string here must contain the conversion codes %s and %d, +; in that order, and no other conversion codes. The %s represents +; the generate statement label; the %d represents the generate parameter value +; at a particular iteration (this is the position number if the generate parameter +; is of an enumeration type). Embedded whitespace is allowed (but discouraged); +; leading and trailing whitespace is ignored. +; Application of the format must result in a unique region name over all +; loop iterations for a particular immediately enclosing scope so that name +; lookup can function properly. The default is %s__%d. +; GenerateFormat = %s__%d + +; Enable changes in VHDL elaboration to allow for Variable Logging +; This trades off simulation performance for the ability to log variables +; efficiently. By default this is disable for maximum simulation performance +; VhdlVariableLogging = 1 + +; Make VHDL packages in PDUs have there own copy of a package instead +; of sharing the package between PDUs. By default share packages +; VhdlSeparatePduPackage = 0 + +; Specify whether checkpoint files should be compressed. +; The default is 1 (compressed). +; CheckpointCompressMode = 0 + +; Specify gcc compiler used in the compilation of automatically generated DPI exportwrapper. +; Use custom gcc compiler located at this path rather than the default path. +; The path should point directly at a compiler executable. +; DpiCppPath = <your-gcc-installation>/bin/gcc + +; Specify whether to enable SystemVerilog DPI "out-of-the-blue" calls. +; The term "out-of-the-blue" refers to SystemVerilog export function calls +; made from C functions that don't have the proper context setup +; (as is the case when running under "DPI-C" import functions). +; When this is enabled, one can call a DPI export function +; (but not task) from any C code. +; the setting of this variable can be one of the following values: +; 0 : dpioutoftheblue call is disabled (default) +; 1 : dpioutoftheblue call is enabled, but export call debug support is not available. +; 2 : dpioutoftheblue call is enabled, and limited export call debug support is available. +; DpiOutOfTheBlue = 1 + +; Specify whether continuous assignments are run before other normal priority +; processes scheduled in the same iteration. This event ordering minimizes race +; differences between optimized and non-optimized designs, and is the default +; behavior beginning with the 6.5 release. For pre-6.5 event ordering, set +; ImmediateContinuousAssign to 0. +; The default is 1 (enabled). +; ImmediateContinuousAssign = 0 + +; List of dynamically loaded objects for Verilog PLI applications +; Veriuser = veriuser.sl + +; Which default VPI object model should the tool conform to? +; The 1364 modes are Verilog-only, for backwards compatibility with older +; libraries, and SystemVerilog objects are not available in these modes. +; +; In the absence of a user-specified default, the tool default is the +; latest available LRM behavior. +; Options for PliCompatDefault are: +; VPI_COMPATIBILITY_VERSION_1364v1995 +; VPI_COMPATIBILITY_VERSION_1364v2001 +; VPI_COMPATIBILITY_VERSION_1364v2005 +; VPI_COMPATIBILITY_VERSION_1800v2005 +; VPI_COMPATIBILITY_VERSION_1800v2008 +; +; Synonyms for each string are also recognized: +; VPI_COMPATIBILITY_VERSION_1364v1995 (1995, 95, 1364v1995, 1364V1995, VL1995) +; VPI_COMPATIBILITY_VERSION_1364v2001 (2001, 01, 1364v2001, 1364V2001, VL2001) +; VPI_COMPATIBILITY_VERSION_1364v2005 (1364v2005, 1364V2005, VL2005) +; VPI_COMPATIBILITY_VERSION_1800v2005 (2005, 05, 1800v2005, 1800V2005, SV2005) +; VPI_COMPATIBILITY_VERSION_1800v2008 (2008, 08, 1800v2008, 1800V2008, SV2008) + + +; PliCompatDefault = VPI_COMPATIBILITY_VERSION_1800v2005 + +; Specify whether the Verilog system task $fopen or vpi_mcd_open() +; will create directories that do not exist when opening the file +; in "a" or "w" mode. +; The default is 0 (do not create non-existent directories) +; CreateDirForFileAccess = 1 + +; Specify default options for the restart command. Options can be one +; or more of: -force -nobreakpoint -nolist -nolog -nowave -noassertions +; DefaultRestartOptions = -force + + +; Specify default UVM-aware debug options if the vsim -uvmcontrol switch is not used. +; Valid options include: all, none, verbose, disable, struct, msglog, trlog, certe. +; Options can be enabled by just adding the name, or disabled by prefixing the option with a "-". +; The list of options must be delimited by commas, without spaces or tabs. +; The default is UVMControl = struct + +; Some examples +; To turn on all available UVM-aware debug features: +; UVMControl = all +; To turn on the struct window, mesage logging, and transaction logging: +; UVMControl = struct,msglog,trlog +; To turn on all options except certe: +; UVMControl = all,-certe +; To completely disable all UVM-aware debug functionality: +; UVMControl = disable + +; Specify the WildcardFilter setting. +; A space separated list of object types to be excluded when performing +; wildcard matches with log, wave, etc commands. The default value for this variable is: +; "Variable Constant Generic Parameter SpecParam Memory Assertion Cover Endpoint ScVariable CellInternal ImmediateAssert VHDLFile" +; See "Using the WildcardFilter Preference Variable" in the documentation for +; details on how to use this variable and for descriptions of the filter types. +WildcardFilter = Variable Constant Generic Parameter SpecParam Memory Assertion Cover Endpoint ScVariable CellInternal ImmediateAssert VHDLFile + +; Specify the WildcardSizeThreshold setting. +; This integer setting specifies the size at which objects will be excluded when +; performing wildcard matches with log, wave, etc commands. Objects of size equal +; to or greater than the WildcardSizeThreshold will be filtered out from the wildcard +; matches. The size is a simple calculation of number of bits or items in the object. +; The default value is 8k (8192). Setting this value to 0 will disable the checking +; of object size against this threshold and allow all objects of any size to be logged. +WildcardSizeThreshold = 8192 + +; Specify whether warning messages are output when objects are filtered out due to the +; WildcardSizeThreshold. The default is 0 (no messages generated). +WildcardSizeThresholdVerbose = 0 + +; Turn on (1) or off (0) WLF file compression. +; The default is 1 (compress WLF file). +; WLFCompress = 0 + +; Specify whether to save all design hierarchy (1) in the WLF file +; or only regions containing logged signals (0). +; The default is 0 (save only regions with logged signals). +; WLFSaveAllRegions = 1 + +; WLF file time limit. Limit WLF file by time, as closely as possible, +; to the specified amount of simulation time. When the limit is exceeded +; the earliest times get truncated from the file. +; If both time and size limits are specified the most restrictive is used. +; UserTimeUnits are used if time units are not specified. +; The default is 0 (no limit). Example: WLFTimeLimit = {100 ms} +; WLFTimeLimit = 0 + +; WLF file size limit. Limit WLF file size, as closely as possible, +; to the specified number of megabytes. If both time and size limits +; are specified then the most restrictive is used. +; The default is 0 (no limit). +; WLFSizeLimit = 1000 + +; Specify whether or not a WLF file should be deleted when the +; simulation ends. A value of 1 will cause the WLF file to be deleted. +; The default is 0 (do not delete WLF file when simulation ends). +; WLFDeleteOnQuit = 1 + +; Specify whether or not a WLF file should be optimized during +; simulation. If set to 0, the WLF file will not be optimized. +; The default is 1, optimize the WLF file. +; WLFOptimize = 0 + +; Specify the name of the WLF file. +; The default is vsim.wlf +; WLFFilename = vsim.wlf + +; Specify whether to lock the WLF file. +; Locking the file prevents other invocations of ModelSim/Questa tools from +; inadvertently overwriting the WLF file. +; The default is 1, lock the WLF file. +; WLFFileLock = 0 + +; Specify the update interval for the WLF file. +; Value is the number of seconds between updated. After at least the +; interval number of seconds, the wlf file is flushed, ensuring that the data +; is correct when viewed from a separate live viewer. Setting to 0 means no +; updating. Default is 10 seconds, which has a tiny performance impact +; WLFUpdateInterval = 10 + +; Specify the WLF reader cache size limit for each open WLF file. +; The size is giving in megabytes. A value of 0 turns off the +; WLF cache. +; WLFSimCacheSize allows a different cache size to be set for +; simulation WLF file independent of post-simulation WLF file +; viewing. If WLFSimCacheSize is not set it defaults to the +; WLFCacheSize setting. +; The default WLFCacheSize setting is enabled to 2000M per open WLF file on most +; platforms; on Windows, the setting is 1000M to help avoid filling process memory. +; WLFCacheSize = 2000 +; WLFSimCacheSize = 500 + +; Specify the WLF file event collapse mode. +; 0 = Preserve all events and event order. (same as -wlfnocollapse) +; 1 = Only record values of logged objects at the end of a simulator iteration. +; (same as -wlfcollapsedelta) +; 2 = Only record values of logged objects at the end of a simulator time step. +; (same as -wlfcollapsetime) +; The default is 1. +; WLFCollapseMode = 0 + +; Specify whether WLF file logging can use threads on multi-processor machines +; if 0, no threads will be used, if 1, threads will be used if the system has +; more than one processor +; WLFUseThreads = 1 + +; Specify the size of objects that will trigger "large object" messages +; at log/wave/list time. The size calculation of the object is the same as that +; used by the WildcardSizeThreshold. The default LargeObjectSize size is 500,000. +; Setting LargeObjectSize to 0 will disable these messages. +; LargeObjectSize = 500000 + +; Turn on/off undebuggable SystemC type warnings. Default is on. +; ShowUndebuggableScTypeWarning = 0 + +; Turn on/off unassociated SystemC name warnings. Default is off. +; ShowUnassociatedScNameWarning = 1 + +; Turn on/off SystemC IEEE 1666 deprecation warnings. Default is off. +; ScShowIeeeDeprecationWarnings = 1 + +; Turn on/off the check for multiple drivers on a SystemC sc_signal. Default is off. +; ScEnableScSignalWriteCheck = 1 + +; Set SystemC default time unit. +; Set to fs, ps, ns, us, ms, or sec with optional +; prefix of 1, 10, or 100. The default is 1 ns. +; The ScTimeUnit value is honored if it is coarser than Resolution. +; If ScTimeUnit is finer than Resolution, it is set to the value +; of Resolution. For example, if Resolution is 100ps and ScTimeUnit is ns, +; then the default time unit will be 1 ns. However if Resolution +; is 10 ns and ScTimeUnit is ns, then the default time unit will be 10 ns. +ScTimeUnit = ns + +; Set SystemC sc_main stack size. The stack size is set as an integer +; number followed by the unit which can be Kb(Kilo-byte), Mb(Mega-byte) or +; Gb(Giga-byte). Default is 10 Mb. The stack size for sc_main depends +; on the amount of data on the sc_main() stack and the memory required +; to succesfully execute the longest function call chain of sc_main(). +ScMainStackSize = 10 Mb + +; Set SystemC thread stack size. The stack size is set as an integer +; number followed by the unit which can be Kb(Kilo-byte), Mb(Mega-byte) or +; Gb(Giga-byte). The stack size for sc_thread depends +; on the amount of data on the sc_thread stack and the memory required +; to succesfully execute the thread. +; ScStackSize = 1 Mb + +; Turn on/off execution of remainder of sc_main upon quitting the current +; simulation session. If the cumulative length of sc_main() in terms of +; simulation time units is less than the length of the current simulation +; run upon quit or restart, sc_main() will be in the middle of execution. +; This switch gives the option to execute the remainder of sc_main upon +; quitting simulation. The drawback of not running sc_main till the end +; is memory leaks for objects created by sc_main. If on, the remainder of +; sc_main will be executed ignoring all delays. This may cause the simulator +; to crash if the code in sc_main is dependent on some simulation state. +; Default is on. +ScMainFinishOnQuit = 1 + +; Set the SCV relationship name that will be used to identify phase +; relations. If the name given to a transactor relation matches this +; name, the transactions involved will be treated as phase transactions +ScvPhaseRelationName = mti_phase + +; Customize the vsim kernel shutdown behavior at the end of the simulation. +; Some common causes of the end of simulation are $finish (implicit or explicit), +; sc_stop(), tf_dofinish(), and assertion failures. +; This should be set to "ask", "exit", or "stop". The default is "ask". +; "ask" -- In batch mode, the vsim kernel will abruptly exit. +; In GUI mode, a dialog box will pop up and ask for user confirmation +; whether or not to quit the simulation. +; "stop" -- Cause the simulation to stay loaded in memory. This can make some +; post-simulation tasks easier. +; "exit" -- The simulation will abruptly exit without asking for any confirmation. +; "final" -- Run SystemVerilog final blocks then behave as "stop". +; Note: This variable can be overridden with the vsim "-onfinish" command line switch. +OnFinish = ask + +; Print pending deferred assertion messages. +; Deferred assertion messages may be scheduled after the $finish in the same +; time step. Deferred assertions scheduled to print after the $finish are +; printed before exiting with severity level NOTE since it's not known whether +; the assertion is still valid due to being printed in the active region +; instead of the reactive region where they are normally printed. +; OnFinishPendingAssert = 1; + +; Print "simstats" result +; 0 == do not print simstats +; 1 == print at end of simulation +; 2 == print at end of run +; 3 == print at end of run and end of simulation +; default == 0 +; PrintSimStats = 1 + +; Print "simstats" result in Tcl list form +; 0 == do not print simstats +; 1 == print at end of simulation +; 2 == print at end of run +; 3 == print at end of run and end of simulation +; default == 0 +; PrintSimStatsList = 1 + +; Assertion File - alternate file for storing VHDL/PSL/Verilog assertion messages +; AssertFile = assert.log + +; Enable assertion counts. Default is off. +; AssertionCover = 1 + +; Run simulator in assertion debug mode. Default is off. +; AssertionDebug = 1 + +; Turn on/off PSL/SVA/VHDL assertion enable. Default is on. +; AssertionEnable = 0 + +; Set PSL/SVA/VHDL concurrent assertion fail limit. Default is -1. +; Any positive integer, -1 for infinity. +; AssertionLimit = 1 + +; Turn on/off concurrent assertion pass log. Default is off. +; Assertion pass logging is only enabled when assertion is browseable +; and assertion debug is enabled. +; AssertionPassLog = 1 + +; Turn on/off PSL concurrent assertion fail log. Default is on. +; The flag does not affect SVA +; AssertionFailLog = 0 + +; Turn on/off SVA concurrent assertion local var printing in -assertdebug mode. Default is on. +; AssertionFailLocalVarLog = 0 + +; Set action type for PSL/SVA concurrent assertion fail action. Default is continue. +; 0 = Continue 1 = Break 2 = Exit +; AssertionFailAction = 1 + +; Enable the active thread monitor in the waveform display when assertion debug is enabled. +; AssertionActiveThreadMonitor = 1 + +; Control how many waveform rows will be used for displaying the active threads. Default is 5. +; AssertionActiveThreadMonitorLimit = 5 + +; Assertion thread limit after which assertion would be killed/switched off. +; The default is -1 (unlimited). If the number of threads for an assertion go +; beyond this limit, the assertion would be either switched off or killed. This +; limit applies to only assert directives. +;AssertionThreadLimit = -1 + +; Action to be taken once the assertion thread limit is reached. Default +; is kill. It can have a value of off or kill. In case of kill, all the existing +; threads are terminated and no new attempts are started. In case of off, the +; existing attempts keep on evaluating but no new attempts are started. This +; variable applies to only assert directives. +;AssertionThreadLimitAction = kill + +; Cover thread limit after which cover would be killed/switched off. +; The default is -1 (unlimited). If the number of threads for a cover go +; beyond this limit, the cover would be either switched off or killed. This +; limit applies to only cover directives. +;CoverThreadLimit = -1 + +; Action to be taken once the cover thread limit is reached. Default +; is kill. It can have a value of off or kill. In case of kill, all the existing +; threads are terminated and no new attempts are started. In case of off, the +; existing attempts keep on evaluating but no new attempts are started. This +; variable applies to only cover directives. +;CoverThreadLimitAction = kill + + +; By default immediate assertions do not participate in Assertion Coverage calculations +; unless they are executed. This switch causes all immediate assertions in the design +; to participate in Assertion Coverage calculations, whether attempted or not. +; UnattemptedImmediateAssertions = 0 + +; By default immediate covers participate in Coverage calculations +; whether they are attempted or not. This switch causes all unattempted +; immediate covers in the design to stop participating in Coverage +; calculations. +; UnattemptedImmediateCovers = 0 + +; By default pass action block is not executed for assertions on vacuous +; success. The following variable is provided to enable execution of +; pass action block on vacuous success. The following variable is only effective +; if the user does not disable pass action block execution by using either +; system tasks or CLI. Also there is a performance penalty for enabling +; the following variable. +;AssertionEnableVacuousPassActionBlock = 1 + +; As per strict 1850-2005 PSL LRM, an always property can either pass +; or fail. However, by default, Questa reports multiple passes and +; multiple fails on top always/never property (always/never operator +; is the top operator under Verification Directive). The reason +; being that Questa reports passes and fails on per attempt of the +; top always/never property. Use the following flag to instruct +; Questa to strictly follow LRM. With this flag, all assert/never +; directives will start an attempt once at start of simulation. +; The attempt can either fail, match or match vacuously. +; For e.g. if always is the top operator under assert, the always will +; keep on checking the property at every clock. If the property under +; always fails, the directive will be considered failed and no more +; checking will be done for that directive. A top always property, +; if it does not fail, will show a pass at end of simulation. +; The default value is '0' (i.e. zero is off). For example: +; PslOneAttempt = 1 + +; Specify the number of clock ticks to represent infinite clock ticks. +; This affects eventually!, until! and until_!. If at End of Simulation +; (EOS) an active strong-property has not clocked this number of +; clock ticks then neither pass or fail (vacuous match) is returned +; else respective fail/pass is returned. The default value is '0' (zero) +; which effectively does not check for clock tick condition. For example: +; PslInfinityThreshold = 5000 + +; Control how many thread start times will be preserved for ATV viewing for a given assertion +; instance. Default is -1 (ALL). +; ATVStartTimeKeepCount = -1 + +; Turn on/off code coverage +; CodeCoverage = 0 + +; This option applies to condition and expression coverage UDP tables. It +; has no effect unless UDP is enabled for coverage with vcom/vlog/vopt -coverudp. +; If this option is used and a match occurs in more than one row in the UDP table, +; none of the counts for all matching rows is incremented. By default, counts are +; incremented for all matching rows. +; CoverCountAll = 1 + +; Turn off automatic inclusion of VHDL integers in toggle coverage. Default +; is to include them. +; ToggleNoIntegers = 1 + +; Set the maximum number of values that are collected for toggle coverage of +; VHDL integers. Default is 100; +; ToggleMaxIntValues = 100 + +; Set the maximum number of values that are collected for toggle coverage of +; Verilog real. Default is 100; +; ToggleMaxRealValues = 100 + +; Turn on automatic inclusion of Verilog integers in toggle coverage, except +; for enumeration types. Default is to include them. +; ToggleVlogIntegers = 0 + +; Turn on automatic inclusion of Verilog real type in toggle coverage, except +; for shortreal types. Default is to not include them. +; ToggleVlogReal = 1 + +; Turn on automatic inclusion of Verilog fixed-size unpacked arrays, VHDL multi-d arrays +; and VHDL arrays-of-arrays in toggle coverage. +; Default is to not include them. +; ToggleFixedSizeArray = 1 + +; Increase or decrease the maximum size of Verilog unpacked fixed-size arrays, +; VHDL multi-d arrays and VHDL arrays-of-arrays that are included for toggle coverage. +; This leads to a longer simulation time with bigger arrays covered with toggle coverage. +; Default is 1024. +; ToggleMaxFixedSizeArray = 1024 + +; Treat Verilog multi-dimensional packed vectors and packed structures as equivalently sized +; one-dimensional packed vectors for toggle coverage. Default is 0. +; TogglePackedAsVec = 0 + +; Treat Verilog enumerated types as equivalently sized one-dimensional packed vectors for +; toggle coverage. Default is 0. +; ToggleVlogEnumBits = 0 + +; Turn off automatic inclusion of VHDL records in toggle coverage. +; Default is to include them. +; ToggleVHDLRecords = 0 + +; Limit the widths of registers automatically tracked for toggle coverage. Default is 128. +; For unlimited width, set to 0. +; ToggleWidthLimit = 128 + +; Limit the counts that are tracked for toggle coverage. When all edges for a bit have +; reached this count, further activity on the bit is ignored. Default is 1. +; For unlimited counts, set to 0. +; ToggleCountLimit = 1 + +; Change the mode of extended toggle coverage. Default is 3. Valid modes are 1, 2 and 3. +; Following is the toggle coverage calculation criteria based on extended toggle mode: +; Mode 1: 0L->1H & 1H->0L & any one 'Z' transition (to/from 'Z'). +; Mode 2: 0L->1H & 1H->0L & one transition to 'Z' & one transition from 'Z'. +; Mode 3: 0L->1H & 1H->0L & all 'Z' transitions. +; ExtendedToggleMode = 3 + +; Enable toggle statistics collection only for ports. Default is 0. +; TogglePortsOnly = 1 + +; Limit the counts that are tracked for Focussed Expression Coverage. When a bin has +; reached this count, further tracking of the input patterns linked to it is ignored. +; Default is 1. For unlimited counts, set to 0. +; NOTE: Changing this value from its default value may affect simulation performance. +; FecCountLimit = 1 + +; Limit the counts that are tracked for UDP Coverage. When a bin has +; reached this count, further tracking of the input patterns linked to it is ignored. +; Default is 1. For unlimited counts, set to 0. +; NOTE: Changing this value from its default value may affect simulation performance. +; UdpCountLimit = 1 + +; Control toggle coverage deglitching period. A period of 0, eliminates delta +; cycle glitches. This is the default. The value of ToggleDeglitchPeriod needs to be either +; 0 or a time string that includes time units. Examples: 0 or 10.0ps or "10.0 ps". +; ToggleDeglitchPeriod = 10.0ps + +; Turn on/off all PSL/SVA cover directive enables. Default is on. +; CoverEnable = 0 + +; Turn on/off PSL/SVA cover log. Default is off "0". +; CoverLog = 1 + +; Set "at_least" value for all PSL/SVA cover directives. Default is 1. +; CoverAtLeast = 2 + +; Set "limit" value for all PSL/SVA cover directives. Default is -1. +; Any positive integer, -1 for infinity. +; CoverLimit = 1 + +; Specify the coverage database filename. +; Default is "" (i.e. database is NOT automatically saved on close). +; UCDBFilename = vsim.ucdb + +; Specify the maximum limit for the number of Cross (bin) products reported +; in XML and UCDB report against a Cross. A warning is issued if the limit +; is crossed. Default is zero. vsim switch -cvgmaxrptrhscross can override this +; setting. +; MaxReportRhsSVCrossProducts = 1000 + +; Specify the override for the "auto_bin_max" option for the Covergroups. +; If not specified then value from Covergroup "option" is used. +; SVCoverpointAutoBinMax = 64 + +; Specify the override for the value of "cross_num_print_missing" +; option for the Cross in Covergroups. If not specified then value +; specified in the "option.cross_num_print_missing" is used. This +; is a runtime option. NOTE: This overrides any "cross_num_print_missing" +; value specified by user in source file and any SVCrossNumPrintMissingDefault +; specified in modelsim.ini. +; SVCrossNumPrintMissing = 0 + +; Specify whether to use the value of "cross_num_print_missing" +; option in report and GUI for the Cross in Covergroups. If not specified then +; cross_num_print_missing is ignored for creating reports and displaying +; covergroups in GUI. Default is 0, which means ignore "cross_num_print_missing". +; UseSVCrossNumPrintMissing = 0 + +; Specify the threshold of Coverpoint wildcard bin value range size, above which +; a warning will be triggered. The default is 4K -- 12 wildcard bits. +; SVCoverpointWildCardBinValueSizeWarn = 4096 + +; Specify the override for the value of "strobe" option for the +; Covergroup Type. If not specified then value in "type_option.strobe" +; will be used. This is runtime option which forces "strobe" to +; user specified value and supersedes user specified values in the +; SystemVerilog Code. NOTE: This also overrides the compile time +; default value override specified using "SVCovergroupStrobeDefault" +; SVCovergroupStrobe = 0 + +; Override for explicit assignments in source code to "option.goal" of +; SystemVerilog covergroup, coverpoint, and cross. It also overrides the +; default value of "option.goal" (defined to be 100 in the SystemVerilog +; LRM) and the value of modelsim.ini variable "SVCovergroupGoalDefault". +; SVCovergroupGoal = 100 + +; Override for explicit assignments in source code to "type_option.goal" of +; SystemVerilog covergroup, coverpoint, and cross. It also overrides the +; default value of "type_option.goal" (defined to be 100 in the SystemVerilog +; LRM) and the value of modelsim.ini variable "SVCovergroupTypeGoalDefault". +; SVCovergroupTypeGoal = 100 + +; Enforce the 6.3 behavior of covergroup get_coverage() and get_inst_coverage() +; builtin functions, and report. This setting changes the default values of +; option.get_inst_coverage and type_option.merge_instances to ensure the 6.3 +; behavior if explicit assignments are not made on option.get_inst_coverage and +; type_option.merge_instances by the user. There are two vsim command line +; options, -cvg63 and -nocvg63 to override this setting from vsim command line. +; The default value of this variable from release 6.6 onwards is 0. This default +; drives compliance with the clarified behavior in the IEEE 1800-2009 standard. +; SVCovergroup63Compatibility = 0 + +; Enforce the default behavior of covergroup get_coverage() builtin function, GUI +; and report. This variable sets the default value of type_option.merge_instances. +; There are two vsim command line options, -cvgmergeinstances and +; -nocvgmergeinstances to override this setting from vsim command line. +; The default value of this variable is 0. This default +; drives compliance with the clarified behavior in the IEEE 1800-2009 standard. +; SVCovergroupMergeInstancesDefault = 0 + +; Enable or disable generation of more detailed information about the sampling +; of covergroup, cross, and coverpoints. It provides the details of the number +; of times the covergroup instance and type were sampled, as well as details +; about why covergroup, cross and coverpoint were not covered. A non-zero value +; is to enable this feature. 0 is to disable this feature. Default is 0 +; SVCovergroupSampleInfo = 0 + +; Specify the maximum number of Coverpoint bins in whole design for +; all Covergroups. +; MaxSVCoverpointBinsDesign = 2147483648 + +; Specify maximum number of Coverpoint bins in any instance of a Covergroup +; MaxSVCoverpointBinsInst = 2147483648 + +; Specify the maximum number of Cross bins in whole design for +; all Covergroups. +; MaxSVCrossBinsDesign = 2147483648 + +; Specify maximum number of Cross bins in any instance of a Covergroup +; MaxSVCrossBinsInst = 2147483648 + +; Specify whether vsim will collect the coverage data of zero-weight coverage items or not. +; By default, this variable is set 0, in which case option.no_collect setting will take effect. +; If this variable is set to 1, all zero-weight coverage items will not be saved. +; Note that the usage of vsim switch -cvgzwnocollect, if present, will override the setting +; of this variable. +; CvgZWNoCollect = 1 + +; Specify a space delimited list of double quoted TCL style +; regular expressions which will be matched against the text of all messages. +; If any regular expression is found to be contained within any message, the +; status for that message will not be propagated to the UCDB TESTSTATUS. +; If no match is detected, then the status will be propagated to the +; UCDB TESTSTATUS. More than one such regular expression text is allowed, +; and each message text is compared for each regular expression in the list. +; UCDBTestStatusMessageFilter = "Done with Test Bench" "Ignore .* message" + +; Set weight for all PSL/SVA cover directives. Default is 1. +; CoverWeight = 2 + +; Check vsim plusargs. Default is 0 (off). +; 0 = Don't check plusargs +; 1 = Warning on unrecognized plusarg +; 2 = Error and exit on unrecognized plusarg +; CheckPlusargs = 1 + +; Load the specified shared objects with the RTLD_GLOBAL flag. +; This gives global visibility to all symbols in the shared objects, +; meaning that subsequently loaded shared objects can bind to symbols +; in the global shared objects. The list of shared objects should +; be whitespace delimited. This option is not supported on the +; Windows or AIX platforms. +; GlobalSharedObjectList = example1.so example2.so example3.so + +; Initial seed for the random number generator of the root thread (SystemVerilog). +; NOTE: This variable can be overridden with the vsim "-sv_seed" command line switch. +; The default value is 0. +; Sv_Seed = 0 + +; Specify the solver "engine" that vsim will select for constrained random +; generation. +; Valid values are: +; "auto" - automatically select the best engine for the current +; constraint scenario +; "bdd" - evaluate all constraint scenarios using the BDD solver engine +; "act" - evaluate all constraint scenarios using the ACT solver engine +; While the BDD solver engine is generally efficient with constraint scenarios +; involving bitwise logical relationships, the ACT solver engine can exhibit +; superior performance with constraint scenarios involving large numbers of +; random variables related via arithmetic operators (+, *, etc). +; NOTE: This variable can be overridden with the vsim "-solveengine" command +; line switch. +; The default value is "auto". +; SolveEngine = auto + +; Specify if the solver should attempt to ignore overflow/underflow semantics +; for arithmetic constraints (multiply, addition, subtraction) in order to +; improve performance. The "solveignoreoverflow" attribute can be specified on +; a per-call basis to randomize() to override this setting. +; The default value is 0 (overflow/underflow is not ignored). Set to 1 to +; ignore overflow/underflow. +; SolveIgnoreOverflow = 0 + +; Specifies the maximum size that a dynamic array may be resized to by the +; solver. If the solver attempts to resize a dynamic array to a size greater +; than the specified limit, the solver will abort with an error. +; The default value is 2000. A value of 0 indicates no limit. +; SolveArrayResizeMax = 2000 + +; Error message severity when randomize() failure is detected (SystemVerilog). +; 0 = No error 1 = Warning 2 = Error 3 = Failure 4 = Fatal +; The default is 0 (no error). +; SolveFailSeverity = 0 + +; Error message severity for suppressible errors that are detected in a +; solve/before constraint. +; NOTE: This variable can be overridden with the vsim "-solvebeforeerrorseverity" +; command line switch. +; 0 = No error 1 = Warning 2 = Error 3 = Failure 4 = Fatal +; The default is 3 (failure). +; SolveBeforeErrorSeverity = 3 + +; Enable/disable debug information for randomize() failures. +; NOTE: This variable can be overridden with the vsim "-solvefaildebug" command +; line switch. +; The default is 0 (disabled). Set to 1 to enable basic debug (with no +; performance penalty). Set to 2 for enhanced debug (will result in slower +; runtime performance). +; SolveFailDebug = 0 + +; Upon encountering a randomize() failure, generate a simplified testcase that +; will reproduce the failure. Optionally output the testcase to a file. +; Testcases for 'no-solution' failures will only be produced if SolveFailDebug +; is enabled (see above). +; NOTE: This variable can be overridden with the vsim "-solvefailtestcase" +; command line switch. +; The default is OFF (do not generate a testcase). To enable testcase +; generation, uncomment this variable. To redirect testcase generation to a +; file, specify the name of the output file. +; SolveFailTestcase = + +; Specify the maximum size of the solution graph generated by the BDD solver. +; This value can be used to force the BDD solver to abort the evaluation of a +; complex constraint scenario that cannot be evaluated with finite memory. +; This value is specified in 1000s of nodes. +; The default value is 10000. A value of 0 indicates no limit. +; SolveGraphMaxSize = 10000 + +; Specify the maximum number of evaluations that may be performed on the +; solution graph by the BDD solver. This value can be used to force the BDD +; solver to abort the evaluation of a complex constraint scenario that cannot +; be evaluated in finite time. This value is specified in 10000s of evaluations. +; The default value is 10000. A value of 0 indicates no limit. +; SolveGraphMaxEval = 10000 + +; Specify the maximum number of tests that the ACT solver may evaluate before +; abandoning an attempt to solve a particular constraint scenario. +; The default value is 2000000. A value of 0 indicates no limit. +; SolveACTMaxTests = 2000000 + +; Specify the maximum number of operations that the ACT solver may perform +; before abandoning an attempt to solve a particular constraint scenario. The +; value is specified in 1000000s of operations. +; The default value is 10000. A value of 0 indicates no limit. +; SolveACTMaxOps = 10000 + +; Specify the number of times the ACT solver will retry to evaluate a constraint +; scenario that fails due to the SolveACTMax[Tests|Ops] threshold. +; The default value is 0 (no retry). +; SolveACTRetryCount = 0 + +; Specify random sequence compatiblity with a prior letter release. This +; option is used to get the same random sequences during simulation as +; as a prior letter release. Only prior letter releases (of the current +; number release) are allowed. +; NOTE: Only those random sequence changes due to solver optimizations are +; reverted by this variable. Random sequence changes due to solver bugfixes +; cannot be un-done. +; NOTE: This variable can be overridden with the vsim "-solverev" command +; line switch. +; Default value set to "" (no compatibility). +; SolveRev = + +; Environment variable expansion of command line arguments has been depricated +; in favor shell level expansion. Universal environment variable expansion +; inside -f files is support and continued support for MGC Location Maps provide +; alternative methods for handling flexible pathnames. +; The following line may be uncommented and the value set to 1 to re-enable this +; deprecated behavior. The default value is 0. +; DeprecatedEnvironmentVariableExpansion = 0 + +; Specify the memory threshold for the System Verilog garbage collector. +; The value is the number of megabytes of class objects that must accumulate +; before the garbage collector is run. +; The GCThreshold setting is used when class debug mode is disabled to allow +; less frequent garbage collection and better simulation performance. +; The GCThresholdClassDebug setting is used when class debug mode is enabled +; to allow for more frequent garbage collection. +; GCThreshold = 100 +; GCThresholdClassDebug = 5 + +; Turn on/off collapsing of bus ports in VCD dumpports output +DumpportsCollapse = 1 + +; Location of Multi-Level Verification Component (MVC) installation. +; The default location is the product installation directory. +MvcHome = $MODEL_TECH/.. + +; Initialize SystemVerilog enums using the base type's default value +; instead of the leftmost value. +; EnumBaseInit = 1 + +; Suppress file type registration. +; SuppressFileTypeReg = 1 + +; Controls SystemVerilog Language Extensions. These options enable +; some non-LRM compliant behavior. Valid extensions are "feci", +; "pae", "uslt", "spsl" and "sccts". +; SVExtensions = uslt,spsl,sccts + +; Controls the formatting of '%p' and '%P' conversion specification, used in $display +; and similar system tasks. +; 1. SVPrettyPrintFlags=I<n><S|T> use <n> spaces(S) or tabs(T) per indentation level. +; The 'I' flag when present causes relevant data types to be expanded and indented into +; a more readable format. +; (e.g. SVPrettyPrintFlags=I4S will cause 4 spaces to be used per indentation level). +; 2. SVPrettyPrintFlags=L<numLines> limits the output to <numLines> lines. +; (e.g. SVPrettyPrintFlags=L20 will limit the output to 20 lines). +; 3. SVPrettyPrintFlags=C<numChars> limits the output to <numChars> characters. +; (e.g. SVPrettyPrintFlags=C256 will limit the output to 256 characters). +; 4. SVPrettyPrintFlags=F<numFields> limits the output to <numFields> of relevant datatypes +; (e.g. SVPrettyPrintFlags=F4 will limit the output to 4 fields of a structure). +; 5. SVPrettyPrintFlags=E<numElements> limits the output to <numElements> of relevant datatypes +; (e.g. SVPrettyPrintFlags=E50 will limit the output to 50 elements of an array). +; 6. SVPrettyPrintFlags=D<depth> suppresses the output of sub-elements below <depth>. +; (e.g. SVPrettyPrintFlags=D5 will suppresses the output of sub elements below a depth of 5). +; 7. Items 1-6 above can be combined as a comma separated list. +; (e.g. SVPrettyPrintFlags=I4S,L20,C256,F4,E50,D5) +; SVPrettyPrintFlags=I4S + +[lmc] +; The simulator's interface to Logic Modeling's SmartModel SWIFT software +libsm = $MODEL_TECH/libsm.sl +; The simulator's interface to Logic Modeling's SmartModel SWIFT software (Windows NT) +; libsm = $MODEL_TECH/libsm.dll +; Logic Modeling's SmartModel SWIFT software (HP 9000 Series 700) +; libswift = $LMC_HOME/lib/hp700.lib/libswift.sl +; Logic Modeling's SmartModel SWIFT software (IBM RISC System/6000) +; libswift = $LMC_HOME/lib/ibmrs.lib/swift.o +; Logic Modeling's SmartModel SWIFT software (Sun4 Solaris) +; libswift = $LMC_HOME/lib/sun4Solaris.lib/libswift.so +; Logic Modeling's SmartModel SWIFT software (Windows NT) +; libswift = $LMC_HOME/lib/pcnt.lib/libswift.dll +; Logic Modeling's SmartModel SWIFT software (non-Enterprise versions of Linux) +; libswift = $LMC_HOME/lib/x86_linux.lib/libswift.so +; Logic Modeling's SmartModel SWIFT software (Enterprise versions of Linux) +; libswift = $LMC_HOME/lib/linux.lib/libswift.so + +; The simulator's interface to Logic Modeling's hardware modeler SFI software +libhm = $MODEL_TECH/libhm.sl +; The simulator's interface to Logic Modeling's hardware modeler SFI software (Windows NT) +; libhm = $MODEL_TECH/libhm.dll +; Logic Modeling's hardware modeler SFI software (HP 9000 Series 700) +; libsfi = <sfi_dir>/lib/hp700/libsfi.sl +; Logic Modeling's hardware modeler SFI software (IBM RISC System/6000) +; libsfi = <sfi_dir>/lib/rs6000/libsfi.a +; Logic Modeling's hardware modeler SFI software (Sun4 Solaris) +; libsfi = <sfi_dir>/lib/sun4.solaris/libsfi.so +; Logic Modeling's hardware modeler SFI software (Windows NT) +; libsfi = <sfi_dir>/lib/pcnt/lm_sfi.dll +; Logic Modeling's hardware modeler SFI software (Linux) +; libsfi = <sfi_dir>/lib/linux/libsfi.so + +[msg_system] +; Change a message severity or suppress a message. +; The format is: <msg directive> = <msg number>[,<msg number>...] +; suppress can be used to achieve +nowarn<CODE> functionality +; The format is: suppress = <CODE>,<msg number>,[<CODE>,<msg number>,...] +; Examples: +suppress = 8780 ;an explanation can be had by running: verror 8780 +; note = 3009 +; warning = 3033 +; error = 3010,3016 +; fatal = 3016,3033 +; suppress = 3009,3016,3043 +; suppress = 3009,CNNODP,3043,TFMPC +; suppress = 8683,8684 +; The command verror <msg number> can be used to get the complete +; description of a message. + +; Control transcripting of Verilog display system task messages and +; PLI/FLI print function call messages. The system tasks include +; $display[bho], $strobe[bho], $monitor[bho], and $write[bho]. They +; also include the analogous file I/O tasks that write to STDOUT +; (i.e. $fwrite or $fdisplay). The PLI/FLI calls include io_printf, +; vpi_printf, mti_PrintMessage, and mti_PrintFormatted. The default +; is to have messages appear only in the transcript. The other +; settings are to send messages to the wlf file only (messages that +; are recorded in the wlf file can be viewed in the MsgViewer) or +; to both the transcript and the wlf file. The valid values are +; tran {transcript only (default)} +; wlf {wlf file only} +; both {transcript and wlf file} +; displaymsgmode = tran + +; Control transcripting of elaboration/runtime messages not +; addressed by the displaymsgmode setting. The default is to +; have messages appear only in the transcript. The other settings +; are to send messages to the wlf file only (messages that are +; recorded in the wlf file can be viewed in the MsgViewer) or to both +; the transcript and the wlf file. The valid values are +; tran {transcript only (default)} +; wlf {wlf file only} +; both {transcript and wlf file} +; msgmode = tran + +[utils] +; Default Library Type +; Set to determine the default type for a library created with "vlib" +; 0 - legacy library using subdirectories for design units +; 1 - archive library (deprecated) +; 2 - flat library +; DefaultLibType = 2 + +; Archive Library Compact Value +; Sets compaction trigger for archive libraries. The value is the percentage +; of free space in the archive. +; ArchiveLibCompact = 0.5 + +; Flat Library Page Size +; Set the size in bytes for flat library file pages. Very large libraries +; may benefit from a larger value, at the expense of disk space. +; FlatLibPageSize = 8192 + +; Flat Library Page Cleanup Percentage +; Set the percentage of total pages deleted before library cleanup can occur. +; This setting is applied together with FlatLibPageDeleteThreshold. +; FlatLibPageDeletePercentage = 50 + +; Flat Library Page Cleanup Threshold +; Set the number of pages deleted before library cleanup can occur. +; This setting is applied together with FlatLibPageDeletePercentage. +; FlatLibPageDeleteThreshold = 1000 + +[Project] +; Warning -- Do not edit the project properties directly. +; Property names are dynamic in nature and property +; values have special syntax. Changing property data directly +; can result in a corrupt MPF file. All project properties +; can be modified through project window dialogs. +Project_Version = 6 +Project_DefaultLib = work +Project_SortMethod = unused +Project_Files_Count = 72 +Project_File_0 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/external/opencores_i2c/i2c_master_top.vhd +Project_File_P_0 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390213197 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 49 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_1 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/triggerInputs_rtl.vhd +Project_File_P_1 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 0 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 64 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 1 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_2 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/top_extphy_struct.vhd +Project_File_P_2 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390212915 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 53 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_3 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/i2c_master_rtl.vhd +Project_File_P_3 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390212879 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 51 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_4 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/DUTInterfaces_rtl.vhd +Project_File_P_4 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390212879 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 52 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_5 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/external/opencores_i2c/i2c_master_byte_ctrl.vhd +Project_File_P_5 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390213197 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 36 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_6 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/synchronizeRegisters_rtl.vhd +Project_File_P_6 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 0 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 68 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 1 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_7 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/slaves/hdl/syncreg_w.vhd +Project_File_P_7 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390213045 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 38 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_8 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/external/opencores_i2c/i2c_master_bit_ctrl.vhd +Project_File_P_8 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390213197 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 37 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_9 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_dualportram_tx.vhd +Project_File_P_9 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390213042 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 12 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_10 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ethernet/hdl/emac_hostbus_decl.vhd +Project_File_P_10 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390213054 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 32 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_11 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/ipbus_trans_decl.vhd +Project_File_P_11 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390213042 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 1 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_12 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_build_payload.vhd +Project_File_P_12 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390213042 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 21 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_13 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_rxtransactor_if_simple.vhd +Project_File_P_13 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390213042 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 6 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_14 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_buffer_selector.vhd +Project_File_P_14 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390213042 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 23 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_15 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_rxram_mux.vhd +Project_File_P_15 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390213042 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 8 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_16 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/external/opencores_i2c/i2c_master_registers.vhd +Project_File_P_16 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390213197 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 35 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_17 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_status_buffer.vhd +Project_File_P_17 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390213042 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 5 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_18 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_do_rx_reset.vhd +Project_File_P_18 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390213042 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 15 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_19 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_rarp_block.vhd +Project_File_P_19 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390213042 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 9 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_20 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/transactor_if.vhd +Project_File_P_20 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390213042 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 25 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_21 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/fmcTLU_pkg_body.vhd +Project_File_P_21 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390212915 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 44 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_22 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_build_resend.vhd +Project_File_P_22 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390213042 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 19 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_23 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/transactor_sm.vhd +Project_File_P_23 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390213042 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 24 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_24 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/triggerLogic_rtl.vhd +Project_File_P_24 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 0 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 63 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 1 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_25 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_clock_crossing_if.vhd +Project_File_P_25 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390213042 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 16 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_26 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/eventBuffer_rtl.vhd +Project_File_P_26 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 0 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 67 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 1 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_27 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/logic_clocks_rtl.vhd +Project_File_P_27 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 0 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 65 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 1 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_28 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_dualportram.vhd +Project_File_P_28 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390213041 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 14 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_29 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_build_status.vhd +Project_File_P_29 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390213041 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 18 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_30 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/example_designs/hdl/clock_div.vhd +Project_File_P_30 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390213032 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 27 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_31 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/arrivalTimeLUT_rtl.vhd +Project_File_P_31 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 0 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 62 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 1 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_32 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/trans_arb.vhd +Project_File_P_32 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390213042 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 29 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_33 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_tx_mux.vhd +Project_File_P_33 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390213042 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 3 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_34 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_build_ping.vhd +Project_File_P_34 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390213042 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 20 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_35 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/workspace/ipcore_dir/tlu_event_fifo.vhd +Project_File_P_35 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 0 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 59 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 1 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_36 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_packet_parser.vhd +Project_File_P_36 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390213042 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 10 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_37 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_txtransactor_if_simple.vhd +Project_File_P_37 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390213042 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 4 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_38 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/ipbus_addr_decode.vhd +Project_File_P_38 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390212879 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 34 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_39 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/slaves/hdl/syncreg_r.vhd +Project_File_P_39 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390213045 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 39 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_40 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/ipbus_ctrl.vhd +Project_File_P_40 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390213041 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 42 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_41 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/simulation_src/fmc-tlu_v0-1_test-bench.vhd +Project_File_P_41 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 0 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 69 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 1 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_42 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/transactor.vhd +Project_File_P_42 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390213042 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 30 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_43 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/ipbus_fabric.vhd +Project_File_P_43 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390213042 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 41 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_44 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_build_arp.vhd +Project_File_P_44 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390213042 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 22 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_45 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/IPBusInterface_rtl.vhd +Project_File_P_45 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390212879 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 50 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_46 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/simulation_src/pmtPulseGenerator_rtl.vhd +Project_File_P_46 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 0 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 70 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 1 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_47 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/registerCounter_rtl.vhd +Project_File_P_47 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390212879 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 45 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_48 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/slaves/hdl/ipbus_syncreg_v.vhd +Project_File_P_48 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390213045 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 55 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_49 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/counterWithReset_rtl.vhd +Project_File_P_49 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 0 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 58 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 1 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_50 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/clocks_s6_extphy.vhd +Project_File_P_50 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390212879 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 48 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_51 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/dualSERDES_1to4_rtl.vhd +Project_File_P_51 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390212879 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 47 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_52 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/workspace/ipcore_dir/internalTriggerGenerator.vhd +Project_File_P_52 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 0 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 60 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 1 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_53 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/stretcher.vhd +Project_File_P_53 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390213042 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 31 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_54 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/workspace/ipcore_dir/tri_mode_eth_mac_v5_4.vhd +Project_File_P_54 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 0 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 56 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 1 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_55 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_if_flat.vhd +Project_File_P_55 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390213042 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 28 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_56 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/workspace/ipcore_dir/mac_fifo_axi4.vhd +Project_File_P_56 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 0 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 57 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 1 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_57 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/ipbus_ver.vhd +Project_File_P_57 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390212879 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 46 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_58 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/eventFormatter_rtl.vhd +Project_File_P_58 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 0 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 66 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 1 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_59 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/slaves/hdl/ipbus_ctrlreg_v.vhd +Project_File_P_59 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390213045 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 54 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_60 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_ipaddr_block.vhd +Project_File_P_60 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390213042 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 11 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_61 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_rxram_shim.vhd +Project_File_P_61 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390213042 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 7 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_62 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ethernet/hdl/eth_s6_gmii.vhd +Project_File_P_62 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390213055 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 43 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_63 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/test/clock_divider_s6.v +Project_File_P_63 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat 0 vlog_nodebug 0 vlog_noload 0 last_compile 1390212863 folder {Top Level} cover_branch 0 cover_fsm 0 vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options {} compile_to work vlog_upper 0 cover_noshort 0 compile_order 0 dont_compile 0 cover_expr 0 cover_stmt 0 +Project_File_64 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_dualportram_rx.vhd +Project_File_P_64 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390213042 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 13 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_65 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/ipbus_package.vhd +Project_File_P_65 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390213042 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 2 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_66 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/slaves/hdl/ipbus_reg_types.vhd +Project_File_P_66 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390213045 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 40 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_67 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/workspace/ipcore_dir/FIFO.vhd +Project_File_P_67 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 0 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 61 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 1 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_68 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/transactor_cfg.vhd +Project_File_P_68 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390213042 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 26 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_69 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_byte_sum.vhd +Project_File_P_69 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390213042 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 17 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_70 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/fmcTLU_pkg.vhd +Project_File_P_70 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390212915 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 33 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_71 = /users/phdgc/IPBus_stuff/fmc_tlu_alvaro_test_oct14/aida_minitlu_pinoutTest/fmc-mtlu/firmware/hdl/test/comb_generator_rtl.vhd +Project_File_P_71 = vhdl_novitalcheck 0 file_type vhdl group_id 0 cover_nofec 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 vhdl_enable0In 0 folder {Top Level} last_compile 1414060593 vhdl_disableopt 0 cover_excludedefault 0 vhdl_vital 0 vhdl_warn1 1 vhdl_showsource 0 vhdl_explicit 1 vhdl_warn2 1 vhdl_0InOptions {} cover_covercells 0 vhdl_warn3 1 vhdl_options {} cover_optlevel 3 voptflow 1 vhdl_warn4 1 ood 0 toggle - vhdl_warn5 1 compile_to work cover_noshort 0 compile_order 71 dont_compile 0 cover_nosub 0 vhdl_use93 2002 +Project_Sim_Count = 0 +Project_Folder_Count = 0 +Echo_Compile_Output = 0 +Save_Compile_Report = 1 +Project_Opt_Count = 0 +ForceSoftPaths = 0 +ProjectStatusDelay = 5000 +VERILOG_DoubleClick = Edit +VERILOG_CustomDoubleClick = +SYSTEMVERILOG_DoubleClick = Edit +SYSTEMVERILOG_CustomDoubleClick = +VHDL_DoubleClick = Edit +VHDL_CustomDoubleClick = +PSL_DoubleClick = Edit +PSL_CustomDoubleClick = +TEXT_DoubleClick = Edit +TEXT_CustomDoubleClick = +SYSTEMC_DoubleClick = Edit +SYSTEMC_CustomDoubleClick = +TCL_DoubleClick = Edit +TCL_CustomDoubleClick = +MACRO_DoubleClick = Edit +MACRO_CustomDoubleClick = +VCD_DoubleClick = Edit +VCD_CustomDoubleClick = +SDF_DoubleClick = Edit +SDF_CustomDoubleClick = +XML_DoubleClick = Edit +XML_CustomDoubleClick = +LOGFILE_DoubleClick = Edit +LOGFILE_CustomDoubleClick = +UCDB_DoubleClick = Edit +UCDB_CustomDoubleClick = +TDB_DoubleClick = Edit +TDB_CustomDoubleClick = +UPF_DoubleClick = Edit +UPF_CustomDoubleClick = +PCF_DoubleClick = Edit +PCF_CustomDoubleClick = +PROJECT_DoubleClick = Edit +PROJECT_CustomDoubleClick = +VRM_DoubleClick = Edit +VRM_CustomDoubleClick = +DEBUGDATABASE_DoubleClick = Edit +DEBUGDATABASE_CustomDoubleClick = +DEBUGARCHIVE_DoubleClick = Edit +DEBUGARCHIVE_CustomDoubleClick = +Project_Major_Version = 10 +Project_Minor_Version = 2 diff --git a/firmware/minitlu/simulation/scripts/modelsim.ini b/firmware/minitlu/simulation/scripts/modelsim.ini new file mode 100644 index 00000000..b025bbda --- /dev/null +++ b/firmware/minitlu/simulation/scripts/modelsim.ini @@ -0,0 +1,1868 @@ +; Copyright 1991-2013 Mentor Graphics Corporation +; +; All Rights Reserved. +; +; THIS WORK CONTAINS TRADE SECRET AND PROPRIETARY INFORMATION WHICH IS THE PROPERTY OF +; MENTOR GRAPHICS CORPORATION OR ITS LICENSORS AND IS SUBJECT TO LICENSE TERMS. +; + +[Library] +others = $MODEL_TECH/../modelsim.ini +; +; VITAL concerns: +; +; The library ieee contains (among other packages) the packages of the +; VITAL 2000 standard. When a design uses VITAL 2000 exclusively, it should use +; the physical library ieee (recommended), or use the physical library +; vital2000, but not both. The design can use logical library ieee and/or +; vital2000 as long as each of these maps to the same physical library, either +; ieee or vital2000. +; +; A design using the 1995 version of the VITAL packages, whether or not +; it also uses the 2000 version of the VITAL packages, must have logical library +; name ieee mapped to physical library vital1995. (A design cannot use library +; vital1995 directly because some packages in this library use logical name ieee +; when referring to the other packages in the library.) The design source +; should use logical name ieee when referring to any packages there except the +; VITAL 2000 packages. Any VITAL 2000 present in the design must use logical +; name vital2000 (mapped to physical library vital2000) to refer to those +; packages. +; ieee = $MODEL_TECH/../vital1995 +; +; For compatiblity with previous releases, logical library name vital2000 maps +; to library vital2000 (a different library than library ieee, containing the +; same packages). +; A design should not reference VITAL from both the ieee library and the +; vital2000 library because the vital packages are effectively different. +; A design that references both the ieee and vital2000 libraries must have +; both logical names ieee and vital2000 mapped to the same library, either of +; these: +; $MODEL_TECH/../ieee +; $MODEL_TECH/../vital2000 +; +;vhdl_psl_checkers = $MODEL_TECH/../vhdl_psl_checkers // Source files only for this release +;verilog_psl_checkers = $MODEL_TECH/../verilog_psl_checkers // Source files only for this release +;mvc_lib = $MODEL_TECH/../mvc_lib + + +unimacro = /software/CAD/Xilinx/14.7_64b/14.7/ISE_DS/ISE/vhdl/questasim/10.2c_5/lin64/unimacro +simprim = /software/CAD/Xilinx/14.7_64b/14.7/ISE_DS/ISE/vhdl/questasim/10.2c_5/lin64/simprim +unisim = /software/CAD/Xilinx/14.7_64b/14.7/ISE_DS/ISE/vhdl/questasim/10.2c_5/lin64/unisim +xilinxcorelib = /software/CAD/Xilinx/14.7_64b/14.7/ISE_DS/ISE/vhdl/questasim/10.2c_5/lin64/xilinxcorelib +unimacro_ver = /software/CAD/Xilinx/14.7_64b/14.7/ISE_DS/ISE/verilog/questasim/10.2c_5/lin64/unimacro_ver +simprims_ver = /software/CAD/Xilinx/14.7_64b/14.7/ISE_DS/ISE/verilog/questasim/10.2c_5/lin64/simprims_ver +unisims_ver = /software/CAD/Xilinx/14.7_64b/14.7/ISE_DS/ISE/verilog/questasim/10.2c_5/lin64/unisims_ver +xilinxcorelib_ver = /software/CAD/Xilinx/14.7_64b/14.7/ISE_DS/ISE/verilog/questasim/10.2c_5/lin64/xilinxcorelib_ver +secureip = /software/CAD/Xilinx/14.7_64b/14.7/ISE_DS/ISE/verilog/questasim/10.2c_5/lin64/secureip + +[DefineOptionset] +; Define optionset entries for the various compilers, vmake, and vsim. +; These option sets can be used with the "-optionset <optionsetname>" syntax. +; i.e. +; vlog -optionset COMPILEDEBUG top.sv +; vsim -optionset UVMDEBUG my_top +; +; Following are some useful examples. + +; define a vsim optionset for uvm debugging +UVMDEBUG = -uvmcontrol=all -msgmode both -displaymsgmode both -classdebug -onfinish stop + +; define a vopt optionset for debugging +VOPTDEBUG = +acc -debugdb + + +[vcom] +; VHDL93 variable selects language version as the default. +; Default is VHDL-2002. +; Value of 0 or 1987 for VHDL-1987. +; Value of 1 or 1993 for VHDL-1993. +; Default or value of 2 or 2002 for VHDL-2002. +; Value of 3 or 2008 for VHDL-2008 +VHDL93 = 2002 + +; Ignore VHDL-2008 declaration of REAL_VECTOR in package STANDARD. Default is off. +; ignoreStandardRealVector = 1 + +; Show source line containing error. Default is off. +; Show_source = 1 + +; Turn off unbound-component warnings. Default is on. +; Show_Warning1 = 0 + +; Turn off process-without-a-wait-statement warnings. Default is on. +; Show_Warning2 = 0 + +; Turn off null-range warnings. Default is on. +; Show_Warning3 = 0 + +; Turn off no-space-in-time-literal warnings. Default is on. +; Show_Warning4 = 0 + +; Turn off multiple-drivers-on-unresolved-signal warnings. Default is on. +; Show_Warning5 = 0 + +; Turn off optimization for IEEE std_logic_1164 package. Default is on. +; Optimize_1164 = 0 + +; Turn on resolving of ambiguous function overloading in favor of the +; "explicit" function declaration (not the one automatically created by +; the compiler for each type declaration). Default is off. +; The .ini file has Explicit enabled so that std_logic_signed/unsigned +; will match the behavior of synthesis tools. +Explicit = 1 + +; Turn off acceleration of the VITAL packages. Default is to accelerate. +; NoVital = 1 + +; Turn off VITAL compliance checking. Default is checking on. +; NoVitalCheck = 1 + +; Ignore VITAL compliance checking errors. Default is to not ignore. +; IgnoreVitalErrors = 1 + +; Turn off VITAL compliance checking warnings. Default is to show warnings. +; Show_VitalChecksWarnings = 0 + +; Turn off PSL assertion warning messages. Default is to show warnings. +; Show_PslChecksWarnings = 0 + +; Enable parsing of embedded PSL assertions. Default is enabled. +; EmbeddedPsl = 0 + +; Keep silent about case statement static warnings. +; Default is to give a warning. +; NoCaseStaticError = 1 + +; Keep silent about warnings caused by aggregates that are not locally static. +; Default is to give a warning. +; NoOthersStaticError = 1 + +; Treat as errors: +; case statement static warnings +; warnings caused by aggregates that are not locally static +; Overrides NoCaseStaticError, NoOthersStaticError settings. +; PedanticErrors = 1 + +; Turn off inclusion of debugging info within design units. +; Default is to include debugging info. +; NoDebug = 1 + +; Turn off "Loading..." messages. Default is messages on. +; Quiet = 1 + +; Turn on some limited synthesis rule compliance checking. Checks only: +; -- signals used (read) by a process must be in the sensitivity list +; CheckSynthesis = 1 + +; Activate optimizations on expressions that do not involve signals, +; waits, or function/procedure/task invocations. Default is off. +; ScalarOpts = 1 + +; Turns on lint-style checking. +; Show_Lint = 1 + +; Require the user to specify a configuration for all bindings, +; and do not generate a compile time default binding for the +; component. This will result in an elaboration error of +; 'component not bound' if the user fails to do so. Avoids the rare +; issue of a false dependency upon the unused default binding. +; RequireConfigForAllDefaultBinding = 1 + +; Perform default binding at compile time. +; Default is to do default binding at load time. +; BindAtCompile = 1; + +; Inhibit range checking on subscripts of arrays. Range checking on +; scalars defined with subtypes is inhibited by default. +; NoIndexCheck = 1 + +; Inhibit range checks on all (implicit and explicit) assignments to +; scalar objects defined with subtypes. +; NoRangeCheck = 1 + +; Set the prefix to be honored for synthesis/coverage pragma recognition. +; Default is "". +; AddPragmaPrefix = "" + +; Ignore synthesis and coverage pragmas with this prefix. +; Default is "". +; IgnorePragmaPrefix = "" + +; Turn on code coverage in VHDL design units. Default is off. +; Coverage = sbceft + +; Turn off code coverage in VHDL subprograms. Default is on. +; CoverSub = 0 + +; Automatically exclude VHDL case statement OTHERS choice branches. +; This includes OTHERS choices in selected signal assigment statements. +; Default is to not exclude. +; CoverExcludeDefault = 1 + +; Control compiler and VOPT optimizations that are allowed when +; code coverage is on. Refer to the comment for this in the [vlog] area. +; CoverOpt = 3 + +; Turn on or off clkOpt optimization for code coverage. Default is on. +; CoverClkOpt = 1 + +; Turn on or off clkOpt optimization builtins for code coverage. Default is on. +; CoverClkOptBuiltins = 0 + +; Inform code coverage optimizations to respect VHDL 'H' and 'L' +; values on signals in conditions and expressions, and to not automatically +; convert them to '1' and '0'. Default is to not convert. +; CoverRespectHandL = 0 + +; Increase or decrease the maximum number of rows allowed in a UDP table +; implementing a VHDL condition coverage or expression coverage expression. +; More rows leads to a longer compile time, but more expressions covered. +; CoverMaxUDPRows = 192 + +; Increase or decrease the maximum number of input patterns that are present +; in FEC table. This leads to a longer compile time with more expressions +; covered with FEC metric. +; CoverMaxFECRows = 192 + +; Increase or decrease the limit on the size of expressions and conditions +; considered for expression and condition coverages. Higher FecUdpEffort leads +; to higher compile, optimize and simulation time, but more expressions and +; conditions are considered for coverage in the design. FecUdpEffort can +; be set to a number ranging from 1 (low) to 3 (high), defined as: +; 1 - (low) Only small expressions and conditions considered for coverage. +; 2 - (medium) Bigger expressions and conditions considered for coverage. +; 3 - (high) Very large expressions and conditions considered for coverage. +; The default setting is 1 (low). +; FecUdpEffort = 1 + +; Enable or disable Focused Expression Coverage analysis for conditions and +; expressions. Focused Expression Coverage data is provided by default when +; expression and/or condition coverage is active. +; CoverFEC = 0 + +; Enable or disable UDP Coverage analysis for conditions and expressions. +; UDP Coverage data is disabled by default when expression and/or condition +; coverage is active. +; CoverUDP = 1 + +; Enable or disable bit-blasting multi-bit operands of reduction prefix expressions +; for expression/condition coverage. +; CoverExpandReductionPrefix = 1 + +; Enable or disable short circuit evaluation of conditions and expressions when +; condition or expression coverage is active. Short circuit evaluation is enabled +; by default. +; CoverShortCircuit = 0 + +; Enable code coverage reporting of code that has been optimized away. +; The default is not to report. +; CoverReportCancelled = 1 + +; Enable deglitching of code coverage in combinatorial, non-clocked, processes. +; Default is no deglitching. +; CoverDeglitchOn = 1 + +; Control the code coverage deglitching period. A period of 0, eliminates delta +; cycle glitches. The value of CoverDeglitchPeriod needs to be either be 0 or a +; time string that includes time units. Examples: 0 or 10.0ps or "10.0 ps". +; CoverDeglitchPeriod = 0 + +; Use this directory for compiler temporary files instead of "work/_temp" +; CompilerTempDir = /tmp + +; Set this to cause the compilers to force data to be committed to disk +; when the files are closed. +; SyncCompilerFiles = 1 + +; Add VHDL-AMS declarations to package STANDARD +; Default is not to add +; AmsStandard = 1 + +; Range and length checking will be performed on array indices and discrete +; ranges, and when violations are found within subprograms, errors will be +; reported. Default is to issue warnings for violations, because subprograms +; may not be invoked. +; NoDeferSubpgmCheck = 0 + +; Turn ON detection of FSMs having single bit current state variable. +; FsmSingle = 1 + +; Turn off reset state transitions in FSM. +; FsmResetTrans = 0 + +; Turn ON detection of FSM Implicit Transitions. +; FsmImplicitTrans = 1 + +; Controls whether or not to show immediate assertions with constant expressions +; in GUI/report/UCDB etc. By default, immediate assertions with constant +; expressions are shown in GUI/report/UCDB etc. This does not affect +; evaluation of immediate assertions. +; ShowConstantImmediateAsserts = 0 + +; Controls how VHDL basic identifiers are stored with the design unit. +; Does not make the language case-sensitive, affects only how declarations +; declared with basic identifiers have their names stored and printed +; (in the GUI, examine, etc.). +; Default is to preserve the case as originally depicted in the VHDL source. +; Value of 0 indicates to change all basic identifiers to lower case. +; PreserveCase = 0 + +; For Configuration Declarations, controls the effect that USE clauses have +; on visibility inside the configuration items being configured. If 1 +; (the default), then use pre-10.0 behavior. If 0, then for stricter LRM-compliance, +; extend the visibility of objects made visible through USE clauses into nested +; component configurations. +; OldVHDLConfigurationVisibility = 0 + +; Allows VHDL configuration declarations to be in a different library from +; the corresponding configured entity. Default is to not allow this for +; stricter LRM-compliance. +; SeparateConfigLibrary = 1; + +; Determine how mode OUT subprogram parameters of type array and record are treated. +; If 0 (the default), then only VHDL 2008 will do this initialization. +; If 1, always initialize the mode OUT parameter to its default value. +; If 2, do not initialize the mode OUT out parameter. +; Note that prior to release 10.1, all language versions did not initialize mode +; OUT array and record type parameters, unless overridden here via this mechanism. +; In release 10.1 and later, only files compiled with VHDL 2008 will cause this +; initialization, unless overridden here. +; InitOutCompositeParam = 0 + +; Generate symbols debugging database in only some special cases to save on +; the number of files in the library. For other design-units, this database is +; generated on-demand in vsim. +; Default is to to generate debugging database for all design-units. +; SmartDbgSym = 1 + +[vlog] +; Turn off inclusion of debugging info within design units. +; Default is to include debugging info. +; NoDebug = 1 + +; Turn on `protect compiler directive processing. +; Default is to ignore `protect directives. +; Protect = 1 + +; Turn off "Loading..." messages. Default is messages on. +; Quiet = 1 + +; Turn on Verilog hazard checking (order-dependent accessing of global vars). +; Default is off. +; Hazard = 1 + +; Turn on converting regular Verilog identifiers to uppercase. Allows case +; insensitivity for module names. Default is no conversion. +; UpCase = 1 + +; Activate optimizations on expressions that do not involve signals, +; waits, or function/procedure/task invocations. Default is off. +; ScalarOpts = 1 + +; Turns on lint-style checking. +; Show_Lint = 1 + +; Show source line containing error. Default is off. +; Show_source = 1 + +; Turn on bad option warning. Default is off. +; Show_BadOptionWarning = 1 + +; Revert back to IEEE 1364-1995 syntax, default is 0 (off). +; vlog95compat = 1 + +; Turn off PSL warning messages. Default is to show warnings. +; Show_PslChecksWarnings = 0 + +; Enable parsing of embedded PSL assertions. Default is enabled. +; EmbeddedPsl = 0 + +; Set the threshold for automatically identifying sparse Verilog memories. +; A memory with depth equal to or more than the sparse memory threshold gets +; marked as sparse automatically, unless specified otherwise in source code +; or by +nosparse commandline option of vlog or vopt. +; The default is 1M. (i.e. memories with depth equal +; to or greater than 1M are marked as sparse) +; SparseMemThreshold = 1048576 + +; Set the prefix to be honored for synthesis and coverage pragma recognition. +; Default is "". +; AddPragmaPrefix = "" + +; Ignore synthesis and coverage pragmas with this prefix. +; Default is "". +; IgnorePragmaPrefix = "" + +; Set the option to treat all files specified in a vlog invocation as a +; single compilation unit. The default value is set to 0 which will treat +; each file as a separate compilation unit as specified in the P1800 draft standard. +; MultiFileCompilationUnit = 1 + +; Turn on code coverage in Verilog design units. Default is off. +; Coverage = sbceft + +; Automatically exclude Verilog case statement default branches. +; Default is to not automatically exclude defaults. +; CoverExcludeDefault = 1 + +; Increase or decrease the maximum number of rows allowed in a UDP table +; implementing a VHDL condition coverage or expression coverage expression. +; More rows leads to a longer compile time, but more expressions covered. +; CoverMaxUDPRows = 192 + +; Increase or decrease the maximum number of input patterns that are present +; in FEC table. This leads to a longer compile time with more expressions +; covered with FEC metric. +; CoverMaxFECRows = 192 + +; Increase or decrease the limit on the size of expressions and conditions +; considered for expression and condition coverages. Higher FecUdpEffort leads +; to higher compile, optimize and simulation time, but more expressions and +; conditions are considered for coverage in the design. FecUdpEffort can +; be set to a number ranging from 1 (low) to 3 (high), defined as: +; 1 - (low) Only small expressions and conditions considered for coverage. +; 2 - (medium) Bigger expressions and conditions considered for coverage. +; 3 - (high) Very large expressions and conditions considered for coverage. +; The default setting is 1 (low). +; FecUdpEffort = 1 + +; Enable or disable Focused Expression Coverage analysis for conditions and +; expressions. Focused Expression Coverage data is provided by default when +; expression and/or condition coverage is active. +; CoverFEC = 0 + +; Enable or disable UDP Coverage analysis for conditions and expressions. +; UDP Coverage data is disabled by default when expression and/or condition +; coverage is active. +; CoverUDP = 1 + +; Enable or disable bit-blasting multi-bit operands of reduction prefix expressions +; for expression/condition coverage. +; CoverExpandReductionPrefix = 1 + +; Enable or disable short circuit evaluation of conditions and expressions when +; condition or expression coverage is active. Short circuit evaluation is enabled +; by default. +; CoverShortCircuit = 0 + +; Enable deglitching of code coverage in combinatorial, non-clocked, processes. +; Default is no deglitching. +; CoverDeglitchOn = 1 + +; Control the code coverage deglitching period. A period of 0, eliminates delta +; cycle glitches. The value of CoverDeglitchPeriod needs to be either be 0 or a +; time string that includes time units. Examples: 0 or 10.0ps or "10.0 ps". +; CoverDeglitchPeriod = 0 + +; Turn on code coverage in VLOG `celldefine modules, modules containing +; specify blocks, and modules included using vlog -v and -y. Default is off. +; CoverCells = 1 + +; Enable code coverage reporting of code that has been optimized away. +; The default is not to report. +; CoverReportCancelled = 1 + +; Control compiler and VOPT optimizations that are allowed when +; code coverage is on. This is a number from 0 to 5, with the following +; meanings (the default is 3): +; 5 -- All allowable optimizations are on. +; 4 -- Turn off removing unreferenced code. +; 3 -- Turn off process, always block and if statement merging. +; 2 -- Turn off expression optimization, converting primitives +; to continuous assignments, VHDL subprogram inlining. +; and VHDL clkOpt (converting FF's to builtins). +; 1 -- Turn off continuous assignment optimizations and clock suppression. +; 0 -- Turn off Verilog module inlining and VHDL arch inlining. +; HOWEVER, if fsm coverage is turned on, optimizations will be forced to +; level 3, with also turning off converting primitives to continuous assigns. +; CoverOpt = 3 + +; Specify the override for the default value of "cross_num_print_missing" +; option for the Cross in Covergroups. If not specified then LRM default +; value of 0 (zero) is used. This is a compile time option. +; SVCrossNumPrintMissingDefault = 0 + +; Setting following to 1 would cause creation of variables which +; would represent the value of Coverpoint expressions. This is used +; in conjunction with "SVCoverpointExprVariablePrefix" option +; in the modelsim.ini +; EnableSVCoverpointExprVariable = 0 + +; Specify the override for the prefix used in forming the variable names +; which represent the Coverpoint expressions. This is used in conjunction with +; "EnableSVCoverpointExprVariable" option of the modelsim.ini +; The default prefix is "expr". +; The variable name is +; variable name => <prefix>_<coverpoint name> +; SVCoverpointExprVariablePrefix = expr + +; Override for the default value of the SystemVerilog covergroup, +; coverpoint, and cross option.goal (defined to be 100 in the LRM). +; NOTE: It does not override specific assignments in SystemVerilog +; source code. NOTE: The modelsim.ini variable "SVCovergroupGoal" +; in the [vsim] section can override this value. +; SVCovergroupGoalDefault = 100 + +; Override for the default value of the SystemVerilog covergroup, +; coverpoint, and cross type_option.goal (defined to be 100 in the LRM) +; NOTE: It does not override specific assignments in SystemVerilog +; source code. NOTE: The modelsim.ini variable "SVCovergroupTypeGoal" +; in the [vsim] section can override this value. +; SVCovergroupTypeGoalDefault = 100 + +; Specify the override for the default value of "strobe" option for the +; Covergroup Type. This is a compile time option which forces "strobe" to +; a user specified default value and supersedes SystemVerilog specified +; default value of '0'(zero). NOTE: This can be overriden by a runtime +; modelsim.ini variable "SVCovergroupStrobe" in the [vsim] section. +; SVCovergroupStrobeDefault = 0 + +; Specify the override for the default value of "per_instance" option for the +; Covergroup variables. This is a compile time option which forces "per_instance" +; to a user specified default value and supersedes SystemVerilog specified +; default value of '0'(zero). +; SVCovergroupPerInstanceDefault = 0 + +; Specify the override for the default value of "get_inst_coverage" option for the +; Covergroup variables. This is a compile time option which forces +; "get_inst_coverage" to a user specified default value and supersedes +; SystemVerilog specified default value of '0'(zero). +; SVCovergroupGetInstCoverageDefault = 0 + +; +; A space separated list of resource libraries that contain precompiled +; packages. The behavior is identical to using the "-L" switch. +; +; LibrarySearchPath = <path/lib> [<path/lib> ...] +LibrarySearchPath = mtiAvm mtiOvm mtiUvm mtiUPF + +; The behavior is identical to the "-mixedansiports" switch. Default is off. +; MixedAnsiPorts = 1 + +; Enable SystemVerilog 3.1a $typeof() function. Default is off. +; EnableTypeOf = 1 + +; Only allow lower case pragmas. Default is disabled. +; AcceptLowerCasePragmaOnly = 1 + +; Set the maximum depth permitted for a recursive include file nesting. +; IncludeRecursionDepthMax = 5 + +; Turn ON detection of FSMs having single bit current state variable. +; FsmSingle = 1 + +; Turn off reset state transitions in FSM. +; FsmResetTrans = 0 + +; Turn off detections of FSMs having x-assignment. +; FsmXAssign = 0 + +; Turn ON detection of FSM Implicit Transitions. +; FsmImplicitTrans = 1 + +; List of file suffixes which will be read as SystemVerilog. White space +; in extensions can be specified with a back-slash: "\ ". Back-slashes +; can be specified with two consecutive back-slashes: "\\"; +; SVFileExtensions = sv svp svh + +; This setting is the same as the vlog -sv command line switch. +; Enables SystemVerilog features and keywords when true (1). +; When false (0), the rules of IEEE Std 1364-2001 are followed and +; SystemVerilog keywords are ignored. +; Svlog = 0 + +; Prints attribute placed upon SV packages during package import +; when true (1). The attribute will be ignored when this +; entry is false (0). The attribute name is "package_load_message". +; The value of this attribute is a string literal. +; Default is true (1). +; PrintSVPackageLoadingAttribute = 1 + +; Do not show immediate assertions with constant expressions in +; GUI/reports/UCDB etc. By default immediate assertions with constant +; expressions are shown in GUI/reports/UCDB etc. This does not affect +; evaluation of immediate assertions. +; ShowConstantImmediateAsserts = 0 + +; Controls if untyped parameters that are initialized with values greater +; than 2147483647 are mapped to generics of type INTEGER or ignored. +; If mapped to VHDL Integers, values greater than 2147483647 +; are mapped to negative values. +; Default is to map these parameter to generic of type INTEGER +; ForceUnsignedToVHDLInteger = 1 + +; Enable AMS wreal (wired real) extensions. Default is 0. +; WrealType = 1 + +; Controls SystemVerilog Language Extensions. These options enable +; some non-LRM compliant behavior. Valid extensions are "feci", +; "pae", "uslt", "spsl", "sccts", "iddp" and "atpi". +; SVExtensions = uslt,spsl,sccts + +; Generate symbols debugging database in only some special cases to save on +; the number of files in the library. For other design-units, this database is +; generated on-demand in vsim. +; Default is to to generate debugging database for all design-units. +; SmartDbgSym = 1 + +[sccom] +; Enable use of SCV include files and library. Default is off. +; UseScv = 1 + +; Add C++ compiler options to the sccom command line by using this variable. +; CppOptions = -g + +; Use custom C++ compiler located at this path rather than the default path. +; The path should point directly at a compiler executable. +; CppPath = /usr/bin/g++ + +; Enable verbose messages from sccom. Default is off. +; SccomVerbose = 1 + +; sccom logfile. Default is no logfile. +; SccomLogfile = sccom.log + +; Enable use of SC_MS include files and library. Default is off. +; UseScMs = 1 + +[vopt] +; Turn on code coverage in vopt. Default is off. +; Coverage = sbceft + +; Control compiler optimizations that are allowed when +; code coverage is on. Refer to the comment for this in the [vlog] area. +; CoverOpt = 3 + +; Increase or decrease the maximum number of rows allowed in a UDP table +; implementing a VHDL condition coverage or expression coverage expression. +; More rows leads to a longer compile time, but more expressions covered. +; CoverMaxUDPRows = 192 + +; Increase or decrease the maximum number of input patterns that are present +; in FEC table. This leads to a longer compile time with more expressions +; covered with FEC metric. +; CoverMaxFECRows = 192 + +; Increase or decrease the limit on the size of expressions and conditions +; considered for expression and condition coverages. Higher FecUdpEffort leads +; to higher compile, optimize and simulation time, but more expressions and +; conditions are considered for coverage in the design. FecUdpEffort can +; be set to a number ranging from 1 (low) to 3 (high), defined as: +; 1 - (low) Only small expressions and conditions considered for coverage. +; 2 - (medium) Bigger expressions and conditions considered for coverage. +; 3 - (high) Very large expressions and conditions considered for coverage. +; The default setting is 1 (low). +; FecUdpEffort = 1 + +; Enable code coverage reporting of code that has been optimized away. +; The default is not to report. +; CoverReportCancelled = 1 + +; Enable deglitching of code coverage in combinatorial, non-clocked, processes. +; Default is no deglitching. +; CoverDeglitchOn = 1 + +; Control the code coverage deglitching period. A period of 0, eliminates delta +; cycle glitches. The value of CoverDeglitchPeriod needs to be either be 0 or a +; time string that includes time units. Examples: 0 or 10.0ps or "10.0 ps". +; CoverDeglitchPeriod = 0 + +; Do not show immediate assertions with constant expressions in +; GUI/reports/UCDB etc. By default immediate assertions with constant +; expressions are shown in GUI/reports/UCDB etc. This does not affect +; evaluation of immediate assertions. +; ShowConstantImmediateAsserts = 0 + +; Set the maximum number of iterations permitted for a generate loop. +; Restricting this permits the implementation to recognize infinite +; generate loops. +; GenerateLoopIterationMax = 100000 + +; Set the maximum depth permitted for a recursive generate instantiation. +; Restricting this permits the implementation to recognize infinite +; recursions. +; GenerateRecursionDepthMax = 200 + +; Set the number of processes created during the code generation phase. +; By default a heuristic is used to set this value. This may be set to 0 +; to disable this feature completely. +; ParallelJobs = 0 + +; Controls SystemVerilog Language Extensions. These options enable +; some non-LRM compliant behavior. Valid extensions are "feci", +; "pae", "uslt", "spsl" and "sccts". +; SVExtensions = uslt,spsl,sccts + +[vsim] +; vopt flow +; Set to turn on automatic optimization of a design. +; Default is on +VoptFlow = 1 + +; Simulator resolution +; Set to fs, ps, ns, us, ms, or sec with optional prefix of 1, 10, or 100. +Resolution = ns + +; Disable certain code coverage exclusions automatically. +; Assertions and FSM are exluded from the code coverage by default +; Set AutoExclusionsDisable = fsm to enable code coverage for fsm +; Set AutoExclusionsDisable = assertions to enable code coverage for assertions +; Set AutoExclusionsDisable = all to enable code coverage for all the automatic exclusions +; Or specify comma or space separated list +;AutoExclusionsDisable = fsm,assertions + +; User time unit for run commands +; Set to default, fs, ps, ns, us, ms, or sec. The default is to use the +; unit specified for Resolution. For example, if Resolution is 100ps, +; then UserTimeUnit defaults to ps. +; Should generally be set to default. +UserTimeUnit = default + +; Default run length +RunLength = 100 + +; Maximum iterations that can be run without advancing simulation time +IterationLimit = 20000 + +; Set XPROP assertion fail limit. Default is 5. +; Any positive integer, -1 for infinity. +; XpropAssertionLimit = 5 + +; Control PSL and Verilog Assume directives during simulation +; Set SimulateAssumeDirectives = 0 to disable assume being simulated as asserts +; Set SimulateAssumeDirectives = 1 to enable assume simulation as asserts +; SimulateAssumeDirectives = 1 + +; Control the simulation of PSL and SVA +; These switches can be overridden by the vsim command line switches: +; -psl, -nopsl, -sva, -nosva. +; Set SimulatePSL = 0 to disable PSL simulation +; Set SimulatePSL = 1 to enable PSL simulation (default) +; SimulatePSL = 1 +; Set SimulateSVA = 0 to disable SVA simulation +; Set SimulateSVA = 1 to enable concurrent SVA simulation (default) +; SimulateSVA = 1 + +; Control SVA and VHDL immediate assertion directives during simulation +; Set SimulateImmedAsserts = 0 to disable simulation of immediate asserts +; Set SimulateImmedAsserts = 1 to enable simulation of immediate asserts +; SimulateImmedAsserts = 1 + +; Directives to license manager can be set either as single value or as +; space separated multi-values: +; vhdl Immediately reserve a VHDL license +; vlog Immediately reserve a Verilog license +; plus Immediately reserve a VHDL and Verilog license +; noqueue Do not wait in the license queue when a license is not available +; viewsim Try for viewer license but accept simulator license(s) instead +; of queuing for viewer license (PE ONLY) +; noviewer Disable checkout of msimviewer and vsim-viewer license +; features (PE ONLY) +; noslvhdl Disable checkout of qhsimvh and vsim license features +; noslvlog Disable checkout of qhsimvl and vsimvlog license features +; nomix Disable checkout of msimhdlmix and hdlmix license features +; nolnl Disable checkout of msimhdlsim and hdlsim license features +; mixedonly Disable checkout of qhsimvh,qhsimvl,vsim,vsimvlog license +; features +; lnlonly Disable checkout of qhsimvh,qhsimvl,vsim,vsimvlog,msimhdlmix, +; hdlmix license features +; Single value: +; License = plus +; Multi-value: +; License = noqueue plus + +; Severity level of a VHDL assertion message or of a SystemVerilog immediate assertion +; which will cause a running simulation to stop. +; VHDL assertions and SystemVerilog immediate assertions that occur with the +; given severity or higher will cause a running simulation to stop. +; This value is ignored during elaboration. +; 0 = Note 1 = Warning 2 = Error 3 = Failure 4 = Fatal +BreakOnAssertion = 3 + +; The class debug feature enables more visibility and tracking of class instances +; during simulation. By default this feature is 0 (disabled). To enable this +; feature set ClassDebug to 1. +; ClassDebug = 1 + +; Message Format conversion specifications: +; %S - Severity Level of message/assertion +; %R - Text of message +; %T - Time of message +; %D - Delta value (iteration number) of Time +; %K - Kind of path: Instance/Region/Signal/Process/Foreign Process/Unknown/Protected +; %i - Instance/Region/Signal pathname with Process name (if available) +; %I - shorthand for one of these: +; " %K: %i" +; " %K: %i File: %F" (when path is not Process or Signal) +; except that the %i in this case does not report the Process name +; %O - Process name +; %P - Instance/Region path without leaf process +; %F - File name +; %L - Line number; if assertion message, then line number of assertion or, if +; assertion is in a subprogram, line from which the call is made +; %u - Design unit name in form library.primary +; %U - Design unit name in form library.primary(secondary) +; %% - The '%' character itself +; +; If specific format for Severity Level is defined, use that format. +; Else, for a message that occurs during elaboration: +; -- Failure/Fatal message in VHDL region that is not a Process, and in +; certain non-VHDL regions, uses MessageFormatBreakLine; +; -- Failure/Fatal message otherwise uses MessageFormatBreak; +; -- Note/Warning/Error message uses MessageFormat. +; Else, for a message that occurs during runtime and triggers a breakpoint because +; of the BreakOnAssertion setting: +; -- if in a VHDL region that is not a Process, uses MessageFormatBreakLine; +; -- otherwise uses MessageFormatBreak. +; Else (a runtime message that does not trigger a breakpoint) uses MessageFormat. +; +; MessageFormatNote = "** %S: %R\n Time: %T Iteration: %D%I\n" +; MessageFormatWarning = "** %S: %R\n Time: %T Iteration: %D%I\n" +; MessageFormatError = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F\n" +; MessageFormatFail = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F\n" +; MessageFormatFatal = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F\n" +; MessageFormatBreakLine = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F Line: %L\n" +; MessageFormatBreak = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F\n" +; MessageFormat = "** %S: %R\n Time: %T Iteration: %D%I\n" + +; Error File - alternate file for storing error messages +; ErrorFile = error.log + +; Simulation Breakpoint messages +; This flag controls the display of function names when reporting the location +; where the simulator stops because of a breakpoint or fatal error. +; Example with function name: # Break in Process ctr at counter.vhd line 44 +; Example without function name: # Break at counter.vhd line 44 +; Default value is 1. +ShowFunctions = 1 + +; Default radix for all windows and commands. +; Radix may be one of: symbolic, ascii, binary, octal, decimal, hex, unsigned +; Flags may be one of: enumnumeric, showbase +DefaultRadix = hexadecimal +DefaultRadixFlags = showbase +; Set to 1 for make the signal_force VHDL and Verilog functions use the +; default radix when processing the force value. Prior to 10.2 signal_force +; used the default radix, now it always uses symbolic unless value explicitly indicates base +;SignalForceFunctionUseDefaultRadix = 0 + +; VSIM Startup command +; Startup = do startup.do + +; VSIM Shutdown file +; Filename to save u/i formats and configurations. +; ShutdownFile = restart.do +; To explicitly disable auto save: +; ShutdownFile = --disable-auto-save + +; File for saving command transcript +TranscriptFile = transcript + +; File for saving command history +; CommandHistory = cmdhist.log + +; Specify whether paths in simulator commands should be described +; in VHDL or Verilog format. +; For VHDL, PathSeparator = / +; For Verilog, PathSeparator = . +; Must not be the same character as DatasetSeparator. +PathSeparator = / + +; Specify the dataset separator for fully rooted contexts. +; The default is ':'. For example: sim:/top +; Must not be the same character as PathSeparator. +DatasetSeparator = : + +; Specify a unique path separator for the Signal Spy set of functions. +; The default will be to use the PathSeparator variable. +; Must not be the same character as DatasetSeparator. +; SignalSpyPathSeparator = / + +; Used to control parsing of HDL identifiers input to the tool. +; This includes CLI commands, vsim/vopt/vlog/vcom options, +; string arguments to FLI/VPI/DPI calls, etc. +; If set to 1, accept either Verilog escaped Id syntax or +; VHDL extended id syntax, regardless of source language. +; If set to 0, the syntax of the source language must be used. +; Each identifier in a hierarchical name may need different syntax, +; e.g. "/top/\vhdl*ext*id\/middle/\vlog*ext*id /bottom" or +; "top.\vhdl*ext*id\.middle.\vlog*ext*id .bottom" +; GenerousIdentifierParsing = 1 + +; Disable VHDL assertion messages +; IgnoreNote = 1 +; IgnoreWarning = 1 +; IgnoreError = 1 +; IgnoreFailure = 1 + +; Disable SystemVerilog assertion messages +; IgnoreSVAInfo = 1 +; IgnoreSVAWarning = 1 +; IgnoreSVAError = 1 +; IgnoreSVAFatal = 1 + +; Do not print any additional information from Severity System tasks. +; Only the message provided by the user is printed along with severity +; information. +; SVAPrintOnlyUserMessage = 1; + +; Default force kind. May be freeze, drive, deposit, or default +; or in other terms, fixed, wired, or charged. +; A value of "default" will use the signal kind to determine the +; force kind, drive for resolved signals, freeze for unresolved signals +; DefaultForceKind = freeze + +; Control the iteration of events when a VHDL signal is forced to a value +; This flag can be set to honour the signal update event in next iteration, +; the default is to update and propagate in the same iteration. +; ForceSigNextIter = 1 + + +; If zero, open files when elaborated; otherwise, open files on +; first read or write. Default is 0. +; DelayFileOpen = 1 + +; Control VHDL files opened for write. +; 0 = Buffered, 1 = Unbuffered +UnbufferedOutput = 0 + +; Control the number of VHDL files open concurrently. +; This number should always be less than the current ulimit +; setting for max file descriptors. +; 0 = unlimited +ConcurrentFileLimit = 40 + +; Control the number of hierarchical regions displayed as +; part of a signal name shown in the Wave window. +; A value of zero tells VSIM to display the full name. +; The default is 0. +; WaveSignalNameWidth = 0 + +; Turn off warnings when changing VHDL constants and generics +; Default is 1 to generate warning messages +; WarnConstantChange = 0 + +; Turn off warnings from accelerated versions of the std_logic_arith, +; std_logic_unsigned, and std_logic_signed packages. +; StdArithNoWarnings = 1 + +; Turn off warnings from accelerated versions of the IEEE numeric_std +; and numeric_bit packages. +; NumericStdNoWarnings = 1 + +; Use old-style (pre-6.6) VHDL FOR generate statement iteration names +; in the design hierarchy. +; This style is controlled by the value of the GenerateFormat +; value described next. Default is to use new-style names, which +; comprise the generate statement label, '(', the value of the generate +; parameter, and a closing ')'. +; Uncomment this to use old-style names. +; OldVhdlForGenNames = 1 + +; Control the format of the old-style VHDL FOR generate statement region +; name for each iteration. Do not quote it. +; The format string here must contain the conversion codes %s and %d, +; in that order, and no other conversion codes. The %s represents +; the generate statement label; the %d represents the generate parameter value +; at a particular iteration (this is the position number if the generate parameter +; is of an enumeration type). Embedded whitespace is allowed (but discouraged); +; leading and trailing whitespace is ignored. +; Application of the format must result in a unique region name over all +; loop iterations for a particular immediately enclosing scope so that name +; lookup can function properly. The default is %s__%d. +; GenerateFormat = %s__%d + +; Enable changes in VHDL elaboration to allow for Variable Logging +; This trades off simulation performance for the ability to log variables +; efficiently. By default this is disable for maximum simulation performance +; VhdlVariableLogging = 1 + +; Make VHDL packages in PDUs have there own copy of a package instead +; of sharing the package between PDUs. By default share packages +; VhdlSeparatePduPackage = 0 + +; Specify whether checkpoint files should be compressed. +; The default is 1 (compressed). +; CheckpointCompressMode = 0 + +; Specify gcc compiler used in the compilation of automatically generated DPI exportwrapper. +; Use custom gcc compiler located at this path rather than the default path. +; The path should point directly at a compiler executable. +; DpiCppPath = <your-gcc-installation>/bin/gcc + +; Specify whether to enable SystemVerilog DPI "out-of-the-blue" calls. +; The term "out-of-the-blue" refers to SystemVerilog export function calls +; made from C functions that don't have the proper context setup +; (as is the case when running under "DPI-C" import functions). +; When this is enabled, one can call a DPI export function +; (but not task) from any C code. +; the setting of this variable can be one of the following values: +; 0 : dpioutoftheblue call is disabled (default) +; 1 : dpioutoftheblue call is enabled, but export call debug support is not available. +; 2 : dpioutoftheblue call is enabled, and limited export call debug support is available. +; DpiOutOfTheBlue = 1 + +; Specify whether continuous assignments are run before other normal priority +; processes scheduled in the same iteration. This event ordering minimizes race +; differences between optimized and non-optimized designs, and is the default +; behavior beginning with the 6.5 release. For pre-6.5 event ordering, set +; ImmediateContinuousAssign to 0. +; The default is 1 (enabled). +; ImmediateContinuousAssign = 0 + +; List of dynamically loaded objects for Verilog PLI applications +; Veriuser = veriuser.sl + +; Which default VPI object model should the tool conform to? +; The 1364 modes are Verilog-only, for backwards compatibility with older +; libraries, and SystemVerilog objects are not available in these modes. +; +; In the absence of a user-specified default, the tool default is the +; latest available LRM behavior. +; Options for PliCompatDefault are: +; VPI_COMPATIBILITY_VERSION_1364v1995 +; VPI_COMPATIBILITY_VERSION_1364v2001 +; VPI_COMPATIBILITY_VERSION_1364v2005 +; VPI_COMPATIBILITY_VERSION_1800v2005 +; VPI_COMPATIBILITY_VERSION_1800v2008 +; +; Synonyms for each string are also recognized: +; VPI_COMPATIBILITY_VERSION_1364v1995 (1995, 95, 1364v1995, 1364V1995, VL1995) +; VPI_COMPATIBILITY_VERSION_1364v2001 (2001, 01, 1364v2001, 1364V2001, VL2001) +; VPI_COMPATIBILITY_VERSION_1364v2005 (1364v2005, 1364V2005, VL2005) +; VPI_COMPATIBILITY_VERSION_1800v2005 (2005, 05, 1800v2005, 1800V2005, SV2005) +; VPI_COMPATIBILITY_VERSION_1800v2008 (2008, 08, 1800v2008, 1800V2008, SV2008) + + +; PliCompatDefault = VPI_COMPATIBILITY_VERSION_1800v2005 + +; Specify whether the Verilog system task $fopen or vpi_mcd_open() +; will create directories that do not exist when opening the file +; in "a" or "w" mode. +; The default is 0 (do not create non-existent directories) +; CreateDirForFileAccess = 1 + +; Specify default options for the restart command. Options can be one +; or more of: -force -nobreakpoint -nolist -nolog -nowave -noassertions +; DefaultRestartOptions = -force + + +; Specify default UVM-aware debug options if the vsim -uvmcontrol switch is not used. +; Valid options include: all, none, verbose, disable, struct, msglog, trlog, certe. +; Options can be enabled by just adding the name, or disabled by prefixing the option with a "-". +; The list of options must be delimited by commas, without spaces or tabs. +; The default is UVMControl = struct + +; Some examples +; To turn on all available UVM-aware debug features: +; UVMControl = all +; To turn on the struct window, mesage logging, and transaction logging: +; UVMControl = struct,msglog,trlog +; To turn on all options except certe: +; UVMControl = all,-certe +; To completely disable all UVM-aware debug functionality: +; UVMControl = disable + +; Specify the WildcardFilter setting. +; A space separated list of object types to be excluded when performing +; wildcard matches with log, wave, etc commands. The default value for this variable is: +; "Variable Constant Generic Parameter SpecParam Memory Assertion Cover Endpoint ScVariable CellInternal ImmediateAssert VHDLFile" +; See "Using the WildcardFilter Preference Variable" in the documentation for +; details on how to use this variable and for descriptions of the filter types. +WildcardFilter = Variable Constant Generic Parameter SpecParam Memory Assertion Cover Endpoint ScVariable CellInternal ImmediateAssert VHDLFile + +; Specify the WildcardSizeThreshold setting. +; This integer setting specifies the size at which objects will be excluded when +; performing wildcard matches with log, wave, etc commands. Objects of size equal +; to or greater than the WildcardSizeThreshold will be filtered out from the wildcard +; matches. The size is a simple calculation of number of bits or items in the object. +; The default value is 8k (8192). Setting this value to 0 will disable the checking +; of object size against this threshold and allow all objects of any size to be logged. +WildcardSizeThreshold = 8192 + +; Specify whether warning messages are output when objects are filtered out due to the +; WildcardSizeThreshold. The default is 0 (no messages generated). +WildcardSizeThresholdVerbose = 0 + +; Turn on (1) or off (0) WLF file compression. +; The default is 1 (compress WLF file). +; WLFCompress = 0 + +; Specify whether to save all design hierarchy (1) in the WLF file +; or only regions containing logged signals (0). +; The default is 0 (save only regions with logged signals). +; WLFSaveAllRegions = 1 + +; WLF file time limit. Limit WLF file by time, as closely as possible, +; to the specified amount of simulation time. When the limit is exceeded +; the earliest times get truncated from the file. +; If both time and size limits are specified the most restrictive is used. +; UserTimeUnits are used if time units are not specified. +; The default is 0 (no limit). Example: WLFTimeLimit = {100 ms} +; WLFTimeLimit = 0 + +; WLF file size limit. Limit WLF file size, as closely as possible, +; to the specified number of megabytes. If both time and size limits +; are specified then the most restrictive is used. +; The default is 0 (no limit). +; WLFSizeLimit = 1000 + +; Specify whether or not a WLF file should be deleted when the +; simulation ends. A value of 1 will cause the WLF file to be deleted. +; The default is 0 (do not delete WLF file when simulation ends). +; WLFDeleteOnQuit = 1 + +; Specify whether or not a WLF file should be optimized during +; simulation. If set to 0, the WLF file will not be optimized. +; The default is 1, optimize the WLF file. +; WLFOptimize = 0 + +; Specify the name of the WLF file. +; The default is vsim.wlf +; WLFFilename = vsim.wlf + +; Specify whether to lock the WLF file. +; Locking the file prevents other invocations of ModelSim/Questa tools from +; inadvertently overwriting the WLF file. +; The default is 1, lock the WLF file. +; WLFFileLock = 0 + +; Specify the update interval for the WLF file. +; Value is the number of seconds between updated. After at least the +; interval number of seconds, the wlf file is flushed, ensuring that the data +; is correct when viewed from a separate live viewer. Setting to 0 means no +; updating. Default is 10 seconds, which has a tiny performance impact +; WLFUpdateInterval = 10 + +; Specify the WLF reader cache size limit for each open WLF file. +; The size is giving in megabytes. A value of 0 turns off the +; WLF cache. +; WLFSimCacheSize allows a different cache size to be set for +; simulation WLF file independent of post-simulation WLF file +; viewing. If WLFSimCacheSize is not set it defaults to the +; WLFCacheSize setting. +; The default WLFCacheSize setting is enabled to 2000M per open WLF file on most +; platforms; on Windows, the setting is 1000M to help avoid filling process memory. +; WLFCacheSize = 2000 +; WLFSimCacheSize = 500 + +; Specify the WLF file event collapse mode. +; 0 = Preserve all events and event order. (same as -wlfnocollapse) +; 1 = Only record values of logged objects at the end of a simulator iteration. +; (same as -wlfcollapsedelta) +; 2 = Only record values of logged objects at the end of a simulator time step. +; (same as -wlfcollapsetime) +; The default is 1. +; WLFCollapseMode = 0 + +; Specify whether WLF file logging can use threads on multi-processor machines +; if 0, no threads will be used, if 1, threads will be used if the system has +; more than one processor +; WLFUseThreads = 1 + +; Specify the size of objects that will trigger "large object" messages +; at log/wave/list time. The size calculation of the object is the same as that +; used by the WildcardSizeThreshold. The default LargeObjectSize size is 500,000. +; Setting LargeObjectSize to 0 will disable these messages. +; LargeObjectSize = 500000 + +; Turn on/off undebuggable SystemC type warnings. Default is on. +; ShowUndebuggableScTypeWarning = 0 + +; Turn on/off unassociated SystemC name warnings. Default is off. +; ShowUnassociatedScNameWarning = 1 + +; Turn on/off SystemC IEEE 1666 deprecation warnings. Default is off. +; ScShowIeeeDeprecationWarnings = 1 + +; Turn on/off the check for multiple drivers on a SystemC sc_signal. Default is off. +; ScEnableScSignalWriteCheck = 1 + +; Set SystemC default time unit. +; Set to fs, ps, ns, us, ms, or sec with optional +; prefix of 1, 10, or 100. The default is 1 ns. +; The ScTimeUnit value is honored if it is coarser than Resolution. +; If ScTimeUnit is finer than Resolution, it is set to the value +; of Resolution. For example, if Resolution is 100ps and ScTimeUnit is ns, +; then the default time unit will be 1 ns. However if Resolution +; is 10 ns and ScTimeUnit is ns, then the default time unit will be 10 ns. +ScTimeUnit = ns + +; Set SystemC sc_main stack size. The stack size is set as an integer +; number followed by the unit which can be Kb(Kilo-byte), Mb(Mega-byte) or +; Gb(Giga-byte). Default is 10 Mb. The stack size for sc_main depends +; on the amount of data on the sc_main() stack and the memory required +; to succesfully execute the longest function call chain of sc_main(). +ScMainStackSize = 10 Mb + +; Set SystemC thread stack size. The stack size is set as an integer +; number followed by the unit which can be Kb(Kilo-byte), Mb(Mega-byte) or +; Gb(Giga-byte). The stack size for sc_thread depends +; on the amount of data on the sc_thread stack and the memory required +; to succesfully execute the thread. +; ScStackSize = 1 Mb + +; Turn on/off execution of remainder of sc_main upon quitting the current +; simulation session. If the cumulative length of sc_main() in terms of +; simulation time units is less than the length of the current simulation +; run upon quit or restart, sc_main() will be in the middle of execution. +; This switch gives the option to execute the remainder of sc_main upon +; quitting simulation. The drawback of not running sc_main till the end +; is memory leaks for objects created by sc_main. If on, the remainder of +; sc_main will be executed ignoring all delays. This may cause the simulator +; to crash if the code in sc_main is dependent on some simulation state. +; Default is on. +ScMainFinishOnQuit = 1 + +; Set the SCV relationship name that will be used to identify phase +; relations. If the name given to a transactor relation matches this +; name, the transactions involved will be treated as phase transactions +ScvPhaseRelationName = mti_phase + +; Customize the vsim kernel shutdown behavior at the end of the simulation. +; Some common causes of the end of simulation are $finish (implicit or explicit), +; sc_stop(), tf_dofinish(), and assertion failures. +; This should be set to "ask", "exit", or "stop". The default is "ask". +; "ask" -- In batch mode, the vsim kernel will abruptly exit. +; In GUI mode, a dialog box will pop up and ask for user confirmation +; whether or not to quit the simulation. +; "stop" -- Cause the simulation to stay loaded in memory. This can make some +; post-simulation tasks easier. +; "exit" -- The simulation will abruptly exit without asking for any confirmation. +; "final" -- Run SystemVerilog final blocks then behave as "stop". +; Note: This variable can be overridden with the vsim "-onfinish" command line switch. +OnFinish = ask + +; Print pending deferred assertion messages. +; Deferred assertion messages may be scheduled after the $finish in the same +; time step. Deferred assertions scheduled to print after the $finish are +; printed before exiting with severity level NOTE since it's not known whether +; the assertion is still valid due to being printed in the active region +; instead of the reactive region where they are normally printed. +; OnFinishPendingAssert = 1; + +; Print "simstats" result +; 0 == do not print simstats +; 1 == print at end of simulation +; 2 == print at end of run +; 3 == print at end of run and end of simulation +; default == 0 +; PrintSimStats = 1 + +; Print "simstats" result in Tcl list form +; 0 == do not print simstats +; 1 == print at end of simulation +; 2 == print at end of run +; 3 == print at end of run and end of simulation +; default == 0 +; PrintSimStatsList = 1 + +; Assertion File - alternate file for storing VHDL/PSL/Verilog assertion messages +; AssertFile = assert.log + +; Enable assertion counts. Default is off. +; AssertionCover = 1 + +; Run simulator in assertion debug mode. Default is off. +; AssertionDebug = 1 + +; Turn on/off PSL/SVA/VHDL assertion enable. Default is on. +; AssertionEnable = 0 + +; Set PSL/SVA/VHDL concurrent assertion fail limit. Default is -1. +; Any positive integer, -1 for infinity. +; AssertionLimit = 1 + +; Turn on/off concurrent assertion pass log. Default is off. +; Assertion pass logging is only enabled when assertion is browseable +; and assertion debug is enabled. +; AssertionPassLog = 1 + +; Turn on/off PSL concurrent assertion fail log. Default is on. +; The flag does not affect SVA +; AssertionFailLog = 0 + +; Turn on/off SVA concurrent assertion local var printing in -assertdebug mode. Default is on. +; AssertionFailLocalVarLog = 0 + +; Set action type for PSL/SVA concurrent assertion fail action. Default is continue. +; 0 = Continue 1 = Break 2 = Exit +; AssertionFailAction = 1 + +; Enable the active thread monitor in the waveform display when assertion debug is enabled. +; AssertionActiveThreadMonitor = 1 + +; Control how many waveform rows will be used for displaying the active threads. Default is 5. +; AssertionActiveThreadMonitorLimit = 5 + +; Assertion thread limit after which assertion would be killed/switched off. +; The default is -1 (unlimited). If the number of threads for an assertion go +; beyond this limit, the assertion would be either switched off or killed. This +; limit applies to only assert directives. +;AssertionThreadLimit = -1 + +; Action to be taken once the assertion thread limit is reached. Default +; is kill. It can have a value of off or kill. In case of kill, all the existing +; threads are terminated and no new attempts are started. In case of off, the +; existing attempts keep on evaluating but no new attempts are started. This +; variable applies to only assert directives. +;AssertionThreadLimitAction = kill + +; Cover thread limit after which cover would be killed/switched off. +; The default is -1 (unlimited). If the number of threads for a cover go +; beyond this limit, the cover would be either switched off or killed. This +; limit applies to only cover directives. +;CoverThreadLimit = -1 + +; Action to be taken once the cover thread limit is reached. Default +; is kill. It can have a value of off or kill. In case of kill, all the existing +; threads are terminated and no new attempts are started. In case of off, the +; existing attempts keep on evaluating but no new attempts are started. This +; variable applies to only cover directives. +;CoverThreadLimitAction = kill + + +; By default immediate assertions do not participate in Assertion Coverage calculations +; unless they are executed. This switch causes all immediate assertions in the design +; to participate in Assertion Coverage calculations, whether attempted or not. +; UnattemptedImmediateAssertions = 0 + +; By default immediate covers participate in Coverage calculations +; whether they are attempted or not. This switch causes all unattempted +; immediate covers in the design to stop participating in Coverage +; calculations. +; UnattemptedImmediateCovers = 0 + +; By default pass action block is not executed for assertions on vacuous +; success. The following variable is provided to enable execution of +; pass action block on vacuous success. The following variable is only effective +; if the user does not disable pass action block execution by using either +; system tasks or CLI. Also there is a performance penalty for enabling +; the following variable. +;AssertionEnableVacuousPassActionBlock = 1 + +; As per strict 1850-2005 PSL LRM, an always property can either pass +; or fail. However, by default, Questa reports multiple passes and +; multiple fails on top always/never property (always/never operator +; is the top operator under Verification Directive). The reason +; being that Questa reports passes and fails on per attempt of the +; top always/never property. Use the following flag to instruct +; Questa to strictly follow LRM. With this flag, all assert/never +; directives will start an attempt once at start of simulation. +; The attempt can either fail, match or match vacuously. +; For e.g. if always is the top operator under assert, the always will +; keep on checking the property at every clock. If the property under +; always fails, the directive will be considered failed and no more +; checking will be done for that directive. A top always property, +; if it does not fail, will show a pass at end of simulation. +; The default value is '0' (i.e. zero is off). For example: +; PslOneAttempt = 1 + +; Specify the number of clock ticks to represent infinite clock ticks. +; This affects eventually!, until! and until_!. If at End of Simulation +; (EOS) an active strong-property has not clocked this number of +; clock ticks then neither pass or fail (vacuous match) is returned +; else respective fail/pass is returned. The default value is '0' (zero) +; which effectively does not check for clock tick condition. For example: +; PslInfinityThreshold = 5000 + +; Control how many thread start times will be preserved for ATV viewing for a given assertion +; instance. Default is -1 (ALL). +; ATVStartTimeKeepCount = -1 + +; Turn on/off code coverage +; CodeCoverage = 0 + +; This option applies to condition and expression coverage UDP tables. It +; has no effect unless UDP is enabled for coverage with vcom/vlog/vopt -coverudp. +; If this option is used and a match occurs in more than one row in the UDP table, +; none of the counts for all matching rows is incremented. By default, counts are +; incremented for all matching rows. +; CoverCountAll = 1 + +; Turn off automatic inclusion of VHDL integers in toggle coverage. Default +; is to include them. +; ToggleNoIntegers = 1 + +; Set the maximum number of values that are collected for toggle coverage of +; VHDL integers. Default is 100; +; ToggleMaxIntValues = 100 + +; Set the maximum number of values that are collected for toggle coverage of +; Verilog real. Default is 100; +; ToggleMaxRealValues = 100 + +; Turn on automatic inclusion of Verilog integers in toggle coverage, except +; for enumeration types. Default is to include them. +; ToggleVlogIntegers = 0 + +; Turn on automatic inclusion of Verilog real type in toggle coverage, except +; for shortreal types. Default is to not include them. +; ToggleVlogReal = 1 + +; Turn on automatic inclusion of Verilog fixed-size unpacked arrays, VHDL multi-d arrays +; and VHDL arrays-of-arrays in toggle coverage. +; Default is to not include them. +; ToggleFixedSizeArray = 1 + +; Increase or decrease the maximum size of Verilog unpacked fixed-size arrays, +; VHDL multi-d arrays and VHDL arrays-of-arrays that are included for toggle coverage. +; This leads to a longer simulation time with bigger arrays covered with toggle coverage. +; Default is 1024. +; ToggleMaxFixedSizeArray = 1024 + +; Treat Verilog multi-dimensional packed vectors and packed structures as equivalently sized +; one-dimensional packed vectors for toggle coverage. Default is 0. +; TogglePackedAsVec = 0 + +; Treat Verilog enumerated types as equivalently sized one-dimensional packed vectors for +; toggle coverage. Default is 0. +; ToggleVlogEnumBits = 0 + +; Turn off automatic inclusion of VHDL records in toggle coverage. +; Default is to include them. +; ToggleVHDLRecords = 0 + +; Limit the widths of registers automatically tracked for toggle coverage. Default is 128. +; For unlimited width, set to 0. +; ToggleWidthLimit = 128 + +; Limit the counts that are tracked for toggle coverage. When all edges for a bit have +; reached this count, further activity on the bit is ignored. Default is 1. +; For unlimited counts, set to 0. +; ToggleCountLimit = 1 + +; Change the mode of extended toggle coverage. Default is 3. Valid modes are 1, 2 and 3. +; Following is the toggle coverage calculation criteria based on extended toggle mode: +; Mode 1: 0L->1H & 1H->0L & any one 'Z' transition (to/from 'Z'). +; Mode 2: 0L->1H & 1H->0L & one transition to 'Z' & one transition from 'Z'. +; Mode 3: 0L->1H & 1H->0L & all 'Z' transitions. +; ExtendedToggleMode = 3 + +; Enable toggle statistics collection only for ports. Default is 0. +; TogglePortsOnly = 1 + +; Limit the counts that are tracked for Focussed Expression Coverage. When a bin has +; reached this count, further tracking of the input patterns linked to it is ignored. +; Default is 1. For unlimited counts, set to 0. +; NOTE: Changing this value from its default value may affect simulation performance. +; FecCountLimit = 1 + +; Limit the counts that are tracked for UDP Coverage. When a bin has +; reached this count, further tracking of the input patterns linked to it is ignored. +; Default is 1. For unlimited counts, set to 0. +; NOTE: Changing this value from its default value may affect simulation performance. +; UdpCountLimit = 1 + +; Control toggle coverage deglitching period. A period of 0, eliminates delta +; cycle glitches. This is the default. The value of ToggleDeglitchPeriod needs to be either +; 0 or a time string that includes time units. Examples: 0 or 10.0ps or "10.0 ps". +; ToggleDeglitchPeriod = 10.0ps + +; Turn on/off all PSL/SVA cover directive enables. Default is on. +; CoverEnable = 0 + +; Turn on/off PSL/SVA cover log. Default is off "0". +; CoverLog = 1 + +; Set "at_least" value for all PSL/SVA cover directives. Default is 1. +; CoverAtLeast = 2 + +; Set "limit" value for all PSL/SVA cover directives. Default is -1. +; Any positive integer, -1 for infinity. +; CoverLimit = 1 + +; Specify the coverage database filename. +; Default is "" (i.e. database is NOT automatically saved on close). +; UCDBFilename = vsim.ucdb + +; Specify the maximum limit for the number of Cross (bin) products reported +; in XML and UCDB report against a Cross. A warning is issued if the limit +; is crossed. Default is zero. vsim switch -cvgmaxrptrhscross can override this +; setting. +; MaxReportRhsSVCrossProducts = 1000 + +; Specify the override for the "auto_bin_max" option for the Covergroups. +; If not specified then value from Covergroup "option" is used. +; SVCoverpointAutoBinMax = 64 + +; Specify the override for the value of "cross_num_print_missing" +; option for the Cross in Covergroups. If not specified then value +; specified in the "option.cross_num_print_missing" is used. This +; is a runtime option. NOTE: This overrides any "cross_num_print_missing" +; value specified by user in source file and any SVCrossNumPrintMissingDefault +; specified in modelsim.ini. +; SVCrossNumPrintMissing = 0 + +; Specify whether to use the value of "cross_num_print_missing" +; option in report and GUI for the Cross in Covergroups. If not specified then +; cross_num_print_missing is ignored for creating reports and displaying +; covergroups in GUI. Default is 0, which means ignore "cross_num_print_missing". +; UseSVCrossNumPrintMissing = 0 + +; Specify the threshold of Coverpoint wildcard bin value range size, above which +; a warning will be triggered. The default is 4K -- 12 wildcard bits. +; SVCoverpointWildCardBinValueSizeWarn = 4096 + +; Specify the override for the value of "strobe" option for the +; Covergroup Type. If not specified then value in "type_option.strobe" +; will be used. This is runtime option which forces "strobe" to +; user specified value and supersedes user specified values in the +; SystemVerilog Code. NOTE: This also overrides the compile time +; default value override specified using "SVCovergroupStrobeDefault" +; SVCovergroupStrobe = 0 + +; Override for explicit assignments in source code to "option.goal" of +; SystemVerilog covergroup, coverpoint, and cross. It also overrides the +; default value of "option.goal" (defined to be 100 in the SystemVerilog +; LRM) and the value of modelsim.ini variable "SVCovergroupGoalDefault". +; SVCovergroupGoal = 100 + +; Override for explicit assignments in source code to "type_option.goal" of +; SystemVerilog covergroup, coverpoint, and cross. It also overrides the +; default value of "type_option.goal" (defined to be 100 in the SystemVerilog +; LRM) and the value of modelsim.ini variable "SVCovergroupTypeGoalDefault". +; SVCovergroupTypeGoal = 100 + +; Enforce the 6.3 behavior of covergroup get_coverage() and get_inst_coverage() +; builtin functions, and report. This setting changes the default values of +; option.get_inst_coverage and type_option.merge_instances to ensure the 6.3 +; behavior if explicit assignments are not made on option.get_inst_coverage and +; type_option.merge_instances by the user. There are two vsim command line +; options, -cvg63 and -nocvg63 to override this setting from vsim command line. +; The default value of this variable from release 6.6 onwards is 0. This default +; drives compliance with the clarified behavior in the IEEE 1800-2009 standard. +; SVCovergroup63Compatibility = 0 + +; Enforce the default behavior of covergroup get_coverage() builtin function, GUI +; and report. This variable sets the default value of type_option.merge_instances. +; There are two vsim command line options, -cvgmergeinstances and +; -nocvgmergeinstances to override this setting from vsim command line. +; The default value of this variable is 0. This default +; drives compliance with the clarified behavior in the IEEE 1800-2009 standard. +; SVCovergroupMergeInstancesDefault = 0 + +; Enable or disable generation of more detailed information about the sampling +; of covergroup, cross, and coverpoints. It provides the details of the number +; of times the covergroup instance and type were sampled, as well as details +; about why covergroup, cross and coverpoint were not covered. A non-zero value +; is to enable this feature. 0 is to disable this feature. Default is 0 +; SVCovergroupSampleInfo = 0 + +; Specify the maximum number of Coverpoint bins in whole design for +; all Covergroups. +; MaxSVCoverpointBinsDesign = 2147483648 + +; Specify maximum number of Coverpoint bins in any instance of a Covergroup +; MaxSVCoverpointBinsInst = 2147483648 + +; Specify the maximum number of Cross bins in whole design for +; all Covergroups. +; MaxSVCrossBinsDesign = 2147483648 + +; Specify maximum number of Cross bins in any instance of a Covergroup +; MaxSVCrossBinsInst = 2147483648 + +; Specify whether vsim will collect the coverage data of zero-weight coverage items or not. +; By default, this variable is set 0, in which case option.no_collect setting will take effect. +; If this variable is set to 1, all zero-weight coverage items will not be saved. +; Note that the usage of vsim switch -cvgzwnocollect, if present, will override the setting +; of this variable. +; CvgZWNoCollect = 1 + +; Specify a space delimited list of double quoted TCL style +; regular expressions which will be matched against the text of all messages. +; If any regular expression is found to be contained within any message, the +; status for that message will not be propagated to the UCDB TESTSTATUS. +; If no match is detected, then the status will be propagated to the +; UCDB TESTSTATUS. More than one such regular expression text is allowed, +; and each message text is compared for each regular expression in the list. +; UCDBTestStatusMessageFilter = "Done with Test Bench" "Ignore .* message" + +; Set weight for all PSL/SVA cover directives. Default is 1. +; CoverWeight = 2 + +; Check vsim plusargs. Default is 0 (off). +; 0 = Don't check plusargs +; 1 = Warning on unrecognized plusarg +; 2 = Error and exit on unrecognized plusarg +; CheckPlusargs = 1 + +; Load the specified shared objects with the RTLD_GLOBAL flag. +; This gives global visibility to all symbols in the shared objects, +; meaning that subsequently loaded shared objects can bind to symbols +; in the global shared objects. The list of shared objects should +; be whitespace delimited. This option is not supported on the +; Windows or AIX platforms. +; GlobalSharedObjectList = example1.so example2.so example3.so + +; Initial seed for the random number generator of the root thread (SystemVerilog). +; NOTE: This variable can be overridden with the vsim "-sv_seed" command line switch. +; The default value is 0. +; Sv_Seed = 0 + +; Specify the solver "engine" that vsim will select for constrained random +; generation. +; Valid values are: +; "auto" - automatically select the best engine for the current +; constraint scenario +; "bdd" - evaluate all constraint scenarios using the BDD solver engine +; "act" - evaluate all constraint scenarios using the ACT solver engine +; While the BDD solver engine is generally efficient with constraint scenarios +; involving bitwise logical relationships, the ACT solver engine can exhibit +; superior performance with constraint scenarios involving large numbers of +; random variables related via arithmetic operators (+, *, etc). +; NOTE: This variable can be overridden with the vsim "-solveengine" command +; line switch. +; The default value is "auto". +; SolveEngine = auto + +; Specify if the solver should attempt to ignore overflow/underflow semantics +; for arithmetic constraints (multiply, addition, subtraction) in order to +; improve performance. The "solveignoreoverflow" attribute can be specified on +; a per-call basis to randomize() to override this setting. +; The default value is 0 (overflow/underflow is not ignored). Set to 1 to +; ignore overflow/underflow. +; SolveIgnoreOverflow = 0 + +; Specifies the maximum size that a dynamic array may be resized to by the +; solver. If the solver attempts to resize a dynamic array to a size greater +; than the specified limit, the solver will abort with an error. +; The default value is 2000. A value of 0 indicates no limit. +; SolveArrayResizeMax = 2000 + +; Error message severity when randomize() failure is detected (SystemVerilog). +; 0 = No error 1 = Warning 2 = Error 3 = Failure 4 = Fatal +; The default is 0 (no error). +; SolveFailSeverity = 0 + +; Error message severity for suppressible errors that are detected in a +; solve/before constraint. +; NOTE: This variable can be overridden with the vsim "-solvebeforeerrorseverity" +; command line switch. +; 0 = No error 1 = Warning 2 = Error 3 = Failure 4 = Fatal +; The default is 3 (failure). +; SolveBeforeErrorSeverity = 3 + +; Enable/disable debug information for randomize() failures. +; NOTE: This variable can be overridden with the vsim "-solvefaildebug" command +; line switch. +; The default is 0 (disabled). Set to 1 to enable basic debug (with no +; performance penalty). Set to 2 for enhanced debug (will result in slower +; runtime performance). +; SolveFailDebug = 0 + +; Upon encountering a randomize() failure, generate a simplified testcase that +; will reproduce the failure. Optionally output the testcase to a file. +; Testcases for 'no-solution' failures will only be produced if SolveFailDebug +; is enabled (see above). +; NOTE: This variable can be overridden with the vsim "-solvefailtestcase" +; command line switch. +; The default is OFF (do not generate a testcase). To enable testcase +; generation, uncomment this variable. To redirect testcase generation to a +; file, specify the name of the output file. +; SolveFailTestcase = + +; Specify the maximum size of the solution graph generated by the BDD solver. +; This value can be used to force the BDD solver to abort the evaluation of a +; complex constraint scenario that cannot be evaluated with finite memory. +; This value is specified in 1000s of nodes. +; The default value is 10000. A value of 0 indicates no limit. +; SolveGraphMaxSize = 10000 + +; Specify the maximum number of evaluations that may be performed on the +; solution graph by the BDD solver. This value can be used to force the BDD +; solver to abort the evaluation of a complex constraint scenario that cannot +; be evaluated in finite time. This value is specified in 10000s of evaluations. +; The default value is 10000. A value of 0 indicates no limit. +; SolveGraphMaxEval = 10000 + +; Specify the maximum number of tests that the ACT solver may evaluate before +; abandoning an attempt to solve a particular constraint scenario. +; The default value is 2000000. A value of 0 indicates no limit. +; SolveACTMaxTests = 2000000 + +; Specify the maximum number of operations that the ACT solver may perform +; before abandoning an attempt to solve a particular constraint scenario. The +; value is specified in 1000000s of operations. +; The default value is 10000. A value of 0 indicates no limit. +; SolveACTMaxOps = 10000 + +; Specify the number of times the ACT solver will retry to evaluate a constraint +; scenario that fails due to the SolveACTMax[Tests|Ops] threshold. +; The default value is 0 (no retry). +; SolveACTRetryCount = 0 + +; Specify random sequence compatiblity with a prior letter release. This +; option is used to get the same random sequences during simulation as +; as a prior letter release. Only prior letter releases (of the current +; number release) are allowed. +; NOTE: Only those random sequence changes due to solver optimizations are +; reverted by this variable. Random sequence changes due to solver bugfixes +; cannot be un-done. +; NOTE: This variable can be overridden with the vsim "-solverev" command +; line switch. +; Default value set to "" (no compatibility). +; SolveRev = + +; Environment variable expansion of command line arguments has been depricated +; in favor shell level expansion. Universal environment variable expansion +; inside -f files is support and continued support for MGC Location Maps provide +; alternative methods for handling flexible pathnames. +; The following line may be uncommented and the value set to 1 to re-enable this +; deprecated behavior. The default value is 0. +; DeprecatedEnvironmentVariableExpansion = 0 + +; Specify the memory threshold for the System Verilog garbage collector. +; The value is the number of megabytes of class objects that must accumulate +; before the garbage collector is run. +; The GCThreshold setting is used when class debug mode is disabled to allow +; less frequent garbage collection and better simulation performance. +; The GCThresholdClassDebug setting is used when class debug mode is enabled +; to allow for more frequent garbage collection. +; GCThreshold = 100 +; GCThresholdClassDebug = 5 + +; Turn on/off collapsing of bus ports in VCD dumpports output +DumpportsCollapse = 1 + +; Location of Multi-Level Verification Component (MVC) installation. +; The default location is the product installation directory. +MvcHome = $MODEL_TECH/.. + +; Initialize SystemVerilog enums using the base type's default value +; instead of the leftmost value. +; EnumBaseInit = 1 + +; Suppress file type registration. +; SuppressFileTypeReg = 1 + +; Controls SystemVerilog Language Extensions. These options enable +; some non-LRM compliant behavior. Valid extensions are "feci", +; "pae", "uslt", "spsl" and "sccts". +; SVExtensions = uslt,spsl,sccts + +; Controls the formatting of '%p' and '%P' conversion specification, used in $display +; and similar system tasks. +; 1. SVPrettyPrintFlags=I<n><S|T> use <n> spaces(S) or tabs(T) per indentation level. +; The 'I' flag when present causes relevant data types to be expanded and indented into +; a more readable format. +; (e.g. SVPrettyPrintFlags=I4S will cause 4 spaces to be used per indentation level). +; 2. SVPrettyPrintFlags=L<numLines> limits the output to <numLines> lines. +; (e.g. SVPrettyPrintFlags=L20 will limit the output to 20 lines). +; 3. SVPrettyPrintFlags=C<numChars> limits the output to <numChars> characters. +; (e.g. SVPrettyPrintFlags=C256 will limit the output to 256 characters). +; 4. SVPrettyPrintFlags=F<numFields> limits the output to <numFields> of relevant datatypes +; (e.g. SVPrettyPrintFlags=F4 will limit the output to 4 fields of a structure). +; 5. SVPrettyPrintFlags=E<numElements> limits the output to <numElements> of relevant datatypes +; (e.g. SVPrettyPrintFlags=E50 will limit the output to 50 elements of an array). +; 6. SVPrettyPrintFlags=D<depth> suppresses the output of sub-elements below <depth>. +; (e.g. SVPrettyPrintFlags=D5 will suppresses the output of sub elements below a depth of 5). +; 7. Items 1-6 above can be combined as a comma separated list. +; (e.g. SVPrettyPrintFlags=I4S,L20,C256,F4,E50,D5) +; SVPrettyPrintFlags=I4S + +[lmc] +; The simulator's interface to Logic Modeling's SmartModel SWIFT software +libsm = $MODEL_TECH/libsm.sl +; The simulator's interface to Logic Modeling's SmartModel SWIFT software (Windows NT) +; libsm = $MODEL_TECH/libsm.dll +; Logic Modeling's SmartModel SWIFT software (HP 9000 Series 700) +; libswift = $LMC_HOME/lib/hp700.lib/libswift.sl +; Logic Modeling's SmartModel SWIFT software (IBM RISC System/6000) +; libswift = $LMC_HOME/lib/ibmrs.lib/swift.o +; Logic Modeling's SmartModel SWIFT software (Sun4 Solaris) +; libswift = $LMC_HOME/lib/sun4Solaris.lib/libswift.so +; Logic Modeling's SmartModel SWIFT software (Windows NT) +; libswift = $LMC_HOME/lib/pcnt.lib/libswift.dll +; Logic Modeling's SmartModel SWIFT software (non-Enterprise versions of Linux) +; libswift = $LMC_HOME/lib/x86_linux.lib/libswift.so +; Logic Modeling's SmartModel SWIFT software (Enterprise versions of Linux) +; libswift = $LMC_HOME/lib/linux.lib/libswift.so + +; The simulator's interface to Logic Modeling's hardware modeler SFI software +libhm = $MODEL_TECH/libhm.sl +; The simulator's interface to Logic Modeling's hardware modeler SFI software (Windows NT) +; libhm = $MODEL_TECH/libhm.dll +; Logic Modeling's hardware modeler SFI software (HP 9000 Series 700) +; libsfi = <sfi_dir>/lib/hp700/libsfi.sl +; Logic Modeling's hardware modeler SFI software (IBM RISC System/6000) +; libsfi = <sfi_dir>/lib/rs6000/libsfi.a +; Logic Modeling's hardware modeler SFI software (Sun4 Solaris) +; libsfi = <sfi_dir>/lib/sun4.solaris/libsfi.so +; Logic Modeling's hardware modeler SFI software (Windows NT) +; libsfi = <sfi_dir>/lib/pcnt/lm_sfi.dll +; Logic Modeling's hardware modeler SFI software (Linux) +; libsfi = <sfi_dir>/lib/linux/libsfi.so + +[msg_system] +; Change a message severity or suppress a message. +; The format is: <msg directive> = <msg number>[,<msg number>...] +; suppress can be used to achieve +nowarn<CODE> functionality +; The format is: suppress = <CODE>,<msg number>,[<CODE>,<msg number>,...] +; Examples: +suppress = 8780 ;an explanation can be had by running: verror 8780 +; note = 3009 +; warning = 3033 +; error = 3010,3016 +; fatal = 3016,3033 +; suppress = 3009,3016,3043 +; suppress = 3009,CNNODP,3043,TFMPC +; suppress = 8683,8684 +; The command verror <msg number> can be used to get the complete +; description of a message. + +; Control transcripting of Verilog display system task messages and +; PLI/FLI print function call messages. The system tasks include +; $display[bho], $strobe[bho], $monitor[bho], and $write[bho]. They +; also include the analogous file I/O tasks that write to STDOUT +; (i.e. $fwrite or $fdisplay). The PLI/FLI calls include io_printf, +; vpi_printf, mti_PrintMessage, and mti_PrintFormatted. The default +; is to have messages appear only in the transcript. The other +; settings are to send messages to the wlf file only (messages that +; are recorded in the wlf file can be viewed in the MsgViewer) or +; to both the transcript and the wlf file. The valid values are +; tran {transcript only (default)} +; wlf {wlf file only} +; both {transcript and wlf file} +; displaymsgmode = tran + +; Control transcripting of elaboration/runtime messages not +; addressed by the displaymsgmode setting. The default is to +; have messages appear only in the transcript. The other settings +; are to send messages to the wlf file only (messages that are +; recorded in the wlf file can be viewed in the MsgViewer) or to both +; the transcript and the wlf file. The valid values are +; tran {transcript only (default)} +; wlf {wlf file only} +; both {transcript and wlf file} +; msgmode = tran + +[utils] +; Default Library Type +; Set to determine the default type for a library created with "vlib" +; 0 - legacy library using subdirectories for design units +; 1 - archive library (deprecated) +; 2 - flat library +; DefaultLibType = 2 + +; Archive Library Compact Value +; Sets compaction trigger for archive libraries. The value is the percentage +; of free space in the archive. +; ArchiveLibCompact = 0.5 + +; Flat Library Page Size +; Set the size in bytes for flat library file pages. Very large libraries +; may benefit from a larger value, at the expense of disk space. +; FlatLibPageSize = 8192 + +; Flat Library Page Cleanup Percentage +; Set the percentage of total pages deleted before library cleanup can occur. +; This setting is applied together with FlatLibPageDeleteThreshold. +; FlatLibPageDeletePercentage = 50 + +; Flat Library Page Cleanup Threshold +; Set the number of pages deleted before library cleanup can occur. +; This setting is applied together with FlatLibPageDeletePercentage. +; FlatLibPageDeleteThreshold = 1000 + diff --git a/firmware/minitlu/simulation/scripts/setup.sh b/firmware/minitlu/simulation/scripts/setup.sh new file mode 100644 index 00000000..391f528e --- /dev/null +++ b/firmware/minitlu/simulation/scripts/setup.sh @@ -0,0 +1,12 @@ +#!/bin/sh +export MODELSIM_ROOT="/software/CAD/Mentor/2013_2014/Questa/HDS_2012.2b/questasim/" +export ISE_VHDL_MTI="/software/CAD/Xilinx/14.7_64b/14.7/ISE_DS/ISE/vhdl/questasim/10.2c_5/lin64/" +export ISE_VLOG_MTI="/software/CAD/Xilinx/14.7_64b/14.7/ISE_DS/ISE/verilog/questasim/10.2c_5/lin64/" + +vsim -c -do $REPOS_FW_DIR/ipbus/firmware/sim/scripts/setup_project.tcl +cp -r $REPOS_FW_DIR/ipbus/firmware/ethernet/sim/modelsim_fli ./ +cd modelsim_fli +./mac_fli_compile.sh +cd .. +ln -s modelsim_fli/mac_fli.so + diff --git a/firmware/minitlu/simulation/scripts/setup_project.tcl b/firmware/minitlu/simulation/scripts/setup_project.tcl new file mode 100644 index 00000000..dd555fa2 --- /dev/null +++ b/firmware/minitlu/simulation/scripts/setup_project.tcl @@ -0,0 +1,24 @@ +# Creates a new Questa project for ipbus demo +# +# You will want to amend the path to compiled Xilinx libraries to suit +# your system. +# +# Dave Newbold, April 2011 +# +# $Id$ + +set xlib_vhdl $::env(ISE_VHDL_MTI) +set xlib_vlog $::env(ISE_VLOG_MTI) + +project new ./ ipbus_sim_demo +vmap unisim $xlib_vhdl/unisim +vmap unimacro $xlib_vhdl/unimacro +vmap secureip $xlib_vlog/secureip +vmap xilinxcorelib $xlib_vhdl/xilinxcorelib + +source $::env(REPOS_FW_DIR)/ipbus/firmware/sim/scripts/addfiles_sim.tcl + +project calculateorder +project close +quit + diff --git a/firmware/minitlu/simulation_src/fmc-tlu_v0-1_test-bench.vhd b/firmware/minitlu/simulation_src/fmc-tlu_v0-1_test-bench.vhd new file mode 100644 index 00000000..6c6b70a7 --- /dev/null +++ b/firmware/minitlu/simulation_src/fmc-tlu_v0-1_test-bench.vhd @@ -0,0 +1,182 @@ +--============================================================================= +--! @file fmc-tlu_v0-1_test-bench.vhd +--============================================================================= + +--! @brief Test-bench for FMC format mini-TLU for AIDA +-- +--! @details +-- +--! @author David Cussans, 31/07/12 +--! @date 11:49:20 02/21/2014 +-------------------------------------------------------------------------------- + +-------------------------------------------------------------------------------- +LIBRARY ieee; +USE ieee.std_logic_1164.ALL; + +-- Uncomment the following library declaration if using +-- arithmetic functions with Signed or Unsigned values +--USE ieee.numeric_std.ALL; + +ENTITY fmctlu_v0_1_testbench IS +END fmctlu_v0_1_testbench; + +ARCHITECTURE behavior OF fmctlu_v0_1_testbench IS + + -- Component Declaration for the Unit Under Test (UUT) + + COMPONENT top_extphy + PORT( + busy_n_i : IN std_logic_vector(2 downto 0); + busy_p_i : IN std_logic_vector(2 downto 0); + cfd_discr_n_i : IN std_logic_vector(3 downto 0); + cfd_discr_p_i : IN std_logic_vector(3 downto 0); + dip_switch_i : IN std_logic_vector(3 downto 0); + dut_clk_n_i : IN std_logic_vector(2 downto 0); + dut_clk_p_i : IN std_logic_vector(2 downto 0); + gmii_rx_clk_i : IN std_logic; + gmii_rx_dv_i : IN std_logic; + gmii_rx_er_i : IN std_logic; + gmii_rxd_i : IN std_logic_vector(7 downto 0); + sysclk_n_i : IN std_logic; + sysclk_p_i : IN std_logic; + threshold_discr_n_i : IN std_logic_vector(3 downto 0); + threshold_discr_p_i : IN std_logic_vector(3 downto 0); + gmii_gtx_clk_o : OUT std_logic; + gmii_tx_en_o : OUT std_logic; + gmii_tx_er_o : OUT std_logic; + gmii_txd_o : OUT std_logic_vector(7 downto 0); + gpio_hdr : OUT std_logic_vector(7 downto 0); + leds_o : OUT std_logic_vector(3 downto 0); + phy_rstb_o : OUT std_logic; + reset_or_clk_n_o : OUT std_logic_vector(2 downto 0); + reset_or_clk_p_o : OUT std_logic_vector(2 downto 0); + triggers_n_o : OUT std_logic_vector(2 downto 0); + triggers_p_o : OUT std_logic_vector(2 downto 0); + extclk_n_b : INOUT std_logic; + extclk_p_b : INOUT std_logic; + i2c_scl_b : INOUT std_logic; + i2c_sda_b : INOUT std_logic + ); + END COMPONENT; + + + --Inputs + signal busy_n_i : std_logic_vector(2 downto 0) := (others => '0'); + signal busy_p_i : std_logic_vector(2 downto 0) := (others => '0'); + signal cfd_discr_n_i : std_logic_vector(3 downto 0) := (others => '0'); + signal cfd_discr_p_i : std_logic_vector(3 downto 0) := (others => '0'); + signal dip_switch_i : std_logic_vector(3 downto 0) := (others => '0'); + signal dut_clk_n_i : std_logic_vector(2 downto 0) := (others => '0'); + signal dut_clk_p_i : std_logic_vector(2 downto 0) := (others => '0'); + signal gmii_rx_clk_i : std_logic := '0'; + signal gmii_rx_dv_i : std_logic := '0'; + signal gmii_rx_er_i : std_logic := '0'; + signal gmii_rxd_i : std_logic_vector(7 downto 0) := (others => '0'); + signal sysclk_n_i : std_logic := '0'; + signal sysclk_p_i : std_logic := '0'; + signal threshold_discr_n_i : std_logic_vector(3 downto 0) := (others => '0'); + signal threshold_discr_p_i : std_logic_vector(3 downto 0) := (others => '0'); + signal s_threshold_discr : std_logic_vector(3 downto 0) := (others => '0'); + + --BiDirs + signal extclk_n_b : std_logic; + signal extclk_p_b : std_logic; + signal i2c_scl_b : std_logic; + signal i2c_sda_b : std_logic; + + --Outputs + signal gmii_gtx_clk_o : std_logic; + signal gmii_tx_en_o : std_logic; + signal gmii_tx_er_o : std_logic; + signal gmii_txd_o : std_logic_vector(7 downto 0); + signal gpio_hdr : std_logic_vector(7 downto 0); + signal leds_o : std_logic_vector(3 downto 0); + signal phy_rstb_o : std_logic; + signal reset_or_clk_n_o : std_logic_vector(2 downto 0); + signal reset_or_clk_p_o : std_logic_vector(2 downto 0); + signal triggers_n_o : std_logic_vector(2 downto 0); + signal triggers_p_o : std_logic_vector(2 downto 0); + + signal sysclock : std_logic := '0'; + constant sysclock_period : time := 5 ns; + +BEGIN + + -- Instantiate the Unit Under Test (UUT) + uut: top_extphy PORT MAP ( + busy_n_i => busy_n_i, + busy_p_i => busy_p_i, + cfd_discr_n_i => cfd_discr_n_i, + cfd_discr_p_i => cfd_discr_p_i, + dip_switch_i => dip_switch_i, + dut_clk_n_i => dut_clk_n_i, + dut_clk_p_i => dut_clk_p_i, + gmii_rx_clk_i => gmii_rx_clk_i, + gmii_rx_dv_i => gmii_rx_dv_i, + gmii_rx_er_i => gmii_rx_er_i, + gmii_rxd_i => gmii_rxd_i, + sysclk_n_i => sysclk_n_i, + sysclk_p_i => sysclk_p_i, + threshold_discr_n_i => threshold_discr_n_i, + threshold_discr_p_i => threshold_discr_p_i, + gmii_gtx_clk_o => gmii_gtx_clk_o, + gmii_tx_en_o => gmii_tx_en_o, + gmii_tx_er_o => gmii_tx_er_o, + gmii_txd_o => gmii_txd_o, + gpio_hdr => gpio_hdr, + leds_o => leds_o, + phy_rstb_o => phy_rstb_o, + reset_or_clk_n_o => reset_or_clk_n_o, + reset_or_clk_p_o => reset_or_clk_p_o, + triggers_n_o => triggers_n_o, + triggers_p_o => triggers_p_o, + extclk_n_b => extclk_n_b, + extclk_p_b => extclk_p_b, + i2c_scl_b => i2c_scl_b, + i2c_sda_b => i2c_sda_b + ); + + -- Clock process definitions + sysclock_process :process + begin + sysclock <= '0'; + wait for sysclock_period/2; + sysclock <= '1'; + wait for sysclock_period/2; + end process; + sysclk_n_i <= not sysclock; + sysclk_p_i <= sysclock; + + + -- Generate pulses + cmp_pulseGen: entity work.pmtPulseGenerator + generic map ( + g_NUM_CHANNELS => 4) + port map ( + pulses_o => s_threshold_discr, + numPulses_i => 50, + averagePulseInterval_i => 10 us, + averagePulseWidth_i => 10 ns, + pulseJitter_i => 2 ns, + sysclock_i => sysclock, + simulationDone_o => open); + + threshold_discr_p_i <= s_threshold_discr; + threshold_discr_n_i <= not s_threshold_discr; + + + -- Stimulus process + stim_proc: process + begin + -- hold reset state for 100 ns. + wait for 100 ns; + + wait for sysclock_period*10; + + -- insert stimulus here + + wait; + end process; + +END; diff --git a/firmware/minitlu/simulation_src/pmtPulseGenerator_rtl.vhd b/firmware/minitlu/simulation_src/pmtPulseGenerator_rtl.vhd new file mode 100644 index 00000000..d95688bd --- /dev/null +++ b/firmware/minitlu/simulation_src/pmtPulseGenerator_rtl.vhd @@ -0,0 +1,152 @@ +--============================================================================= +--! @file pmtPulseGenerator_rtl.vhd +--============================================================================= +------------------------------------------------------------------------------- +-- -- +-- University of Bristol, High Energy Physics Group. +-- -- +------------------------------------------------------------------------------- +-- VHDL Architecture pmtPulseGenerator.rtl +-- +--! @brief Produce a series of random pulses. Timing can be optionally +--! referered to system clock. +-- +--! @author David Cussans , David.Cussans@bristol.ac.uk +--! +-- +--! @date 4/3/14 +-- +--! @version v0.1 +-- +--! @details +--! +--! +--! <b>Dependencies:</b>\n +--! +--! <b>References:</b>\n +--! +--! <b>Modified by: </b>\n +--! Author: +------------------------------------------------------------------------------- +--! \n\n<b>Last changes:</b>\n +------------------------------------------------------------------------------- +--! @todo Implement a periodic calibration sequence \n +--! <another thing to do> \n +-- +-------------------------------------------------------------------------------- + +LIBRARY ieee; +USE ieee.std_logic_1164.ALL; + +use IEEE.Math_real.all; + +-- Uncomment the following library declaration if using +-- arithmetic functions with Signed or Unsigned values +USE ieee.numeric_std.ALL; + +entity pmtPulseGenerator is + + generic ( + g_NUM_CHANNELS : positive := 4); -- --! Number of signal lines + + port ( + pulses_o : out std_logic_vector(g_NUM_CHANNELS-1 downto 0); --! Output pulses + -- pulseRecord_o : out t_pulseRecord ; --! Record describing the output pulses + numPulses_i : in positive; --! Number of pulses/events to generate + averagePulseInterval_i : in time; --! Mean interval between pulses + averagePulseWidth_i : in time; --! Mean pulse width (must be smaller than interval) + pulseJitter_i : in time; --! Time spread between outputs. + sysclock_i : in std_logic; -- --! Pulses can be optionally referred to rising edge + simulationDone_o : out boolean --! Goes high when pulse generation is finished + ); +end pmtPulseGenerator; + +ARCHITECTURE behavior OF pmtPulseGenerator IS + + signal s_masterPulse : std_logic := '0'; + +BEGIN + + -- Generate "master" pulse + stim_proc: process + variable v_seed1 : POSITIVE := 28; + variable v_seed2 : POSITIVE := 17; + variable v_pulseWidth , v_pulseLow : time ; + variable Rand : real; + + begin + + assert ( averagePulseInterval_i > averagePulseWidth_i ) report "Pulse width can't be larger than pulse interval!!" severity failure; + + simulationDone_o <= False; + s_masterPulse <= '0'; + + for I in 1 to numPulses_i loop + + -- wait for random gap between pulses + uniform(v_seed1, v_seed2, Rand); + v_pulseLow := Rand * (averagePulseInterval_i - averagepulseWidth_i); + wait for v_pulseLow; + + s_masterPulse <= '1'; --! Take pulse high. + + -- wait for random pulse width + uniform(v_seed1, v_seed2, Rand); + v_pulseWidth := Rand * averagePulseWidth_i; + wait for v_pulseWidth; + + s_masterPulse <= '0'; --! Return pulse low. + + end loop; + + simulationDone_o <= True; + wait; + end process; + + --! Generate separate outputs that follow the main pulse with timing jitter. + gen_PulseOutputs: for v_output in 0 to g_NUM_CHANNELS-1 generate + -- purpose: Sets the individual output pulses based on master pulse + -- output: pulses_o(v_output) + p_setOutputs: process + variable v_timeOffset : time := 0 ns; -- --! offset between master pulse changing and output changing. + variable Rand : real; + variable v_seed1 : POSITIVE := 19*(v_output+1); + variable v_seed2 : POSITIVE := 47*(v_output+1); + + begin -- process p_setOutputs + + -- set the output low + -- pulses_o(v_output) <= '0'; + + wait on s_masterPulse; + + if rising_edge(s_masterPulse) then + + --report "Pulse output proc: found rising edge" severity note; + + -- Generate some jitter + uniform(v_seed1, v_seed2, Rand); + v_timeOffset := Rand * pulseJitter_i; + + --report "Pulse output proc: waiting to set output pulse" severity note; + + -- wait for that jitter + wait for v_timeOffset; + + -- set the output high + pulses_o(v_output) <= '1'; + + else + -- falling edge.... + -- wait for jitter time + wait for v_timeOffset; + + -- return the output low. + pulses_o(v_output) <= '0'; + + end if; + + end process p_setOutputs; + + end generate gen_PulseOutputs; +END; diff --git a/firmware/minitlu/tlu_scripts.zip b/firmware/minitlu/tlu_scripts.zip new file mode 100644 index 0000000000000000000000000000000000000000..5f63e8430ec64bdf4ef5a4f20512022254950368 GIT binary patch literal 22251 zcmeFYQ*>rs*RC7ewv$Ryv2EM7ZL4D2))U*d?TT$Xskm0X-~WH#`~It~wc9@1CwsOw zW_u3ioCjl!yN|2iy*~=lpkOdSe_ht@8zTSu@!vNnAao#SV<$@o7iW4^6=)#P4v%W( zgFi#n0}SZ7t(8OJ&#P#E9)<$yi%}9Wymw840tW(8M+O2y`^T$dw#F(pu98f~bPk^X z`iy!us51ILJ~OSZEq}m)=C}P72~c6qf-B%Kg@uw8)TLYCxeV-R?8>iTiWMeZAS090 z{`w@?Xop;n^;jb+CVOsw;of<@e3|5s0)zT7?yZMHyZ3cvwKsa!OC{g8614L;7DxQf zEPazic^q-;xsH>&z&ex8FtWI=P&*0|NpF{SX&%29?Cb;X@zkm_3}&Es`Z}vQ&`-$p zisnS|LAf~_G|8Jj`#FdC(4KMM*O*6=*|yw{lal7csX%WCcixIO;IA$?q<4*)%Y@^Q zEx<NfWO<$zU&OHuF;=y?f&WA8jB1ZWdLXARIX~YMiUkUdV##&haAMK7ik!%TC5=GE ziIozBAN^od^Yv0x<2OtG_aVOE;LzDkmr!%oC_M6%nKnhgM)=sA&IX(j5>IQdN>98h zRDFks1RJOf%^XcS{nzL?_#6WS5G+X89>xa+NC+(58@=3d4;i-D6?lD4ek|PMr^AVJ zu06rQ>F`UZpzgy(paGlLU|m=K?sx0X71*;U#QcJ+-WNLk>}xpGJS50M1=P3W<3Rzc zoul*n`+Iz8&*9bS9AL>@;22|qjuN*8TFudTd#pH7_N1Ai5!fwS>itn#<>yEv<Zw!H z;|rfP{Or*(Q)gK|a%|4lOLTZ9J!5{1?}Tr1K;Gm(+8jcwxrQSMVHnPH_sqDuvvM)n z#IT2;NEKUf<Aq(8tAuLMo5@u4xWJ!oh8<Yexq(d$Pn%r>0f4LiuuDS88EA^yB}Wmm zj<V?Q1OrL*kxy$cNDGJXc>73QUaDqo_Lr%#T6mR)+TkJqG1lhz&UGSqha(DXq|v}Y zq|2Cs!bw9L1}xe|U@)DJ+<{D^b%cay%>_&Zs%ze$&y<cs-GOpgEA36WE`*07!ypfD zg==-{FVBh3oeDndn<B47kcE1Jahr>o^(qbFGI!1K3SMW%6)|VqrEN9FK^i1uz<`Qv z6_a}e*R?%CGqUK7<W@#U=lRUft*o5Z31a+0u^+^P@L2jRF0Rj%Cu++6J4p&xXQVC_ z2N0P4@A=D-w9e#s9PZajCD2nCXtUM<*HU$cDGoPs1n5vKliso!Mv2ZFWZu^TNxVPW zJoI%R`oJe2#>Fy(vyMs)q$=nv-;BD&-8o!ZB5Bq(_nYOZwaL{w*HQ!sDOLbUN%Lqc zN27Jm!yptxxg2E%n<-jP*&>=6IlAvR0N9OpH1DMZ_1flq!okY>V06z&SYw8|(DS;V z(JJ*bs)j!b#&MT@Bi=Fr1jZ0;0t5@C0=XjK@<Nw$s%TJ=NF>D`1HvP?L-R7$YNc^} za~d)DV#GA0m@$5p)eqkWuES<k;}<wLKWzmDacgS03JCJn?$fF5<z!<XIjwh~B!WJL zmf{9|p#aV23iW-)45%4HB{nLa#UHFm$RlwjWc}E9{+f@FKIB=-b+@w+${G0Z;@_6l zskj>qk8qzDtQ`v-)-Ncu;WWE>`5xUYnEY<5Z<S#Ty{11zlzandbVLHQQGnWsp~veo z^CBpQzsIr7>duO)SY}KrXY>UTH0%L?XLo}b4PGL<=r67v^F+;TM0VuFSL6#c#IOG@ zqt#r32K-WT6}NQQqDFdZW~Zq7`{8B5-xN6In@N#;fH8RM2hjM@@5WszRhmk5fvS4i zHH$RW>Hw|1qQiqAlA|~^?an1F4{DEbtWK?FZCXuOE#z&wLbl%LsIBUWR&5mBwCx{% zhtsu0X%R|g#sq0-AfP95ARx?tgi|F$_dl^z(Ae12+4<iQ)zj)t>7NnxNXyn9mmTG+ zM!(<LUn7c=OLAJ*Nyvv|R8p%|47ct2NLU+diB4VGBW*KLXOjBuz&>;n^E%4rwRj9) zju0+_8xO%eH^Z~-k=dybi<O2%DV|VM?zj<JbLu#1p81|$D_x|5{8i4;mIM6|w}PaE z!bG$=3wa_u;b&^LLQ0~2MvoCdvC2eD4s)+l=!X)M`Gi9W^sH04jQyr$9vROHgPx>n zZ+EG=lP)j(<j(dYB0KRUJY<v){L`rg3yg9FD`zQg-7W`Q#_}X`K-?#4eY%dGQ~&hQ zkwzm+E|MN@#W#`C$4q?j1ejD(Zeae|G4XP!OVU*810&hKgn51TNZi$$F0)AgQNOVa zj)cgww1O!os~2k1-C)#)z%4Ts+!CCS+;ijAh`G?ncw}tUBnR0|jNK@sUUVHnu;^eY z6HQ_dC`K||JCp&7bdN{}T5G=0NwTN?#eUOnUHbWt7WgWb0!*DG1*j;r&;c-cd>@BY zBdX4l!ievZMQr)}_q1MTo4^a!vHC374{fD3(j)|<L}o#8i^LvH5-}89=EQNFFW^XL zXzqQ>N@l-MWVC~(<G2o`oq#JSeL$yF{4BaUQovtyzX{i$zO{WtAZ6(VHddF8C)~JO zkBhcLyjk`6vG=keqfOcAjUIY}s;Jz*9Ytm>Of7stbhBO?remK_!G0R7r8?Gdic?*M zStIddhA*}u6-*CHFtL-TT2iyelTNxp-7+JNdDl=KiURn8qtB%2K*|(~kqxN_zb6LW z5zA@@WqeiDg4_#U0=`tsb4#fDX+}5)+kzF+@<~@%XuJBB^rP3=ylsUrQlS|TN_x?& z4D#x=qBk7UL&b_uY<L6mXUzJAoBi#3_R30%cUxxT1Ai<&GGb(pi)%Sh5E=)ZjI$&D zDr)!iVHu$5NK1SWipJ$M!__P;mxWTUfM*hIH^Z;L0~Xpg<COFF`-*xQ#*4=k!DS6i zAi|32vJwC^fJTydw}$Ak=^*j7_mtL4w}D%*gfJPxkn5Cm*B?QSI=V`vI(Dvm=~ot~ zzSx4dLzudSfYv!vo=eD6w(#ai7j!S-U(K+$lBtND?C9KCQ%;_=b$c1hpX;w4)BR#& zD{z-u<SDhc8j_KKVLj$$eJo|St=W6u@We=KW_IUjo^K*+DQL4qhD$Mpg<Xr(BoB=^ z)AO@!UliP8eud_>YHcZ%=GAA*aM5PAR#-RXU=@v6WeqbdVhf9{6Zn0f>``4u;<P2; zZY?luWu1gm>Fe{nP%C^wv+RFTLSrn@K4&^;6YB%k=_Z@u5$H2fGFL4sNo+f5h6|D8 zjH73$JXO3rrdvw7g99j=%b31ry#~c*DSPf@5Rd~!&7coEg^GX)nQDKtd>ZB>f6fOn z;Xa13@w!S*Wi4DVbmYwk!pxx^e>F!bb?LSiUGjTA=oB<v?BNW15T>L(RZVVDS+cVc za0*R?GD{8)c6Q1oY7i?LXnl+C3MHe^XYNO9knXE$h~{n>z+dZiH!<x|ezd2IEW_Of zi|i6Y&N_>EwT-A%FcM>fMg_YiIixV1Ul*FjR~H(o)m+MG)&QZ5SFiYL#J1u=k5{Si zXWFOANt|tib7_Q*7Z3}GRpbbU#)Z<GUX?Vo?Wn;@R@!wFI1RpST&Jj#4+rH}=tJPX zJt`df<-}J%iropa9#`|0Lv<!gxIwc~Cp>J$BfwajKxw-j;48S1`yQX%oxa@W?c?!| zq4`26A$Kh3K8Ru2#;zXk=&tjU?;G9>kN-A0rH^b8_Y|1p8D=D%0I~m(5g37Fkk-3| zFWT3cuDQ;g^pj#QI4^kbO#FL43IAn{CgJCYHE&==do|B4q=wP-2&f7)KaX3WxOfWC zQomj}13!I#wRmHedgHdTzu&9FbIDVDapqQuO>4<^5+1@pwIHU~28+SS&@4ZsGYwTM zQGsJEX4CYQfX0+wGDc4s8?sCEI(viMTQ^!*30Zu)#pt&k+5B-;QVl4hVFO_^1z@n+ z2IIcz&U)pZY|iVYeo~7Or25ZUp<tC8&pzK$#fjKyNQVdHb_H<Q=YRpsrulQ|YlzIr z8mj5~z&P*ta(Pk$BQ%T-qSJ(Gf=aujrHwULVUC;8%Ftt;bPd+UuiqX&34+g|GnT%1 ztn4vdzlC)~3G%Z3@B;I$aPhUgS5YbfO*74iUhT)+7n>~*9KQS!gZpV&WZ@4|Eb~GS z!X-o50Eq928Jkt>ucfUIWpG{Eieujrjj=QHb|zA<`&c1sGwtQ$zpvhJbBPhvz;mHO zT;J_`GT3fLTsUsbDYC|L>r_7%n5Al`ceY++>-@#$>`ZL=(8{0ILwxl{Z*DJdvfpz! zjqtcVwD&7C2;Q#Gv0mKvfNfHH>N;pHg!;yBK!{3b0Avi*NXQkM7=lx6bz`qhVzGKh zRd)k$B#IFM3r?;22{X4?`uTJfqcI{wLV9Y@CsE`yBJjWvQe&UeMb%Pp%R(-M(@I2? z7b2R%;xRuV+Ak5HCu)jM5%s*Adpp5t`tr=v>K=aPCN);>I3Zgoz(|HwX+Mrjs}UXm zxWAQ3B58#Y1fN@yAq4>JCV7k-7(_yMHLj3XqlIjltzeNgSyEDko~E4OZo3FJ$aqYj zl3K-|mfVC~&IeZ%&~PzZ7X6U*QgeCFG0O@bf|Ev=hs%n~jdTh41j$29$W6JPF}(O3 zy1~BsuMi`8&cl_!S*6whgV=geh_hNQW~yXwDt&CrsS5D&*|h7Z)~UacE#G4~A7Yt- z5b>GQSlim|zLfL3j0Q4ghL=f-(#AUVUpv!258E^&it3j15#E*!@ZRW7)8^5GKPoyD zYZ1xIlhs?o$Rs4PSniyEC_U^`{~Qb!<hz7013$p@zdb+V^UF;zKKKeEP22s1?g0%p z#ctI?jr=`I4E(ywKv@{c%-c_9eext~%H8zEwSN$`C?7x$hFfK=K*i9%B?`ea@GUno zT-=0K(JBxHgu+n0c-J2U&mWTi%yubPHNUsz3mV`fDp{93cdu8|0eCp4+b@0`;deiL z%wcG|Ef><X($fM(2+MYq*mN}(YX|B?>5;=bh;K8kw<`!A?OaF1&<9%)c-vY0x-q@z z)+}}i!>^VL6*B<$3?IGxJ)$ygquORy3ZLqu5;6H3@^2`+i!u=@dj}b80|5fcg#!X2 z{Rfm8TACQ@+gjRL>buyu>KmGvIO*FOI?%azxcL1m<$Z?7P+oTZJ992howPe3LK9ed zQuolcB+Ji`V{a_GkEd={O))V`MXtBUtMn7`=g#OQ>~oW`ajve=(*W^lO9#cYTZ!+w z#~auNR1zL&a13M8?QA4^enQ*z`W`{6m5=>)0+zpXwQ!=CUPMEjHkMja18?2iUtyDh z1>f%@jo-_ahWde%dZxBjBa%r*^vGT+HQr$<76>1Xb*NS0z;il<n+&l5NKaEO2#+iN z0&b;ws<+8B<$Jcv?0%?cHc8B}oSGnuIoIs^)~`+V(_Z1I#V+Mj?*`e`9%N)LR@Cfn z9E#p!xv%A=zcKb{rm#hw*$jJUQwQi~Nf(G&1M+qT6F&8%a$fUx!1vehpEzWc){?Vf zsONrQ#IBS82)=xUy&f*SCPM4u05ECgb}7W8!0+2nPySHtM3pR)xvsUEVZ?NFt3Hix zAjA-%0czOtCZi-5s~-;C5P+)a?JW3Di9mB@&Q@11qCxPla2tzB1ZTm~*v}s0-H7Q6 z$0^D91`BtTx=AU0duJ!rE*wc|LS=ecM=m9ji$;WL2d$j2D&d`&xgx;u$(dLsz9)h4 zqfM}zk@T=CIlpLJQ7ae>l1|FBm{y|OFMi>KGUL{swAI)AgqVFH)l%?VkF4W4$L$;y zXSS4_3Yh_<962l4u@`>xcnLLc-@OyIUtC~`%Tp_ph)-Ha-be_6rYz-|Sh89dW%WhJ zU|OJ<uMRMNFH`_Ug73u;I8^f|zFP~65})p{R>*N;Qb;kO57tFs^Q+PKTBPKtIJi<= zV1@HOyh{?$HT4nmixSX<^F#m~s*DAX1xR82!k$@Mx#zw%wK0Yeh+9sRbYV~u6l{w5 zI^GZ)sRBd1060{O9(=|z3OJmqrxn3;0pVy|6I6&RWI_U|1m{lTYC+#CJq(|`emi(Y zs{NeqtR`I}_Uqq&tKl!R2*e~D|KDmL`G2K`e~F>}kN5M}_%9pD1nB=4!~fS}NY+8G z6<b&sU6NMLj!hnWs}g3;Ry!fSm~$s(IkUL)?3lL_&mG0$#VyELRdQ4vm!Bs+qYVH7 zQ#Wl)NG;m>oK+w@U#-3&cjL1#0kkRnv;^kaF5z^^cK`M>Th_FcI*CD~G-(}#>1!67 zEb*tF{!9CGH$p%W>%-H%aJSkkL2!@(wrmB3Skf|=6-_y3z;d4`Uv?m&--Jc-s8o4? znAD@Ee(NKP((kQ6tsy`HiU?ru1BXhGg$>ZPEHNHD5}Jq*V8Nn~$f^`*ZDaK3!9WX^ zu`)5K5|-S@{<*ZW8|SPfUWOWUCYb_Y9|Y{<Isb5xP)QaWdEzW33oLP>L9k4FakvKP zAPDPES0su#qyvbxU_tMpurQ^=O*;u|1^v!6@E!9yZDEy25Az!e+qBh~cmBV{@K?Ux z!Vb?M3kn2u@W)L3=h0wpYG>+X=whmG=;UPSW@zJLX=|!)<LdIy++9l&^gpI*jGDIn zA_JP=uUd{G5R?QlXecaYZm?*WB4XKtd!@H{S~=?ku9O2io8DYwZcOfRsb39`I=we| z?|4bjI>|?bW75EZs?oK?N7#p!ie>hh)t2DVgX52xl5NH84QR+2eZ>YkLhWf%5i{Cl z&oU(|;6Dqn%oB^jy%hxgd^vmlRl*<nB1r;g+9_N8@2IJgb8X#=<8Kqj5huct5}6gp zzr&v}llXJes253mZ)a%Z+H=#FX!%~2sFD__hGu9PW3h$rxGm~%DAL~}8^x;{Ei$q4 z$J$vLpWICb`nqD-ga5p+2KZZ?f%UM-loymuww9m}lu_nQ!p{ZTF-Eb8&+L>NS~j=| zeOw8H%;qEPFvl%y#okY`7jk)v*Pjn)g3*>M5{v#E2_<@we5pA;AyHH?W46U>O5eSd zk<@yS)I~d3j$(@JU^L`>NnJzfEggqBe&CpUy7-;~g92;0f;@|d>Z_Enn`@qHZ2EId z$Qdm85GdILZ(^W>)mY<lnZrOLrYL{26$tVc%-OZvYo!p{(39o>U8Y{CdU6+oMXnj1 zmilc6><;<PC@n;`Ssvh?kOkE;>4YTAYMLn!X5x{6sN_v%=_4Z$mBnu{j~xiorE!pn z(6?H|75)+*BxDjp?p+f+qXCs8yfQ*DQoC}a#dXTa82P5(n=D%;`08b6?6btyhNI6- zw1xq#;HPZH64VrkM(6hxzN<V=-l0}{`hI0a96a`*<9o1c<+K!KtuF6D*izgVjyq9s z*tF)d%F1n=-)9hyMx}IUk!2;~%E6-jIE{DMtn1=X&hjlXEqr#BCj|APkL4g`ksB^1 z*{-||a$*_R(nw9wS{qZ#cRPhd*rl6-ws{mr&wt0-t2$#^4_u4e!r1y&3>QvLyz)oo zld-LxtQ^SCJ3AxlWVtIIo_eeC*g-@*bJ-y~g#(_MIoD-|X-3c54}?C7D<-y^6iyEU zPm_X?QCgLsMg4rkg9dn;NBd-QJXMb0Ew47m8E+$X={u2@qe{O0#HuDf@b2aPhTsRF zd<=|VC6={}1|mIZl<OAGT*;YzjFK*e`&prJfNL2Gxg)p33w~F@hzIB4Y&d79>c&d; zc&dOAv{<y0^&9AKiN3pTh~!#M1J?lq0a^T!=|3gvV(RRo|1X65i{4x;oJ^f9>}^c` zW3R!uRV$bMhu%zpVw7a<HyGf$-_?<>Me$Ko8B?!8PYWK9i2B&jY!fRBG^A)$8sH>n z8h-Fk)aM*7Ec+Wi@Fw0QEX-(v%KyHXV)eT!1~tXG0P&G>OVCVrD&8<v%f0*hMoF4v zV%?59d8`Npi5NfAW9s7eJH;$|kDYg3KK-kdb!-;fxRGoxy?bAq7CACy;hm0*s{26d zcA!iPU9eSjiU!W!f+O^?C2g=`<~nY<R#bSz4z<l;5we>tb=x3;MxR&(rKLJ7$F*+C z3F$sx>P)^G9pTM^Ak$?xzf)o6<A|=~+UT4NlMH{iSRgD$+0`F8D9A-`jq4hMLSdY= z-72K|Ju)qErMco)&bP;3ys|t(vkR?UFM=qaiat>i34&K7_8dr#nssRfeMzc1n6B0> z3ki+0JFhNJ*6`nO<Xr4qZi2H=yEYxRM*7$89-`|HVKjG{V~K^sm2HGVMzu6)J4BtU z_fCwjsSeeKDqKK>;~XEB0E!~xY2$r+e*3%tJ?XXhXeO|lrkgvlZa_>&A0NfkHd;?V zYls~j6h?`2WBWD31O{X!=#DfVSV=S!Td(XF=-<2GuRyI<k?Mo}hvDP@)T*%lK`sAd z7yL6i6Brf!CptGP$;PcSApKeT=mGEwn@ojfD@1PtNm9jnYOQ>AlSF6@*ZncKmzQ7o z-+(dPTF(t}MI(9bkEgTJ&gu!KlxeA9)qn{UN(YFdDej<P6eBx_UB<nV(H?Tf40Lb@ zr4NLosW7aU7~lZJ{f~SVGKV0{8ia;q?mFMYQ;-+J2)nA<`8qb`X;v!y*BrahQ#5gm zkVK1{LJ+ICH57@AFBDVH!1V`t+fZ?YF(Z8GU&cg7)a5oOCcK8Bb<w+EF8jSe#e~F0 zmcWI0GPW|h>&`HgRJE#$$Q--KVGXtgEc~^@{j1Seuvx8Lc$dsFR6mm-cA|RVgH9(` z46s5UpFU;{vBZ{WFgsSAW0GEah@n{St8U0k9mFEP$iq~%k}+lkQT786wRs-tkCK;B zE}QEPhlHZ0A)ZLtdwB^5fE&@u+L~29yA~h1`pcCu!P4S7z=EbBkme(XBZS=B3$iRf zLeyNrj)f$FE}<;3@D59E3ydAjj;Jw}wr_oR3@uRyPzFlcggpyf$mf<MAe(}}<>GQv z6ypX@%4s-nIi6K<iWt5(5pbSc!`uJtsgrgYTcfvt2XGkMIfIfSSNfqT(0kZJ)*k0n z#7N{tKYg6a2vo{;D5-L1jCkLC>8=asoE#2sI%&I8*(v}2QbrwTU$S!G9pbd-`QgPW z!6fPDb+eeFI<dOam4AzChIC(ni$DKc!{YfLd17gbk_cVhk@*A!5Rfne(4QUlcNz7c zwNT@K!7x_^=sz;UKQOFzA%`oDmb=!xg2@mcCG2e7BAb*+xrb4#l0uy*K$M^*01FSV zYHI5?%22n>+G#9A256DWEwsujmY>5sHGb5ueavR=Y;laJD8nM0z0BrxrrYr`&+1j1 z$l_1GAdvno^RgXg?}QjUyGmfJ&9G||*9iZ?_!tMx4`JPxIIhS199eI!&AC~pH`zav zf&*Euu&G2IFD+|NYQdlJB$xe&B<~fP=>Rus+_#+C-^YS5wZx@0Gfy=8!2?foJoqEv zRrGn?K%0S*v3E+(xkc6F3+XI5y-^QW%$|po7pWK4!vbC;tP#Q373OBlU}C5|_yoe+ zbWRBe`C2bwk;vN91!MSOEXFsao`S@pj1g;0)1ElItGii)EEgBb3yPTE=9{(S5=wm{ ziK4I;vv2y+9C;WR!9l*LiyZ6|b~sgQb)nf3!cUd&v2G!yiBz*sr9KH`R}2|eEZy9) z=uM?6n+U&3aG30R9E=%LJnC%%?AU)LRi{-zL0q_EOR3hX&w2awc|>S;P4LoSiKR{6 zKf;FFhMsYLU|&F&dkwGcukhEC=9<#PWduj#XIvh|RT(pB_QBXCQHP-n6yRq@3uBMQ zIdKGWUE|Q;7<MHMYR&W?IDnhsO|G}9EJ?dRDMefzYr1I4PuQfnKZQ1J$LGQ?!ckLA z!X2NE9e;6-a6-P4`>-3@cB>Uk_)V5wBV9v9_VFUMT)Zoc3{k*s+~d$0m{~<3Vo)67 z$ju(GAlJKqa@(-yMXl;NtMTW><679Gs?x<vT>#FoxnZE0o&n-TMKRN}v+_$w$iYPd z6p?-CoqH_`7@5TOXoahe`U3ET9t3U0z(Ft-`FCL9u$DJb8q@_2zT$X^@cMWX@>$M* zj+?h&Bilf@G)05cnGDoZ=Q%cF2!yf&=mV@JTA}FS?^FBc?c<{_o_c$vkKIG!(M)8W z1I~4cL2>3K>F3+sH&0ujlvhm46{lJ_HteH?)O)7b1T>&3se}hlu>E*wmGWCek45Jg zWw`lzr^=9XKv>?lEYP`}A|M8+H@tm|L@ELv4Z&?BRr|K|s1yuhukan-*uh8+51FnL z)t_UIYB};u!DQx!ywS<D!dd5L@;ezBIZ2~!zxHvT3EBj;vs;{>w|PO-N!fWhd4+ov zLKX+h2AVR6fK4^UeR%v;OBZM>Qh;F)-NYI*5@iJ;5`lcqL4iTm$_oX$xDHDF4LpeA z*Wk9`r1bWNRY-_t`op2vSM(oqTIspauq`vnG>(<DLOVzXH7saM(~})(vi(1!E}DgE zgGYY@f;FHbe)XzdmP9bQF#Sxf)5|p8ds_l~PkbNgLU`gC^o7NSuI~wswbT={R!f}` zO>M3o<eVZULvn(|UbJ=G0Ez91#EVHQmcV9FM(JUI?0l{*h6|te6jiM76TgFu<X8L% z*}t(vK&E3aF-NqGj9CS9T0vkjmXs+*c!3dHy|5a~p6M(Nj@1FBQD1@6T7i=q;>t#u z5+zD^%tz9dWI5c^1Ycgs_w$C;Ioc7RP*d-YPn4{e$8R&l7L?F6d1YL}YP6gO?IWF+ zc7cDKs9PUL&4Js4o(pb~6|(REPyHS=$)o)&p8ovy#nHh$QSpV<87KS#oI}bnb$)%V z!CS+%2<k=LnE-vb<lYT;upNsVN|Mjb;D5*2`K&|Tc}C%ldXM!bP~>ad7k*rM-YY9Z zNY_tT&6A;f>NZ_wD4f^C-<(ug*DxO|jBPi_N4}5LVM>ETf>H48@+bPMquXSn`)^@) zOUa&~iRjY&rD{}CdB74)=#8$Gi$5Wzu6;a-BbXpcD&lVTPlcU*5^{sym{OFqQ{Ed_ z%X?6?8$es^{s`46-DBblI>MD;4U71zCcxnEmHb7Iq2__dKAkzqz4LQnjL1(}81I$= zA-!CP@BupZWKR<PT_=_c50WQ67&3_N%JI1)(kJjHvi>$tEWl}RVeiQk2Kgf3<~pf& z_d@9KA`Novhkn=#61doj!Gk-695hzJiRd11*YPsqBcm(2;YS!&u;^V}Ul<6_Jnrpu zhcMBpf^uj&kwQlV64tKX1HU{j=S_F=VkeXUm{khp-o{yftF6cH=k<W7S)_1+U~r2n z&8=`db5W_*(>^;h*K1M->h~O)=l;4%%bcGGKtI00e9*kycqzsSb-R9FvEM1!Kzr(- zUM#|Xy#gvhcuIGsq^nOB!|%L6d%KXyb;v&n8C2GiL3&G7rB0(un@OfFE|{+zB-a)1 z;#{&@)}y-|Q@aPhtr7m7G6iy!ELOyeMNK!gC@QKh-Q`dCK=?nYD**y%>*i0dkozD0 z#`(vIXl85tw`b~NXk=shuVc~cPenV>`%UTJtwy@>vUY<+NMb94RPjE*mmHQIHd?Kb zOS%iJ112^AoH~Q-!xvVLlnOf}FMB^eN)KmsH=KmZNpcCLE2pa|r}z`cTXwlY5TCk8 zjeeMGGgvuIFn=}C;7vDXOq}%H+h<oku7NqK7-WG>QF4PxseFSsg28!N=ITPZ!Z-B1 z9(Jw=-F@7??_69{x?#izz5}e$<#Olq7dNg`()-#BDXD6rUMjsXt9AI<D|`2#_z<Fe zWGh9n-#Flz=lRtm51$<}r#QYCC6B^*gp-`ce|-?g*_w7&d=Itg3d%OfAk&}%s$Ouq zO<4DYr=g}?L;P#*{;MhLFJkRQ(@B;6Gk1TD|2wfdTl{C{F#bWTq{Q?_mUi?;hRzl+ zq%fu)4)#tiL<*WJ67q5if+`Zce)I~S!WNbe&iah{Ec(p!&Q8WKFb<wB7WQ^T|2q=H z{LeLwlgQ!3fdT>o-~j>Q{$ox5^d|_pIx9HYJD57TSepJPkNRs-|Kgp0FKV}jw*3a% zUslI1o<Tk1n)EvZ903#215=ae0)||NAy%k=>S=lunHL%QxA!ORFm^?h92Z@7Ub2>{ zLFeBy;sp4<=geFrhfk9qVPf5BMx#Z?G6~p<UF#{)bzG&~Ka*9Q6;bPnn`od{s+1_x zJjmV~_Y%=XU=yRXq!m%Lf<nnP*{%4P-cnUk58;*FX-Mre?-ROsJRJl1t5!pgwemmS z5~_x9E{XXi-!RRYNYj?8d>G$M%;UrWih4@L5OnfGZ-}WEXGXz#(W3_syPQJ+gUoxS z3?MLb3DFd@#M{hMT2fr%?~VR8AqzxKv(C41iCt)~_-m3SPB6sXrKUkQ7lD*+vzKmm z-^cAZ{S-!E9w249PQaO|Q?+}P4cN+5GM70ADGw0UG8CsSwC^c#EL*0DlPw0K?9Hre zI#c_E0DY@L%UL-!>4!~Z(vA0lE73A&#)iyF;W`<^bY#jG(2>hcMie41!)<I0=zBha zzt9dE0(5(yn**#FQ&A<Plnd@|#a^c=EviD?`0Ol{twfh%k9-C-4O`sj!#<N!;V}?3 z8<j3gorP+;i4&09kodf~pY)mxGlm^4MJaC7Pm0H&J~o_AZbNq(+n@@6PP*IIs^8Et zIdyY+d%M2AagkO&9KBxT%`!LM-ESQot!)rav#GC`uMpOhJh1H$qIH|X3i+W=Gd^lf z>(EO=q=f&(bh78!-A$mf&~T1o>;Dlc7wB(Rpwg`%rjleY?l`I>x_zb59ehc>fllG% zvq~z5`e8J;H%}eNR7MPx@BUp%8@iKdVIJ*h=j4-nk+ALidP-f$C8tP1p?fsT#B21^ zd_{UvY~oB>Bab;2u7a6BR2dMR;^{S~Yo{EHniCK{6l+^rlog}{O9)0liAGutHD=h; zUeY_ousPcWn_aEq9s7<}P)t6N{#{-HHXx>HHQ;U?k|ct*Jcdrh9?(3erIOdQ^1a8X z^-izn8Bt?(CrPHuT-j+dzu;G*HN0$v==x*n68Wcu6$`P4o^g%o8M;?YdSNjrO|36c z8DXG?_^sB0ma2abB>55WIQk@Z%cEd_7q!-SY_)30sgnXyp8)&BxLBb2vG4blfdv$A z!3S3Qc0V$EaG7CI5Pj0kSY>e9m$rj=q|=IYVgp2N4cv6BTp%d0e0=|~v?YXA>8P$G z*a}v*pXikwyNZUPef8&YXWjAc=XE&DO?@5>kg0;SW+D~V5TL{Pg($e);*fjHGbNj% z5RtaCPs?ySgH~^whM;|5!YSo%8o0sCZD<4I)-SNx;nTeI^_;+H<m~KS$8X0F5H9WG zq13!cpB5PsMuMKdwx7nsFn4&mcZEKHXhYGRrA9tX{Xp?ul_T#Km1;eNtR|C`T6zoR z{6{4X<x5POtgB<=ljaerpe?nj%S9>3oi?N`J1a=Y7tU&O!#{1|c$miom3YUUH|zQ7 zrgje}M$4kbA<>ir1F^k~zDZ-YEb*Me6Ly3&Sa5#xO?A9(ooe<nz=3&5J5ksY&^pj$ zWbYG<63X1Op{P8{<7v}jmGX8oJadf>9^_*qK2Ml{dv7yfI7GIydd@$2cok@X7cRxe zIGkEE;}*{6gf|PBPb`_Vj=TtF#cAYJu+aWtHd0-xr52JQYXylE6+;il`PsrMn85=I zd7MH{ITi!4F-S4*1537o23nB~4yol5dtkE4yy`j}y4VXDnMhW@avB&Uq|7*$$H{5r z_1)R8ZZuQ{oubdokkiM1uw}DP?Bxs3mr*Ch(jCp=TX=x_DyuDU%W5T;1bbC>(G~DL z!bZ<g>&EXz51>s9TdizYh>im(q-mStgVd_f?O?sHj@=2rbB5(;hMdlFEGmNZ)v^&; zKdp3>k$W&8hC@8a6Q1W=&bTQCkHQ#0!7k6^NnLW3huNJUx{9(*1}kiqg+}NosKc74 z^(H=>SU$UzbUiyr&Mm_UWe7L4SV<MGY|E095*sROZl#RLm+rpd7cX*=8$t)x(-jGG z*EzEHQS_aHQRK2D=+qWB&h?G^m#qg%`Tbr0Id-Ud{yAx-1Rr*;+i4g@z4<dG&oO2B z=26hm#uo~>cnoyJQ7e!?!HF<YX!6Hd-)dCg4?Q+w1ZWz0H%x_0&v0=hnB<XRfVrGD zZ3>VaHCan?P>USi5)snO-C>y|cwt_|2@IJo(jc=2KT>R2vnWa%JKuAQ!*;>$BAeE& zEx|RgoHtlyCr$Rf{J6<NG0~qstoypP>};9wUpq<mrJ#b|6_EW!n=Ty2asG3RSS)i> z9+hEf?OVo~oGTjF3w!3s4ll)}hpkIvGl_!?QO9x^!Y&wsiWpD2%c+|;f}CcEa00MF z_*)xT9P)b^RZ0GwUQRK3ecx}tn$3QK9hOEJqAS#F+D^X;&$wwcB;D;2L`zZ~7FK5* zFuqP;J)>h2zB>~mIPdb0JG^akIN&c4AoXI&LrUVAp|;zz$9ZGu6Rdkiu?JCfgZGTM z=S;m}R?g<`u~lxVT&uICflmLXEbVib6?1H*@_PsG{wyzQO`6R%G_I9oBv_46)b^Ui zJ0v|+cs&h-$5q8!jVU7z34@##FP(EJjX_h*7WP@YkbB>6JY=w&5}t0m-&$RKm&8uy z3;Mo#-7As*Q3jT&;C_#}fN^vMGuoRlv|)(6mANJfU_lT}0rK|7PmKHlvI`lt5tZ&o zyLQ`gF}X;YvW5Pfd(UDwe+_bm(e!##Ue_91s;KXd+4PIB)OIiW3*v9i(AJU>`CL}5 zcl_hog8pfT{3km)o4U9<=)2oHSvxxz8k^GnHv#2pyeq|=V*Cd)ivLtp*8i)b0?T8g zBngxCTo(ZeqatK24!%`knc1+LJ_P#5wOf~4{CbzW<VXu_!Uajj%iD0Tx#ops<AGI? z@+yL~ruM86gk44RAskGd926$Qwh0NIyf`yJrNS6AVa)0aF!yore(HzXF3|~SSWsz@ zU<Ox&h<d_vqUvF+@Ev4lHAm_Xis#NHM{<q`6Y>*(##$u>fahL_<-`%94c#ySrYwV4 zl<Ev=Dys@8SE!PRoQfQ+H6@a*RG46?Hyx^3r0{)GxJXCZHC<+n(=nt(Gq{4V0he`x zIe>>fX%nJLMogu_BVCVHoo?+NnUaUQl@H=CDJ)rVk3}J=)H93{MvpoB2X1`K$#E-; zZNH9;IMuH=pVQM*w-?7A9OvQCT0CUvi{blEjY*P?go)KmOI?V%vCcCfY9okWGSqZT zr|Jy^R6%)69iWO9NfXwiGPi~!#UUs*h%OFu?}4|pKB49e;r$od2#7shv<K<Peexmg zOPX(r6})ak%vE9dp<q_i<W%%3IPQ|?1dUWgKe>LOg1sC^Jqo@FQ8X(H{uT#6HQ%*b ztU&erSo%&ANm@m)c5g?C!HyZy;K&dsOEz^;h6*`49}^s+PS|qmYB>r-mGqJZA=#gd zU?JjK0D@0Wz580Cut&**coU{uHVRb@sP@RR-~nG(F<qOwR0LdE#3`Y8KXEef-x)Gy z_E><r>GV$Xz_eVt2WV;dO*pY+GbAY-)^XrBF^ulq9x>?1)>s+sUUD<od9i4ejkyGW z>b1Ia67L6E9VKyRGn#TuY69-V8|3IJ7nRFxz~tr_SWTw}+9oPuMqGn+)gM-wD%agv zdo^nYqY$GiH954aD$cEJkhH(k3L)aDkhkDG^K6tmQDzl#RCbQEykXxWH-lg9GTy>j zV{Y&T1z1TfSTV=89A;lD7xw70lf1}-%cn`vYOG|TU#1^=V_df{eA{XUlu|*<yrg~; z?9EIT?8Pn?tRB|@z841;JULJQ0Ax@HRS#HT(^*0fvdQ27hFD!N1-0bLdE`n7eJ`PD zG<(kGY96n3i^vI(UsfZv^EhX;TEk0w%8eJ7{naM-8z#o6V@%kcUjTe2Pg;kVdibm) zlYFH|$^(6;LF-wrvt4+V%3s>gpp_>(!_nLxc$6I|?gQj+_3ma$i@b=y9XkHW`dt2a z?*CM;k*lSRiN2Agi?fT9siEz^)tl_`rc^ri{-4axH9=7RfB`Aw<_ldoi?o`mbAMUb zGBOw_V4V)VG=4S7EI)!aPB;qbw{K1peD`kBk>^epd+t}pR9T`<c+cse5N88HS3p%s zOlY=FyDYwt9o{C745a2nnV}P|e11_R#N_)A+BTZ-fL|_AOfMkBq_f+GT0+w*)q8G? zK}`#`)$Q8m+X|i<#vyc1WkIUX(9u0D<I8RCE#(7y#5oJH4WYJDF?kf24hMYN>YD`> zybz+8W>|8QBWIA7>C01=!zW090VZL{G)w@$Ad!Q4%!9)xN5<&*{gEY`nYSw=S5H{o z_=61}#mM}QoNuF)THHhD@S+J9Ztr@vA9@)KX!lRXH^~9UN1`__(H>LwLMTG)q>Zex zE|s7K`|}{}O;4L~Or)Z|61RTdQg|na*q-MPcX=pn=K^9bTbBj#%dT|?;CX`hQFv+7 zitJ@3E*WY-UTyr9Sdv}FpaC($T1_WBI|-u7C!Y!Gj0WvLt0jLq*-e@Et5|>HE*CZs z5Z?cDj`x2yw7WI6?QuuZ38WgxCR24zj36TxW1mQ1@+F2SqlIXZCL3U2<H>`PZOH_P zXxzgNMLM~sW~wOBAEmRtg1>_Jj!vHH>CZUL&7Xy8s+xc&1P(H`I9E8+?Ahjfmsh^- zKYKg*8hb@wydi$PIb(s0fEfotz~Wqrk9>`+vhNHS1w3W8&3-_H4u1Q3Q0bLh(jXIq z$#K>S={*@M57EXJmcqh}$F76I(5xE@)TYre>xY3=TZ{XCxgolI#cEIbli&Y~=()RI zPIwk-8q|;n+o}d)GwO9O$#+|xHIBztWWaQ4TH-c9ie$Y{Tnd+{J-)}He*rP&$IK}7 z=}AbSzK3Dt?18_vBQcX(swzn+$~Ui=!8nMzYaxh0k;}M4ji6y7C0zRFz4AiL3<eaM z1L9sKOCcNIlz13@-mNof<)2_|i9idG&EMpB6C~&l-<uvJMgrNJkvN$NDD@Z3E)uV% zP{?AsCE}wT1WS;AZ&G+%7wALuH6K*2l2I3RpH7yPy5bbv-->XX5lBR*)UzRUv9M%b z)ZZQnMEb94-+=PlCN8n(Yv~7DHEbP!EKw;bfv^uOomo;;I84f|(Su7qxc(wip+)!| zUBv1^L@&Y#CDr*$K32Z-n>elC`WE+fHy4lX-F5$0|K3Ir2IDrr=abdV3wZrm&UH@D znQd#-gCC%~VD;z&H^nZ5I4%V0Hu+OTfXwLe$Xp&nqK*=5XD^rd9PGE31sM`nLRbZ< zm1E8uDaxT=?(sKc<eR~>bDDxN=ROa%?kX}5fGG<?Et4P;1S1E~VXR8J=m5vJatZ33 zUhZG~N`6G@OO;}nd<nZDW89cLKbOs-<Ag-A)Pq$pynE>9*okCtkOR=d5gjakS6p_$ zo@v%h3j{aNqd2gppHy00zMA1Gfh86au9t0g>k)kUq!qnvtd&z-%M|W&r)fDy6A|f8 zw8lr1i;2YudLG~@YHOck;g@5J`I<&YetpxUH&ut5?9#c^2kg<vZ@Z-0J{0%|=aMa8 zNhkr%Re#5~X>nVTC&JpLo@SA`faHkq6#8n{Wj}HXIcFrPLdm1jFC(k$SW_igQ+5tu zrZ23ZyeTRusJX<DRMD-V2r)90w=|It5b)BUMm99XwHuh|hc4*c8H4LOwwCmyp1Zx4 z5rNl^pdf%XP$TmfF^yN?96P8jAFE9L@D$utSTDF8o14tssI0nYi{mk7DEA^sREPty z0@3zrk(F0*s;R{kmW53|LA`;wK&p{0GzNwbsVGzfWflZAjO`w8%?&Wfl3*@8_U=yC zykzhn0FzZc^_Wx$0dypHDvvNA@aUW14^#p3B*=d-ho3YmsHT!ys$HQNuhMLUv`>|e z7i&6d7ZR1u6!wpj7gBFF#4()Sz=Oy)^p^nj;Z!jeudShEp(J`iD4bjS)X5-XtTF3U z)t!-K4diOuz|b4CPn5K-IfQiUo2UIST6BHh@7!tp<(`nHV|34#L|A{Oo6PVP%{ny- zHDmCJ1hg*f@v;K(zDH}_x6=u8qRQ4ayuD+XHoNwSaJy-G!!E@`Sa$|-pNxpilgeQa zbaIsaAm8^|%5;LhfWc9Ve9|2K&`o{fJ@op3J@d=|L2AaceBQs;eGFss9=si#B2EHE zribji!M!#LOwvw5t-*jIWVQ_vM|0U@9s?JPh2XJ`egVRF=tF~gm`PrU!WxK5<k)d7 zh31|!H-e$pq2?A$GTT<5((RZz7bcVzio<vjPz7g$N~NDw_aqj|A$Ng91$1(>9H13U z?3_}~jtpdjdq;_!dAEm!*pF)i!H8h_z*W-qZ7f%A-mwi1!X97i&uQ#`qQ#Lng1-4Q zL_>O{_IA*@qwCI~FSRm`ytABv8mU_uJ-1A1L_S5ys6te|5T#K44@#9eml>c`(_~_7 zFh%Ff0LgOXV~g}aVA1PC2sG2K%Wr{e`RejhgTWc+8Mo^svJJap31T2Kvl0#v1ok4B zF_(Udm?0tWaZAn?A9JH_%yoGy(<GY$;u<@_&QMD*#y4=!6^!QSX0pisq6y1Bjg%8v z4++YZ@6w)tYf?_UPyQ6b@#$uvpETky;8)!g-)v12VrfL-+M(Mwp^IkB&@DFh2VPoy zQ^97-)6bWL!07XlMs9;a1Sko(Z8~lCWHAget2m=S0_yv!>gIG;&t--tV;aBTMu$-? zVsfH_Zrl<8Q)pX{Z@vzVImQ!srsHy6JDAQGA$ptAlrPA`6MABMpmS4?z+!nGui$sJ zf5ayx!UbXQW&Vu1abOUaZBiXcL4nbdhBv()*mu?b{L$=f6+7_?2{7O0buRQXL?b73 ziOVCta!NEwdr$N}ohiRkkAzF_tfgJG8Y9O>3I#bm_qh)Dy!<j8HPJ`=daoP*R8XF@ zZu}0zw$aY-RQO?L_{j*6L#9oLB<Fxoo=9zpBz(*!t=em@E}21Y{N||azXUn-VQRaK zoH1NXlyGV89~nISLu|7}^PxB~icE3buHRNVudjvHy}S<uk$2vAt3rtAqSm^H9IZ8K zv_qKZ&Pz~7d^@DhG0e?-Jf*rWUzMz2sN$vGZbw4+s9nTq(<eGl^DedEZ3lK|PW13n zW&k=bCxhH25DL-^ltL9s5_b!5=?kts@BOQ}kM@+rp0C_)CZX>#s%v_|wba7u7~x!} z3CK%Vg}ZaZw3Qg_+9*qnlaeWFEi!jsGH0J^eu5DC_s3j#xD9EvkHc9MqgY!zV%*$k zTW1!tu)VATgx(-fA@b9f%@+dV#cTmPnHEo|=lakZ#CvC5P|JzkP+B`~4%++p;HFTV zP6xJcO1|(kA2Z9H-KuD-OM<UEKBQOZgbV+`o-y7nP)FYWEf8evASoW-;2tr8{=UE9 zqKO>?FBX@cl}gdVs>?{kfWiX)4lGx|oD<f?4AH$i32F&`Qqi5KIZ+Z+?LPk1Hzzo6 z^TjIOp7VXq-QN&%@`^H9v{meO?APqen7tNNbw?vXBY<If%jYtt&MnF>>q28&1!GtL zd_90@Q6S6E5ezNxL~c$(-vAv>#|FnP+!;$l=j7Ueqcd<`ZAaR)7++-~j?W$I{C?v< zTa*66ESh43b2~^Npd_UKY4QJW|MvSoBUXyKwEf`-a?P=^o|kmz_iFX<^3{4sM;r1i zAU2=6V%-~(C>pe&hH4QphWxSRaFnoYa&Na{gJ3Mp-~QR4hnR<n`n%3EoJa15r^4A4 zR&3+*QV3PR(z?3S*IquVKk+`z3)~&wstJW&%?}!b<nM6Fko&L&KpdcmNPx{jP=Lcg zuwiFtBy2dE66*J+0)_&FA+aH2?_@E1AUvRN--R&?F)n{?wCU^5%}h$<ZXy!OM9M$d z&^V({3Q2QG5abRWfS5kXNHEJc7UXKOSQF%gIaTe`s6KEULDD<SZZRZ_G8#{TdY!?N z!ALkafuM6Y0nz3Mz?bpD;|WY#?xs!<wn#YQG!a`p<byWAHb5#u_O$FeAbfoKVYY6= zUfr`qH33ldg_+P9z_B!3PL~4ljqse#{qmNthJTRcwH0mPCAQs57T~Q5Q;bHo5ax@> zqpFkjJGbMCwx1_d8NIvo$m6~rQs%Xs1`(gPO0)z;Oo*+KscszbaHkYdw+s@k$C@A` zE*iaip!IMojhkp6B|X}_bIgd-2kO{i8WO}g+AfaY#L%OO{>hLRHQ*u8f&*uQi))}n z&tO+x4z$TIf0O+f3Uol$=4l~z8{?&?MOqotVYb+6Dzt^j$x`D$JI6KIy5a2nRbdlb z#v!o-3@PZo9(3u7w2$%ttT~jRRg~U~Ru?;)2VV5@e8aJgFq+kc`a|?u;|R~=^r#_z zBFQuNi14}NlN?nD|5x1<c<f_iMD|z^kJ>`1#CNGOg`)`iFuZU_x*zzn4EkUZhPh_& z<BQQ`(VfnjcJp$8v8vr5AFy%Oa<OlKmXBTq&I1i&J6i}lzsdYe+NHBEuI?tm{ajkM zVcYt?S&H9w5vIrsa&o9_aZqg5<Tg$A^tN-z4(Cw$dFTgFw*4}lC;{cROf?$i9|R)J z8yhJb(8EEF1pJ6|(`}*niE?6ybjdWUiWakEieDA=YrUdu+$n_1-}^^c2^>Abh`jQm z0cAoP7}geVytZ9iEV*OD6VZ3%FPEB&wrpG7Baa=cD)HWeNENpBsx9;^Hs;xkmx*l( zd0^BpA3>FDD^JJUvOq-2FJ0oY-s(-=Dx^~nb7Rf*=^^hr*S~N|8O|$14Ya@}YqBpE zD}2`zgLfOOwT}kHw=fk}NM;<Om^Y6#M^$n$IG;&<2-1ZdOf@?-b(*S_ZP$+Gk#-jX z9_%UcU6A-+2l;^xLgzD`gx5KmiL{UTyv~$xg~Cf=$2zAvhgRO{*=NL>HtP6X8IOyC zVArgVEo?NcLby`2hF543YI><P4ad=bX<6erOyW6YC6mwbzLVnZRy3>)A3nd(i@5p2 ziwzV{1E97H!}+s@+Be~67cNCf00nsCD&@^u!F%2)<WB0mQh=`<>XBC2$B!E5_F2({ z_`}8N{!%oxrr7m;L8QuAW%O@x^|0vQnD(oTH;)9llCxu{M#R$x;PQk_5Be3W+5#c= zuwy=D8?PPX4f+dtK56nK<X#PUQY{a6s@+N|G{42ly%M5&E*^IO9$_sbW9}sb_mgvW z_nxt>x3blmRGYPm0JktSY66SV2B~7dYDve8TJAbE1lw*9T9o?^ck@96ckp>&2DkAW za`5Cg2n__fKlb1bL+GoSa~hD<Pp6<W0)0s2PfKmUTENB6Cg_PB0HK>_>ClTReh$Sk z^5P_tYXnLIa%-)GTJ)j6wQ;T3;}3Jg>F_TEjspe{ei9-4s@^x@`EzBx7i};?t;8`E zb(ugoP@09O6o!Ld(Zg>FdT_W;DZtN*S#_enrhC;^wQkl}Tb7YDdFyA!Kqd!w?%`VS zqH1fGs%(R*;UA&I?h-F>F$x}fLDkYMapk~Gek|%WbHZ^I(dp0Mh7hEjTE-DI2Yq~) zj>>TG1Rzm*sz2xswzBLnbrpLC3#L_)>WL#kn%WCDm(pT&67e0?OJD4Wi{{Z9#?h#{ zR{Y|c{xuf}|FII_NqWsT9KPWiQ>nCWErHGK9F2V*fWx7$nqD9<iq;T#4(+PFW!}Ao z7bQb5hd&|RAY_ZKKSTEdH>rvo+$AtfnjxF~tEN=0=oed5tm*Y|c~~B>a904Dy>}bo zwvm`%_QV)>MA)}&$5e0XNr&GqZK_?2oxk;t!ChHL*oCqA)8;V_jw%RTRvk4Jx=EJ~ zEtEXK)z*T=FtvSa`oTUF{hJkzFgsGI$;FL}87D0rSBgTuoD>5x(OjmBss_(_=a_YD z;~HqFaD&yV=XoAMcr+E=z?<pw3csTt0jPiaCE_67xl+iXud`xTj!H5t{Yv{^?VNcy z)Lk3LNoYbOyC=dJCS)&<wI)$B_EEMhBV<fyWKAAXD57jn42nd^SV|F+nJks;F{O|s zONg2*nezU|yiYSs-mAag>zV6v&G_f@JLmqLbFOpl^Znl1mq&{zMIR{6TNl|AuWwzH zO$@qlC4;hLI8XywlAm6Na6t`F2|KHJxaRcYO0+3=`zTetR-vrMhy}&iAGNc?E>}2Y z{uCMWttQCFK|pu@s@bVN`K<NX-5`@L68pJ(1)7)wW0}4*gNF`Bg77t+jt$PYmay}= zded$b)unztlpUp_6rs{js3)Gyu)}v3T&jn@N%Et{IZKQ3<U{m7C_DCf@0)4=!JuoH zw8j`7cF}}40hz5O;2vC-AwRO}>fG7i4}IMEm~ViOzq{W*($Y7h5=i%-7xiu|jeoDC zshbDCLlcMy?M_9|$6W`*#<~u}T*WtNqs<c!aod8iZaPZe=XBcGxuXiRb}I2p>t9`7 zT+Do1mLeVV6j|{huiD0BLpwrBvsMN%{GcT{<VZZx%~x(J>$Fur{==U#Zc+s%#v`UU zcom1-0{>}3bGy^n(!!L+G$?wxz>n;_SSQH`Q$1}aEV@7WsT;fpL7E*vUhC2tWxpJ7 zq!FUlh8`cs2kz?gOFNt!j(-tmU#)Xb-&SMl20p$}&&2g92N_4WVO1u;Z-m+i4Sfqj z#%na2I)4&>+uKw!z5VK<OlowsQ)p7>ivaB}@&|}kpJ9*NICz2d^5$;wvBx;?el}=| zkGcm)X|q$Y7v#OTwqXwXnRP0<C)H4&*PtBxz)@47MEszaTya_g_F1L})^dak(yuo< zKjx=j7t_QOUlZk>x{0u;vfW+!mB^MycI{~<>2{nhr{jdG9$pmiWWB2OokH?CW`en^ zjB|e(`rI*2QL}u>;$&d|yoLNgR3oo+w}0as^ROy%D>8})!Tr<(=~$S1=u%^>9FM0) z#`G=AjJ*NSez2lc$_S!tw>J2!O@@Ky-05urv3_31k&Bjot$kg{ye$3Q8+%OZ753s? zIm`SDu@agjyO{yYT)AP@nH$9wXqDGqy!&=<8P6L2npio%xpx3l4fje)Cbn*K6A3&g z*7LF*ti*${F#R#Js5nQgdVn81%H~;3QIo((aGIcHP2(<e`fXZJ+p%nV*34kXGV#lh zl6XqTC1r3=jPc;IPdB1qMp2xroVB_|uw`yk<6Fp*_n9vaTXi9-`5HTH&Zh~3_F%Z# z4sq$0Q2rA3Qw95;U9J>@+}K$W4%T*VDY!2g3-jLiNbrP}Qu^CVCMT^RW$qgg5M7yV z*HS><=^aU;nTevADWWgc&KWgPjAyNzY{xmSg#=w;FvU!`xErFmNFCPH*#T%_A-_92 zXqL&~ZNkXTK;i%1;sDbl)e-YrMIidqSA{JD@#_5Mf%knB$kGU#P5ut(Btprh6VQtV zkFLDe_&%@mIS9TXirZsTd0R+(?w-*nZAK$d-P-3tcRDai0#7QQq(;oU9a9fN*TSD2 z;G4&Gy%`to9}r9547-sDt&{i2RH$NYUKR`f(Ic#!E$}Ey`}^6?*WF_m%~Pzd^F>bx z#_>l;IY28){w^5~b4j|ZlA6wKY88D%G{1P}IYBGeL#Mc?I9%Z?PT0p-{BgbMUC+6u zt{_|RJ2@2{R?9+EvV!f#Q*T|BkL6%08YIKI_tfJfr|N>N^4d-8NIiYXNGu8cm@8om zm#jLQBljE(oqqiO9+l`Uttw-A!##p6Y3}LI@2AR<gQoc6bBuFZ8pZ+<<k2Cy3TS1& zcQ%HT7{l&>f@R)uJCv4+2B*AUqNrXko+eq`$}!vHA<kcp?<UqyohTu^S0N1LKhhZ_ zieNHiLHC7>AGB=qx%W^Yv*dw9B+*Q0`b$F@{-nWW6l^Mo@E2dYV4j_r2mE_cHzKF1 z<8mK4-pN*V%ziuQk0O?vx&3v+Y%V(RPj-i7q8n5@*zl#$OS@DE2=^syA?S1>=)S5} zGeYkSML7O~owQ8j^I7DKLEo&9TyXEFFBFiiO@?oFQvEy9)cn#bNz)nVK2wzGQA^ll zoM9i!#NKl5cJ=L*xK2%}v!vIx4kOUz(Kq&CnOD<uO0#cg;cRA)$y@Ks<|*5?-G!nq zvJ7sLbfvIDw9bLdTqC6*A};yIRl1YY#`)Cos&fX<x-arYeGKhs8BwNRR9FCZMn-cl z<6vFi+`H=(DsV+~bpfz5Qvd$7H2&-F{r~XyP68F(E|6I$*}%=6E^zk_UVrsw^h?p! z?qZ<A+nJw+sY<PQD?$R*H8ZMUG?avoBxMtQ6tFMzVX(d<p2Af|klhXTIteFY^1=tP z2RCH)9khHu|DiQhy^SEo^U=T#im41BM!vXLE>UL~Q?D3MZrSQ(e{|N<H*Mthx2RKG zTo>d9^WD_KAxQYFxbv81?++i1&+71TaIDA?-<M9H^O06sB#GoSUA3onCGN7PN^!c@ zA8<Vl*TX0suX2jw7jm%<PICJz1$?^vW7@>?WCSk0V><(N8Pw7e;ku3HIGX7u^(OCL z!;lbZU$W3-*wwBHbC3NKb0)<ux)(!(8&y%>?jxxBx8UOB{LM8H0&uL^v_0yh*{oAp zQBqHN>-ojH=EVJDrd38EBnMahNMDJ9n?W^&AC=D!ZcZSM24^G{)_RehUcSeDvDrOP z>oWv<95qc0%sP20Q&1-#r=q17o@F7{FNHW0t$KbQ6`Q3zYmdkcuJOzlpqzR7N5u1) z>{#SjD0hb3mUGfL-8{XRr3dr4VM#KtGMV*TxDmP6^Sr~1H++VpN&R7*QBW)aVjw#5 z%F@L`1PL3Tl={YHfnePr%(h+?KpsGLO8xM$EbOCxpZZx7keyZ&@Nh5-;IyM6)~g0c zLjXgG<z|Eq1A@^HbJ!ZdQELOP#W7dIV#LJ)Hm-kKv?g1&LZsHlT1#Xu<-kak<fRkW zU;BZP4z!nA*kLW5*&5GCSK|L2`U;$8l3yKLW~(tHTw9P1|DVQVpy|H~46{p;k=`#t zr>{BRFs=bBZKrxB*9L~!eaA>27F&nDa$s7g!OUhW8j?Cr%OZ@o(~*or4M?K`Jem}l zIlQZ->Woda1JilG60QSKs>Ye#@AWj?bm&w>sw^G4Dyl1r2qq+Licv$kT7FGO-i%O! z(2>6sW3NMH7F#h=Q+Ls+zmi@7P^$Eb-g{b8nKdAcP^20i`YS~U0HrEI)<T)32{b6} zy>Hc^Lx0i#^<O`8DxMJ=tVhSLS!&itGA9Y>-LHsHJwQjUdil&L10WLAl)>6aFdwt% z{IUr1gTKkAEoan2*V+d#Z;j~>$c~`E=?_?cv7~L3fgaE{$*boa^HziYfSVCKX7mTF gi4fzWLu)^E<3VdbJ8<Hp)}a+(=>&dlw4na?A9vm#NB{r; literal 0 HcmV?d00001 diff --git a/firmware/minitlu/ucf/SP601_RevC_annotated_master_ucf_8-28-09.ucf b/firmware/minitlu/ucf/SP601_RevC_annotated_master_ucf_8-28-09.ucf new file mode 100644 index 00000000..522eef37 --- /dev/null +++ b/firmware/minitlu/ucf/SP601_RevC_annotated_master_ucf_8-28-09.ucf @@ -0,0 +1,252 @@ +NET "CPU_RESET" LOC = "N4"; ## 2 on SW9 pushbutton +## +NET "DDR2_A0" LOC = "J7"; | IOSTANDARD = SSTL18_II ; ## M8 on U2 +NET "DDR2_A1" LOC = "J6"; | IOSTANDARD = SSTL18_II ; ## M3 on U2 +NET "DDR2_A2" LOC = "H5"; | IOSTANDARD = SSTL18_II ; ## M7 on U2 +NET "DDR2_A3" LOC = "L7"; | IOSTANDARD = SSTL18_II ; ## N2 on U2 +NET "DDR2_A4" LOC = "F3"; | IOSTANDARD = SSTL18_II ; ## N8 on U2 +NET "DDR2_A5" LOC = "H4"; | IOSTANDARD = SSTL18_II ; ## N3 on U2 +NET "DDR2_A6" LOC = "H3"; | IOSTANDARD = SSTL18_II ; ## N7 on U2 +NET "DDR2_A7" LOC = "H6"; | IOSTANDARD = SSTL18_II ; ## P2 on U2 +NET "DDR2_A8" LOC = "D2"; | IOSTANDARD = SSTL18_II ; ## P8 on U2 +NET "DDR2_A9" LOC = "D1"; | IOSTANDARD = SSTL18_II ; ## P3 on U2 +NET "DDR2_A10" LOC = "F4"; | IOSTANDARD = SSTL18_II ; ## M2 on U2 +NET "DDR2_A11" LOC = "D3"; | IOSTANDARD = SSTL18_II ; ## P7 on U2 +NET "DDR2_A12" LOC = "G6"; | IOSTANDARD = SSTL18_II ; ## R2 on U2 +NET "DDR2_BA0" LOC = "F2"; | IOSTANDARD = SSTL18_II ; ## L2 on U2 +NET "DDR2_BA1" LOC = "F1"; | IOSTANDARD = SSTL18_II ; ## L3 on U2 +NET "DDR2_BA2" LOC = "E1"; | IOSTANDARD = SSTL18_II ; ## L1 on U2 +NET "DDR2_CAS_B" LOC = "K5"; | IOSTANDARD = SSTL18_II ; ## L7 on U2 +NET "DDR2_CKE" LOC = "H7"; | IOSTANDARD = SSTL18_II ; ## K2 on U2 +NET "DDR2_CLK_N" LOC = "G1"; | IOSTANDARD = SSTL18_II ; ## K8 on U2 +NET "DDR2_CLK_P" LOC = "G3"; | IOSTANDARD = SSTL18_II ; ## J8 on U2 +NET "DDR2_DQ0" LOC = "L2"; | IOSTANDARD = SSTL18_II ; ## G8 on U2 +NET "DDR2_DQ1" LOC = "L1"; | IOSTANDARD = SSTL18_II ; ## G2 on U2 +NET "DDR2_DQ2" LOC = "K2"; | IOSTANDARD = SSTL18_II ; ## H7 on U2 +NET "DDR2_DQ3" LOC = "K1"; | IOSTANDARD = SSTL18_II ; ## H3 on U2 +NET "DDR2_DQ4" LOC = "H2"; | IOSTANDARD = SSTL18_II ; ## H1 on U2 +NET "DDR2_DQ5" LOC = "H1"; | IOSTANDARD = SSTL18_II ; ## H9 on U2 +NET "DDR2_DQ6" LOC = "J3"; | IOSTANDARD = SSTL18_II ; ## F1 on U2 +NET "DDR2_DQ7" LOC = "J1"; | IOSTANDARD = SSTL18_II ; ## F9 on U2 +NET "DDR2_DQ8" LOC = "M3"; | IOSTANDARD = SSTL18_II ; ## C8 on U2 +NET "DDR2_DQ9" LOC = "M1"; | IOSTANDARD = SSTL18_II ; ## C2 on U2 +NET "DDR2_DQ10" LOC = "N2"; | IOSTANDARD = SSTL18_II ; ## D7 on U2 +NET "DDR2_DQ11" LOC = "N1"; | IOSTANDARD = SSTL18_II ; ## D3 on U2 +NET "DDR2_DQ12" LOC = "T2"; | IOSTANDARD = SSTL18_II ; ## D1 on U2 +NET "DDR2_DQ13" LOC = "T1"; | IOSTANDARD = SSTL18_II ; ## D9 on U2 +NET "DDR2_DQ14" LOC = "U2"; | IOSTANDARD = SSTL18_II ; ## B1 on U2 +NET "DDR2_DQ15" LOC = "U1"; | IOSTANDARD = SSTL18_II ; ## B9 on U2 +NET "DDR2_LDM" LOC = "K3"; | IOSTANDARD = SSTL18_II ; ## F3 on U2 +NET "DDR2_LDQS_N" LOC = "L3"; | IOSTANDARD = SSTL18_II ; ## E8 on U2 +NET "DDR2_LDQS_P" LOC = "L4"; | IOSTANDARD = SSTL18_II ; ## F7 on U2 +NET "DDR2_ODT" LOC = "K6"; | IOSTANDARD = SSTL18_II ; ## K9 on U2 +NET "DDR2_RAS_B" LOC = "L5"; | IOSTANDARD = SSTL18_II ; ## K7 on U2 +NET "DDR2_UDM" LOC = "K4"; | IOSTANDARD = SSTL18_II ; ## B3 on U2 +NET "DDR2_UDQS_N" LOC = "P1"; | IOSTANDARD = SSTL18_II ; ## A8 on U2 +NET "DDR2_UDQS_P" LOC = "P2"; | IOSTANDARD = SSTL18_II ; ## B7 on U2 +NET "DDR2_WE_B" LOC = "E3"; | IOSTANDARD = SSTL18_II ; ## K3 on U2 +## +NET "FLASH_A0" LOC = "K18"; ## 32 on U10 +NET "FLASH_A1" LOC = "K17"; ## 28 on U10 +NET "FLASH_A2" LOC = "J18"; ## 27 on U10 +NET "FLASH_A3" LOC = "J16"; ## 26 on U10 +NET "FLASH_A4" LOC = "G18"; ## 25 on U10 +NET "FLASH_A5" LOC = "G16"; ## 24 on U10 +NET "FLASH_A6" LOC = "H16"; ## 23 on U10 +NET "FLASH_A7" LOC = "H15"; ## 22 on U10 +NET "FLASH_A8" LOC = "H14"; ## 20 on U10 +NET "FLASH_A9" LOC = "H13"; ## 19 on U10 +NET "FLASH_A10" LOC = "F18"; ## 18 on U10 +NET "FLASH_A11" LOC = "F17"; ## 17 on U10 +NET "FLASH_A12" LOC = "K13"; ## 13 on U10 +NET "FLASH_A13" LOC = "K12"; ## 12 on U10 +NET "FLASH_A14" LOC = "E18"; ## 11 on U10 +NET "FLASH_A15" LOC = "E16"; ## 10 on U10 +NET "FLASH_A16" LOC = "G13"; ## 8 on U10 +NET "FLASH_A17" LOC = "H12"; ## 7 on U10 +NET "FLASH_A18" LOC = "D18"; ## 6 on U10 +NET "FLASH_A19" LOC = "D17"; ## 5 on U10 +NET "FLASH_A20" LOC = "G14"; ## 4 on U10 +NET "FLASH_A21" LOC = "F14"; ## 3 on U10 +NET "FLASH_A22" LOC = "C18"; ## 1 on U10 +NET "FLASH_A23" LOC = "C17"; ## 30 on U10 +NET "FLASH_A24" LOC = "F16"; ## 56 on U10 +NET "FLASH_CE_B" LOC = "L17"; ## 14 on U10 +NET "FLASH_D3" LOC = "U5"; ## 40 on U10 +NET "FLASH_D4" LOC = "V5"; ## 44 on U10 +NET "FLASH_D5" LOC = "R3"; ## 46 on U10 +NET "FLASH_D6" LOC = "T3"; ## 49 on U10 +NET "FLASH_D7" LOC = "R5"; ## 51 on U10 +NET "FLASH_OE_B" LOC = "L18"; ## 54 on U10 +NET "FLASH_WE_B" LOC = "M16"; ## 55 on U10 +## +NET "FMC_CLK0_M2C_N" LOC = "A10"; ## H5 on J1 +NET "FMC_CLK0_M2C_P" LOC = "C10"; ## H4 on J1 +NET "FMC_CLK1_M2C_N" LOC = "V9"; ## G3 on J1 +NET "FMC_CLK1_M2C_P" LOC = "T9"; ## G2 on J1 +NET "FMC_LA00_CC_N" LOC = "C9"; ## G7 on J1 +NET "FMC_LA00_CC_P" LOC = "D9"; ## G6 on J1 +NET "FMC_LA01_CC_N" LOC = "C11"; ## D9 on J1 +NET "FMC_LA01_CC_P" LOC = "D11"; ## D8 on J1 +NET "FMC_LA02_N" LOC = "A15"; ## H8 on J1 +NET "FMC_LA02_P" LOC = "C15"; ## H7 on J1 +NET "FMC_LA03_N" LOC = "A13"; ## G10 on J1 +NET "FMC_LA03_P" LOC = "C13"; ## G9 on J1 +NET "FMC_LA04_N" LOC = "A16"; ## H11 on J1 +NET "FMC_LA04_P" LOC = "B16"; ## H10 on J1 +NET "FMC_LA05_N" LOC = "A14"; ## D12 on J1 +NET "FMC_LA05_P" LOC = "B14"; ## D11 on J1 +NET "FMC_LA06_N" LOC = "C12"; ## C11 on J1 +NET "FMC_LA06_P" LOC = "D12"; ## C10 on J1 +NET "FMC_LA07_N" LOC = "E8"; ## H14 on J1 +NET "FMC_LA07_P" LOC = "E7"; ## H13 on J1 +NET "FMC_LA08_N" LOC = "E11"; ## G13 on J1 +NET "FMC_LA08_P" LOC = "F11"; ## G12 on J1 +NET "FMC_LA09_N" LOC = "F10"; ## D15 on J1 +NET "FMC_LA09_P" LOC = "G11"; ## D14 on J1 +NET "FMC_LA10_N" LOC = "C8"; ## C15 on J1 +NET "FMC_LA10_P" LOC = "D8"; ## C14 on J1 +NET "FMC_LA11_N" LOC = "A12"; ## H17 on J1 +NET "FMC_LA11_P" LOC = "B12"; ## H16 on J1 +NET "FMC_LA12_N" LOC = "C6"; ## G16 on J1 +NET "FMC_LA12_P" LOC = "D6"; ## G15 on J1 +NET "FMC_LA13_N" LOC = "A11"; ## D18 on J1 +NET "FMC_LA13_P" LOC = "B11"; ## D17 on J1 +NET "FMC_LA14_N" LOC = "A2"; ## C19 on J1 +NET "FMC_LA14_P" LOC = "B2"; ## C18 on J1 +NET "FMC_LA15_N" LOC = "F9"; ## H20 on J1 +NET "FMC_LA15_P" LOC = "G9"; ## H19 on J1 +NET "FMC_LA16_N" LOC = "A7"; ## G19 on J1 +NET "FMC_LA16_P" LOC = "C7"; ## G18 on J1 +NET "FMC_LA17_CC_N" LOC = "T8"; ## D21 on J1 +NET "FMC_LA17_CC_P" LOC = "R8"; ## D20 on J1 +NET "FMC_LA18_CC_N" LOC = "T10"; ## C23 on J1 +NET "FMC_LA18_CC_P" LOC = "R10"; ## C22 on J1 +NET "FMC_LA19_N" LOC = "P7"; ## H23 on J1 +NET "FMC_LA19_P" LOC = "N6"; ## H22 on J1 +NET "FMC_LA20_N" LOC = "P8"; ## G22 on J1 +NET "FMC_LA20_P" LOC = "N7"; ## G21 on J1 +NET "FMC_LA21_N" LOC = "V4"; ## H26 on J1 +NET "FMC_LA21_P" LOC = "T4"; ## H25 on J1 +NET "FMC_LA22_N" LOC = "T7"; ## G25 on J1 +NET "FMC_LA22_P" LOC = "R7"; ## G24 on J1 +NET "FMC_LA23_N" LOC = "P6"; ## D24 on J1 +NET "FMC_LA23_P" LOC = "N5"; ## D23 on J1 +NET "FMC_LA24_N" LOC = "V8"; ## H29 on J1 +NET "FMC_LA24_P" LOC = "U8"; ## H28 on J1 +NET "FMC_LA25_N" LOC = "N11"; ## G28 on J1 +NET "FMC_LA25_P" LOC = "M11"; ## G27 on J1 +NET "FMC_LA26_N" LOC = "V7"; ## D27 on J1 +NET "FMC_LA26_P" LOC = "U7"; ## D26 on J1 +NET "FMC_LA27_N" LOC = "T11"; ## C27 on J1 +NET "FMC_LA27_P" LOC = "R11"; ## C26 on J1 +NET "FMC_LA28_N" LOC = "V11"; ## H32 on J1 +NET "FMC_LA28_P" LOC = "U11"; ## H31 on J1 +NET "FMC_LA29_N" LOC = "N8"; ## G31 on J1 +NET "FMC_LA29_P" LOC = "M8"; ## G30 on J1 +NET "FMC_LA30_N" LOC = "V12"; ## H35 on J1 +NET "FMC_LA30_P" LOC = "T12"; ## H34 on J1 +NET "FMC_LA31_N" LOC = "V6"; ## G34 on J1 +NET "FMC_LA31_P" LOC = "T6"; ## G33 on J1 +NET "FMC_LA32_N" LOC = "V15"; ## H38 on J1 +NET "FMC_LA32_P" LOC = "U15"; ## H37 on J1 +NET "FMC_LA33_N" LOC = "N9"; ## G37 on J1 +NET "FMC_LA33_P" LOC = "M10"; ## G36 on J1 +NET "FMC_PRSNT_M2C_L" LOC = "U13"; ## H2 on J1 +NET "FMC_PWR_GOOD_FLASH_RST_B" LOC = "B3"; ## D1 on J1, 16 on U10 +## +NET "FPGA_AWAKE" LOC = "P15"; ## 2 on DS8 LED +NET "FPGA_CCLK" LOC = "R15"; ## 16 on U17, 7 on J12 +NET "FPGA_CMP_CLK" LOC = "U16"; ## 3 on J3 +NET "FPGA_CMP_CS_B" LOC = "P13"; ## 4 on J3 +NET "FPGA_CMP_MOSI" LOC = "V16"; ## 2 on J3 +NET "FPGA_D0_DIN_MISO_MISO1" LOC = "R13"; ## 8 on U17 (thru series R187 100 ohm), 33 on U10, 6 on J12 +NET "FPGA_D1_MISO2" LOC = "T14"; ## 9 on U17 (thru series R186 100 ohm), 35 on U10, 3 on J12 +NET "FPGA_D2_MISO3" LOC = "V14"; ## 1 on U17, 38 on U10, 2 on J12 +NET "FPGA_DONE" LOC = "V17"; ## 2 on DS9 LED +NET "FPGA_HSWAPEN" LOC = "D4"; ## 1 on R81 100 ohm to GND +NET "FPGA_INIT_B" LOC = "U3"; ## 1 on DS10 (thru series R90 27.4 ohm) +NET "FPGA_M0_CMP_MISO" LOC = "T15"; ## 1 on J3, 1 on SW2 DIP Sw +NET "FPGA_M1" LOC = "N12"; ## 2 on SW2 DIP Sw +NET "FPGA_MOSI_CSI_B_MISO0" LOC = "T13"; ## 15 on U17, 5 on J12 +NET "FPGA_ONCHIP_TERM1" LOC = "L6"; ## ZIO no connect (R86 is DNP) +NET "FPGA_ONCHIP_TERM2" LOC = "C2"; ## RZQ 100 ohm to GND +NET "FPGA_PROG_B" LOC = "V2"; ## 1 on SW3 pushbutton +NET "FPGA_SUSPEND" LOC = "R16"; ## 2 on J14 +NET "FPGA_TCK_BUF" LOC = "A17"; ## 14 on U21, D29 on J1 +NET "FPGA_TDI_BUF" LOC = "D15"; ## 18 on U21 +NET "FPGA_TDO" LOC = "D16"; ## 1 on J4, D30 on J1 +NET "FPGA_TMS_BUF" LOC = "B18"; ## 16 on U21, D31 on J1 +NET "FPGA_VTEMP" LOC = "P3"; ## 2 on R87 150 ohm p/u to VCC1V8 +## +NET "GPIO_BUTTON0" LOC = "P4"; ## 2 on SW6 pushbutton +NET "GPIO_BUTTON1" LOC = "F6"; ## 2 on SW4 pushbutton +NET "GPIO_BUTTON2" LOC = "E4"; ## 2 on SW5 pushbutton +NET "GPIO_BUTTON3" LOC = "F5"; ## 2 on SW7 pushbutton +## +NET "GPIO_HDR0" LOC = "N17"; ## 1 on J13 (thru series R100 200 ohm) +NET "GPIO_HDR1" LOC = "M18"; ## 3 on J13 (thru series R102 200 ohm) +NET "GPIO_HDR2" LOC = "A3"; ## 5 on J13 (thru series R101 200 ohm) +NET "GPIO_HDR3" LOC = "L15"; ## 7 on J13 (thru series R103 200 ohm) +NET "GPIO_HDR4" LOC = "F15"; ## 2 on J13 (thru series R99 200 ohm) +NET "GPIO_HDR5" LOC = "B4"; ## 4 on J13 (thru series R98 200 ohm) +NET "GPIO_HDR6" LOC = "F13"; ## 6 on J13 (thru series R97 200 ohm) +NET "GPIO_HDR7" LOC = "P12"; ## 8 on J13 (thru series R96 200 ohm) +## +NET "GPIO_LED_0" LOC = "E13"; ## 2 on DS11 LED +NET "GPIO_LED_1" LOC = "C14"; ## 2 on DS12 LED +NET "GPIO_LED_2" LOC = "C4"; ## 2 on DS13 LED +NET "GPIO_LED_3" LOC = "A4"; ## 2 on DS14 LED +## +NET "GPIO_SWITCH_0" LOC = "D14"; ## 1 on SW8 DIP Sw +NET "GPIO_SWITCH_1" LOC = "E12"; ## 2 on SW8 DIP Sw +NET "GPIO_SWITCH_2" LOC = "F12"; ## 3 on SW8 DIP Sw +NET "GPIO_SWITCH_3" LOC = "V13"; ## 4 on SW8 DIP Sw +## +NET "IIC_SCL_MAIN" LOC = "P11"; ## 6 on U7 (thru series R203 0 ohm), C30 on J1, 2 on J16 +NET "IIC_SDA_MAIN" LOC = "N10"; ## 5 on U7 (thru series R204 0 ohm), C31 on J1, 1 on J16 +## +NET "PHY_COL" LOC = "L14"; ## 114 on U3 +NET "PHY_CRS" LOC = "M13"; ## 115 on U3 +NET "PHY_INT" LOC = "J13"; ## 32 on U3 +NET "PHY_MDC" LOC = "N14"; ## 35 on U3 +NET "PHY_MDIO" LOC = "P16"; ## 33 on U3 +NET "PHY_RESET" LOC = "L13"; ## 36 on U3 +NET "PHY_RXCLK" LOC = "L16"; ## 7 on U3 +NET "PHY_RXCTL_RXDV" LOC = "N18"; ## 4 on U3 +NET "PHY_RXD0" LOC = "M14"; ## 3 on U3 +NET "PHY_RXD1" LOC = "U18"; ## 128 on U3 +NET "PHY_RXD2" LOC = "U17"; ## 126 on U3 +NET "PHY_RXD3" LOC = "T18"; ## 125 on U3 +NET "PHY_RXD4" LOC = "T17"; ## 124 on U3 +NET "PHY_RXD5" LOC = "N16"; ## 123 on U3 +NET "PHY_RXD6" LOC = "N15"; ## 121 on U3 +NET "PHY_RXD7" LOC = "P18"; ## 120 on U3 +NET "PHY_RXER" LOC = "P17"; ## 8 on U3 +NET "PHY_TXCLK" LOC = "B9"; ## 10 on U3 +NET "PHY_TXCTL_TXEN" LOC = "B8"; ## 16 on U3 +NET "PHY_TXC_GTXCLK" LOC = "A9"; ## 14 on U3 +NET "PHY_TXD0" LOC = "F8"; ## 18 on U3 +NET "PHY_TXD1" LOC = "G8"; ## 19 on U3 +NET "PHY_TXD2" LOC = "A6"; ## 20 on U3 +NET "PHY_TXD3" LOC = "B6"; ## 24 on U3 +NET "PHY_TXD4" LOC = "E6"; ## 25 on U3 +NET "PHY_TXD5" LOC = "F7"; ## 26 on U3 +NET "PHY_TXD6" LOC = "A5"; ## 28 on U3 +NET "PHY_TXD7" LOC = "C5"; ## 29 on U3 +NET "PHY_TXER" LOC = "A8"; ## 13 on U3 +## +NET "SMACLK_N" LOC = "H18"; ## 1 on J8 SMA +NET "SMACLK_P" LOC = "H17"; ## 1 on J7 SMA +## +NET "SPI_CS_B" LOC = "V3"; ## 1 on J15, 4 on J12 +## +NET "SYSCLK_N" LOC = "K16"; ## 5 on U5 EG2121CA, 5 of U20 SI500D (DNP) +NET "SYSCLK_P" LOC = "K15"; ## 6 on U5 EG2121CA, 4 of U20 SI500D (DNP) +## +NET "USB_1_CTS" LOC = "U10"; ## 22 on U4 +NET "USB_1_RTS" LOC = "T5"; ## 23 on U4 +NET "USB_1_RX" LOC = "L12"; ## 24 on U4 +NET "USB_1_TX" LOC = "K14"; ## 25 on U4 +## +NET "USER_CLOCK" LOC = "V10"; ## 5 on X2 USER OSC Socket \ No newline at end of file diff --git a/firmware/minitlu/ucf/SP601_fmc_connections.ucf b/firmware/minitlu/ucf/SP601_fmc_connections.ucf new file mode 100644 index 00000000..224e63ae --- /dev/null +++ b/firmware/minitlu/ucf/SP601_fmc_connections.ucf @@ -0,0 +1,73 @@ +NET "FMC_CLK0_M2C_N" LOC = "A10"; ## H5 on J1 +NET "FMC_CLK0_M2C_P" LOC = "C10"; ## H4 on J1 +NET "FMC_CLK1_M2C_N" LOC = "V9"; ## G3 on J1 +NET "FMC_CLK1_M2C_P" LOC = "T9"; ## G2 on J1 +NET "FMC_LA00_CC_N" LOC = "C9"; ## G7 on J1 +NET "FMC_LA00_CC_P" LOC = "D9"; ## G6 on J1 +NET "FMC_LA01_CC_N" LOC = "C11"; ## D9 on J1 +NET "FMC_LA01_CC_P" LOC = "D11"; ## D8 on J1 +NET "FMC_LA02_N" LOC = "A15"; ## H8 on J1 +NET "FMC_LA02_P" LOC = "C15"; ## H7 on J1 +NET "FMC_LA03_N" LOC = "A13"; ## G10 on J1 +NET "FMC_LA03_P" LOC = "C13"; ## G9 on J1 +NET "FMC_LA04_N" LOC = "A16"; ## H11 on J1 +NET "FMC_LA04_P" LOC = "B16"; ## H10 on J1 +NET "FMC_LA05_N" LOC = "A14"; ## D12 on J1 +NET "FMC_LA05_P" LOC = "B14"; ## D11 on J1 +NET "FMC_LA06_N" LOC = "C12"; ## C11 on J1 +NET "FMC_LA06_P" LOC = "D12"; ## C10 on J1 +NET "FMC_LA07_N" LOC = "E8"; ## H14 on J1 +NET "FMC_LA07_P" LOC = "E7"; ## H13 on J1 +NET "FMC_LA08_N" LOC = "E11"; ## G13 on J1 +NET "FMC_LA08_P" LOC = "F11"; ## G12 on J1 +NET "FMC_LA09_N" LOC = "F10"; ## D15 on J1 +NET "FMC_LA09_P" LOC = "G11"; ## D14 on J1 +NET "FMC_LA10_N" LOC = "C8"; ## C15 on J1 +NET "FMC_LA10_P" LOC = "D8"; ## C14 on J1 +NET "FMC_LA11_N" LOC = "A12"; ## H17 on J1 +NET "FMC_LA11_P" LOC = "B12"; ## H16 on J1 +NET "FMC_LA12_N" LOC = "C6"; ## G16 on J1 +NET "FMC_LA12_P" LOC = "D6"; ## G15 on J1 +NET "FMC_LA13_N" LOC = "A11"; ## D18 on J1 +NET "FMC_LA13_P" LOC = "B11"; ## D17 on J1 +NET "FMC_LA14_N" LOC = "A2"; ## C19 on J1 +NET "FMC_LA14_P" LOC = "B2"; ## C18 on J1 +NET "FMC_LA15_N" LOC = "F9"; ## H20 on J1 +NET "FMC_LA15_P" LOC = "G9"; ## H19 on J1 +NET "FMC_LA16_N" LOC = "A7"; ## G19 on J1 +NET "FMC_LA16_P" LOC = "C7"; ## G18 on J1 +NET "FMC_LA17_CC_N" LOC = "T8"; ## D21 on J1 +NET "FMC_LA17_CC_P" LOC = "R8"; ## D20 on J1 +NET "FMC_LA18_CC_N" LOC = "T10"; ## C23 on J1 +NET "FMC_LA18_CC_P" LOC = "R10"; ## C22 on J1 +NET "FMC_LA19_N" LOC = "P7"; ## H23 on J1 +NET "FMC_LA19_P" LOC = "N6"; ## H22 on J1 +NET "FMC_LA20_N" LOC = "P8"; ## G22 on J1 +NET "FMC_LA20_P" LOC = "N7"; ## G21 on J1 +NET "FMC_LA21_N" LOC = "V4"; ## H26 on J1 +NET "FMC_LA21_P" LOC = "T4"; ## H25 on J1 +NET "FMC_LA22_N" LOC = "T7"; ## G25 on J1 +NET "FMC_LA22_P" LOC = "R7"; ## G24 on J1 +NET "FMC_LA23_N" LOC = "P6"; ## D24 on J1 +NET "FMC_LA23_P" LOC = "N5"; ## D23 on J1 +NET "FMC_LA24_N" LOC = "V8"; ## H29 on J1 +NET "FMC_LA24_P" LOC = "U8"; ## H28 on J1 +NET "FMC_LA25_N" LOC = "N11"; ## G28 on J1 +NET "FMC_LA25_P" LOC = "M11"; ## G27 on J1 +NET "FMC_LA26_N" LOC = "V7"; ## D27 on J1 +NET "FMC_LA26_P" LOC = "U7"; ## D26 on J1 +NET "FMC_LA27_N" LOC = "T11"; ## C27 on J1 +NET "FMC_LA27_P" LOC = "R11"; ## C26 on J1 +NET "FMC_LA28_N" LOC = "V11"; ## H32 on J1 +NET "FMC_LA28_P" LOC = "U11"; ## H31 on J1 +NET "FMC_LA29_N" LOC = "N8"; ## G31 on J1 +NET "FMC_LA29_P" LOC = "M8"; ## G30 on J1 +NET "FMC_LA30_N" LOC = "V12"; ## H35 on J1 +NET "FMC_LA30_P" LOC = "T12"; ## H34 on J1 +NET "FMC_LA31_N" LOC = "V6"; ## G34 on J1 +NET "FMC_LA31_P" LOC = "T6"; ## G33 on J1 +NET "FMC_LA32_N" LOC = "V15"; ## H38 on J1 +NET "FMC_LA32_P" LOC = "U15"; ## H37 on J1 +NET "FMC_LA33_N" LOC = "N9"; ## G37 on J1 +NET "FMC_LA33_P" LOC = "M10"; ## G36 on J1 + diff --git a/firmware/minitlu/ucf/SP601_fmc_connections.xlsx b/firmware/minitlu/ucf/SP601_fmc_connections.xlsx new file mode 100644 index 0000000000000000000000000000000000000000..ab8d0fdadc39c3878f139dfbd188cf0d7518d76f GIT binary patch literal 7114 zcmaKx1z1#D*T)r51|)}+kS>ufX=#w|2BmXA2Bc9!8tFztK&87&I!8dHq&r4LazyGo zgYUh1{oeO(o`*AY&f07J*KhBA=GkkjDWakgUIT$Z*HRy;N?rS{-2y(Fx>!0uIoOf^ zOX7wfqH^Jd?D~esc)QmUNn2F4gpQ=k`#w(@x_B&*%6Z$(*E<koP>_!vt>AY66Oc-q z16v)1XUK)iIu#nQM!q~F_0G-0LBPpd>~&#uA9Nnl<C+f?e(G{Kq>h7JuO#Q3GE(o* zGZ9*TluywRVlijo9pq?iih60Wc^q1BJa0398l@A02udz5fXjxsubQC93XU%8zK&r- zFw1iAG~=rdOKWOplc_X0aJZj%tALm%3@a8xpS-Hqs)|f$a}#L@ad{<9un|;x0TrwV z$wpr^$0=_O+Kj&+WwH^!rlyDvvMLOyTLjV;M!5!j|6gG601FEzb2S$yXDG*GXJ>W~ zM~7D<Fn|u>jl~vKt{{kYTrK!ve;)I4VRnT2EVix7+bAVJ`L79EGvq`LlkB+YVjo(} z1ez*@qz3rEC*32Lz57jw6dujy3D1OM7jWNo`a~*Hy^50hW%ZSZGL59<t%kb+!)$^y zJ#6{<S?>#G6Fw(ff%;kQaZ%cm-Yi;T+3fe1Ycz}0Ou=uyuGuRda~3|sb3wu<@oaZ+ zcaDKrHJm-0Oc?%vTcS)tr0c#xNjU`v;y7k;O)eK6%yB%S3cajY5^XDk47A=mM~iZW zr5du|VG>UBV%RKU*gO*7zlDS|C$qt_fK2`Rzrn%(9gew^izSkdW6YpkCnuf^;v6%# zMf53Z4(ZIa+qfhRiz{nh0iBuXh|<dHE^EYuGR@$iC^QCA*ECgd-<*EMm?N!__=eD0 z%@cEudEDW(c;?XjF_(QD?<X?grllOs9Br{>!^7)z<axAV6g77bm-{FTu4%|s#}!J~ zYx(`KVR%a_2hw=88v0Fd(y+WwLoulwG+0j79j#HMeY<rz;fBF=6`N5m2~ECly#}3= z&)qrv2FcU73>t53STS%|=-6d$*v(t8x3u|3PbT|b*xAl<CrWbNJCznmx17lNy2!tN z_^f$}97(6tcfAlvC-h(GkO3AR4jez1mAjLRy_u7fJ(AGRB^Ik}-^qy;yn9Y0i|AHB zH;=sE5-Cx3{{4E7`tnE@f80dPr5`)FA*SV*k@%6xgI4*YZQiKu&@L&gn}x5@UbJ^V z1-1B}f8ANStpj^Kzi7h{T}}lqY9^R^PNKkJP<3!$pWUn@M%Xy7|Dj63WPfAejylKS zzQS0>br_Lmi6Lq=gjKBx+HqNKK8cNEn(Lj#*@uk*bGZ}CGpZ47w#drCjeh8OvL?DF zPD?pOH&7OsrNQrxF>Y#gXV>eN6UI!5n%|c*`h)$tRGE^p`8gjHBpKCjCte?cyczy= zQ4#(m1GRbVVril2>S7DAh9bedNS=dyR>KS0Jtgdm^_u*ChKE|R6MFyoIEoCubHqJo zRPa=gdExO6d_r4WFm;-BHSox`I&<z7!TjZYO5ufr2;l^o)h)v{w|z6iuQ=V%)smzY zT;H;l!s02*-pW3@UF)ySr{9e?jmD>wCSQj)L)6-!Y&aZ~BDN~7kD67m*^O3rinh;2 z5pz$ovbfz1GY?*0Y#4e9T56wrcplH4#90g14IgZ~9!6>SKO4Y#K@##6FGO2}icP95 zT<Q{G4n4eBGtkNEsj}dq-2Q4cDpI$Q=BNBwZgeIOeeA4Na(1>_6+Guel_+z%T18Aa zyr46iffJi1jmuourU2H8Tt8G`?c$fU(wtW8sEu^=inGCP_rFF=Xzm>CpGef2U3*Pr zG(6z6nb7>5$LlRbQM=Y&=<}`Kv0zp|ENX<xqDDK$x`yiJbGWis%xU4Cp%DaQh(Y<4 zQrjkM2xQzsLCt>@q)s6m5{LcDi7z?HY&68ERJZ&y!Nd$vy^qo(_L}mB6))@hjql$J z+O@uAJP!-IOpWT89C7gJ!woWfps6RsWzSxAV_k#5knNBnLp*6BXMKO)jGeEdCT@D< zX{MeKXGuAHeG1nn<Sti<h$OG}Y|P2=a|_$ugEfDIm@)*@YO3u-i%vIBG86ChI4G(% z!O53_z6gK2QA?A=J_mk>cc7=B{P;yJIheC1h&cERO^}VKqnUH1H^%-QT{UOO#)xgl z4T+S_TbsN~F4V9k_SOBhd$7ktYwkEXiMr)HQ+5}fYhGN*KZZa8FNuc0ET-AA^-qd1 zQiNcb6>edM`g%E%5Mu()qcoxb_`?MWPD(Ky`BHn7bqTfpx|e8-;R#9l7~}HgHmw;i z%&@o+Z3f>zXzy_#r#7pHN@*%Etw{*}?UhLSb7~`(JJiO~(iO^ab>TuzZ`dnirx{t| z;9Z0+sBv?=G=C{XOk-Lmsh}|1mZEEmcuM#)nZ7i$1_Mh|f7t>J19TP9{C*^&JFOv< zZGTj7wK)MSx*ka)eqN)9j@!r_FVaL&7_sM^UDf3C$^wzxxzKj+l8YQ?;O>WsCu!C{ zT)rzOcV?Ad)Orj>l&$H&?H*xTtgSg4YM%<^s(aRrH`O=yA%u9m`8_KlSP#nUsS-~m zd*SN}O|Gj^z44>$DwRtW9qF$XqkO6qz8*H6eJvl;ce|&t$y++Klssc$ic?0c9HQF& zC_XQd-EVMmy<FglfnAjo)dHN{_`KEWSl4u4)v9ys>*%<dtJnUx*{c+sqjy-CEe#Z{ z+D`K!CQ-ayZQyggOkeT(!LeqKwpI$2fTc$z0(&$iek^frT25^gI^7bu=rS&Ce4^r; z%157|YLJ88e8EmBvgBd@iQ$VFi$))>r!9Lw)bg!nV0CkjnPM{sg3owcbgY7uQ#OPz zN+O!))Vk{ggvQ+yP3qG3eSIIqs%S#fTFG$k3}G1KRC!H}EiH2OPn@j0r7as8ODkG+ zjX7d)PTw$vACwKx8VM~N__#w!-df3ze?QyneM1T<LBEtbV-x2!_n5W6StIz~Yt{T< zO@b==%j5`F@tm_=eKb5`-xYJ+=hC60aGHOp@$dwpTEUh+IADbSwtDDVsVilSAkMBC z8BSK_A({!BQlI64JEc3>?w}W;YHo${guNrWHOZyrc1oGTgb!Ph)6u&~_@0sO%-~~> zoSymnq{E-O5R5sdRL+Qj`GQKhyG185oVZp8TOt`nsp7u+mX})7Z+pMosQtG3A~8^N z?YR~|s+icsVPOAkR$H-)M<GSrKzzipGyG%w;9XUfM5esTXZXPcYc*2)l>=j%Yb*s6 zMj2H5igM;p3q?+|s1DAwJXKiH3mam3mCwIfCc^BOSfu%^CaW7kd*j?ftrY2#n@#oM zWyCTU@;~M%2ktIVns%4(ZQU4-{&J}E$fV_D&k+&mH)0FblR1CQ&!qS4pw`b*z!2N? z67|rT&m{8BHmV5zWkQgbiget!OoCndC2=OdB<XJ%dJk!RRL*F_`k`hFVO!AU7J4f- zF7DgsS%LeXvqh}c;!>p4;@)S|`%5TDw)@{>2vCTnbyhE-4^UTF*3R9ZdFNxT6{i*> zO^-kH5S&6}i`u6_n?l5b!U0Q^=44f9w$qVF*IopkVFokDfvgxXhQh1;-OKWUhZ<;c zdJ1S&aa~1LM78=aJ`~gESjAlRdZd$w-8|0D5TGB6>OZFcA0s~7s<iu6aX}%M71SUL zq^D2MX%)i&W6<H>HjY(kLVykW<xOcc|6+Q7{aCV?t}96am)Hq_fG}N{-Gmh%6p(`Z zft~g*M|GcnN}89~1-BQ|?-lC9W{TGVO-ra?AU>@OHLK)%!=0bW$yFj#0X#j7+Cg8c zIE^ot_95L4<y`LMWC_d)#-J6$Rh0FiX0&{+k1y-PnA8V<^Yjge(Dz%kRE#MG=r2aw zALChs7r2d(<_%$K!XI&az2Q!9yFI|h16t1gKpiO$W8(#ZvqynXQ3(=nB}iiphKqwM z4Wt@cZc3mKk_X`zG>qSb2SEZkY56p6?%aGVZ6|s_K7fg{#!rEUq4oq%Hg7$NqL{z{ zrz7-}{Ov;upvTdWD|3-h!i*ap{ex-1WtyRTxyq(6h)#nJM1~94d98~iB;FZt6a|69 zv)<OXpi4;G<q@Re_B^sflR#XF_!3h2L#p8%T|$zO8YzMZ1P{Uq;z}Wt=)&!sB@3c1 zOHU)B8E}*YfnQ_NAoGyYW2&Z!Z2trb5DVg@t;xKNZ<!eCB8(rPq!x};IYC=Y;Dvvs zl9%pEWt7K6lm|pWG6tg&xSu87GzSEM1aU6QjpSh=Z&67ihW=^vX%-UXCMh6E8cW6! z2$%wK8t`|&h(+TFc*$GPLXI|_%j81RMMKN++`V1v=K>S(5x{jR*Ba7Q1?hq|0dhv8 zkaC{V6%!z10MK0N0NGyavLbL<kvejkKEgQ=fEgmtA(TT7qy`L(KnJN($^Ui{`ssl{ zhrwezQ7B!e8(rp16rM#eQXF3$`Quk3$Z)a)*+^Hv`53yo|4$kJq;mU8-hWGYxDkJN zM;62_hXj!}69tT}EOK-$uY6AM2rL^4ECVzp){*zWBAzzkPhbE^b+Ik{1e@OKy)Nqm zm-RuWOY#5H1>718&xSh9h3-D3Lrcv?YKH+4U-vM}f#}W5-9}#G$*)fE2rnB7FT1DU zOT9^r?9NERi8m7(3V&SE)trJuV6I2W#rLLjHYF1SynqQ_V3P;B+sK3H1EA#K&zM9Q z{?g9HI6`2Qutl$Rc^|mEPaPdiC|NE&O$_J*n}Q8%H9Z=*Jyz)csk00<zR@smm=j;v z?gTxW`h8z+TEJ!pupwjsY$}9o9s+LRsVsXXEPHcrf~YY!G-QDX4cZuvFG_<R(B9WU zw?xJhjb~_0WN0-^!joR=8VCg*)UoB1s3qgcqxEiQa{!S`ty{b5TD#m&!2!$}mbdZc z(Kd(~tBJ@60GWD}T8x0#Bc)U{om4c4r6k@9O?EnzFwaSdwWMJVFHKB}H*7nb54ifx z@z{g)?7{UV7!rgZ+9Huz+Y@}oZ26gWIMSULqY4VE3QFC5n$V8^6nsD)1lnP+)ZEK( zMJDaa#!3an9<UJvY*JHy+5n*h0i`d;C7_Z>FhdH?wWabQFJwZ4-)~*nP!f{>gRoCa zIYIK+tqYTmGKq96RS=_C5My>7fMacQ1qTMeSx3UrK(`hwy#n~lhRODyHah6AD}X#q z{(MaSGZ_Ft5!e+#!JLwjjGq9JvP!Mj>C4yYozn>bfQ458`I)MTuCmdi<Yhtv9LhkO z$UuWY0f1F}0Ki2+R;?s58;YVpq@?=H)cBFK_z_1H&}-)oD{1Nq%(R(|5gi*95WS8h zF#Ujl_kt&QpkM<ixDkeAJblGD9bkMVv>4|vev<Yol2`m})Bk3?+V>md*>wxo+Mc~u z`O0mtg?k?2Q`_|>1#J#I4RsMcD@o+WqHHIvu!vRfOzkIRTg4x{r^ml#N8D|Q7nbd< zId~QkaoWYJlFsm@mt<_3SER(=iAv|B^+X#bN5Jti<yoK8!GMvb!*H#B%{=kcs;Aqr z$EVVnXH&S4vF~5uGY;py`~}r5CT?l6C#4tp*DPCHeRZ4$5elMs>nO$~d(&~J>3PgW zUOOkzA#;v%a@f&rSlPyx=ZD{;$Ck%7=#C*-pAhV6^+Swf>|&*1ZNm|Tq49+#aTg}0 zB(Rg>gWfNLI@Xg`T5w8l<5~KJqbJvQsx0?$^G%kyDC4=k#m4>N%X3c>e`wH;--)^X zX5|5U`Vx6@Jgi4LMO%62*mGwce)MAl{nV?~U=@C}hd?(v$J;#?mxV2epLzBbQMJ(v z8{>#=_qK2NkXS#vEZ)VnHKK6m&)84na3Y~Id{gQnl5$yUCVD4u{Zv(XTC=2k^GpQR zd7P|nbdHYP2H0;^wY3A~vJta?+Xi6&XNT+R>0o)awcXJhRhi)=^j*-^^P^IDX!z)1 z;PX-2k3o2ZwjZm}<L`&PFqf;ng>5?QmXWt5t(Y%b9KTO^x;hVy9<I@TyL3{xxS4tv zmY1@$*w-5xuE(fk!E;ug@=UB-o}3okk)O?Dq#iY#tD3`4^cEqQfAf0a2u}vNrr(|j z<wiC25BVgm_vu=N0i-E~Lu2``=|}ks7U%mAZ%rgp-m5bwbvJX8b-S=&^=(qG?w47h z)U+8d7%do|z3*!|&3v%x8ri~pNEX1Xl&^OXS%LaIijYv0K&+D_93KMJ(BL!?bhfyD zzRl=ws@d4p^6?y1xr}Opu*cJS03=L}sc43!ZH7beDUw7RL-v+UO>V)8Sm+1Bf`HMy zWHs^Zuen+n4kdnultExwZWhqxUDHQ1`9b#K!ryWna+p_n`5qMOCC$8z&9_#FjJ<*N z8O!i9mpdvmmF9=t9kKJ&Qr%tDt-CUL_dMO&^%*hkp5!KFXUlTH&#-OSFGCx&KaNa& z3h8MtEu4#Y4*K$Ah9-}{6Xr<%B9ATFrC^E`?K6&*mf8(tSta@!-o8%_X3MrT8$+&; z+l}1rAMuz_0u~9$i48F~aAi5d6K;X*q3_>R61;Rtdf@*udhmM~Bg~~Zr|8i5ZQ3{N zq90Q#WohrDhcJIwO~1r8Bjd`I7!pHwz~c$M-Gya#lk0Lj#Kp%)%`PBNgGY>+FIP$f zIuXA2W76F{b$4zh5K*>w+P_2h%zN{T_MMWC$7kp0?p|dTl?eY&(?Z>%gre-X1(bO0 zUnY|g8VMD%J{DK8{@&yF=AZ_Do;Yn~j#symS$+_#RQpj0kuA}7wm>=V$~yiXwJ={p zt4q7rwK8$bLi`Q3@ms@b#-?dVLHJ`PH)(4(?@8<z{XaO;HnEX&JC-GdF9+D-ZUBY7 z|6LNr{k6sYtD+U9F{rV^iPyZV`_7fAwlg<Qiy}PW_2M-}wz2~~vR>NC)i_3sFOxhv zW+qH3`$N@UjfR<7t+hH1oYE`CED`GLS9QNZ4KXpJJ4$(>Xexn6IEp91>Y3~pLv>=s zcXxFIcANADg5RZE>T6-w;>op2^~Qe=Ar2iZA2K7sb9KNgsF}V$tXfGN87~~H{~bG| z%s%&9N0D2^%cu0$+3<>@qqbff4cVLT*;>m#n4FUwtQ>B?o606;v2a7(-=L&PQ8u~q zCV6ZWzu#${mxRn0P;sIp>SHcg^vym2Ox4I$zS%G2syFNQp!3Nnq~-1C?;iH|PcTY1 z?h?pbwtXEyKUfe(@h%Ift4$!B@Mgj2cVC%gG^ES*>%b^{=8Jh2G-l3H+he;fTjk&} zo|p16WWH+j6X*7-vrE^g_**NDgX0rea3#mfkLlQNomocmN;VnxJWEo!&Y8M$qr%=) z-n%=5h1*echpERuxSRFE0^ZG@tkW9vD47pnlnDV7p6=UKI~!EdRb?C_;PO88Op>st zecpRG$T79iQmIG=>XbwOT9E^48rKY^oFJ~25LZ(zPiIT0F|uOOlF+W$$w}C=d&>H- z^y8ZYYTBKrcp}^HJ(E(JOL82+^AT02yS@eK*`S%JZy(k6TXzxj$uV|fhsL$n>EXs~ zZ)8}woj;SqCu%(O#a8Rg#L~3}9j^`4PpGq{k#@dH$Rpn*A`*MP@+z^{MCPKq2J2y+ z9rk|XJ*T{5_J9aar8{OiqFgv7{th{uVug3?XiV{i;$(h=f4NYMNvTnzan&j6JMWL; zX|p+bnUG+P&Bs4NL;^Yy==W%OKqX)KBz9Y+<79lm?ol)%li>zdG4G@O_esx3JDxb~ z;H!k}S}SkUg-O*#9Cw<ZuKT9HyTs=SEKmon3(_ty2npL^f(F!3@jL^l=Fxx1=SB;~ zt#G$Eb1Ynh?1#?au;1yj@sdV4?QGkm`DSo9&`9}Aqkk_a#rLWVYDU;e!3#jwMMEy6 z>nMcRejU5~e(r!gcKK)f?GWbAj=$IBk;f9h+HD}zpNABG_Wr$QjjY@MYW%>=_`COC zRs27@|6bTd7PfyiE!2N2bN}h*_bM8)4E(D>09HV`{Qnh&|Mc+t4=}RY_NytNUwQbi zwYNXL{Qjy#{#gHNYQS1R>iGMo`_HbwuPEfZ@K?J>{I`I=-i&{C{(WX37u|0SNanvR WK{dr2H<3mdz@IoUQf{}A-~JB+)?z0B literal 0 HcmV?d00001 diff --git a/firmware/minitlu/ucf/SP601_fmc_connections_v2.ucf b/firmware/minitlu/ucf/SP601_fmc_connections_v2.ucf new file mode 100644 index 00000000..ee144373 --- /dev/null +++ b/firmware/minitlu/ucf/SP601_fmc_connections_v2.ucf @@ -0,0 +1,94 @@ +NET "FMC_CLK0_M2C_N" LOC = "A10"; ## H5 on J1 +NET "FMC_CLK0_M2C_P" LOC = "C10"; ## H4 on J1 +NET "FMC_CLK1_M2C_N" LOC = "V9"; ## G3 on J1 +NET "FMC_CLK1_M2C_P" LOC = "T9"; ## G2 on J1 +NET "FMC_LA00_CC_N" LOC = "C9"; ## G7 on J1 +NET "FMC_LA00_CC_P" LOC = "D9"; ## G6 on J1 +NET "FMC_LA01_CC_N" LOC = "C11"; ## D9 on J1 +NET "FMC_LA01_CC_P" LOC = "D11"; ## D8 on J1 +NET "FMC_LA02_N" LOC = "A15"; ## H8 on J1 +NET "FMC_LA02_P" LOC = "C15"; ## H7 on J1 +NET "FMC_LA03_N" LOC = "A13"; ## G10 on J1 +NET "FMC_LA03_P" LOC = "C13"; ## G9 on J1 +NET "FMC_LA04_N" LOC = "A16"; ## H11 on J1 +NET "FMC_LA04_P" LOC = "B16"; ## H10 on J1 +NET "FMC_LA05_N" LOC = "A14"; ## D12 on J1 +NET "FMC_LA05_P" LOC = "B14"; ## D11 on J1 +NET "FMC_LA06_N" LOC = "C12"; ## C11 on J1 +NET "FMC_LA06_P" LOC = "D12"; ## C10 on J1 +NET "FMC_LA07_N" LOC = "E8"; ## H14 on J1 +NET "FMC_LA07_P" LOC = "E7"; ## H13 on J1 +NET "FMC_LA08_N" LOC = "E11"; ## G13 on J1 +NET "FMC_LA08_P" LOC = "F11"; ## G12 on J1 +NET "FMC_LA09_N" LOC = "F10"; ## D15 on J1 +NET "FMC_LA09_P" LOC = "G11"; ## D14 on J1 +NET "FMC_LA10_N" LOC = "C8"; ## C15 on J1 +NET "FMC_LA10_P" LOC = "D8"; ## C14 on J1 +NET "FMC_LA11_N" LOC = "A12"; ## H17 on J1 +NET "FMC_LA11_P" LOC = "B12"; ## H16 on J1 +NET "FMC_LA12_N" LOC = "C6"; ## G16 on J1 +NET "FMC_LA12_P" LOC = "D6"; ## G15 on J1 +NET "FMC_LA13_N" LOC = "A11"; ## D18 on J1 +NET "FMC_LA13_P" LOC = "B11"; ## D17 on J1 +NET "FMC_LA14_N" LOC = "A2"; ## C19 on J1 +NET "FMC_LA14_P" LOC = "B2"; ## C18 on J1 +NET "FMC_LA15_N" LOC = "F9"; ## H20 on J1 +NET "FMC_LA15_P" LOC = "G9"; ## H19 on J1 +NET "FMC_LA16_N" LOC = "A7"; ## G19 on J1 +NET "FMC_LA16_P" LOC = "C7"; ## G18 on J1 +NET "FMC_LA17_CC_N" LOC = "T8"; ## D21 on J1 +NET "FMC_LA17_CC_P" LOC = "R8"; ## D20 on J1 +NET "FMC_LA18_CC_N" LOC = "T10"; ## C23 on J1 +NET "FMC_LA18_CC_P" LOC = "R10"; ## C22 on J1 +NET "FMC_LA19_N" LOC = "P7"; ## H23 on J1 +NET "FMC_LA19_P" LOC = "N6"; ## H22 on J1 +NET "FMC_LA20_N" LOC = "P8"; ## G22 on J1 +NET "FMC_LA20_P" LOC = "N7"; ## G21 on J1 +NET "FMC_LA21_N" LOC = "V4"; ## H26 on J1 +NET "FMC_LA21_P" LOC = "T4"; ## H25 on J1 +NET "FMC_LA22_N" LOC = "T7"; ## G25 on J1 +NET "FMC_LA22_P" LOC = "R7"; ## G24 on J1 +NET "FMC_LA23_N" LOC = "P6"; ## D24 on J1 +NET "FMC_LA23_P" LOC = "N5"; ## D23 on J1 +NET "FMC_LA24_N" LOC = "V8"; ## H29 on J1 +NET "FMC_LA24_P" LOC = "U8"; ## H28 on J1 +NET "FMC_LA25_N" LOC = "N11"; ## G28 on J1 +NET "FMC_LA25_P" LOC = "M11"; ## G27 on J1 +NET "FMC_LA26_N" LOC = "V7"; ## D27 on J1 +NET "FMC_LA26_P" LOC = "U7"; ## D26 on J1 +NET "FMC_LA27_N" LOC = "T11"; ## C27 on J1 +NET "FMC_LA27_P" LOC = "R11"; ## C26 on J1 +NET "FMC_LA28_N" LOC = "V11"; ## H32 on J1 +NET "FMC_LA28_P" LOC = "U11"; ## H31 on J1 +NET "FMC_LA29_N" LOC = "N8"; ## G31 on J1 +NET "FMC_LA29_P" LOC = "M8"; ## G30 on J1 +NET "FMC_LA30_N" LOC = "V12"; ## H35 on J1 +NET "FMC_LA30_P" LOC = "T12"; ## H34 on J1 +NET "FMC_LA31_N" LOC = "V6"; ## G34 on J1 +NET "FMC_LA31_P" LOC = "T6"; ## G33 on J1 +NET "FMC_LA32_N" LOC = "V15"; ## H38 on J1 +NET "FMC_LA32_P" LOC = "U15"; ## H37 on J1 +NET "FMC_LA33_N" LOC = "N9"; ## G37 on J1 +NET "FMC_LA33_P" LOC = "M10"; ## G36 on J1 +NET "FMC_PRSNT_M2C_L" LOC = "U13"; ## H2 on J1 +NET "FMC_PWR_GOOD_FLASH_RST_B" LOC = "B3"; ## D1 on J1, 16 on U10 +NET "FPGA_CCLK" LOC = "R15"; ## 16 on U17, 7 on J12 +NET "FPGA_D0_DIN_MISO_MISO1" LOC = "R13"; ## 8 on U17 (thru series R187 100 ohm), 33 on U10, 6 on J12 +NET "FPGA_D1_MISO2" LOC = "T14"; ## 9 on U17 (thru series R186 100 ohm), 35 on U10, 3 on J12 +NET "FPGA_D2_MISO3" LOC = "V14"; ## 1 on U17, 38 on U10, 2 on J12 +NET "FPGA_MOSI_CSI_B_MISO0" LOC = "T13"; ## 15 on U17, 5 on J12 +NET "FPGA_SUSPEND" LOC = "R16"; ## 2 on J14 +NET "FPGA_TCK_BUF" LOC = "A17"; ## 14 on U21, D29 on J1 +NET "FPGA_TDO" LOC = "D16"; ## 1 on J4, D30 on J1 +NET "FPGA_TMS_BUF" LOC = "B18"; ## 16 on U21, D31 on J1 +NET "GPIO_HDR0" LOC = "N17"; ## 1 on J13 (thru series R100 200 ohm) +NET "GPIO_HDR1" LOC = "M18"; ## 3 on J13 (thru series R102 200 ohm) +NET "GPIO_HDR2" LOC = "A3"; ## 5 on J13 (thru series R101 200 ohm) +NET "GPIO_HDR3" LOC = "L15"; ## 7 on J13 (thru series R103 200 ohm) +NET "GPIO_HDR4" LOC = "F15"; ## 2 on J13 (thru series R99 200 ohm) +NET "GPIO_HDR5" LOC = "B4"; ## 4 on J13 (thru series R98 200 ohm) +NET "GPIO_HDR6" LOC = "F13"; ## 6 on J13 (thru series R97 200 ohm) +NET "GPIO_HDR7" LOC = "P12"; ## 8 on J13 (thru series R96 200 ohm) +NET "IIC_SCL_MAIN" LOC = "P11"; ## 6 on U7 (thru series R203 0 ohm), C30 on J1, 2 on J16 +NET "IIC_SDA_MAIN" LOC = "N10"; ## 5 on U7 (thru series R204 0 ohm), C31 on J1, 1 on J16 +NET "SPI_CS_B" LOC = "V3"; ## 1 on J15, 4 on J12 diff --git a/firmware/minitlu/ucf/fmcTLU_fmc_connections.txt b/firmware/minitlu/ucf/fmcTLU_fmc_connections.txt new file mode 100644 index 00000000..e1f03f6d --- /dev/null +++ b/firmware/minitlu/ucf/fmcTLU_fmc_connections.txt @@ -0,0 +1,50 @@ +BEAM_TRIGGER*<0> J4 D9 ASP-134606-01 +BEAM_TRIGGER*<1> J4 D18 ASP-134606-01 +BEAM_TRIGGER*<2> J4 D24 ASP-134606-01 +BEAM_TRIGGER*<3> J4 G31 ASP-134606-01 +BEAM_TRIGGER<0> J4 D8 ASP-134606-01 +BEAM_TRIGGER<1> J4 D17 ASP-134606-01 +BEAM_TRIGGER<2> J4 D23 ASP-134606-01 +BEAM_TRIGGER<3> J4 G30 ASP-134606-01 +BEAM_TRIGGER_CFD*<0> J4 G7 ASP-134606-01 +BEAM_TRIGGER_CFD*<1> J4 C19 ASP-134606-01 +BEAM_TRIGGER_CFD*<2> J4 C23 ASP-134606-01 +BEAM_TRIGGER_CFD*<3> J4 C27 ASP-134606-01 +BEAM_TRIGGER_CFD<0> J4 G6 ASP-134606-01 +BEAM_TRIGGER_CFD<1> J4 C18 ASP-134606-01 +BEAM_TRIGGER_CFD<2> J4 C22 ASP-134606-01 +BEAM_TRIGGER_CFD<3> J4 C26 ASP-134606-01 +BUSY0 J4 C10 ASP-134606-01 +BUSY0* J4 C11 ASP-134606-01 +BUSY1 J4 H31 ASP-134606-01 +BUSY1* J4 H32 ASP-134606-01 +BUSY2 J4 H13 ASP-134606-01 +BUSY2* J4 H14 ASP-134606-01 +CLK1 J4 H25 ASP-134606-01 +CLK1* J4 H26 ASP-134606-01 +CLK2 J4 H10 ASP-134606-01 +CLK2* J4 H11 ASP-134606-01 +CONT0 J4 G36 ASP-134606-01 +CONT0* J4 G37 ASP-134606-01 +CONT1 J4 H28 ASP-134606-01 +CONT1* J4 H29 ASP-134606-01 +CONT2 J4 G12 ASP-134606-01 +CONT2* J4 G13 ASP-134606-01 +DUT_CLK0 J4 G33 ASP-134606-01 +DUT_CLK0* J4 G34 ASP-134606-01 +FRONT_PANEL_CLK J4 H4 ASP-134606-01 +FRONT_PANEL_CLK* J4 H5 ASP-134606-01 +HDMI_POWER_ENABLE1 J4 H7 ASP-134606-01 +HDMI_POWER_ENABLE2 J4 H8 ASP-134606-01 +SCL J4 C30 ASP-134606-01 +SDA J4 C31 ASP-134606-01 +SPARE1 J4 H34 ASP-134606-01 +SPARE1* J4 H35 ASP-134606-01 +SPARE2 J4 D20 ASP-134606-01 +SPARE2* J4 D21 ASP-134606-01 +TRIG0 J4 C14 ASP-134606-01 +TRIG0* J4 C15 ASP-134606-01 +TRIG1 J4 H37 ASP-134606-01 +TRIG1* J4 H38 ASP-134606-01 +TRIG2 J4 D14 ASP-134606-01 +TRIG2* J4 D15 ASP-134606-01 diff --git a/firmware/minitlu/ucf/fmc_pins.ucf b/firmware/minitlu/ucf/fmc_pins.ucf new file mode 100644 index 00000000..a02d8cbc --- /dev/null +++ b/firmware/minitlu/ucf/fmc_pins.ucf @@ -0,0 +1,50 @@ +NET "BUSY_P<0>" LOC = "D12"; ## "FMC_LA06_P" , C10 on FMC +NET "BUSY_N<0>" LOC = "C12"; ## "FMC_LA06_N" , C11 on FMC +NET "TRIG_P<0>" LOC = "D8"; ## "FMC_LA10_P" , C14 on FMC +NET "TRIG_N<0>" LOC = "C8"; ## "FMC_LA10_N" , C15 on FMC +NET "BEAM_TRIGGER_CFD_P<1>" LOC = "B2"; ## "FMC_LA14_P" , C18 on FMC +NET "BEAM_TRIGGER_CFD_N<1>" LOC = "A2"; ## "FMC_LA14_N" , C19 on FMC +NET "BEAM_TRIGGER_CFD_P<2>" LOC = "R10"; ## "FMC_LA18_CC_P" , C22 on FMC +NET "BEAM_TRIGGER_CFD_N<2>" LOC = "T10"; ## "FMC_LA18_CC_N" , C23 on FMC +NET "BEAM_TRIGGER_CFD_P<3>" LOC = "R11"; ## "FMC_LA27_P" , C26 on FMC +NET "BEAM_TRIGGER_CFD_N<3>" LOC = "T11"; ## "FMC_LA27_N" , C27 on FMC +NET "SCL" LOC = "P11"; ## C30 on FMC +NET "SDA" LOC = "N10"; ## C31 on FMC +NET "TRIG_P<2>" LOC = "G11"; ## "FMC_LA09_P" , D14 on FMC +NET "TRIG_N<2>" LOC = "F10"; ## "FMC_LA09_N" , D15 on FMC +NET "BEAM_TRIGGER_P<1>" LOC = "B11"; ## "FMC_LA13_P" , D17 on FMC +NET "BEAM_TRIGGER_N<1>" LOC = "A11"; ## "FMC_LA13_N" , D18 on FMC +NET "SPARE_P<2>" LOC = "R8"; ## "FMC_LA17_CC_P" , D20 on FMC +NET "SPARE_N<2>" LOC = "T8"; ## "FMC_LA17_CC_N" , D21 on FMC +NET "BEAM_TRIGGER_P<2>" LOC = "N5"; ## "FMC_LA23_P" , D23 on FMC +NET "BEAM_TRIGGER_N<2>" LOC = "P6"; ## "FMC_LA23_N" , D24 on FMC +NET "BEAM_TRIGGER_P<0>" LOC = "D11"; ## "FMC_LA01_CC_P" , D8 on FMC +NET "BEAM_TRIGGER_N<0>" LOC = "C11"; ## "FMC_LA01_CC_N" , D9 on FMC +NET "CONT_P<2>" LOC = "F11"; ## "FMC_LA08_P" , G12 on FMC +NET "CONT_N<2>" LOC = "E11"; ## "FMC_LA08_N" , G13 on FMC +NET "BEAM_TRIGGER_P<3>" LOC = "M8"; ## "FMC_LA29_P" , G30 on FMC +NET "BEAM_TRIGGER_N<3>" LOC = "N8"; ## "FMC_LA29_N" , G31 on FMC +NET "DUT_CLK_P<0>" LOC = "T6"; ## "FMC_LA31_P" , G33 on FMC +NET "DUT_CLK_N<0>" LOC = "V6"; ## "FMC_LA31_N" , G34 on FMC +NET "CONT_P<0>" LOC = "M10"; ## "FMC_LA33_P" , G36 on FMC +NET "CONT_N<0>" LOC = "N9"; ## "FMC_LA33_N" , G37 on FMC +NET "BEAM_TRIGGER_CFD_P<0>" LOC = "D9"; ## "FMC_LA00_CC_P" , G6 on FMC +NET "BEAM_TRIGGER_CFD_N<0>" LOC = "C9"; ## "FMC_LA00_CC_N" , G7 on FMC +NET "CLK_P<2>" LOC = "B16"; ## "FMC_LA04_P" , H10 on FMC +NET "CLK_N<2>" LOC = "A16"; ## "FMC_LA04_N" , H11 on FMC +NET "BUSY_P<2>" LOC = "E7"; ## "FMC_LA07_P" , H13 on FMC +NET "BUSY_N<2>" LOC = "E8"; ## "FMC_LA07_N" , H14 on FMC +NET "CLK_P<1>" LOC = "T4"; ## "FMC_LA21_P" , H25 on FMC +NET "CLK_N<1>" LOC = "V4"; ## "FMC_LA21_N" , H26 on FMC +NET "CONT_P<1>" LOC = "U8"; ## "FMC_LA24_P" , H28 on FMC +NET "CONT_N<1>" LOC = "V8"; ## "FMC_LA24_N" , H29 on FMC +NET "BUSY_P<1>" LOC = "U11"; ## "FMC_LA28_P" , H31 on FMC +NET "BUSY_N<1>" LOC = "V11"; ## "FMC_LA28_N" , H32 on FMC +NET "SPARE_P<1>" LOC = "T12"; ## "FMC_LA30_P" , H34 on FMC +NET "SPARE_N<1>" LOC = "V12"; ## "FMC_LA30_N" , H35 on FMC +NET "TRIG_P<1>" LOC = "U15"; ## "FMC_LA32_P" , H37 on FMC +NET "TRIG_N<1>" LOC = "V15"; ## "FMC_LA32_N" , H38 on FMC +NET "FRONT_PANEL_CLK_P" LOC = "C10"; ## "FMC_CLK0_M2C_P" , H4 on FMC +NET "FRONT_PANEL_CLK_N" LOC = "A10"; ## "FMC_CLK0_M2C_N" , H5 on FMC +NET "HDMI_POWER_ENABLE1" LOC = "C15"; ## "FMC_LA02_P" , H7 on FMC +NET "HDMI_POWER_ENABLE2" LOC = "A15"; ## "FMC_LA02_N" , H8 on FMC diff --git a/firmware/minitlu/ucf/generate_fmcTLU_ucf.pl b/firmware/minitlu/ucf/generate_fmcTLU_ucf.pl new file mode 100755 index 00000000..a44e3675 --- /dev/null +++ b/firmware/minitlu/ucf/generate_fmcTLU_ucf.pl @@ -0,0 +1,82 @@ +#!/usr/bin/perl -w +# +use strict; +# +# script to take extract from dialcnet.dat from +# FMC-TLU design (connections to FMC connector) +# and SP601 master UCF +# and produce a section of UCF to include in FMC-TLU firmware. +# +# extract from dialcnet.dat is like: +# SPARE1 J4 H34 ASP-134606-01 +# +# master UCF contains lines like: +# NET "FMC_LA22_N" LOC = "T7"; ## G25 on J1 +# +# +# two args. First is master UCF , second is extract from dialcnet.dat +# +# David Cussans, November 2012 +# + +my $masterUCF = $ARGV[0]; +my $netlist = $ARGV[1]; + +print "ucf , netlist = $masterUCF , $netlist\n"; + +open UCF , "<$masterUCF" or die "Can't open master UCF: $!"; +open NETLIST , "<$netlist" or die "Can't open netlist: $!"; + +my %fpga_fmc_pins; +my %fmc_nets; +my %fpga_fmc_labels; + +while ( <UCF> ) { + next unless /FMC/; + # print $_; + my @fields = split; + my $fpga_pin = $fields[4]; + my $fmc_pin = $fields[6]; + $fpga_pin =~ s/[\";]//g; + + my $fmc_label = $fields[1]; + $fpga_fmc_labels{$fmc_pin} = $fmc_label; + + #print $fields[4], $fields[6] , "\n" + #print $fpga_pin , $fmc_pin , "\n"; + $fpga_fmc_pins{$fmc_pin} = $fpga_pin; + +} +#while (<>){ +#print %fpga_fmc_pins; + +while (<NETLIST>) { + my @fields = split; + my $netname = $fields[0]; + my $fmc_pin = $fields[2]; + + my $inverted_signal; + if ($netname =~ s/\*//) { $inverted_signal = 1 } else { $inverted_signal = 0} + if ( $netname = /^(\D+)(\d+)/) { + $netname =~ s/[<>]//g; + my $netbase = $1; + my $netindex = $2; + ## print "$netbase , $netindex\n"; + # we have an name like NET000 + if ($inverted_signal) { $netbase = $netbase . "_N"} else {$netbase = $netbase . "_P"} + ## print "$netbase , $netindex\n"; + $netname = $netbase . "<" . $netindex . ">"; + ## print "netname = $netname\n"; + } + #if ($netname =~ s/\*//) { $netname = $netname . "_N" } else { $netname = $netname . "_P" } ; + ## print "netname , fmcpin = $netname $fmc_pin\n"; + $fmc_nets{$fmc_pin} = $netname; +} + +my $fmc_pin; + +foreach $fmc_pin ( sort keys %fmc_nets ) { + +# print "$fmc_pin , $fmc_nets{$fmc_pin} , $fpga_fmc_pins{$fmc_pin} \n"; + print "NET \"$fmc_nets{$fmc_pin}\"\t\tLOC = \"$fpga_fmc_pins{$fmc_pin}\"; ## $fpga_fmc_labels{$fmc_pin} , $fmc_pin on FMC\n"; +} diff --git a/firmware/minitlu/ucf/sp601_FMC_mTLU.ucf b/firmware/minitlu/ucf/sp601_FMC_mTLU.ucf new file mode 100644 index 00000000..d65e6bd3 --- /dev/null +++ b/firmware/minitlu/ucf/sp601_FMC_mTLU.ucf @@ -0,0 +1,152 @@ +NET sysclk_p_i LOC = K15 | IOSTANDARD = LVDS_25 | DIFF_TERM = TRUE | TNM_NET = tnm_sysclk; +NET sysclk_n_i LOC = K16 | IOSTANDARD = LVDS_25 | DIFF_TERM = TRUE; + +TIMESPEC TS_sysclk = PERIOD tnm_sysclk 200MHz; + +# remove for now +#NET Reset_i LOC=P4; ## Global Reset + +#NET ipb_clk TNM_NET = tnm_ipb_clk; +#NET clk125 TNM_NET = tnm_clk125; +#TIMESPEC TS_tig_ipb_125 = FROM tnm_ipb_clk TO tnm_clk125 TIG; +#TIMESPEC TS_tig_125_ipb = FROM tnm_clk125 TO tnm_ipb_clk TIG; + +# NET clocks/rst* TIG; +NET I6/s_clk_is_xtal TIG; + +NET leds_o<0> LOC=E13 | IOSTANDARD=LVCMOS25; +NET leds_o<1> LOC=C14 | IOSTANDARD=LVCMOS25; +NET leds_o<2> LOC=C4 | IOSTANDARD=LVCMOS25; +NET leds_o<3> LOC=A4 | IOSTANDARD=LVCMOS25; + +NET dip_switch_i<0> LOC=D14; +NET dip_switch_i<1> LOC=E12; +NET dip_switch_i<2> LOC=F12; +NET dip_switch_i<3> LOC=V13; + +# Ethernet PHY + +TIMEGRP TG_gmii_tx=PADS("gmii_tx*"); +TIMEGRP TG_gmii_tx OFFSET = OUT AFTER sysclk_p_i REFERENCE_PIN "gmii_gtx_clk_o" RISING; + +NET gmii_gtx_clk_o LOC=A9 | IOSTANDARD=LVCMOS25 | SLEW=FAST; +NET gmii_txd_o<0> LOC=F8 | IOSTANDARD=LVCMOS25; +NET gmii_txd_o<1> LOC=G8 | IOSTANDARD=LVCMOS25; +NET gmii_txd_o<2> LOC=A6 | IOSTANDARD=LVCMOS25; +NET gmii_txd_o<3> LOC=B6 | IOSTANDARD=LVCMOS25; +NET gmii_txd_o<4> LOC=E6 | IOSTANDARD=LVCMOS25; +NET gmii_txd_o<5> LOC=F7 | IOSTANDARD=LVCMOS25; +NET gmii_txd_o<6> LOC=A5 | IOSTANDARD=LVCMOS25; +NET gmii_txd_o<7> LOC=C5 | IOSTANDARD=LVCMOS25; +NET gmii_tx_en_o LOC=B8 | IOSTANDARD=LVCMOS25; +NET gmii_tx_er_o LOC=A8 | IOSTANDARD=LVCMOS25; + +NET gmii_rx_clk_i LOC=L16 | IOSTANDARD=LVCMOS25 | TNM_NET= "gmii_rx_clk_i"; +TIMESPEC "TS_GMII_RX_CLK_I" = PERIOD "gmii_rx_clk_i" 125MHz; +OFFSET = IN 2.5ns VALID 3ns BEFORE gmii_rx_clk_i; +NET gmii_rxd_i<0> LOC=M14 | IOSTANDARD=LVCMOS25; +NET gmii_rxd_i<1> LOC=U18 | IOSTANDARD=LVCMOS25; +NET gmii_rxd_i<2> LOC=U17 | IOSTANDARD=LVCMOS25; +NET gmii_rxd_i<3> LOC=T18 | IOSTANDARD=LVCMOS25; +NET gmii_rxd_i<4> LOC=T17 | IOSTANDARD=LVCMOS25; +NET gmii_rxd_i<5> LOC=N16 | IOSTANDARD=LVCMOS25; +NET gmii_rxd_i<6> LOC=N15 | IOSTANDARD=LVCMOS25; +NET gmii_rxd_i<7> LOC=P18 | IOSTANDARD=LVCMOS25; +NET gmii_rx_dv_i LOC=N18 | IOSTANDARD=LVCMOS25; +NET gmii_rx_er_i LOC=P17 | IOSTANDARD=LVCMOS25; + +NET phy_rstb_o LOC=L13 | IOSTANDARD=LVCMOS25; + +# Main I2C bus +NET "I2C_SCL_B" LOC = "P11"; ## C30 on FMC +NET "I2C_SDA_B" LOC = "N10"; ## C31 on FMC + +# +# I/O to devices under test + + +NET "BUSY_P_I<0>" LOC = "D12"; ## "FMC_LA06_P" , C10 on FMC +NET "BUSY_N_I<0>" LOC = "C12"; ## "FMC_LA06_N" , C11 on FMC +NET "BUSY_P_I<1>" LOC = "U11"; ## "FMC_LA28_P" , H31 on FMC +NET "BUSY_N_I<1>" LOC = "V11"; ## "FMC_LA28_N" , H32 on FMC +NET "BUSY_P_I<2>" LOC = "E7"; ## "FMC_LA07_P" , H13 on FMC +NET "BUSY_N_I<2>" LOC = "E8"; ## "FMC_LA07_N" , H14 on FMC + +NET "TRIGGERS_P_O<0>" LOC = "D8"; ## "FMC_LA10_P" , C14 on FMC +#NET "TRIGGERS_N_O<0>" LOC = "C8"; ## "FMC_LA10_N" , C15 on FMC +NET "TRIGGERS_P_O<1>" LOC = "U15"; ## "FMC_LA32_P" , H37 on FMC +#NET "TRIGGERS_N_O<1>" LOC = "V15"; ## "FMC_LA32_N" , H38 on FMC +NET "TRIGGERS_P_O<2>" LOC = "G11"; ## "FMC_LA09_P" , D14 on FMC +#NET "TRIGGERS_N_O<2>" LOC = "F10"; ## "FMC_LA09_N" , D15 on FMC + +# Remove for now. +#NET "SHUTTERS_P_O<0>" LOC = "N7"; ## "FMC_LA20_P" , G21 on FMC +##NET "SHUTTERS_N_O<0>" LOC = "P8"; ## "FMC_LA20_N" , G22 on FMC +#NET "SHUTTERS_P_O<1>" LOC = "R10"; ## "FMC_LA18_CC_P" , C22 on FMC +##NET "SHUTTERS_N_O<1>" LOC = "T10"; ## "FMC_LA18_CC_N" , C23 on FMC +#NET "SHUTTERS_P_O<2>" LOC = "N6"; ## "FMC_LA19_P" , H22 on FMC +##NET "SHUTTERS_N_O<2>" LOC = "P6"; ## "FMC_LA19_N" , H23 on FMC + +NET "DUT_CLK_P_I<0>" LOC = "T6"; ## "FMC_LA31_P" , G33 on FMC , DUT_CLK_P_I<0> +NET "DUT_CLK_N_I<0>" LOC = "V6"; ## "FMC_LA31_N" , G34 on FMC , DUT_CLK_N_I<0> +NET "DUT_CLK_P_I<1>" LOC = "T4"; ## "FMC_LA21_P" , H25 on FMC , DUT_CLK_P_I<0> +#NET "DUT_CLK_P_I<1>" LOC = "V4"; ## "FMC_LA21_N" , H26 on FMC , DUT_CLK_N_I<0> +NET "DUT_CLK_P_I<2>" LOC = "B16"; ## "FMC_LA04_P" , H10 on FMC , DUT_CLK_P_I<0> +#NET "DUT_CLK_P_I<2>" LOC = "A16"; ## "FMC_LA04_N" , H11 on FMC , DUT_CLK_N_I<0> + +NET "RESET_OR_CLK_P_O<0>" LOC = "M10"; ## "FMC_LA33_P" , G36 on FMC , CONT_P<0> +#NET "RESET_OR_CLK_N_O<0>" LOC = "N9"; ## "FMC_LA33_N" , G37 on FMC , CONT_N<0> +NET "RESET_OR_CLK_P_O<1>" LOC = "U8"; ## "FMC_LA24_P" , H28 on FMC , CONT_P<1> +NET "RESET_OR_CLK_N_O<1>" LOC = "V8"; ## "FMC_LA24_N" , H29 on FMC , CONT_N<1> +NET "RESET_OR_CLK_P_O<2>" LOC = "F11"; ## "FMC_LA08_P" , G12 on FMC , CONT_P<2> +NET "RESET_OR_CLK_N_O<2>" LOC = "E11"; ## "FMC_LA08_N" , G13 on FMC , CONT_N<2> + + + +# Trigger Inputs + +# Constant-fraction-discrimiator comparator outputs +NET "CFD_DISCR_P_I<0>" LOC = "D9"; ## "FMC_LA00_CC_P" , G6 on FMC +NET "CFD_DISCR_N_I<0>" LOC = "C9"; ## "FMC_LA00_CC_N" , G7 on FMC + +NET "CFD_DISCR_P_I<1>" LOC = "B2"; ## "FMC_LA14_P" , C18 on FMC +NET "CFD_DISCR_N_I<1>" LOC = "A2"; ## "FMC_LA14_N" , C19 on FMC + +NET "CFD_DISCR_P_I<2>" LOC = "B14"; ## "FMC_LA05_P" , D11 on FMC +NET "CFD_DISCR_N_I<2>" LOC = "A14"; ## "FMC_LA05_N" , D12 on FMC + +NET "CFD_DISCR_P_I<3>" LOC = "B11"; ## "FMC_LA13_P" , D17 on FMC +NET "CFD_DISCR_N_I<3>" LOC = "A11"; ## "FMC_LA13_N" , D18 on FMC + +# Threshold comparator outputs +NET "THRESHOLD_DISCR_P_I<0>" LOC = "D11"; ## "FMC_LA01_CC_P" , D8 on FMC +NET "THRESHOLD_DISCR_N_I<0>" LOC = "C11"; ## "FMC_LA01_CC_N" , D9 on FMC + +NET "THRESHOLD_DISCR_P_I<1>" LOC = "C13"; ## "FMC_LA03_P" , G9 on FMC +NET "THRESHOLD_DISCR_N_I<1>" LOC = "A13"; ## "FMC_LA03_N" , G10 on FMC + +NET "THRESHOLD_DISCR_P_I<2>" LOC = "D6"; ## "FMC_LA12_P" , G15 on FMC +NET "THRESHOLD_DISCR_N_I<2>" LOC = "C6"; ## "FMC_LA12_N" , G16 on FMC + +NET "THRESHOLD_DISCR_P_I<3>" LOC = "C7"; ## "FMC_LA16_P" , G18 on FMC +NET "THRESHOLD_DISCR_N_I<3>" LOC = "A7"; ## "FMC_LA16_N" , G19 on FMC + +#NET "SPARE_P<2>" LOC = "R8"; ## "FMC_LA17_CC_P" , D20 on FMC +#NET "SPARE_N<2>" LOC = "T8"; ## "FMC_LA17_CC_N" , D21 on FMC +#NET "SPARE_P<1>" LOC = "T12"; ## "FMC_LA30_P" , H34 on FMC +#NET "SPARE_N<1>" LOC = "V12"; ## "FMC_LA30_N" , H35 on FMC + +NET "EXTCLK_P_B" LOC = "C10"; ## "FMC_CLK0_M2C_P" , H4 on FMC , "FRONT_PANEL_CLK_P" +NET "EXTCLK_N_B" LOC = "A10"; ## "FMC_CLK0_M2C_N" , H5 on FMC , "FRONT_PANEL_CLK_N" +#NET "HDMI_POWER_ENABLE1" LOC = "C15"; ## "FMC_LA02_P" , H7 on FMC +#NET "HDMI_POWER_ENABLE2" LOC = "A15"; ## "FMC_LA02_N" , H8 on FMC + +# GPIO pins for debugging. +NET "GPIO_HDR<0>" LOC = "N17"; ## 1 on J13 (thru series R100 200 ohm) +NET "GPIO_HDR<1>" LOC = "M18"; ## 3 on J13 (thru series R102 200 ohm) +NET "GPIO_HDR<2>" LOC = "A3"; ## 5 on J13 (thru series R101 200 ohm) +NET "GPIO_HDR<3>" LOC = "L15"; ## 7 on J13 (thru series R103 200 ohm) +NET "GPIO_HDR<4>" LOC = "F15"; ## 2 on J13 (thru series R99 200 ohm) +NET "GPIO_HDR<5>" LOC = "B4"; ## 4 on J13 (thru series R98 200 ohm) +NET "GPIO_HDR<6>" LOC = "F13"; ## 6 on J13 (thru series R97 200 ohm) +NET "GPIO_HDR<7>" LOC = "P12"; ## 8 on J13 (thru series R96 20 \ No newline at end of file diff --git a/firmware/minitlu/ucf/sp601_FMC_mTLU_v1a.ucf b/firmware/minitlu/ucf/sp601_FMC_mTLU_v1a.ucf new file mode 100644 index 00000000..9e528074 --- /dev/null +++ b/firmware/minitlu/ucf/sp601_FMC_mTLU_v1a.ucf @@ -0,0 +1,220 @@ +# +# UCF for version 1a of updated mini-TLU +# + +NET "sysclk_p_i" TNM_NET = "tnm_sysclk"; +NET "sysclk_p_i" LOC = K15; +NET "sysclk_p_i" IOSTANDARD = LVDS_25; +NET "sysclk_p_i" DIFF_TERM = "TRUE"; +NET "sysclk_n_i" LOC = K16; +NET "sysclk_n_i" IOSTANDARD = LVDS_25; +NET "sysclk_n_i" DIFF_TERM = "TRUE"; + +TIMESPEC TS_sysclk = PERIOD "tnm_sysclk" 200 MHz; + +# remove for now +#NET Reset_i LOC=P4; ## Global Reset + +#NET ipb_clk TNM_NET = tnm_ipb_clk; +#NET clk125 TNM_NET = tnm_clk125; +#TIMESPEC TS_tig_ipb_125 = FROM tnm_ipb_clk TO tnm_clk125 TIG; +#TIMESPEC TS_tig_125_ipb = FROM tnm_clk125 TO tnm_ipb_clk TIG; + +# NET clocks/rst* TIG; +NET "I6/s_clk_is_xtal" TIG; + +NET "leds_o[0]" LOC = E13; +NET "leds_o[0]" IOSTANDARD = LVCMOS25; +NET "leds_o[1]" LOC = C14; +NET "leds_o[1]" IOSTANDARD = LVCMOS25; +NET "leds_o[2]" LOC = C4; +NET "leds_o[2]" IOSTANDARD = LVCMOS25; +NET "leds_o[3]" LOC = A4; +NET "leds_o[3]" IOSTANDARD = LVCMOS25; + +NET "dip_switch_i[0]" LOC = D14; +NET "dip_switch_i[1]" LOC = E12; +NET "dip_switch_i[2]" LOC = F12; +NET "dip_switch_i[3]" LOC = V13; + +# Ethernet PHY + +TIMEGRP TG_gmii_tx = PADS("gmii_tx*"); +TIMEGRP "TG_gmii_tx" OFFSET = OUT AFTER "sysclk_p_i" REFERENCE_PIN "gmii_gtx_clk_o" RISING; + +NET "gmii_gtx_clk_o" LOC = A9; +NET "gmii_gtx_clk_o" IOSTANDARD = LVCMOS25; +NET "gmii_gtx_clk_o" SLEW = FAST; +NET "gmii_txd_o[0]" LOC = F8; +NET "gmii_txd_o[0]" IOSTANDARD = LVCMOS25; +NET "gmii_txd_o[1]" LOC = G8; +NET "gmii_txd_o[1]" IOSTANDARD = LVCMOS25; +NET "gmii_txd_o[2]" LOC = A6; +NET "gmii_txd_o[2]" IOSTANDARD = LVCMOS25; +NET "gmii_txd_o[3]" LOC = B6; +NET "gmii_txd_o[3]" IOSTANDARD = LVCMOS25; +NET "gmii_txd_o[4]" LOC = E6; +NET "gmii_txd_o[4]" IOSTANDARD = LVCMOS25; +NET "gmii_txd_o[5]" LOC = F7; +NET "gmii_txd_o[5]" IOSTANDARD = LVCMOS25; +NET "gmii_txd_o[6]" LOC = A5; +NET "gmii_txd_o[6]" IOSTANDARD = LVCMOS25; +NET "gmii_txd_o[7]" LOC = C5; +NET "gmii_txd_o[7]" IOSTANDARD = LVCMOS25; +NET "gmii_tx_en_o" LOC = B8; +NET "gmii_tx_en_o" IOSTANDARD = LVCMOS25; +NET "gmii_tx_er_o" LOC = A8; +NET "gmii_tx_er_o" IOSTANDARD = LVCMOS25; + +NET "gmii_rx_clk_i" TNM_NET = "gmii_rx_clk_i"; +NET "gmii_rx_clk_i" LOC = L16; +NET "gmii_rx_clk_i" IOSTANDARD = LVCMOS25; +TIMESPEC TS_GMII_RX_CLK_I = PERIOD "gmii_rx_clk_i" 125 MHz; +OFFSET = IN 2 ns VALID 3 ns BEFORE "gmii_rx_clk_i"; +NET "gmii_rxd_i[0]" LOC = M14; +NET "gmii_rxd_i[0]" IOSTANDARD = LVCMOS25; +NET "gmii_rxd_i[1]" LOC = U18; +NET "gmii_rxd_i[1]" IOSTANDARD = LVCMOS25; +NET "gmii_rxd_i[2]" LOC = U17; +NET "gmii_rxd_i[2]" IOSTANDARD = LVCMOS25; +NET "gmii_rxd_i[3]" LOC = T18; +NET "gmii_rxd_i[3]" IOSTANDARD = LVCMOS25; +NET "gmii_rxd_i[4]" LOC = T17; +NET "gmii_rxd_i[4]" IOSTANDARD = LVCMOS25; +NET "gmii_rxd_i[5]" LOC = N16; +NET "gmii_rxd_i[5]" IOSTANDARD = LVCMOS25; +NET "gmii_rxd_i[6]" LOC = N15; +NET "gmii_rxd_i[6]" IOSTANDARD = LVCMOS25; +NET "gmii_rxd_i[7]" LOC = P18; +NET "gmii_rxd_i[7]" IOSTANDARD = LVCMOS25; +NET "gmii_rx_dv_i" LOC = N18; +NET "gmii_rx_dv_i" IOSTANDARD = LVCMOS25; +NET "gmii_rx_er_i" LOC = P17; +NET "gmii_rx_er_i" IOSTANDARD = LVCMOS25; + +NET "phy_rstb_o" LOC = L13; +NET "phy_rstb_o" IOSTANDARD = LVCMOS25; + +# Main I2C bus +## C30 on FMC +NET "i2c_scl_b" LOC = P11; +## C31 on FMC +NET "i2c_sda_b" LOC = N10; + +# +# I/O to devices under test + +#NET "BUSY_N_I<0>" LOC = "P7"; ## "FMC_LA19_N" , H23 on FMC +#NET "BUSY_N_I<1>" LOC = "A2"; ## "FMC_LA14_N" , C19 on FMC +#NET "BUSY_N_I<2>" LOC = "C6"; ## "FMC_LA12_N" , G16 on FMC +## "FMC_LA19_P" , H22 on FMC +NET "busy_p_i[0]" LOC = N6; +## "FMC_LA14_P" , C18 on FMC +NET "busy_p_i[1]" LOC = B2; +## "FMC_LA12_P" , G15 on FMC +NET "busy_p_i[2]" LOC = D6; + +#NET "TRIGGERS_N_O<0>" LOC = "P8"; ## "FMC_LA20_N" , G22 on FMC +#NET "TRIGGERS_N_O<1>" LOC = "A13"; ## "FMC_LA03_N" , G10 on FMC +#NET "TRIGGERS_N_O<2>" LOC = "A7"; ## "FMC_LA16_N" , G19 on FMC +## "FMC_LA20_P" , G21 on FMC +NET "triggers_p_o[0]" LOC = N7; +## "FMC_LA03_P" , G9 on FMC +NET "triggers_p_o[1]" LOC = C13; +## "FMC_LA16_P" , G18 on FMC +NET "triggers_p_o[2]" LOC = C7; + +# Remove shutters ( also known as SPARE ) for now +#NET "SPARE_N_O<1>" LOC = "E11"; ## "FMC_LA08_N" , G13 on FMC +#NET "SPARE_N_O<2>" LOC = "A12"; ## "FMC_LA11_N" , H17 on FMC +#NET "SPARE_P_O<1>" LOC = "F11"; ## "FMC_LA08_P" , G12 on FMC +#NET "SPARE_P_O<2>" LOC = "B12"; ## "FMC_LA11_P" , H16 on FMC + +# Labelled DUT_CLK on schematic for RJ45, CLK on HDMI +#NET "DUT_CLK_N_I<0>" LOC = "V4"; ## "FMC_LA21_N" , H26 on FMC +#NET "DUT_CLK_N_I<1>" LOC = "T11"; ## "FMC_LA27_N" , C27 on FMC +#NET "DUT_CLK_N_I<2>" LOC = "A15"; ## "FMC_LA02_N" , H8 on FMC +## "FMC_LA21_P" , H25 on FMC +NET "dut_clk_p_o[0]" LOC = T4; +## "FMC_LA27_P" , C26 on FMC +NET "dut_clk_p_o[1]" LOC = R11; +## "FMC_LA02_P" , H7 on FMC +NET "dut_clk_p_o[2]" LOC = C15; + +# Labelled CONT on schematic. +#NET "RESET_OR_CLK_N_O<0>" LOC = "T7"; ## "FMC_LA22_N" , G25 on FMC +#NET "RESET_OR_CLK_N_O<1>" LOC = "T10"; ## "FMC_LA18_CC_N" , C23 on FMC +#NET "RESET_OR_CLK_N_O<2>" LOC = "E8"; ## "FMC_LA07_N" , H14 on FMC +## "FMC_LA22_P" , G24 on FMC +NET "reset_or_clk_p_o[0]" LOC = R7; +## "FMC_LA18_CC_P" , C22 on FMC +NET "reset_or_clk_p_o[1]" LOC = R10; +## "FMC_LA07_P" , H13 on FMC +NET "reset_or_clk_p_o[2]" LOC = E7; + +# Trigger Inputs + +# Constant-fraction-discrimiator comparator outputs +## "FMC_LA32_N" , H38 on FMC +NET "cfd_discr_n_i[0]" LOC = V15; +## "FMC_LA30_N" , H35 on FMC +NET "cfd_discr_n_i[1]" LOC = V12; +## "FMC_LA28_N" , H32 on FMC +NET "cfd_discr_n_i[2]" LOC = V11; +## "FMC_LA24_N" , H29 on FMC +NET "cfd_discr_n_i[3]" LOC = V8; +## "FMC_LA32_P" , H37 on FMC +NET "cfd_discr_p_i[0]" LOC = U15; +## "FMC_LA30_P" , H34 on FMC +NET "cfd_discr_p_i[1]" LOC = T12; +## "FMC_LA28_P" , H31 on FMC +NET "cfd_discr_p_i[2]" LOC = U11; +## "FMC_LA24_P" , H28 on FMC +NET "cfd_discr_p_i[3]" LOC = U8; + +# Threshold comparator outputs +## "FMC_LA33_N" , G37 on FMC +NET "threshold_discr_n_i[0]" LOC = N9; +## "FMC_LA31_N" , G34 on FMC +NET "threshold_discr_n_i[1]" LOC = V6; +## "FMC_LA29_N" , G31 on FMC +NET "threshold_discr_n_i[2]" LOC = N8; +## "FMC_LA25_N" , G28 on FMC +NET "threshold_discr_n_i[3]" LOC = N11; +## "FMC_LA33_P" , G36 on FMC +NET "threshold_discr_p_i[0]" LOC = M10; +## "FMC_LA31_P" , G33 on FMC +NET "threshold_discr_p_i[1]" LOC = T6; +## "FMC_LA29_P" , G30 on FMC +NET "threshold_discr_p_i[2]" LOC = M8; +## "FMC_LA25_P" , G27 on FMC +NET "threshold_discr_p_i[3]" LOC = M11; + +############ +# External clock pins +## "FMC_CLK0_M2C_P" , H4 on FMC , "FRONT_PANEL_CLK_P" +NET "extclk_p_b" LOC = C10; +## "FMC_CLK0_M2C_N" , H5 on FMC , "FRONT_PANEL_CLK_N" +NET "extclk_n_b" LOC = A10; + + +#NET "HDMI_POWER_ENABLE1" LOC = "B16"; ## "FMC_LA04_P" , H10 on FMC +#NET "HDMI_POWER_ENABLE2" LOC = "F9"; ## "FMC_LA15_N" , H20 on FMC + +# GPIO pins for debugging. +## 1 on J13 (thru series R100 200 ohm) +NET "gpio_hdr[0]" LOC = N17; +## 3 on J13 (thru series R102 200 ohm) +NET "gpio_hdr[1]" LOC = M18; +## 5 on J13 (thru series R101 200 ohm) +NET "gpio_hdr[2]" LOC = A3; +## 7 on J13 (thru series R103 200 ohm) +NET "gpio_hdr[3]" LOC = L15; +## 2 on J13 (thru series R99 200 ohm) +NET "gpio_hdr[4]" LOC = F15; +## 4 on J13 (thru series R98 200 ohm) +NET "gpio_hdr[5]" LOC = B4; +## 6 on J13 (thru series R97 200 ohm) +NET "gpio_hdr[6]" LOC = F13; +## 8 on J13 (thru series R96 20 +NET "gpio_hdr[7]" LOC = P12; diff --git a/firmware/minitlu/ucf/sp605_FMC_mTLU.ucf b/firmware/minitlu/ucf/sp605_FMC_mTLU.ucf new file mode 100644 index 00000000..3b482058 --- /dev/null +++ b/firmware/minitlu/ucf/sp605_FMC_mTLU.ucf @@ -0,0 +1,138 @@ +NET sysclk_p_i LOC = K21 | IOSTANDARD = LVDS_25 | DIFF_TERM = TRUE | TNM_NET = tnm_sysclk; +NET sysclk_n_i LOC = K22 | IOSTANDARD = LVDS_25 | DIFF_TERM = TRUE; + +TIMESPEC TS_sysclk = PERIOD tnm_sysclk 200MHz; + +#NET Reset_i LOC=F3; ## Global Reset + +#NET ipb_clk TNM_NET = tnm_ipb_clk; +#NET clk125 TNM_NET = tnm_clk125; +#TIMESPEC TS_tig_ipb_125 = FROM tnm_ipb_clk TO tnm_clk125 TIG; +#TIMESPEC TS_tig_125_ipb = FROM tnm_clk125 TO tnm_ipb_clk TIG; + +# NET clocks/rst* TIG; + +NET leds_o<0> LOC=D17 | IOSTANDARD=LVCMOS25; +NET leds_o<1> LOC=AB4 | IOSTANDARD=LVCMOS25; +NET leds_o<2> LOC=D21 | IOSTANDARD=LVCMOS25; +NET leds_o<3> LOC=W15 | IOSTANDARD=LVCMOS25; + +NET dip_switch_i<0> LOC=C18; +NET dip_switch_i<1> LOC=Y6; +NET dip_switch_i<2> LOC=W6; +NET dip_switch_i<3> LOC=E4; + +# Ethernet PHY + +TIMEGRP TG_gmii_tx=PADS("gmii_tx*"); +TIMEGRP TG_gmii_tx OFFSET = OUT AFTER sysclk_p_i REFERENCE_PIN "gmii_gtx_clk_o" RISING; + +NET gmii_gtx_clk_o LOC=AB7 |IOSTANDARD=LVCMOS25 | SLEW=FAST; +NET gmii_txd_o<0> LOC=U10 |IOSTANDARD=LVCMOS25; +NET gmii_txd_o<1> LOC=T10 |IOSTANDARD=LVCMOS25; +NET gmii_txd_o<2> LOC=AB8 |IOSTANDARD=LVCMOS25; +NET gmii_txd_o<3> LOC=AA8 |IOSTANDARD=LVCMOS25; +NET gmii_txd_o<4> LOC=AB9 |IOSTANDARD=LVCMOS25; +NET gmii_txd_o<5> LOC=Y9 |IOSTANDARD=LVCMOS25; +NET gmii_txd_o<6> LOC=Y12 |IOSTANDARD=LVCMOS25; +NET gmii_txd_o<7> LOC=W12 |IOSTANDARD=LVCMOS25; +NET gmii_tx_en_o LOC=T8 |IOSTANDARD=LVCMOS25; +NET gmii_tx_er_o LOC=U8 |IOSTANDARD=LVCMOS25; + +NET gmii_rx_clk_i LOC=P20 |IOSTANDARD=LVCMOS25 |TNM_NET= "gmii_rx_clk"; +TIMESPEC "TS_GMII_RX_CLK_I" = PERIOD "gmii_rx_clk_i" 125MHz; +OFFSET = IN 2.5ns VALID 3ns BEFORE gmii_rx_clk_i; +NET gmii_rxd_i<0> LOC=P19 |IOSTANDARD=LVCMOS25; +NET gmii_rxd_i<1> LOC=Y22 |IOSTANDARD=LVCMOS25; +NET gmii_rxd_i<2> LOC=Y21 |IOSTANDARD=LVCMOS25; +NET gmii_rxd_i<3> LOC=W22 |IOSTANDARD=LVCMOS25; +NET gmii_rxd_i<4> LOC=W20 |IOSTANDARD=LVCMOS25; +NET gmii_rxd_i<5> LOC=V22 |IOSTANDARD=LVCMOS25; +NET gmii_rxd_i<6> LOC=V21 |IOSTANDARD=LVCMOS25; +NET gmii_rxd_i<7> LOC=U22 |IOSTANDARD=LVCMOS25; +NET gmii_rx_dv_i LOC=T22 |IOSTANDARD=LVCMOS25; +NET gmii_rx_er_i LOC=U20 |IOSTANDARD=LVCMOS25; + +NET phy_rstb_o LOC=J22 |IOSTANDARD=LVCMOS25; + + + +# Main I2C bus +#NET i2c_scl_io LOC=P11 | IOSTANDARD=LVCMOS25; +#NET i2c_sda_io LOC=N10 | IOSTANDARD=LVCMOS25; +NET "I2C_SDA_B" LOC = "R22"; ## C30 on FMC +NET "I2C_SCL_B" LOC = "T21"; ## C31 on FMC + +# +# I/O to devices under test + +NET "BUSY_P_I<0>" LOC = "D4"; ## "FMC_LA06_P" , C10 on FMC +NET "BUSY_N_I<0>" LOC = "D5"; ## "FMC_LA06_N" , C11 on FMC +NET "BUSY_P_I<1>" LOC = "AA16"; ## "FMC_LA28_P" , H31 on FMC +NET "BUSY_N_I<1>" LOC = "AB16"; ## "FMC_LA28_N" , H32 on FMC +NET "BUSY_P_I<2>" LOC = "B2"; ## "FMC_LA07_P" , H13 on FMC +NET "BUSY_N_I<2>" LOC = "A2"; ## "FMC_LA07_N" , H14 on FMC + +NET "TRIGGERS_P_O<0>" LOC = "H10"; ## "FMC_LA10_P" , C14 on FMC +#NET "TRIGGERS_N_O<0>" LOC = "H11"; ## "FMC_LA10_N" , C15 on FMC +NET "TRIGGERS_P_O<1>" LOC = "W17"; ## "FMC_LA32_P" , H37 on FMC +#NET "TRIGGERS_N_O<1>" LOC = "Y18"; ## "FMC_LA32_N" , H38 on FMC +NET "TRIGGERS_P_O<2>" LOC = "F7"; ## "FMC_LA09_P" , D14 on FMC +#NET "TRIGGERS_N_O<2>" LOC = "F8"; ## "FMC_LA09_N" , D15 on FMC + +#NET "SHUTTERS_P_O<0>" LOC = "R9"; ## "FMC_LA20_P" , G21 on FMC +#NET "SHUTTERS_N_O<0>" LOC = "R8"; ## "FMC_LA20_N" , G22 on FMC +#NET "SHUTTERS_P_O<1>" LOC = "T12"; ## "FMC_LA18_CC_P" , C22 on FMC +#NET "SHUTTERS_N_O<1>" LOC = "U12"; ## "FMC_LA18_CC_N" , C23 on FMC +#NET "SHUTTERS_P_O<2>" LOC = "R11"; ## "FMC_LA19_P" , H22 on FMC +#NET "SHUTTERS_N_O<2>" LOC = "T11"; ## "FMC_LA19_N" , H23 on FMC + +NET "DUT_CLK_P_I<0>" LOC = "U16"; ## "FMC_LA31_P" , G33 on FMC , "DUT_CLK_P_I<0> +NET "DUT_CLK_N_I<0>" LOC = "V15"; ## "FMC_LA31_N" , G34 on FMC , DUT_CLK_N<0> +NET "DUT_CLK_P_I<1>" LOC = "AA14"; ## "FMC_LA24_P" , H28 on FMC , CONT_P<1> +NET "DUT_CLK_N_I<1>" LOC = "AB14"; ## "FMC_LA24_N" , H29 on FMC , CONT_N<1> +NET "DUT_CLK_P_I<2>" LOC = "B20"; ## "FMC_LA08_P" , G12 on FMC , CONT_P<2> +NET "DUT_CLK_N_I<2>" LOC = "A20"; ## "FMC_LA08_N" , G13 on FMC , CONT_N<2> + +NET "RESET_OR_CLK_P_O<0>" LOC = "Y17"; ## "FMC_LA33_P" , G36 on FMC , CONT_P<0> +#NET "RESET_OR_CLK_N_O<0>" LOC = "AB17"; ## "FMC_LA33_N" , G37 on FMC , CONT_N<0> +NET "RESET_OR_CLK_P_O<1>" LOC = "V11"; ## "FMC_LA21_P" , H25 on FMC , CLK_P<1> +#NET "RESET_OR_CLK_N_O<1>" LOC = "W11"; ## "FMC_LA21_N" , H26 on FMC , CLK_QN<1> +NET "RESET_OR_CLK_P_O<2>" LOC = "C19"; ## "FMC_LA04_P" , H10 on FMC , CLK_P<2> +#NET "RESET_OR_CLK_N_O<2>" LOC = "A19"; ## "FMC_LA04_N" , H11 on FMC , CLK_N<2> + + +# Trigger inputs +# first constant-fraction-discrimiator comparator outputs +NET "CFD_DISCR_P_I<0>" LOC = "G9"; ## "FMC_LA00_CC_P" , G6 on FMC +NET "CFD_DISCR_N_I<0>" LOC = "F10"; ## "FMC_LA00_CC_N" , G7 on FMC +NET "CFD_DISCR_P_I<1>" LOC = "C17"; ## "FMC_LA14_P" , C18 on FMC +NET "CFD_DISCR_N_I<1>" LOC = "A17"; ## "FMC_LA14_N" , C19 on FMC +NET "CFD_DISCR_P_I<2>" LOC = "H13"; ## "FMC_LA12_P" , C22 on FMC +NET "CFD_DISCR_N_I<2>" LOC = "G13"; ## "FMC_LA12_N" , C23 on FMC +NET "CFD_DISCR_P_I<3>" LOC = "C5"; ## "FMC_LA16_P" , C26 on FMC +NET "CFD_DISCR_N_I<3>" LOC = "A5"; ## "FMC_LA16_N" , C27 on FMC +#NET "CFD_DISCR_P_I<2>" LOC = "T12"; ## "FMC_LA18_CC_P" , C22 on FMC +#NET "CFD_DISCR_N_I<2>" LOC = "U12"; ## "FMC_LA18_CC_N" , C23 on FMC +#NET "CFD_DISCR_P_I<3>" LOC = "AA10"; ## "FMC_LA27_P" , C26 on FMC +#NET "CFD_DISCR_N_I<3>" LOC = "AB10"; ## "FMC_LA27_N" , C27 on FMC +# then threshold comparator outputs +# N.B. These differ from v1 of schematics, since the original choice couldn't be routed. +NET "THRESHOLD_DISCR_P_I<0>" LOC = "F14"; ## "FMC_LA01_CC_P" , D8 on FMC +#NET "THRESHOLD_DISCR_N_I<0>" LOC = "F15"; ## "FMC_LA01_CC_N" , D9 on FMC +NET "THRESHOLD_DISCR_P_I<1>" LOC = "G16"; ## "FMC_LA13_P" , D17 on FMC +#NET "THRESHOLD_DISCR_N_I<1>" LOC = "F17"; ## "FMC_LA13_N" , D18 on FMC +NET "THRESHOLD_DISCR_P_I<2>" LOC = "D18"; ## "FMC_LA15_P" , H19 on FMC +#NET "THRESHOLD_DISCR_N_I<2>" LOC = "D19"; ## "FMC_LA15_N" , H20 on FMC +NET "THRESHOLD_DISCR_P_I<3>" LOC = "H14"; ## "FMC_LA11_P" , H16 on FMC +#NET "THRESHOLD_DISCR_N_I<3>" LOC = "G15"; ## "FMC_LA11_N" , H17 on FMC + +#NET "SPARE_P<2>" LOC = "Y11"; ## "FMC_LA17_CC_P" , D20 on FMC +#NET "SPARE_N<2>" LOC = "AB11"; ## "FMC_LA17_CC_N" , D21 on FMC +#NET "SPARE_P<1>" LOC = "Y15"; ## "FMC_LA30_P" , H34 on FMC +#NET "SPARE_N<1>" LOC = "AB15"; ## "FMC_LA30_N" , H35 on FMC + +NET "EXTCLK_P_B" LOC = "H12"; ## "FMC_CLK0_M2C_P" , H4 on FMC , "FRONT_PANEL_CLK_P" +NET "EXTCLK_N_B" LOC = "G11"; ## "FMC_CLK0_M2C_N" , H5 on FMC , "FRONT_PANEL_CLK_N" +#NET "HDMI_POWER_ENABLE1" LOC = "G8"; ## "FMC_LA02_P" , H7 on FMC +#NET "HDMI_POWER_ENABLE2" LOC = "F9"; ## "FMC_LA02_N" , H8 on FMC diff --git a/firmware/minitlu/ucf/sp605_FMC_mTLU_v1a.ucf b/firmware/minitlu/ucf/sp605_FMC_mTLU_v1a.ucf new file mode 100644 index 00000000..3536b6ee --- /dev/null +++ b/firmware/minitlu/ucf/sp605_FMC_mTLU_v1a.ucf @@ -0,0 +1,185 @@ +# +# UCF for version 1a of updated mini-TLU +# + +NET "sysclk_p_i" TNM_NET = "tnm_sysclk"; +NET "sysclk_p_i" LOC = K21; +NET "sysclk_p_i" IOSTANDARD = LVDS_25; +NET "sysclk_p_i" DIFF_TERM = "TRUE"; +NET "sysclk_n_i" LOC = K22; +NET "sysclk_n_i" IOSTANDARD = LVDS_25; +NET "sysclk_n_i" DIFF_TERM = "TRUE"; + +TIMESPEC TS_sysclk = PERIOD "tnm_sysclk" 200 MHz; + +# remove for now +#NET Reset_i LOC=P4; ## Global Reset + +#NET ipb_clk TNM_NET = tnm_ipb_clk; +#NET clk125 TNM_NET = tnm_clk125; +#TIMESPEC TS_tig_ipb_125 = FROM tnm_ipb_clk TO tnm_clk125 TIG; +#TIMESPEC TS_tig_125_ipb = FROM tnm_clk125 TO tnm_ipb_clk TIG; + +# NET clocks/rst* TIG; +NET "I6/s_clk_is_xtal" TIG; + +NET "leds_o[0]" LOC = D17; +NET "leds_o[0]" IOSTANDARD = LVCMOS25; +NET "leds_o[1]" LOC = AB4; +NET "leds_o[1]" IOSTANDARD = LVCMOS25; +NET "leds_o[2]" LOC = D21; +NET "leds_o[2]" IOSTANDARD = LVCMOS25; +NET "leds_o[3]" LOC = W15; +NET "leds_o[3]" IOSTANDARD = LVCMOS25; + +NET "dip_switch_i[0]" LOC = C18; +NET "dip_switch_i[1]" LOC = Y6; +NET "dip_switch_i[2]" LOC = W6; +NET "dip_switch_i[3]" LOC = E4; + +# Ethernet PHY + +TIMEGRP TG_gmii_tx = PADS("gmii_tx*"); +TIMEGRP "TG_gmii_tx" OFFSET = OUT AFTER "sysclk_p_i" REFERENCE_PIN "gmii_gtx_clk_o" RISING; + +NET gmii_gtx_clk_o LOC=AB7 |IOSTANDARD=LVCMOS25 | SLEW=FAST; +NET gmii_txd_o<0> LOC=U10 |IOSTANDARD=LVCMOS25; +NET gmii_txd_o<1> LOC=T10 |IOSTANDARD=LVCMOS25; +NET gmii_txd_o<2> LOC=AB8 |IOSTANDARD=LVCMOS25; +NET gmii_txd_o<3> LOC=AA8 |IOSTANDARD=LVCMOS25; +NET gmii_txd_o<4> LOC=AB9 |IOSTANDARD=LVCMOS25; +NET gmii_txd_o<5> LOC=Y9 |IOSTANDARD=LVCMOS25; +NET gmii_txd_o<6> LOC=Y12 |IOSTANDARD=LVCMOS25; +NET gmii_txd_o<7> LOC=W12 |IOSTANDARD=LVCMOS25; +NET gmii_tx_en_o LOC=T8 |IOSTANDARD=LVCMOS25; +NET gmii_tx_er_o LOC=U8 |IOSTANDARD=LVCMOS25; + +NET gmii_rx_clk_i LOC=P20 |IOSTANDARD=LVCMOS25 |TNM_NET= "gmii_rx_clk"; +TIMESPEC "TS_GMII_RX_CLK_I" = PERIOD "gmii_rx_clk_i" 125MHz; +OFFSET = IN 2.5ns VALID 3ns BEFORE gmii_rx_clk_i; +NET gmii_rxd_i<0> LOC=P19 |IOSTANDARD=LVCMOS25; +NET gmii_rxd_i<1> LOC=Y22 |IOSTANDARD=LVCMOS25; +NET gmii_rxd_i<2> LOC=Y21 |IOSTANDARD=LVCMOS25; +NET gmii_rxd_i<3> LOC=W22 |IOSTANDARD=LVCMOS25; +NET gmii_rxd_i<4> LOC=W20 |IOSTANDARD=LVCMOS25; +NET gmii_rxd_i<5> LOC=V22 |IOSTANDARD=LVCMOS25; +NET gmii_rxd_i<6> LOC=V21 |IOSTANDARD=LVCMOS25; +NET gmii_rxd_i<7> LOC=U22 |IOSTANDARD=LVCMOS25; +NET gmii_rx_dv_i LOC=T22 |IOSTANDARD=LVCMOS25; +NET gmii_rx_er_i LOC=U20 |IOSTANDARD=LVCMOS25; + +NET phy_rstb_o LOC=J22 |IOSTANDARD=LVCMOS25; + +# Main I2C bus +## C30 on FMC +NET "i2c_scl_b" LOC = T21; +## C31 on FMC +NET "i2c_sda_b" LOC = R22; + +# +# I/O to devices under test + +## "FMC_LA19_P" , H22 on FMC +NET "busy_p_i[0]" LOC = R11; +## "FMC_LA12_P" , G15 on FMC +NET "busy_p_i[1]" LOC = H13; +## "FMC_LA14_P" , C18 on FMC +NET "busy_p_i[2]" LOC = C17; + +## "FMC_LA20_P" , G21 on FMC +NET "triggers_p_o[0]" LOC = R9; +## "FMC_LA16_P" , G18 on FMC +NET "triggers_p_o[1]" LOC = C5; +## "FMC_LA03_P" , G9 on FMC +NET "triggers_p_o[2]" LOC = B18; + +# Remove shutters ( also known as SPARE ) for now +#NET "SPARE_N_O<1>" LOC = "A20"; ## "FMC_LA08_N" , G13 on FMC +#NET "SPARE_N_O<2>" LOC = "G15"; ## "FMC_LA11_N" , H17 on FMC +#NET "SPARE_P_O<1>" LOC = "B20"; ## "FMC_LA08_P" , G12 on FMC +#NET "SPARE_P_O<2>" LOC = "H14"; ## "FMC_LA11_P" , H16 on FMC + +# Labelled DUT_CLK on schematic for RJ45, CLK on HDMI +## "FMC_LA27_P" , C26 on FMC +NET "dut_clk_p_o[0]" LOC = AA10; +## "FMC_LA21_P" , H25 on FMC +NET "dut_clk_p_o[1]" LOC = V11; +## "FMC_LA02_P" , H7 on FMC +NET "dut_clk_p_o[2]" LOC = G8; + + +# Labelled CONT on schematic. +## "FMC_LA22_P" , G24 on FMC +NET "reset_or_clk_p_o[0]" LOC = V7; +## "FMC_LA07_CC_P" , H13 on FMC +NET "reset_or_clk_p_o[1]" LOC = B2; +## "FMC_LA18_P" , C22 on FMC +NET "reset_or_clk_p_o[2]" LOC = T12; + +# Trigger Inputs + +# Constant-fraction-discrimiator comparator outputs +## "FMC_LA32_N" , H38 on FMC +NET "cfd_discr_n_i[0]" LOC = Y18; +## "FMC_LA30_N" , H35 on FMC +NET "cfd_discr_n_i[1]" LOC = AB15; +## "FMC_LA28_N" , H32 on FMC +NET "cfd_discr_n_i[2]" LOC = AB16; +## "FMC_LA24_N" , H29 on FMC +NET "cfd_discr_n_i[3]" LOC = AB14; +## "FMC_LA32_P" , H37 on FMC +NET "cfd_discr_p_i[0]" LOC = W17; +## "FMC_LA30_P" , H34 on FMC +NET "cfd_discr_p_i[1]" LOC = Y15; +## "FMC_LA28_P" , H31 on FMC +NET "cfd_discr_p_i[2]" LOC = AA16; +## "FMC_LA24_P" , H28 on FMC +NET "cfd_discr_p_i[3]" LOC = AA14; + +# Threshold comparator outputs +## "FMC_LA33_N" , G37 on FMC +NET "threshold_discr_n_i[0]" LOC = AB17; +## "FMC_LA31_N" , G34 on FMC +NET "threshold_discr_n_i[1]" LOC = V15; +## "FMC_LA29_N" , G31 on FMC +NET "threshold_discr_n_i[2]" LOC = U15; +## "FMC_LA25_N" , G28 on FMC +NET "threshold_discr_n_i[3]" LOC = Y14; +## "FMC_LA33_P" , G36 on FMC +NET "threshold_discr_p_i[0]" LOC = Y17; +## "FMC_LA31_P" , G33 on FMC +NET "threshold_discr_p_i[1]" LOC = U16; +## "FMC_LA29_P" , G30 on FMC +NET "threshold_discr_p_i[2]" LOC = T15; +## "FMC_LA25_P" , G27 on FMC +NET "threshold_discr_p_i[3]" LOC = W14; + +############ +# External clock pins +## "FMC_CLK0_M2C_P" , H4 on FMC , "FRONT_PANEL_CLK_P" +NET "extclk_p_b" LOC = H12; +## "FMC_CLK0_M2C_N" , H5 on FMC , "FRONT_PANEL_CLK_N" +NET "extclk_n_b" LOC = G11; + + +#NET "HDMI_POWER_ENABLE1" LOC = "B16"; ## "FMC_LA04_P" , H10 on FMC +#NET "HDMI_POWER_ENABLE2" LOC = "F9"; ## "FMC_LA15_N" , H20 on FMC + + +## GPIO pins for debugging. +### 1 on J13 (thru series R100 200 ohm) +#NET "gpio_hdr[0]" LOC = N17; +### 3 on J13 (thru series R102 200 ohm) +#NET "gpio_hdr[1]" LOC = M18; +### 5 on J13 (thru series R101 200 ohm) +#NET "gpio_hdr[2]" LOC = A3; +### 7 on J13 (thru series R103 200 ohm) +#NET "gpio_hdr[3]" LOC = L15; +### 2 on J13 (thru series R99 200 ohm) +#NET "gpio_hdr[4]" LOC = F15; +### 4 on J13 (thru series R98 200 ohm) +#NET "gpio_hdr[5]" LOC = B4; +### 6 on J13 (thru series R97 200 ohm) +#NET "gpio_hdr[6]" LOC = F13; +### 8 on J13 (thru series R96 20 +#NET "gpio_hdr[7]" LOC = P12; diff --git a/firmware/minitlu/ucf/sp605_FMC_mTLU_v1a_pintest.ucf b/firmware/minitlu/ucf/sp605_FMC_mTLU_v1a_pintest.ucf new file mode 100644 index 00000000..cda11ab3 --- /dev/null +++ b/firmware/minitlu/ucf/sp605_FMC_mTLU_v1a_pintest.ucf @@ -0,0 +1,155 @@ +# +# UCF for version 1a of updated mini-TLU +# + +NET "sysclk_p_i" TNM_NET = "tnm_sysclk"; +NET "sysclk_p_i" LOC = K21; +NET "sysclk_p_i" IOSTANDARD = LVDS_25; +NET "sysclk_p_i" DIFF_TERM = "TRUE"; +NET "sysclk_n_i" LOC = K22; +NET "sysclk_n_i" IOSTANDARD = LVDS_25; +NET "sysclk_n_i" DIFF_TERM = "TRUE"; + +TIMESPEC TS_sysclk = PERIOD "tnm_sysclk" 200 MHz; + +# remove for now +#NET Reset_i LOC=P4; ## Global Reset + +#NET ipb_clk TNM_NET = tnm_ipb_clk; +#NET clk125 TNM_NET = tnm_clk125; +#TIMESPEC TS_tig_ipb_125 = FROM tnm_ipb_clk TO tnm_clk125 TIG; +#TIMESPEC TS_tig_125_ipb = FROM tnm_clk125 TO tnm_ipb_clk TIG; + +# NET clocks/rst* TIG; +#NET "I6/s_clk_is_xtal" TIG; + +NET "leds_o[0]" LOC = D17; +NET "leds_o[0]" IOSTANDARD = LVCMOS25; +NET "leds_o[1]" LOC = AB4; +NET "leds_o[1]" IOSTANDARD = LVCMOS25; +NET "leds_o[2]" LOC = D21; +NET "leds_o[2]" IOSTANDARD = LVCMOS25; +NET "leds_o[3]" LOC = W15; +NET "leds_o[3]" IOSTANDARD = LVCMOS25; + +NET "dip_switch_i[0]" LOC = C18; +NET "dip_switch_i[1]" LOC = Y6; +NET "dip_switch_i[2]" LOC = W6; +NET "dip_switch_i[3]" LOC = E4; + +# Ethernet PHY + + +# Main I2C bus +## C30 on FMC +NET "i2c_scl_b" LOC = T21; +## C31 on FMC +NET "i2c_sda_b" LOC = R22; + +# +# I/O to devices under test + +## "FMC_LA19_P" , H22 on FMC +NET "busy_p_o[0]" LOC = R11; +## "FMC_LA12_P" , G15 on FMC +NET "busy_p_o[1]" LOC = H13; +## "FMC_LA14_P" , C18 on FMC +NET "busy_p_o[2]" LOC = C17; + +## "FMC_LA20_P" , G21 on FMC +NET "triggers_p_o[0]" LOC = R9; +## "FMC_LA16_P" , G18 on FMC +NET "triggers_p_o[1]" LOC = C5; +## "FMC_LA03_P" , G9 on FMC +NET "triggers_p_o[2]" LOC = B18; + +# Remove shutters ( also known as SPARE ) for now +NET "SPARE_N_O<1>" LOC = "A20"; ## "FMC_LA08_N" , G13 on FMC +NET "SPARE_N_O<2>" LOC = "G15"; ## "FMC_LA11_N" , H17 on FMC +NET "SPARE_P_O<1>" LOC = "B20"; ## "FMC_LA08_P" , G12 on FMC +NET "SPARE_P_O<2>" LOC = "H14"; ## "FMC_LA11_P" , H16 on FMC + +# Labelled DUT_CLK on schematic for RJ45, CLK on HDMI +## "FMC_LA27_P" , C26 on FMC +NET "dut_clk_p_o[0]" LOC = AA10; +## "FMC_LA21_P" , H25 on FMC +NET "dut_clk_p_o[1]" LOC = V11; +## "FMC_LA02_P" , H7 on FMC +NET "dut_clk_p_o[2]" LOC = G8; + + +# Labelled CONT on schematic. +## "FMC_LA22_P" , G24 on FMC +NET "reset_or_clk_p_o[0]" LOC = V7; +## "FMC_LA07_CC_P" , H13 on FMC +NET "reset_or_clk_p_o[1]" LOC = B2; +## "FMC_LA18_P" , C22 on FMC +NET "reset_or_clk_p_o[2]" LOC = T12; + +# Trigger Inputs + +# Constant-fraction-discrimiator comparator outputs +## "FMC_LA32_N" , H38 on FMC +NET "cfd_discr_n_i[0]" LOC = Y18; +## "FMC_LA30_N" , H35 on FMC +NET "cfd_discr_n_i[1]" LOC = AB15; +## "FMC_LA28_N" , H32 on FMC +NET "cfd_discr_n_i[2]" LOC = AB16; +## "FMC_LA24_N" , H29 on FMC +NET "cfd_discr_n_i[3]" LOC = AB14; +## "FMC_LA32_P" , H37 on FMC +NET "cfd_discr_p_i[0]" LOC = W17; +## "FMC_LA30_P" , H34 on FMC +NET "cfd_discr_p_i[1]" LOC = Y15; +## "FMC_LA28_P" , H31 on FMC +NET "cfd_discr_p_i[2]" LOC = AA16; +## "FMC_LA24_P" , H28 on FMC +NET "cfd_discr_p_i[3]" LOC = AA14; + +# Threshold comparator outputs +## "FMC_LA33_N" , G37 on FMC +NET "threshold_discr_n_i[0]" LOC = AB17; +## "FMC_LA31_N" , G34 on FMC +NET "threshold_discr_n_i[1]" LOC = V15; +## "FMC_LA29_N" , G31 on FMC +NET "threshold_discr_n_i[2]" LOC = U15; +## "FMC_LA25_N" , G28 on FMC +NET "threshold_discr_n_i[3]" LOC = Y14; +## "FMC_LA33_P" , G36 on FMC +NET "threshold_discr_p_i[0]" LOC = Y17; +## "FMC_LA31_P" , G33 on FMC +NET "threshold_discr_p_i[1]" LOC = U16; +## "FMC_LA29_P" , G30 on FMC +NET "threshold_discr_p_i[2]" LOC = T15; +## "FMC_LA25_P" , G27 on FMC +NET "threshold_discr_p_i[3]" LOC = W14; + +############ +# External clock pins +## "FMC_CLK0_M2C_P" , H4 on FMC , "FRONT_PANEL_CLK_P" +NET "extclk_p_o" LOC = H12; +## "FMC_CLK0_M2C_N" , H5 on FMC , "FRONT_PANEL_CLK_N" +NET "extclk_n_o" LOC = G11; + + +#NET "HDMI_POWER_ENABLE1" LOC = "B16"; ## "FMC_LA04_P" , H10 on FMC +#NET "HDMI_POWER_ENABLE2" LOC = "F9"; ## "FMC_LA15_N" , H20 on FMC + + +## GPIO pins for debugging. +### 1 on J13 (thru series R100 200 ohm) +#NET "gpio_hdr[0]" LOC = N17; +### 3 on J13 (thru series R102 200 ohm) +#NET "gpio_hdr[1]" LOC = M18; +### 5 on J13 (thru series R101 200 ohm) +#NET "gpio_hdr[2]" LOC = A3; +### 7 on J13 (thru series R103 200 ohm) +#NET "gpio_hdr[3]" LOC = L15; +### 2 on J13 (thru series R99 200 ohm) +#NET "gpio_hdr[4]" LOC = F15; +### 4 on J13 (thru series R98 200 ohm) +#NET "gpio_hdr[5]" LOC = B4; +### 6 on J13 (thru series R97 200 ohm) +#NET "gpio_hdr[6]" LOC = F13; +### 8 on J13 (thru series R96 20 +#NET "gpio_hdr[7]" LOC = P12; diff --git a/firmware/minitlu/xdc/ax3_pm3_mTLUvC.xdc b/firmware/minitlu/xdc/ax3_pm3_mTLUvC.xdc new file mode 100644 index 00000000..8ba10646 --- /dev/null +++ b/firmware/minitlu/xdc/ax3_pm3_mTLUvC.xdc @@ -0,0 +1,377 @@ +# ------------------------------------------------------------------------------------------------- +# -- Project : Mars AX3 +# -- File description : User Constraint File for Mars PM3 Base Board +# -- File name : mars_ax3_pm3.xdc +# -- Authors : Kanishk Sugand / Marc Oberholzer +# ------------------------------------------------------------------------------------------------- +# -- Copyright © 2012 by Enclustra GmbH, Switzerland. All rights are reserved. +# -- Unauthorized duplication of this document, in whole or in part, by any means is prohibited +# -- without the prior written permission of Enclustra GmbH, Switzerland. +# -- +# -- Although Enclustra GmbH believes that the information included in this publication is correct +# -- as of the date of publication, Enclustra GmbH reserves the right to make changes at any time +# -- without notice. +# -- +# -- All information in this document may only be published by Enclustra GmbH, Switzerland. +# ------------------------------------------------------------------------------------------------- +# -- Notes: +# -- 1. For best I/O timing, it is necessary to set the following options in Xilinx ISE/PlanAhead: +# -- map option "Pack I/O registers into IOBs" to "Inputs and Outputs" +# -- 2. The IO standards for banks 0, 2 and 3 are only valid if VCCO_0/VCCO_2/VCCO_3 = 3.3 V +# ------------------------------------------------------------------------------------------------- +# -- File history: +# -- +# -- Version | Date | Author | Remarks +# -- ---------------------------------------------------------------------------------------------- +# -- 1.0 | 11.4.14 | C. Glattfelder | converted from UCF +# ------------------------------------------------------------------------------------------------- + +# ------------------------------------------------------------------------------------------------- +# mars ax3: global clock inputs +# ------------------------------------------------------------------------------------------------- + +set_property PACKAGE_PIN P17 [get_ports {Clk_50}] +set_property IOSTANDARD LVCMOS33 [get_ports {Clk_50}] +set_property PACKAGE_PIN L16 [get_ports {Fpga_Emcclk}] +set_property IOSTANDARD LVCMOS33 [get_ports {Fpga_Emcclk}] + +# ------------------------------------------------------------------------------------------------- +# mars ax3: ddr3 sdram +# ------------------------------------------------------------------------------------------------- + +set_property IOSTANDARD SSTL15 [get_ports {Ddr3_Ba[0]}] +set_property IOSTANDARD SSTL15 [get_ports {Ddr3_Ba[1]}] +set_property IOSTANDARD SSTL15 [get_ports {Ddr3_A[9]}] +set_property IOSTANDARD SSTL15 [get_ports {Ddr3_A[8]}] +set_property IOSTANDARD DIFF_SSTL15 [get_ports {Ddr3_Dqs_P[1]}] +set_property IOSTANDARD SSTL15 [get_ports {Ddr3_Ba[2]}] +set_property IOSTANDARD SSTL15 [get_ports {Ddr3_A[7]}] +set_property IOSTANDARD SSTL15 [get_ports {Ddr3_A[6]}] +set_property IOSTANDARD SSTL15 [get_ports {Ddr3_A[5]}] +set_property IOSTANDARD SSTL15 [get_ports Ddr3_Cas_N] +set_property IOSTANDARD DIFF_SSTL15 [get_ports {Ddr3_Dqs_P[0]}] +set_property IOSTANDARD SSTL15 [get_ports Ddr3_Odt] +set_property IOSTANDARD SSTL15 [get_ports {Ddr3_A[4]}] +set_property IOSTANDARD SSTL15 [get_ports Ddr3_Cke] +set_property IOSTANDARD SSTL15 [get_ports {Ddr3_A[3]}] +set_property IOSTANDARD SSTL15 [get_ports {Ddr3_A[2]}] +set_property IOSTANDARD SSTL15 [get_ports Ddr3_We_N] +set_property IOSTANDARD DIFF_SSTL15 [get_ports Ddr3_Clk_N] +set_property IOSTANDARD SSTL15 [get_ports Ddr3_Ras_N] +set_property IOSTANDARD SSTL15 [get_ports {Ddr3_A[13]}] +set_property IOSTANDARD SSTL15 [get_ports {Ddr3_A[12]}] +set_property IOSTANDARD DIFF_SSTL15 [get_ports Ddr3_Clk_P] +set_property IOSTANDARD DIFF_SSTL15 [get_ports {Ddr3_Dqs_N[0]}] +set_property IOSTANDARD SSTL15 [get_ports {Ddr3_A[11]}] +set_property IOSTANDARD SSTL15 [get_ports Ddr3_Reset_N] +set_property IOSTANDARD SSTL15 [get_ports {Ddr3_Dm[0]}] +set_property IOSTANDARD SSTL15 [get_ports {Ddr3_A[10]}] +set_property IOSTANDARD SSTL15 [get_ports {Ddr3_A[1]}] +set_property IOSTANDARD SSTL15 [get_ports {Ddr3_A[0]}] +set_property IOSTANDARD SSTL15 [get_ports {Ddr3_Dm[1]}] +set_property IOSTANDARD DIFF_SSTL15 [get_ports {Ddr3_Dqs_N[1]}] +set_property IOSTANDARD SSTL15 [get_ports {Ddr3_Dq[0]}] +set_property IOSTANDARD SSTL15 [get_ports {Ddr3_Dq[9]}] +set_property IOSTANDARD SSTL15 [get_ports {Ddr3_Dq[1]}] +set_property IOSTANDARD SSTL15 [get_ports {Ddr3_Dq[8]}] +set_property IOSTANDARD SSTL15 [get_ports {Ddr3_Dq[10]}] +set_property IOSTANDARD SSTL15 [get_ports {Ddr3_Dq[7]}] +set_property IOSTANDARD SSTL15 [get_ports {Ddr3_Dq[11]}] +set_property IOSTANDARD SSTL15 [get_ports {Ddr3_Dq[6]}] +set_property IOSTANDARD SSTL15 [get_ports {Ddr3_Dq[12]}] +set_property IOSTANDARD SSTL15 [get_ports {Ddr3_Dq[5]}] +set_property IOSTANDARD SSTL15 [get_ports {Ddr3_Dq[13]}] +set_property IOSTANDARD SSTL15 [get_ports {Ddr3_Dq[4]}] +set_property IOSTANDARD SSTL15 [get_ports {Ddr3_Dq[14]}] +set_property IOSTANDARD SSTL15 [get_ports {Ddr3_Dq[3]}] +set_property IOSTANDARD SSTL15 [get_ports {Ddr3_Dq[15]}] +set_property IOSTANDARD SSTL15 [get_ports {Ddr3_Dq[2]}] +set_property PACKAGE_PIN A15 [get_ports {Ddr3_Dq[2]}] +set_property PACKAGE_PIN E15 [get_ports {Ddr3_Dq[3]}] +set_property PACKAGE_PIN B11 [get_ports {Ddr3_Dq[15]}] +set_property PACKAGE_PIN B18 [get_ports {Ddr3_Dq[4]}] +set_property PACKAGE_PIN F14 [get_ports {Ddr3_Dq[14]}] +set_property PACKAGE_PIN B17 [get_ports {Ddr3_Dq[5]}] +set_property PACKAGE_PIN A11 [get_ports {Ddr3_Dq[13]}] +set_property PACKAGE_PIN A16 [get_ports {Ddr3_Dq[6]}] +set_property PACKAGE_PIN F13 [get_ports {Ddr3_Dq[12]}] +set_property PACKAGE_PIN B16 [get_ports {Ddr3_Dq[7]}] +set_property PACKAGE_PIN D14 [get_ports {Ddr3_Dq[11]}] +set_property PACKAGE_PIN B14 [get_ports {Ddr3_Dq[8]}] +set_property PACKAGE_PIN B13 [get_ports {Ddr3_Dq[10]}] +set_property PACKAGE_PIN C14 [get_ports {Ddr3_Dq[9]}] +set_property PACKAGE_PIN E16 [get_ports {Ddr3_Dq[1]}] +set_property PACKAGE_PIN A14 [get_ports {Ddr3_Dqs_N[0]}] +set_property PACKAGE_PIN A18 [get_ports {Ddr3_Dq[0]}] +set_property PACKAGE_PIN D12 [get_ports {Ddr3_Dm[1]}] +set_property PACKAGE_PIN D15 [get_ports {Ddr3_Dm[0]}] +set_property PACKAGE_PIN A13 [get_ports {Ddr3_Dqs_P[0]}] +set_property PACKAGE_PIN C16 [get_ports Ddr3_Clk_P] +set_property PACKAGE_PIN C17 [get_ports Ddr3_Clk_N] +set_property PACKAGE_PIN G14 [get_ports Ddr3_Cke] +set_property PACKAGE_PIN B12 [get_ports {Ddr3_Dqs_N[1]}] +set_property PACKAGE_PIN F16 [get_ports Ddr3_Cas_N] +set_property PACKAGE_PIN K15 [get_ports {Ddr3_Ba[2]}] +set_property PACKAGE_PIN H14 [get_ports {Ddr3_Ba[1]}] +set_property PACKAGE_PIN C12 [get_ports {Ddr3_Dqs_P[1]}] +set_property PACKAGE_PIN D17 [get_ports {Ddr3_Ba[0]}] +set_property PACKAGE_PIN F18 [get_ports {Ddr3_A[9]}] +set_property PACKAGE_PIN H17 [get_ports {Ddr3_A[8]}] +set_property PACKAGE_PIN K16 [get_ports Ddr3_Odt] +set_property PACKAGE_PIN E18 [get_ports {Ddr3_A[7]}] +set_property PACKAGE_PIN K13 [get_ports {Ddr3_A[6]}] +set_property PACKAGE_PIN E17 [get_ports {Ddr3_A[5]}] +set_property PACKAGE_PIN F15 [get_ports Ddr3_Ras_N] +set_property PACKAGE_PIN J13 [get_ports {Ddr3_A[4]}] +set_property PACKAGE_PIN D18 [get_ports {Ddr3_A[3]}] +set_property PACKAGE_PIN J18 [get_ports {Ddr3_A[2]}] +set_property PACKAGE_PIN G13 [get_ports Ddr3_Reset_N] +set_property PACKAGE_PIN G17 [get_ports {Ddr3_A[13]}] +set_property PACKAGE_PIN H16 [get_ports {Ddr3_A[12]}] +set_property PACKAGE_PIN G18 [get_ports {Ddr3_A[11]}] +set_property PACKAGE_PIN J15 [get_ports Ddr3_We_N] +set_property PACKAGE_PIN G16 [get_ports {Ddr3_A[10]}] +set_property PACKAGE_PIN J14 [get_ports {Ddr3_A[1]}] +set_property PACKAGE_PIN J17 [get_ports {Ddr3_A[0]}] + +# ------------------------------------------------------------------------------------------------- +# mars ax3: ethernet +# ------------------------------------------------------------------------------------------------- + +set_property IOSTANDARD LVCMOS33 [get_ports {Eth_Txd[1]}] +set_property IOSTANDARD LVCMOS33 [get_ports Eth_Rxc] +set_property IOSTANDARD LVCMOS33 [get_ports Eth_Rst_N] +set_property IOSTANDARD LVCMOS33 [get_ports {Eth_Rxd[0]}] +set_property IOSTANDARD LVCMOS33 [get_ports {Eth_Txd[0]}] +set_property IOSTANDARD LVCMOS33 [get_ports {Eth_Rxd[1]}] +set_property IOSTANDARD LVCMOS33 [get_ports Eth_Mdio] +set_property IOSTANDARD LVCMOS33 [get_ports {Eth_Rxd[2]}] +set_property IOSTANDARD LVCMOS33 [get_ports Eth_Mdc] +set_property IOSTANDARD LVCMOS33 [get_ports {Eth_Rxd[3]}] +set_property IOSTANDARD LVCMOS33 [get_ports Eth_Txc] +set_property IOSTANDARD LVCMOS33 [get_ports {Eth_Txd[2]}] +set_property IOSTANDARD LVCMOS15 [get_ports Eth_Link_N] +set_property IOSTANDARD LVCMOS33 [get_ports Eth_Tx_Ctl] +set_property IOSTANDARD LVCMOS33 [get_ports Eth_Int_N] +set_property IOSTANDARD LVCMOS33 [get_ports Eth_Rx_Ctl] +set_property IOSTANDARD LVCMOS33 [get_ports {Eth_Txd[3]}] +set_property PACKAGE_PIN T16 [get_ports Eth_Tx_Ctl] +set_property PACKAGE_PIN R18 [get_ports {Eth_Txd[0]}] +set_property PACKAGE_PIN V16 [get_ports {Eth_Rxd[3]}] +set_property PACKAGE_PIN V15 [get_ports {Eth_Rxd[2]}] +set_property PACKAGE_PIN V17 [get_ports {Eth_Rxd[1]}] +set_property PACKAGE_PIN T18 [get_ports {Eth_Txd[1]}] +set_property PACKAGE_PIN U16 [get_ports {Eth_Rxd[0]}] +set_property PACKAGE_PIN T14 [get_ports Eth_Rxc] +set_property PACKAGE_PIN R16 [get_ports Eth_Rx_Ctl] +set_property PACKAGE_PIN U17 [get_ports {Eth_Txd[2]}] +set_property PACKAGE_PIN M13 [get_ports Eth_Rst_N] +set_property PACKAGE_PIN N14 [get_ports Eth_Mdio] +set_property PACKAGE_PIN P14 [get_ports Eth_Mdc] +set_property PACKAGE_PIN U18 [get_ports {Eth_Txd[3]}] +set_property PACKAGE_PIN C15 [get_ports Eth_Link_N] +set_property PACKAGE_PIN N16 [get_ports Eth_Txc] +set_property PACKAGE_PIN T15 [get_ports Eth_Int_N] + +# ------------------------------------------------------------------------------------------------- +# mars ax3: i2c +# ------------------------------------------------------------------------------------------------- + +set_property PACKAGE_PIN R17 [get_ports I2c_Int_N] +set_property IOSTANDARD LVCMOS33 [get_ports I2c_Int_N] +set_property PACKAGE_PIN N17 [get_ports I2c_Scl] +set_property IOSTANDARD LVCMOS33 [get_ports I2c_Scl] +set_property PACKAGE_PIN P18 [get_ports I2c_Sda] +set_property IOSTANDARD LVCMOS33 [get_ports I2c_Sda] + +# ------------------------------------------------------------------------------------------------- +# mars ax3: spi flash +# ------------------------------------------------------------------------------------------------- + +set_property PACKAGE_PIN L13 [get_ports Flash_Cs_N] +set_property IOSTANDARD LVCMOS33 [get_ports Flash_Cs_N] +set_property PACKAGE_PIN K17 [get_ports Flash_Di] +set_property IOSTANDARD LVCMOS33 [get_ports Flash_Di] +set_property PACKAGE_PIN M14 [get_ports Flash_Hold_N] +set_property IOSTANDARD LVCMOS33 [get_ports Flash_Hold_N] +set_property PACKAGE_PIN L14 [get_ports Flash_Wp_N] +set_property IOSTANDARD LVCMOS33 [get_ports Flash_Wp_N] +set_property PACKAGE_PIN R10 [get_ports Flash_Clk] +set_property IOSTANDARD LVCMOS33 [get_ports Flash_Clk] +set_property PACKAGE_PIN K18 [get_ports Flash_Do] +set_property IOSTANDARD LVCMOS33 [get_ports Flash_Do] + +# ------------------------------------------------------------------------------------------------- +# mars ax3: led +# ------------------------------------------------------------------------------------------------- + +set_property IOSTANDARD LVCMOS33 [get_ports {Led_N[2]}] +set_property IOSTANDARD LVCMOS33 [get_ports {Led_N[1]}] +set_property IOSTANDARD LVCMOS33 [get_ports {Led_N[0]}] +set_property IOSTANDARD LVCMOS33 [get_ports {Led_N[3]}] +set_property PACKAGE_PIN M17 [get_ports {Led_N[1]}] +set_property PACKAGE_PIN M16 [get_ports {Led_N[0]}] +set_property PACKAGE_PIN M18 [get_ports {Led_N[3]}] +set_property PACKAGE_PIN L18 [get_ports {Led_N[2]}] + +# ------------------------------------------------------------------------------------------------- +# mars ax3: system +# ------------------------------------------------------------------------------------------------- + +set_property PACKAGE_PIN R11 [get_ports Pwr_Good] +set_property IOSTANDARD LVCMOS33 [get_ports Pwr_Good] +set_property PACKAGE_PIN N15 [get_ports {Reset_N}] +set_property IOSTANDARD LVCMOS33 [get_ports {Reset_N}] + +# ------------------------------------------------------------------------------------------------- +# mars pm3: fmc lpc connector +# ------------------------------------------------------------------------------------------------- +set_property PACKAGE_PIN T4 [get_ports {CLK_TO_FPGA_N}] +set_property PACKAGE_PIN T5 [get_ports {CLK_TO_FPGA_P}] +set_property PACKAGE_PIN D3 [get_ports {CLK_FROM_FPGA_N}] +set_property PACKAGE_PIN E3 [get_ports {CLK_FROM_FPGA_P}] + +set_property PACKAGE_PIN N5 [get_ports {CLK_GEN_LOL_N}] +set_property PACKAGE_PIN C1 [get_ports {CLK_GEN_RST_N}] +set_property PACKAGE_PIN C2 [get_ports {I2C_RESET_N}] +set_property PACKAGE_PIN F6 [get_ports {GPIO}] + +set_property PACKAGE_PIN P5 [get_ports {CONT_TO_FPGA[0]}] +set_property PACKAGE_PIN P3 [get_ports {CONT_TO_FPGA[1]}] +set_property PACKAGE_PIN N6 [get_ports {CONT_TO_FPGA[2]}] +set_property PACKAGE_PIN L5 [get_ports {CONT_TO_FPGA[3]}] + +# Warning - can't find CONT_FROM_FPGA[0] in Allegro netlist .... +set_property PACKAGE_PIN P4 [get_ports {CONT_FROM_FPGA[1]}] +set_property PACKAGE_PIN M6 [get_ports {CONT_FROM_FPGA[2]}] +set_property PACKAGE_PIN L6 [get_ports {CONT_FROM_FPGA[3]}] + +set_property PACKAGE_PIN M1 [get_ports {SPARE_TO_FPGA[0]}] +set_property PACKAGE_PIN N4 [get_ports {SPARE_TO_FPGA[1]}] +set_property PACKAGE_PIN N1 [get_ports {SPARE_TO_FPGA[2]}] +set_property PACKAGE_PIN M2 [get_ports {SPARE_TO_FPGA[3]}] + +set_property PACKAGE_PIN L1 [get_ports {SPARE_FROM_FPGA[0]}] +set_property PACKAGE_PIN M4 [get_ports {SPARE_FROM_FPGA[1]}] +set_property PACKAGE_PIN N2 [get_ports {SPARE_FROM_FPGA[2]}] +set_property PACKAGE_PIN M3 [get_ports {SPARE_FROM_FPGA[3]}] + +set_property PACKAGE_PIN R5 [get_ports {TRIG_TO_FPGA[0]}] +set_property PACKAGE_PIN R2 [get_ports {TRIG_TO_FPGA[1]}] +set_property PACKAGE_PIN T1 [get_ports {TRIG_TO_FPGA[2]}] +set_property PACKAGE_PIN V1 [get_ports {TRIG_TO_FPGA[3]}] + +set_property PACKAGE_PIN R6 [get_ports {TRIG_FROM_FPGA[0]}] +set_property PACKAGE_PIN P2 [get_ports {TRIG_FROM_FPGA[1]}] +set_property PACKAGE_PIN R1 [get_ports {TRIG_FROM_FPGA[2]}] +set_property PACKAGE_PIN U1 [get_ports {TRIG_FROM_FPGA[3]}] + +set_property PACKAGE_PIN T6 [get_ports {BUSY_TO_FPGA[0]}] +set_property PACKAGE_PIN U3 [get_ports {BUSY_TO_FPGA[1]}] +set_property PACKAGE_PIN T8 [get_ports {BUSY_TO_FPGA[2]}] +set_property PACKAGE_PIN L4 [get_ports {BUSY_TO_FPGA[3]}] + +set_property PACKAGE_PIN R7 [get_ports {BUSY_FROM_FPGA[0]}] +set_property PACKAGE_PIN U4 [get_ports {BUSY_FROM_FPGA[1]}] +set_property PACKAGE_PIN R8 [get_ports {BUSY_FROM_FPGA[2]}] +set_property PACKAGE_PIN K5 [get_ports {BUSY_FROM_FPGA[3]}] + +set_property PACKAGE_PIN L3 [get_ports {DUT_CLK_TO_FPGA[0]}] +set_property PACKAGE_PIN F3 [get_ports {DUT_CLK_TO_FPGA[1]}] +set_property PACKAGE_PIN D2 [get_ports {DUT_CLK_TO_FPGA[2]}] +set_property PACKAGE_PIN G3 [get_ports {DUT_CLK_TO_FPGA[3]}] + +set_property PACKAGE_PIN K3 [get_ports {DUT_CLK_FROM_FPGA_P[0]}] +set_property PACKAGE_PIN F4 [get_ports {DUT_CLK_FROM_FPGA_P[1]}] +set_property PACKAGE_PIN E2 [get_ports {DUT_CLK_FROM_FPGA_P[2]}] +set_property PACKAGE_PIN G4 [get_ports {DUT_CLK_FROM_FPGA_P[3]}] + +set_property PACKAGE_PIN A1 [get_ports {BEAM_TRIGGER_N[0]}] +set_property PACKAGE_PIN B1 [get_ports {BEAM_TRIGGER_P[0]}] +set_property PACKAGE_PIN B4 [get_ports {BEAM_TRIGGER_N[1]}] +set_property PACKAGE_PIN C4 [get_ports {BEAM_TRIGGER_P[1]}] +set_property PACKAGE_PIN K1 [get_ports {BEAM_TRIGGER_N[2]}] +set_property PACKAGE_PIN K2 [get_ports {BEAM_TRIGGER_P[2]}] +set_property PACKAGE_PIN C5 [get_ports {BEAM_TRIGGER_N[3]}] +set_property PACKAGE_PIN C6 [get_ports {BEAM_TRIGGER_P[3]}] +set_property PACKAGE_PIN H4 [get_ports {BEAM_TRIGGER_N[4]}] +set_property PACKAGE_PIN J4 [get_ports {BEAM_TRIGGER_P[4]}] +set_property PACKAGE_PIN G1 [get_ports {BEAM_TRIGGER_N[5]}] +set_property PACKAGE_PIN H1 [get_ports {BEAM_TRIGGER_P[5]}] + +# ------------------------------------------------------------------------------------------------- +# mars pm3: ft232 uart interface +# ------------------------------------------------------------------------------------------------- + +set_property IOSTANDARD LVCMOS33 [get_ports FTDI_RXD] +set_property IOSTANDARD LVCMOS33 [get_ports FTDI_TXD] +set_property PACKAGE_PIN B3 [get_ports FTDI_TXD] +set_property PACKAGE_PIN B2 [get_ports FTDI_RXD] + +# ------------------------------------------------------------------------------------------------- +# mars pm3: ez-usb fx3 interface +# ------------------------------------------------------------------------------------------------- + +set_property PACKAGE_PIN V2 [get_ports FX3_A1] +set_property IOSTANDARD LVCMOS33 [get_ports FX3_A1] +set_property PACKAGE_PIN V7 [get_ports FX3_CLK] +set_property IOSTANDARD LVCMOS33 [get_ports FX3_CLK] +set_property PACKAGE_PIN U12 [get_ports FX3_DQ0] +set_property IOSTANDARD LVCMOS33 [get_ports FX3_DQ0] +set_property PACKAGE_PIN R15 [get_ports FX3_DQ1] +set_property IOSTANDARD LVCMOS33 [get_ports FX3_DQ1] +set_property PACKAGE_PIN U9 [get_ports FX3_DQ10] +set_property IOSTANDARD LVCMOS33 [get_ports FX3_DQ10] +set_property PACKAGE_PIN V5 [get_ports FX3_DQ11] +set_property IOSTANDARD LVCMOS33 [get_ports FX3_DQ11] +set_property PACKAGE_PIN T3 [get_ports FX3_DQ12] +set_property IOSTANDARD LVCMOS33 [get_ports FX3_DQ12] +set_property PACKAGE_PIN R3 [get_ports FX3_DQ13] +set_property IOSTANDARD LVCMOS33 [get_ports FX3_DQ13] +set_property PACKAGE_PIN V4 [get_ports FX3_DQ14] +set_property IOSTANDARD LVCMOS33 [get_ports FX3_DQ14] +set_property PACKAGE_PIN U7 [get_ports FX3_DQ15] +set_property IOSTANDARD LVCMOS33 [get_ports FX3_DQ15] +set_property PACKAGE_PIN V12 [get_ports FX3_DQ2] +set_property IOSTANDARD LVCMOS33 [get_ports FX3_DQ2] +set_property PACKAGE_PIN P15 [get_ports FX3_DQ3] +set_property IOSTANDARD LVCMOS33 [get_ports FX3_DQ3] +set_property PACKAGE_PIN U11 [get_ports FX3_DQ4] +set_property IOSTANDARD LVCMOS33 [get_ports FX3_DQ4] +set_property PACKAGE_PIN U13 [get_ports FX3_DQ5] +set_property IOSTANDARD LVCMOS33 [get_ports FX3_DQ5] +set_property PACKAGE_PIN T13 [get_ports FX3_DQ6] +set_property IOSTANDARD LVCMOS33 [get_ports FX3_DQ6] +set_property PACKAGE_PIN T11 [get_ports FX3_DQ7] +set_property IOSTANDARD LVCMOS33 [get_ports FX3_DQ7] +set_property PACKAGE_PIN V9 [get_ports FX3_DQ8] +set_property IOSTANDARD LVCMOS33 [get_ports FX3_DQ8] +set_property PACKAGE_PIN U6 [get_ports FX3_DQ9] +set_property IOSTANDARD LVCMOS33 [get_ports FX3_DQ9] +set_property PACKAGE_PIN V6 [get_ports FX3_FLAGA] +set_property IOSTANDARD LVCMOS33 [get_ports FX3_FLAGA] +set_property PACKAGE_PIN U2 [get_ports FX3_FLAGB] +set_property IOSTANDARD LVCMOS33 [get_ports FX3_FLAGB] +set_property PACKAGE_PIN T10 [get_ports FX3_PKTEND_N] +set_property IOSTANDARD LVCMOS33 [get_ports FX3_PKTEND_N] +set_property PACKAGE_PIN T9 [get_ports FX3_SLOE_N] +set_property IOSTANDARD LVCMOS33 [get_ports FX3_SLOE_N] +set_property PACKAGE_PIN R12 [get_ports FX3_SLRD_N] +set_property IOSTANDARD LVCMOS33 [get_ports FX3_SLRD_N] +set_property PACKAGE_PIN R13 [get_ports FX3_SLWR_N] +set_property IOSTANDARD LVCMOS33 [get_ports FX3_SLWR_N] + +# ------------------------------------------------------------------------------------------------- +# timing constraints +# ------------------------------------------------------------------------------------------------- + + +create_clock -name {Clk_50} -period 20.000 [get_ports {Clk_50}] + + +# ------------------------------------------------------------------------------------------------- +# eof +# ------------------------------------------------------------------------------------------------- + + + -- GitLab