diff --git a/circuit_board/Cadence/adw/shoppingCart.xml b/circuit_board/Cadence/adw/shoppingCart.xml index 22f8de151cebf7fa7c305f94d10720a534e4150d..ea280a03d7554bb6ea20b3e4f13aea0f8b7983f7 100644 --- a/circuit_board/Cadence/adw/shoppingCart.xml +++ b/circuit_board/Cadence/adw/shoppingCart.xml @@ -56,4 +56,38 @@ <property name="PACK_TYPE" value="SC88"/> <property name="TYPE" value="PRTR5V0U4Y"/> </component> + <component cell="con19p" library="cnconnector" partname="CON19P" partno="HDMI-19-01-X-SM" quantity="1" > + <property name="TYPE" value="HDMI-19-01-X-SM"/> + </component> + <component cell="common_mode_line_filter" library="cndiscrete" partname="COMMON_MODE_LINE_FILTER" partno="744231091" quantity="1" > + <property name="PACK_TYPE" value="4312"/> + <property name="TYPE" value="744231091"/> + <property name="VALUE" value="90ohm"/> + </component> + <component cell="res_array_x4" library="cnpassive" partname="RES_ARRAY_X4" partno="TC164-FR-##47RL" quantity="1" > + <property name="PACK_TYPE" value="1206_TC164"/> + <property name="TOL" value="1%"/> + <property name="VALUE" value="47"/> + </component> + <component cell="capn4i" library="cnpassive" partname="CAPN4I" partno="GNM214B11C105MA01D" quantity="1" > + <property name="DIELECTRIC" value="X5R"/> + <property name="TYPE" value="GNM21"/> + <property name="VALUE" value="1uF"/> + <property name="VOLTAGE" value="16V"/> + </component> + <component cell="tps786xx" library="cnlinear" partname="TPS786XX" partno="TPS78633DCQ" quantity="1" > + <property name="PACK_TYPE" value="SOT223"/> + <property name="TYPE" value="TPS78633DCQ"/> + </component> + <component cell="plemo2ci" library="cnconnector" partname="PLEMO2CI" partno="EPG.00.302.NLN" quantity="1" > + <property name="TYPE" value="EPG.00.302.NLN"/> + </component> + <component cell="24aa025e48" library="cnmemory" partname="24AA025E48" partno="24AA025E48T-I/SN" quantity="1" > + <property name="PACK_TYPE" value="SOIC"/> + <property name="TYPE" value="24AA025E48T-I/SN"/> + </component> + <component cell="zener" library="cndiscrete" partname="ZENER" partno="BZT52-C3V6" quantity="1" > + <property name="PACK_TYPE" value="SOD123-CA"/> + <property name="TYPE" value="BZT52-C3V6"/> + </component> </sc:shoppingCart> diff --git a/circuit_board/Cadence/fmc_tlu_diode_clamp_b.cpm b/circuit_board/Cadence/fmc_tlu_diode_clamp_b.cpm new file mode 100644 index 0000000000000000000000000000000000000000..fa63ed83e3b824b6e9b0b50550454b92246dc024 --- /dev/null +++ b/circuit_board/Cadence/fmc_tlu_diode_clamp_b.cpm @@ -0,0 +1,79 @@ +{ Machine generated file created by SPI } +{ Last modified was 15:25:40 Wednesday, May 18, 2016 } +{ NOTE: Do not modify the contents of this file. If this is regenerated by } +{ SPI, your modifications will be overwritten. } + + +START_GLOBAL +view_pcb './worklib/fmc_tlu_diode_clamp_b/physical' +design_name 'fmc_tlu_diode_clamp_b' +design_library 'fmc_tlu_v1_lib' +library 'fmc_tlu_v1_lib' 'bris_cds_analogue' 'bris_cds_connectors' 'bris_cds_logic' 'bris_cds_memory' 'bris_cds_special' 'bris_cds_standard' 'bris_cds_switches' 'cnconnector' 'cninterface' 'cnpower' 'cnlinear' 'cnpassive' 'cndiscrete' 'standard' 'cds_analogue' 'cn100e' 'cn74lv' 'cn74tiac' 'cn75als' 'cncmos' 'cnfast' 'cnmemory' 'uob_hep_pc036a_lib' 'cds_connectors' 'cds_special' 'cnmech' 'cnspecial' +temp_dir 'temp' +cpm_version '16.3' +ppt '$BRIS_CDSLIB/cds_analogue/cds_analogue.ptf' '$BRIS_CDSLIB/cds_connectors/cds_connectors.ptf' '$BRIS_CDSLIB/cds_logic/cds_logic.ptf' '$BRIS_CDSLIB/cds_pld/cds_pld.ptf' '$BRIS_CDSLIB/cds_special/cds_special.ptf' '$CERN_CDSLIB/lib_psd16.x/concept_libs/pe16/pe_cern_lib/parttables/cnconnector.ptf' '$CERN_CDSLIB/lib_psd16.x/concept_libs/pe16/pe_cern_lib/parttables/cndiscrete.ptf' '$CERN_CDSLIB/lib_psd16.x/concept_libs/pe16/pe_cern_lib/parttables/cnpassive.ptf' +cdsprop_file '' +physical_path './worklib/fmc_tlu_diode_clamp_b/physical' +trapezoidal_angle_in_degree '90.000000' +session_name 'ProjectMgr8446' +END_GLOBAL + +START_CONCEPTHDL +LOGIC_GRID_TOGGLE 'ON' +LOGIC_GRID_SIZE '0.0500' +SYMBOL_GRID_TOGGLE 'ON' +DOC_GRID_TOGGLE 'ON' +DOC_GRID_SIZE '0.0500' +CHECK_VOLTAGE_ON_HDL 'OFF' +PLOT_FIT_TO_PAGE 'ON' +PAPER_SIZE '9' +PAPER_ORIENTATION '2' +PAPER_SOURCE '15' +WPLOTTER_NAME 'Generic PostScript Printer' +PLOTTER_FACILITY 'DEVICE' +PLOT_EDGE_TO_EDGE 'ON' +END_CONCEPTHDL + +START_PKGRXL +regenerate_physical_net_name 'OFF' +electrical_constraints 'ON' +overwrite_constraints 'OFF' +GEN_SUBDESIGN +force_subdesign 'fmc_tlu_cfd' 'fmc_tlu_vsupply5v' +END_PKGRXL + +START_DESIGNSYNC +replace_symbol '0' +etch_removal 'NO' +ignore_fixed 'NO' +create_user_prop 'NO' +run_packager 'YES' +run_netrev 'YES' +backannotate_forward 'NO' +last_board_file 'fmc_tlu_v1a_66_gloss4a.brd' +run_feedback 'YES' +run_genfeedformat 'YES' +backannotate_feedback 'NO' +END_DESIGNSYNC + +START_BOMHDL +last_output_file './worklib/fmc_tlu_toplevel_b/bom/fmc_tlu_v1a.csv' +last_template_file '/projects/HEP_Instrumentation/cad/tools/cadence_templates/spreadsheet-format.bom' +last_standard_option '1' +last_what_to_output '0' +last_variant_file '' +last_ss_delimiter 'Colon :' +use_filters '0' +last_callout_file '' +last_variant '' +END_BOMHDL + +START_PDF +CURRENTPDFVIEWER '0' +CURRENTPDFVIEWERPATH 'Default' +END_PDF + +START_CONSTRAINT_MGR +EDIT_PHYSICAL_SPACING_CONSTRAINTS 'ON' +END_CONSTRAINT_MGR + diff --git a/circuit_board/Cadence/fmc_tlu_v1c.cpm b/circuit_board/Cadence/fmc_tlu_v1c.cpm index d65a08d719ff355b8c552e6c2253a5fd5d79359c..50253f2aa0a3a9ff0d6fb2afeaeed78f7dc93f0f 100644 --- a/circuit_board/Cadence/fmc_tlu_v1c.cpm +++ b/circuit_board/Cadence/fmc_tlu_v1c.cpm @@ -1,5 +1,5 @@ { Machine generated file created by SPI } -{ Last modified was 14:45:25 Thursday, April 21, 2016 } +{ Last modified was 15:16:35 Friday, May 20, 2016 } { NOTE: Do not modify the contents of this file. If this is regenerated by } { SPI, your modifications will be overwritten. } @@ -40,6 +40,7 @@ electrical_constraints 'ON' overwrite_constraints 'OFF' GEN_SUBDESIGN force_subdesign 'fmc_tlu_cfd' 'fmc_tlu_vsupply5v' +f2b_overwrite_constraints 'ON' END_PKGRXL START_DESIGNSYNC @@ -50,10 +51,11 @@ create_user_prop 'NO' run_packager 'YES' run_netrev 'YES' backannotate_forward 'NO' -last_board_file 'fmc_tlu_v1a_66_gloss4a.brd' +last_board_file 'fmc_tlu_v1c_67.brd' run_feedback 'YES' run_genfeedformat 'YES' backannotate_feedback 'NO' +show_report 'NO' END_DESIGNSYNC START_BOMHDL diff --git a/circuit_board/Cadence/worklib/fmc_tlu_diode_clamp_b/sch_1/fmc_tlu_diode_clamp_b.dcf b/circuit_board/Cadence/worklib/fmc_tlu_diode_clamp_b/sch_1/fmc_tlu_diode_clamp_b.dcf index 37a7269b9a24fef01822fcacca82e666b5f189db..0386805bbb43a178bce9f130a06e9835ace7819e 100755 --- a/circuit_board/Cadence/worklib/fmc_tlu_diode_clamp_b/sch_1/fmc_tlu_diode_clamp_b.dcf +++ b/circuit_board/Cadence/worklib/fmc_tlu_diode_clamp_b/sch_1/fmc_tlu_diode_clamp_b.dcf @@ -7,7 +7,7 @@ ( 16.6 ) ) ( revisionNumber - ( logicalViewRevNum 2 ) + ( logicalViewRevNum 4 ) ( physicalViewRevNum 0 ) ( otherViewRevNum 0 ) ) @@ -544,32 +544,38 @@ ( objectFlag fObjectAlias ) ( objectStatus "page1_gnd_signal" ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):sig0" - ( objectStatus "sig0" ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):sig4_p" + ( objectStatus "sig4_p" ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):sig1" - ( objectStatus "sig1" ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):sig4_n" + ( objectStatus "sig4_n" ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):sig2" - ( objectStatus "sig2" ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):vclamp" + ( objectStatus "vclamp" ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):sig3" - ( objectStatus "sig3" ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):sig0_n" + ( objectStatus "sig0_n" ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):sig4" - ( objectStatus "sig4" ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):sig0_p" + ( objectStatus "sig0_p" ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):sig5" - ( objectStatus "sig5" ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):sig1_n" + ( objectStatus "sig1_n" ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):sig6" - ( objectStatus "sig6" ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):sig1_p" + ( objectStatus "sig1_p" ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):sig7" - ( objectStatus "sig7" ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):sig2_n" + ( objectStatus "sig2_n" ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):vclamp" - ( objectStatus "vclamp" ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):sig2_p" + ( objectStatus "sig2_p" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):sig3_n" + ( objectStatus "sig3_n" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):sig3_p" + ( objectStatus "sig3_p" ) ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):page1_i9" ( attribute "CDS_LIB" "cnpassive" @@ -702,6 +708,145 @@ ( pin "vcc" ) ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):page1_i58" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "0603" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "100NF" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(2575,-1875)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( Origin gPackager ) + ) + ( objectStatus "PAGE1_I58" ) + ( pin "a(0)" + ( attribute "PN" "#" + ( Origin gPackager ) + ) + ) + ( pin "b(0)" + ( attribute "PN" "#" + ( Origin gPackager ) + ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):page1_i70" + ( attribute "CDS_LIB" "cndiscrete" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "D3" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "SOT23" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "TYPE" "USBLC6-2SC6" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(5025,175)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "USBLC6-2" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "USBLC6-2SC6" + ( Origin gPackager ) + ) + ( objectStatus "PAGE1_I70" ) + ( pin "gnd" + ) + ( pin "\i/o1\(0)" + ) + ( pin "\i/o1\(1)" + ) + ( pin "\i/o2\(0)" + ) + ( pin "\i/o2\(1)" + ) + ( pin "vbus" + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):page1_i67" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "0603" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "100NF" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(5975,0)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( Origin gPackager ) + ) + ( objectStatus "PAGE1_I67" ) + ( pin "a(0)" + ( attribute "PN" "#" + ( Origin gPackager ) + ) + ) + ( pin "b(0)" + ( attribute "PN" "#" + ( Origin gPackager ) + ) + ) + ) ) ) ) diff --git a/circuit_board/Cadence/worklib/fmc_tlu_diode_clamp_b/sch_1/fmc_tlu_diode_clamp_b.dcf,1 b/circuit_board/Cadence/worklib/fmc_tlu_diode_clamp_b/sch_1/fmc_tlu_diode_clamp_b.dcf,1 index f21e445cb81df32fca41398f1318d537a02fafb2..1d15fe54b1012d7a918d19de78e6d50e17711c62 100644 --- a/circuit_board/Cadence/worklib/fmc_tlu_diode_clamp_b/sch_1/fmc_tlu_diode_clamp_b.dcf,1 +++ b/circuit_board/Cadence/worklib/fmc_tlu_diode_clamp_b/sch_1/fmc_tlu_diode_clamp_b.dcf,1 @@ -7,7 +7,7 @@ ( 16.6 ) ) ( revisionNumber - ( logicalViewRevNum 0 ) + ( logicalViewRevNum 1 ) ( physicalViewRevNum 0 ) ( otherViewRevNum 0 ) ) diff --git a/circuit_board/Cadence/worklib/fmc_tlu_diode_clamp_b/sch_1/fmc_tlu_diode_clamp_b.dcf,2 b/circuit_board/Cadence/worklib/fmc_tlu_diode_clamp_b/sch_1/fmc_tlu_diode_clamp_b.dcf,2 index 1d15fe54b1012d7a918d19de78e6d50e17711c62..37a7269b9a24fef01822fcacca82e666b5f189db 100755 --- a/circuit_board/Cadence/worklib/fmc_tlu_diode_clamp_b/sch_1/fmc_tlu_diode_clamp_b.dcf,2 +++ b/circuit_board/Cadence/worklib/fmc_tlu_diode_clamp_b/sch_1/fmc_tlu_diode_clamp_b.dcf,2 @@ -7,7 +7,7 @@ ( 16.6 ) ) ( revisionNumber - ( logicalViewRevNum 1 ) + ( logicalViewRevNum 2 ) ( physicalViewRevNum 0 ) ( otherViewRevNum 0 ) ) @@ -536,6 +536,172 @@ ( allRules ) ( design "fmc_tlu_diode_clamp_b" ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):gnd_signal" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):page1_gnd_signal") ) + ( objectStatus "gnd_signal" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):page1_gnd_signal" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_gnd_signal" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):sig0" + ( objectStatus "sig0" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):sig1" + ( objectStatus "sig1" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):sig2" + ( objectStatus "sig2" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):sig3" + ( objectStatus "sig3" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):sig4" + ( objectStatus "sig4" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):sig5" + ( objectStatus "sig5" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):sig6" + ( objectStatus "sig6" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):sig7" + ( objectStatus "sig7" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):vclamp" + ( objectStatus "vclamp" ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):page1_i9" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "0603" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "100NF" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(2175,-1875)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( Origin gPackager ) + ) + ( objectStatus "PAGE1_I9" ) + ( pin "a(0)" + ( attribute "PN" "#" + ( Origin gPackager ) + ) + ) + ( pin "b(0)" + ( attribute "PN" "#" + ( Origin gPackager ) + ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):page1_i55" + ( attribute "CDS_LIB" "cndiscrete" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "SC88" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "TYPE" "PRTR5V0U4Y" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(1025,-250)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "PRTR5V0U4Y" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "PRTR5V0U4Y" + ( Origin gPackager ) + ) + ( objectStatus "PAGE1_I55" ) + ( pin "esd1" + ) + ( pin "esd2" + ) + ( pin "esd3" + ) + ( pin "esd4" + ) + ( pin "gnd" + ) + ( pin "vcc" + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):page1_i56" + ( attribute "CDS_LIB" "cndiscrete" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "SC88" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "TYPE" "PRTR5V0U4Y" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(1050,-2450)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "PRTR5V0U4Y" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "PRTR5V0U4Y" + ( Origin gPackager ) + ) + ( objectStatus "PAGE1_I56" ) + ( pin "esd1" + ) + ( pin "esd2" + ) + ( pin "esd3" + ) + ( pin "esd4" + ) + ( pin "gnd" + ) + ( pin "vcc" + ) + ) ) ) ) diff --git a/circuit_board/Cadence/worklib/fmc_tlu_diode_clamp_b/sch_1/fmc_tlu_diode_clamp_b.xcon b/circuit_board/Cadence/worklib/fmc_tlu_diode_clamp_b/sch_1/fmc_tlu_diode_clamp_b.xcon index 36fad29f360407dd98bab4780d49f5d20854e3f5..2b1c8a9020dd176e9d7cb8f6fc9164ffa8099711 100644 --- a/circuit_board/Cadence/worklib/fmc_tlu_diode_clamp_b/sch_1/fmc_tlu_diode_clamp_b.xcon +++ b/circuit_board/Cadence/worklib/fmc_tlu_diode_clamp_b/sch_1/fmc_tlu_diode_clamp_b.xcon @@ -5,15 +5,15 @@ <schemaVersion>16.6</schemaVersion> <creatorTool>concepthdl</creatorTool> <modifierTool>concepthdl</modifierTool> - <modificationTime>2016-04-22T09:05:35</modificationTime> + <modificationTime>2016-05-18T10:06:29</modificationTime> <savedLibrary>fmc_tlu_v1_lib</savedLibrary> </header> <designs> <design schemaType="nameBased" name="fmc_tlu_diode_clamp_b" view="sch_1"> <lastids> - <instanceid>3</instanceid> - <netid>11</netid> - <insttermid>14</insttermid> + <instanceid>7</instanceid> + <netid>21</netid> + <insttermid>28</insttermid> </lastids> <cells> <cell> @@ -80,6 +80,40 @@ </term> </terms> </cell> + <cell> + <id>S4</id> + <library>cndiscrete</library> + <name>usblc6-2</name> + <view>sym_1</view> + <parameters> + </parameters> + <terms> + <term> + <id>T39</id> + <name>gnd</name> + <direction>input</direction> + </term> + <term> + <id>T40</id> + <name>i/o1</name> + <direction>inout</direction> + <msb>1</msb> + <lsb>0</lsb> + </term> + <term> + <id>T41</id> + <name>i/o2</name> + <direction>inout</direction> + <msb>1</msb> + <lsb>0</lsb> + </term> + <term> + <id>T42</id> + <name>vbus</name> + <direction>input</direction> + </term> + </terms> + </cell> </cells> <nets> <net> @@ -87,58 +121,70 @@ <name>page1_gnd_signal</name> </net> <net> - <id>N3</id> - <name>sig0</name> + <id>N11</id> + <name>vclamp</name> + <scope>interface</scope> + <direction>inout</direction> + </net> + <net> + <id>N12</id> + <name>sig0_n</name> <scope>interface</scope> <direction>input</direction> </net> <net> - <id>N4</id> - <name>sig1</name> + <id>N13</id> + <name>sig0_p</name> <scope>interface</scope> <direction>input</direction> </net> <net> - <id>N5</id> - <name>sig2</name> + <id>N14</id> + <name>sig1_n</name> <scope>interface</scope> <direction>input</direction> </net> <net> - <id>N6</id> - <name>sig3</name> + <id>N15</id> + <name>sig1_p</name> <scope>interface</scope> <direction>input</direction> </net> <net> - <id>N7</id> - <name>sig4</name> + <id>N16</id> + <name>sig2_n</name> <scope>interface</scope> <direction>input</direction> </net> <net> - <id>N8</id> - <name>sig5</name> + <id>N17</id> + <name>sig2_p</name> <scope>interface</scope> <direction>input</direction> </net> <net> - <id>N9</id> - <name>sig6</name> + <id>N18</id> + <name>sig3_n</name> <scope>interface</scope> <direction>input</direction> </net> <net> - <id>N10</id> - <name>sig7</name> + <id>N19</id> + <name>sig3_p</name> <scope>interface</scope> <direction>input</direction> </net> <net> - <id>N11</id> - <name>vclamp</name> + <id>N20</id> + <name>sig4_n</name> <scope>interface</scope> - <direction>inout</direction> + <direction>input</direction> + </net> + <net> + <id>N21</id> + <name>sig4_p</name> + <scope>interface</scope> + <direction>input</direction> </net> <net> <id>N1</id> @@ -212,28 +258,28 @@ <id>M3</id> <termid>T13</termid> <connections> - <connection net="N4" /> + <connection net="N12" /> </connections> </pin> <pin> <id>M4</id> <termid>T14</termid> <connections> - <connection net="N5" /> + <connection net="N15" /> </connections> </pin> <pin> <id>M5</id> <termid>T15</termid> <connections> - <connection net="N6" /> + <connection net="N14" /> </connections> </pin> <pin> <id>M6</id> <termid>T16</termid> <connections> - <connection net="N3" /> + <connection net="N13" /> </connections> </pin> <pin> @@ -275,28 +321,28 @@ <id>M9</id> <termid>T13</termid> <connections> - <connection net="N8" /> + <connection net="N16" /> </connections> </pin> <pin> <id>M10</id> <termid>T14</termid> <connections> - <connection net="N9" /> + <connection net="N19" /> </connections> </pin> <pin> <id>M11</id> <termid>T15</termid> <connections> - <connection net="N10" /> + <connection net="N18" /> </connections> </pin> <pin> <id>M12</id> <termid>T16</termid> <connections> - <connection net="N7" /> + <connection net="N17" /> </connections> </pin> <pin> @@ -323,6 +369,139 @@ <portinterfaces> </portinterfaces> </instance> + <instance> + <id>I4</id> + <cellid>S2</cellid> + <name>page1_i58</name> + <parameters> + </parameters> + <masks> + </masks> + <powers> + </powers> + <pins> + <pin> + <id>M15</id> + <termid>T11</termid> + <msb>0</msb> + <lsb>0</lsb> + <connections> + <connection pinmsb="0" pinlsb="0" net="N1" /> + </connections> + </pin> + <pin> + <id>M16</id> + <termid>T12</termid> + <msb>0</msb> + <lsb>0</lsb> + <connections> + <connection pinmsb="0" pinlsb="0" net="N11" /> + </connections> + </pin> + </pins> + <differentialpins> + </differentialpins> + <differentialbuspins> + </differentialbuspins> + <portgroups> + </portgroups> + <portinterfaces> + </portinterfaces> + </instance> + <instance> + <id>I6</id> + <cellid>S2</cellid> + <name>page1_i67</name> + <parameters> + </parameters> + <masks> + </masks> + <powers> + </powers> + <pins> + <pin> + <id>M23</id> + <termid>T11</termid> + <msb>0</msb> + <lsb>0</lsb> + <connections> + <connection pinmsb="0" pinlsb="0" net="N1" /> + </connections> + </pin> + <pin> + <id>M24</id> + <termid>T12</termid> + <msb>0</msb> + <lsb>0</lsb> + <connections> + <connection pinmsb="0" pinlsb="0" net="N11" /> + </connections> + </pin> + </pins> + <differentialpins> + </differentialpins> + <differentialbuspins> + </differentialbuspins> + <portgroups> + </portgroups> + <portinterfaces> + </portinterfaces> + </instance> + <instance> + <id>I7</id> + <cellid>S4</cellid> + <name>page1_i70</name> + <parameters> + </parameters> + <masks> + </masks> + <powers> + </powers> + <pins> + <pin> + <id>M25</id> + <termid>T39</termid> + <connections> + <connection net="N1" /> + </connections> + </pin> + <pin> + <id>M26</id> + <termid>T40</termid> + <msb>1</msb> + <lsb>0</lsb> + <connections> + <connection pinmsb="1" pinlsb="1" net="N21" /> + <connection pinmsb="0" pinlsb="0" net="N21" /> + </connections> + </pin> + <pin> + <id>M27</id> + <termid>T41</termid> + <msb>1</msb> + <lsb>0</lsb> + <connections> + <connection pinmsb="1" pinlsb="1" net="N20" /> + <connection pinmsb="0" pinlsb="0" net="N20" /> + </connections> + </pin> + <pin> + <id>M28</id> + <termid>T42</termid> + <connections> + <connection net="N11" /> + </connections> + </pin> + </pins> + <differentialpins> + </differentialpins> + <differentialbuspins> + </differentialbuspins> + <portgroups> + </portgroups> + <portinterfaces> + </portinterfaces> + </instance> </instances> <templateresolutions> </templateresolutions> @@ -337,49 +516,61 @@ <scope>global</scope> </pageScope> </netScope> - <netScope ref="sig0"> + <netScope ref="sig0_n"> + <pageScope number="1"> + <scope>interface</scope> + <direction>input</direction> + </pageScope> + </netScope> + <netScope ref="sig0_p"> + <pageScope number="1"> + <scope>interface</scope> + <direction>input</direction> + </pageScope> + </netScope> + <netScope ref="sig1_n"> <pageScope number="1"> <scope>interface</scope> <direction>input</direction> </pageScope> </netScope> - <netScope ref="sig1"> + <netScope ref="sig1_p"> <pageScope number="1"> <scope>interface</scope> <direction>input</direction> </pageScope> </netScope> - <netScope ref="sig2"> + <netScope ref="sig2_n"> <pageScope number="1"> <scope>interface</scope> <direction>input</direction> </pageScope> </netScope> - <netScope ref="sig3"> + <netScope ref="sig2_p"> <pageScope number="1"> <scope>interface</scope> <direction>input</direction> </pageScope> </netScope> - <netScope ref="sig4"> + <netScope ref="sig3_n"> <pageScope number="1"> <scope>interface</scope> <direction>input</direction> </pageScope> </netScope> - <netScope ref="sig5"> + <netScope ref="sig3_p"> <pageScope number="1"> <scope>interface</scope> <direction>input</direction> </pageScope> </netScope> - <netScope ref="sig6"> + <netScope ref="sig4_n"> <pageScope number="1"> <scope>interface</scope> <direction>input</direction> </pageScope> </netScope> - <netScope ref="sig7"> + <netScope ref="sig4_p"> <pageScope number="1"> <scope>interface</scope> <direction>input</direction> @@ -398,20 +589,25 @@ <errorStatus>false</errorStatus> <nets> <net ref="gnd_signal"></net> - <net ref="sig0"></net> - <net ref="sig1"></net> - <net ref="sig2"></net> - <net ref="sig3"></net> - <net ref="sig4"></net> - <net ref="sig5"></net> - <net ref="sig6"></net> - <net ref="sig7"></net> + <net ref="sig0_n"></net> + <net ref="sig0_p"></net> + <net ref="sig1_n"></net> + <net ref="sig1_p"></net> + <net ref="sig2_n"></net> + <net ref="sig2_p"></net> + <net ref="sig3_n"></net> + <net ref="sig3_p"></net> + <net ref="sig4_n"></net> + <net ref="sig4_p"></net> <net ref="vclamp"></net> </nets> <instances> <instance ref="i9"></instance> <instance ref="i55"></instance> <instance ref="i56"></instance> + <instance ref="i58"></instance> + <instance ref="i67"></instance> + <instance ref="i70"></instance> </instances> </page> </pages> diff --git a/circuit_board/Cadence/worklib/fmc_tlu_diode_clamp_b/sch_1/page1.cpc b/circuit_board/Cadence/worklib/fmc_tlu_diode_clamp_b/sch_1/page1.cpc index dd9078b5c7cc5268f5e0912bb72ffc929aec076b..e21bc789f2904abcd475a1786dda5acdffc74bc1 100644 --- a/circuit_board/Cadence/worklib/fmc_tlu_diode_clamp_b/sch_1/page1.cpc +++ b/circuit_board/Cadence/worklib/fmc_tlu_diode_clamp_b/sch_1/page1.cpc @@ -43,6 +43,30 @@ #ISCELL standard gnd_signal * page1_i57 +#CELL + cnpassive capcersmdcl2 * + page1_i58 +#ISCELL + standard gnd_signal * + page1_i59 +#ISCELL + standard inport * + page1_i64 +#ISCELL + standard inport * + page1_i65 +#CELL + cnpassive capcersmdcl2 * + page1_i67 +#ISCELL + standard gnd_signal * + page1_i68 +#CELL + cndiscrete usblc6-2 * + page1_i70 +#ISCELL + standard gnd_signal * + page1_i71 #CELL cnpassive capcersmdcl2 * page1_i9 diff --git a/circuit_board/Cadence/worklib/fmc_tlu_diode_clamp_b/sch_1/page1.csa b/circuit_board/Cadence/worklib/fmc_tlu_diode_clamp_b/sch_1/page1.csa index 3dff5533038b128e13b58edbb9220fe7670a84d4..4b1fc8cfe66478edcea1748c55dfebf9ac9753d5 100644 --- a/circuit_board/Cadence/worklib/fmc_tlu_diode_clamp_b/sch_1/page1.csa +++ b/circuit_board/Cadence/worklib/fmc_tlu_diode_clamp_b/sch_1/page1.csa @@ -9,228 +9,228 @@ SET PROP_DISPLAY VALUE; SET PAGE_NUMBER P1; FORCEADD INPORT..1 (-850 550); -FORCEPROP 2 LAST CDS_LIB standard +FORCEPROP 1 LAST OFFPAGE TRUE J 0 -(-850 550); -DISPLAY INVISIBLE (-850 550); -FORCEPROP 1 LAST PATH I24 +(-525 425); +DISPLAY 0.872340 (-525 425); +DISPLAY INVISIBLE (-525 425); +FORCEPROP 1 LASTPIN (-800 550) HDL_PORT IN J 0 -(-875 600); -DISPLAY 0.872340 (-875 600); -PAINT PINK (-875 600); -DISPLAY INVISIBLE (-875 600); +(-525 425); +DISPLAY 0.872340 (-525 425); +DISPLAY INVISIBLE (-525 425); FORCEPROP 1 LASTPIN (-800 550) VHDL_PORT IN J 0 (-785 480); DISPLAY 0.872340 (-785 480); PAINT PINK (-785 480); DISPLAY INVISIBLE (-785 480); -FORCEPROP 1 LASTPIN (-800 550) HDL_PORT IN +FORCEPROP 1 LAST PATH I24 J 0 -(-525 425); -DISPLAY 0.872340 (-525 425); -DISPLAY INVISIBLE (-525 425); -FORCEPROP 1 LAST OFFPAGE TRUE +(-875 600); +DISPLAY 0.872340 (-875 600); +PAINT PINK (-875 600); +DISPLAY INVISIBLE (-875 600); +FORCEPROP 2 LAST CDS_LIB standard J 0 -(-525 425); -DISPLAY 0.872340 (-525 425); -DISPLAY INVISIBLE (-525 425); +(-850 550); +DISPLAY INVISIBLE (-850 550); FORCEADD INPORT..1 (-850 0); -FORCEPROP 2 LAST CDS_LIB standard +FORCEPROP 1 LAST OFFPAGE TRUE J 0 -(-850 0); -DISPLAY INVISIBLE (-850 0); -FORCEPROP 1 LAST PATH I25 +(-525 -125); +DISPLAY 0.872340 (-525 -125); +DISPLAY INVISIBLE (-525 -125); +FORCEPROP 1 LASTPIN (-800 0) HDL_PORT IN J 0 -(-875 50); -DISPLAY 0.872340 (-875 50); -PAINT PINK (-875 50); -DISPLAY INVISIBLE (-875 50); +(-525 -125); +DISPLAY 0.872340 (-525 -125); +DISPLAY INVISIBLE (-525 -125); FORCEPROP 1 LASTPIN (-800 0) VHDL_PORT IN J 0 (-785 -70); DISPLAY 0.872340 (-785 -70); PAINT PINK (-785 -70); DISPLAY INVISIBLE (-785 -70); -FORCEPROP 1 LASTPIN (-800 0) HDL_PORT IN +FORCEPROP 1 LAST PATH I25 J 0 -(-525 -125); -DISPLAY 0.872340 (-525 -125); -DISPLAY INVISIBLE (-525 -125); -FORCEPROP 1 LAST OFFPAGE TRUE +(-875 50); +DISPLAY 0.872340 (-875 50); +PAINT PINK (-875 50); +DISPLAY INVISIBLE (-875 50); +FORCEPROP 2 LAST CDS_LIB standard J 0 -(-525 -125); -DISPLAY 0.872340 (-525 -125); -DISPLAY INVISIBLE (-525 -125); +(-850 0); +DISPLAY INVISIBLE (-850 0); FORCEADD INPORT..1 (-850 -550); -FORCEPROP 2 LAST CDS_LIB standard +FORCEPROP 1 LAST OFFPAGE TRUE J 0 -(-850 -550); -DISPLAY INVISIBLE (-850 -550); -FORCEPROP 1 LAST PATH I26 +(-525 -675); +DISPLAY 0.872340 (-525 -675); +DISPLAY INVISIBLE (-525 -675); +FORCEPROP 1 LASTPIN (-800 -550) HDL_PORT IN J 0 -(-875 -500); -DISPLAY 0.872340 (-875 -500); -PAINT PINK (-875 -500); -DISPLAY INVISIBLE (-875 -500); +(-525 -675); +DISPLAY 0.872340 (-525 -675); +DISPLAY INVISIBLE (-525 -675); FORCEPROP 1 LASTPIN (-800 -550) VHDL_PORT IN J 0 (-785 -620); DISPLAY 0.872340 (-785 -620); PAINT PINK (-785 -620); DISPLAY INVISIBLE (-785 -620); -FORCEPROP 1 LASTPIN (-800 -550) HDL_PORT IN +FORCEPROP 1 LAST PATH I26 J 0 -(-525 -675); -DISPLAY 0.872340 (-525 -675); -DISPLAY INVISIBLE (-525 -675); -FORCEPROP 1 LAST OFFPAGE TRUE +(-875 -500); +DISPLAY 0.872340 (-875 -500); +PAINT PINK (-875 -500); +DISPLAY INVISIBLE (-875 -500); +FORCEPROP 2 LAST CDS_LIB standard J 0 -(-525 -675); -DISPLAY 0.872340 (-525 -675); -DISPLAY INVISIBLE (-525 -675); +(-850 -550); +DISPLAY INVISIBLE (-850 -550); FORCEADD INPORT..1 (-850 -1100); -FORCEPROP 2 LAST CDS_LIB standard +FORCEPROP 1 LAST OFFPAGE TRUE J 0 -(-850 -1100); -DISPLAY INVISIBLE (-850 -1100); -FORCEPROP 1 LAST PATH I27 +(-525 -1225); +DISPLAY 0.872340 (-525 -1225); +DISPLAY INVISIBLE (-525 -1225); +FORCEPROP 1 LASTPIN (-800 -1100) HDL_PORT IN J 0 -(-875 -1050); -DISPLAY 0.872340 (-875 -1050); -PAINT PINK (-875 -1050); -DISPLAY INVISIBLE (-875 -1050); +(-525 -1225); +DISPLAY 0.872340 (-525 -1225); +DISPLAY INVISIBLE (-525 -1225); FORCEPROP 1 LASTPIN (-800 -1100) VHDL_PORT IN J 0 (-785 -1170); DISPLAY 0.872340 (-785 -1170); PAINT PINK (-785 -1170); DISPLAY INVISIBLE (-785 -1170); -FORCEPROP 1 LASTPIN (-800 -1100) HDL_PORT IN +FORCEPROP 1 LAST PATH I27 J 0 -(-525 -1225); -DISPLAY 0.872340 (-525 -1225); -DISPLAY INVISIBLE (-525 -1225); -FORCEPROP 1 LAST OFFPAGE TRUE +(-875 -1050); +DISPLAY 0.872340 (-875 -1050); +PAINT PINK (-875 -1050); +DISPLAY INVISIBLE (-875 -1050); +FORCEPROP 2 LAST CDS_LIB standard J 0 -(-525 -1225); -DISPLAY 0.872340 (-525 -1225); -DISPLAY INVISIBLE (-525 -1225); +(-850 -1100); +DISPLAY INVISIBLE (-850 -1100); FORCEADD INPORT..1 (-850 -1650); -FORCEPROP 2 LAST CDS_LIB standard +FORCEPROP 1 LAST OFFPAGE TRUE J 0 -(-850 -1650); -DISPLAY INVISIBLE (-850 -1650); -FORCEPROP 1 LAST PATH I28 +(-525 -1775); +DISPLAY 0.872340 (-525 -1775); +DISPLAY INVISIBLE (-525 -1775); +FORCEPROP 1 LASTPIN (-800 -1650) HDL_PORT IN J 0 -(-875 -1600); -DISPLAY 0.872340 (-875 -1600); -PAINT PINK (-875 -1600); -DISPLAY INVISIBLE (-875 -1600); +(-525 -1775); +DISPLAY 0.872340 (-525 -1775); +DISPLAY INVISIBLE (-525 -1775); FORCEPROP 1 LASTPIN (-800 -1650) VHDL_PORT IN J 0 (-785 -1720); DISPLAY 0.872340 (-785 -1720); PAINT PINK (-785 -1720); DISPLAY INVISIBLE (-785 -1720); -FORCEPROP 1 LASTPIN (-800 -1650) HDL_PORT IN +FORCEPROP 1 LAST PATH I28 J 0 -(-525 -1775); -DISPLAY 0.872340 (-525 -1775); -DISPLAY INVISIBLE (-525 -1775); -FORCEPROP 1 LAST OFFPAGE TRUE +(-875 -1600); +DISPLAY 0.872340 (-875 -1600); +PAINT PINK (-875 -1600); +DISPLAY INVISIBLE (-875 -1600); +FORCEPROP 2 LAST CDS_LIB standard J 0 -(-525 -1775); -DISPLAY 0.872340 (-525 -1775); -DISPLAY INVISIBLE (-525 -1775); +(-850 -1650); +DISPLAY INVISIBLE (-850 -1650); FORCEADD INPORT..1 (-850 -2200); -FORCEPROP 2 LAST CDS_LIB standard +FORCEPROP 1 LAST OFFPAGE TRUE J 0 -(-850 -2200); -DISPLAY INVISIBLE (-850 -2200); -FORCEPROP 1 LAST PATH I29 +(-525 -2325); +DISPLAY 0.872340 (-525 -2325); +DISPLAY INVISIBLE (-525 -2325); +FORCEPROP 1 LASTPIN (-800 -2200) HDL_PORT IN J 0 -(-875 -2150); -DISPLAY 0.872340 (-875 -2150); -PAINT PINK (-875 -2150); -DISPLAY INVISIBLE (-875 -2150); +(-525 -2325); +DISPLAY 0.872340 (-525 -2325); +DISPLAY INVISIBLE (-525 -2325); FORCEPROP 1 LASTPIN (-800 -2200) VHDL_PORT IN J 0 (-785 -2270); DISPLAY 0.872340 (-785 -2270); PAINT PINK (-785 -2270); DISPLAY INVISIBLE (-785 -2270); -FORCEPROP 1 LASTPIN (-800 -2200) HDL_PORT IN +FORCEPROP 1 LAST PATH I29 J 0 -(-525 -2325); -DISPLAY 0.872340 (-525 -2325); -DISPLAY INVISIBLE (-525 -2325); -FORCEPROP 1 LAST OFFPAGE TRUE +(-875 -2150); +DISPLAY 0.872340 (-875 -2150); +PAINT PINK (-875 -2150); +DISPLAY INVISIBLE (-875 -2150); +FORCEPROP 2 LAST CDS_LIB standard J 0 -(-525 -2325); -DISPLAY 0.872340 (-525 -2325); -DISPLAY INVISIBLE (-525 -2325); +(-850 -2200); +DISPLAY INVISIBLE (-850 -2200); FORCEADD INPORT..1 (-850 -2750); -FORCEPROP 2 LAST CDS_LIB standard +FORCEPROP 1 LAST OFFPAGE TRUE J 0 -(-850 -2750); -DISPLAY INVISIBLE (-850 -2750); -FORCEPROP 1 LAST PATH I30 +(-525 -2875); +DISPLAY 0.872340 (-525 -2875); +DISPLAY INVISIBLE (-525 -2875); +FORCEPROP 1 LASTPIN (-800 -2750) HDL_PORT IN J 0 -(-875 -2700); -DISPLAY 0.872340 (-875 -2700); -PAINT PINK (-875 -2700); -DISPLAY INVISIBLE (-875 -2700); +(-525 -2875); +DISPLAY 0.872340 (-525 -2875); +DISPLAY INVISIBLE (-525 -2875); FORCEPROP 1 LASTPIN (-800 -2750) VHDL_PORT IN J 0 (-785 -2820); DISPLAY 0.872340 (-785 -2820); PAINT PINK (-785 -2820); DISPLAY INVISIBLE (-785 -2820); -FORCEPROP 1 LASTPIN (-800 -2750) HDL_PORT IN +FORCEPROP 1 LAST PATH I30 J 0 -(-525 -2875); -DISPLAY 0.872340 (-525 -2875); -DISPLAY INVISIBLE (-525 -2875); -FORCEPROP 1 LAST OFFPAGE TRUE +(-875 -2700); +DISPLAY 0.872340 (-875 -2700); +PAINT PINK (-875 -2700); +DISPLAY INVISIBLE (-875 -2700); +FORCEPROP 2 LAST CDS_LIB standard J 0 -(-525 -2875); -DISPLAY 0.872340 (-525 -2875); -DISPLAY INVISIBLE (-525 -2875); +(-850 -2750); +DISPLAY INVISIBLE (-850 -2750); FORCEADD INPORT..1 (-850 -3300); -FORCEPROP 2 LAST CDS_LIB standard +FORCEPROP 1 LAST OFFPAGE TRUE J 0 -(-850 -3300); -DISPLAY INVISIBLE (-850 -3300); -FORCEPROP 1 LAST PATH I31 +(-525 -3425); +DISPLAY 0.872340 (-525 -3425); +DISPLAY INVISIBLE (-525 -3425); +FORCEPROP 1 LASTPIN (-800 -3300) HDL_PORT IN J 0 -(-875 -3250); -DISPLAY 0.872340 (-875 -3250); -PAINT PINK (-875 -3250); -DISPLAY INVISIBLE (-875 -3250); +(-525 -3425); +DISPLAY 0.872340 (-525 -3425); +DISPLAY INVISIBLE (-525 -3425); FORCEPROP 1 LASTPIN (-800 -3300) VHDL_PORT IN J 0 (-785 -3370); DISPLAY 0.872340 (-785 -3370); PAINT PINK (-785 -3370); DISPLAY INVISIBLE (-785 -3370); -FORCEPROP 1 LASTPIN (-800 -3300) HDL_PORT IN +FORCEPROP 1 LAST PATH I31 J 0 -(-525 -3425); -DISPLAY 0.872340 (-525 -3425); -DISPLAY INVISIBLE (-525 -3425); -FORCEPROP 1 LAST OFFPAGE TRUE +(-875 -3250); +DISPLAY 0.872340 (-875 -3250); +PAINT PINK (-875 -3250); +DISPLAY INVISIBLE (-875 -3250); +FORCEPROP 2 LAST CDS_LIB standard J 0 -(-525 -3425); -DISPLAY 0.872340 (-525 -3425); -DISPLAY INVISIBLE (-525 -3425); +(-850 -3300); +DISPLAY INVISIBLE (-850 -3300); FORCEADD GND_SIGNAL..1 (2125 -2175); FORCEPROP 3 LASTPIN (2175 -2125) SIG_NAME GND_SIGNAL\g @@ -239,24 +239,24 @@ J 0 DISPLAY 0.659574 (2185 -2115); PAINT MONO (2185 -2115); DISPLAY INVISIBLE (2185 -2115); -FORCEPROP 1 LAST HDL_POWER GND_SIGNAL +FORCEPROP 2 LAST PATH I42 J 0 -(2125 -2125); -DISPLAY 0.978723 (2125 -2125); -DISPLAY INVISIBLE (2125 -2125); +(2075 -2075); +DISPLAY 1.021277 (2075 -2075); +FORCEPROP 2 LAST CDS_LIB standard +J 0 +(2125 -2175); +DISPLAY INVISIBLE (2125 -2175); FORCEPROP 1 LAST BODY_TYPE PLUMBING J 0 (2125 -2025); DISPLAY 0.978723 (2125 -2025); DISPLAY INVISIBLE (2125 -2025); -FORCEPROP 2 LAST CDS_LIB standard -J 0 -(2125 -2175); -DISPLAY INVISIBLE (2125 -2175); -FORCEPROP 2 LAST PATH I42 +FORCEPROP 1 LAST HDL_POWER GND_SIGNAL J 0 -(2075 -2075); -DISPLAY 1.021277 (2075 -2075); +(2125 -2125); +DISPLAY 0.978723 (2125 -2125); +DISPLAY INVISIBLE (2125 -2125); FORCEADD GND_SIGNAL..1 (575 -525); FORCEPROP 3 LASTPIN (625 -475) SIG_NAME GND_SIGNAL\g @@ -265,6 +265,10 @@ J 0 DISPLAY 0.659574 (635 -465); PAINT MONO (635 -465); DISPLAY INVISIBLE (635 -465); +FORCEPROP 2 LAST PATH I53 +J 0 +(525 -450); +DISPLAY 1.021277 (525 -450); FORCEPROP 1 LAST HDL_POWER GND_SIGNAL J 0 (575 -475); @@ -279,88 +283,84 @@ FORCEPROP 2 LAST CDS_LIB standard J 0 (575 -525); DISPLAY INVISIBLE (575 -525); -FORCEPROP 2 LAST PATH I53 -J 0 -(525 -450); -DISPLAY 1.021277 (525 -450); FORCEADD IOPORT..1 (2850 -1450); -FORCEPROP 2 LAST CDS_LIB standard -J 0 -(2850 -1450); -DISPLAY INVISIBLE (2850 -1450); -FORCEPROP 1 LAST PATH I54 -J 0 -(2850 -1400); -DISPLAY 0.872340 (2850 -1400); -PAINT PINK (2850 -1400); -DISPLAY INVISIBLE (2850 -1400); -FORCEPROP 1 LAST OFFPAGE TRUE +FORCEPROP 1 LASTPIN (2800 -1450) VHDL_PORT INOUT J 0 -(2875 -1350); -DISPLAY INVISIBLE (2875 -1350); +(2815 -1520); +DISPLAY 0.872340 (2815 -1520); +PAINT MONO (2815 -1520); +DISPLAY INVISIBLE (2815 -1520); FORCEPROP 1 LASTPIN (2800 -1450) HDL_PORT INOUT J 0 (3175 -1575); DISPLAY 0.872340 (3175 -1575); PAINT MONO (3175 -1575); DISPLAY INVISIBLE (3175 -1575); -FORCEPROP 1 LASTPIN (2800 -1450) VHDL_PORT INOUT +FORCEPROP 1 LAST OFFPAGE TRUE J 0 -(2815 -1520); -DISPLAY 0.872340 (2815 -1520); -PAINT MONO (2815 -1520); -DISPLAY INVISIBLE (2815 -1520); -FORCEADD PRTR5V0U4Y..1 -(1025 -250); -FORCEPROP 1 LAST $LOCATION D? -J 1 -(1030 18); -DISPLAY 0.723404 (1030 18); -PAINT WHITE (1030 18); -FORCEPROP 1 LAST PATH I55 -J 1 -(1030 -267); -DISPLAY 0.723404 (1030 -267); -PAINT WHITE (1030 -267); -DISPLAY INVISIBLE (1030 -267); -FORCEPROP 2 LAST CDS_LIB cndiscrete +(2875 -1350); +DISPLAY INVISIBLE (2875 -1350); +FORCEPROP 1 LAST PATH I54 J 0 +(2850 -1400); +DISPLAY 0.872340 (2850 -1400); +PAINT PINK (2850 -1400); +DISPLAY INVISIBLE (2850 -1400); +FORCEPROP 2 LAST CDS_LIB standard +J 0 +(2850 -1450); +DISPLAY INVISIBLE (2850 -1450); +FORCEADD PRTR5V0U4Y..1 (1025 -250); -DISPLAY INVISIBLE (1025 -250); FORCEPROP 1 LAST TYPE PRTR5V0U4Y J 1 (1030 -22); DISPLAY 0.723404 (1030 -22); PAINT WHITE (1030 -22); +FORCEPROP 1 LAST $LOCATION D? +J 1 +(1030 18); +DISPLAY 0.723404 (1030 18); +PAINT WHITE (1030 18); FORCEPROP 1 LAST PACK_TYPE SC88 J 1 (1030 -498); DISPLAY 0.723404 (1030 -498); PAINT WHITE (1030 -498); +FORCEPROP 2 LAST CDS_LIB cndiscrete +J 0 +(1025 -250); +DISPLAY INVISIBLE (1025 -250); +FORCEPROP 1 LAST PATH I55 +J 1 +(1030 -267); +DISPLAY 0.723404 (1030 -267); +PAINT WHITE (1030 -267); +DISPLAY INVISIBLE (1030 -267); FORCEADD PRTR5V0U4Y..1 (1050 -2450); -FORCEPROP 1 LAST PATH I56 -J 1 -(1055 -2467); -DISPLAY 0.723404 (1055 -2467); -PAINT WHITE (1055 -2467); -DISPLAY INVISIBLE (1055 -2467); FORCEPROP 1 LAST $LOCATION D? J 1 (1055 -2182); DISPLAY 0.723404 (1055 -2182); PAINT WHITE (1055 -2182); +FORCEPROP 1 LAST PACK_TYPE SC88 +J 1 +(1055 -2698); +DISPLAY 0.723404 (1055 -2698); +PAINT WHITE (1055 -2698); FORCEPROP 1 LAST TYPE PRTR5V0U4Y J 1 (1055 -2222); DISPLAY 0.723404 (1055 -2222); PAINT WHITE (1055 -2222); -FORCEPROP 1 LAST PACK_TYPE SC88 +FORCEPROP 1 LAST PATH I56 J 1 -(1055 -2698); -DISPLAY 0.723404 (1055 -2698); -PAINT WHITE (1055 -2698); +(1055 -2467); +DISPLAY 0.723404 (1055 -2467); +PAINT WHITE (1055 -2467); +DISPLAY INVISIBLE (1055 -2467); FORCEPROP 2 LAST CDS_LIB cndiscrete J 0 (1050 -2450); @@ -377,51 +377,305 @@ FORCEPROP 2 LAST PATH I57 J 0 (550 -2650); DISPLAY 1.021277 (550 -2650); +FORCEPROP 2 LAST CDS_LIB standard +J 0 +(600 -2725); +DISPLAY INVISIBLE (600 -2725); +FORCEPROP 1 LAST BODY_TYPE PLUMBING +J 0 +(600 -2575); +DISPLAY 0.978723 (600 -2575); +DISPLAY INVISIBLE (600 -2575); FORCEPROP 1 LAST HDL_POWER GND_SIGNAL J 0 (600 -2675); DISPLAY 0.978723 (600 -2675); DISPLAY INVISIBLE (600 -2675); +FORCEADD CAPCERSMDCL2..1 +R 1 +(2575 -1875); +FORCEPROP 1 LAST PATH I58 +R 1 +J 0 +(2475 -1850); +DISPLAY 0.723404 (2475 -1850); +DISPLAY INVISIBLE (2475 -1850); +FORCEPROP 1 LAST VALUE 100NF +R 1 +J 1 +(2675 -1875); +DISPLAY 0.723404 (2675 -1875); +FORCEPROP 1 LAST VOLTAGE 16V +R 1 +J 1 +(2725 -1875); +DISPLAY 0.723404 (2725 -1875); +FORCEPROP 1 LAST $LOCATION C? +R 1 +J 0 +(2525 -1900); +DISPLAY 0.723404 (2525 -1900); +FORCEPROP 1 LAST SIZE 1 +R 1 +J 0 +(2645 -1895); +DISPLAY 0.702128 (2645 -1895); +PAINT WHITE (2645 -1895); +DISPLAY INVISIBLE (2645 -1895); +FORCEPROP 2 LAST CDS_LIB cnpassive +J 0 +(2575 -1875); +DISPLAY INVISIBLE (2575 -1875); +FORCEPROP 1 LAST PACK_TYPE 0603 +R 1 +J 1 +(2775 -1875); +DISPLAY 0.723404 (2775 -1875); +DISPLAY INVISIBLE (2775 -1875); +FORCEPROP 1 LASTPIN (2575 -1975) $PN # +R 1 +J 2 +(2550 -1975); +DISPLAY 0.723404 (2550 -1975); +DISPLAY INVISIBLE (2550 -1975); +FORCEPROP 1 LASTPIN (2575 -1775) $PN # +R 1 +J 0 +(2550 -1775); +DISPLAY 0.723404 (2550 -1775); +DISPLAY INVISIBLE (2550 -1775); +FORCEADD GND_SIGNAL..1 +(2525 -2175); +FORCEPROP 3 LASTPIN (2575 -2125) SIG_NAME GND_SIGNAL\g +J 0 +(2585 -2115); +DISPLAY 0.659574 (2585 -2115); +PAINT MONO (2585 -2115); +DISPLAY INVISIBLE (2585 -2115); +FORCEPROP 2 LAST PATH I59 +J 0 +(2475 -2100); +DISPLAY 1.021277 (2475 -2100); +FORCEPROP 2 LAST CDS_LIB standard +J 0 +(2525 -2175); +DISPLAY INVISIBLE (2525 -2175); FORCEPROP 1 LAST BODY_TYPE PLUMBING J 0 -(600 -2575); -DISPLAY 0.978723 (600 -2575); -DISPLAY INVISIBLE (600 -2575); +(2525 -2025); +DISPLAY 0.978723 (2525 -2025); +DISPLAY INVISIBLE (2525 -2025); +FORCEPROP 1 LAST HDL_POWER GND_SIGNAL +J 0 +(2525 -2125); +DISPLAY 0.978723 (2525 -2125); +DISPLAY INVISIBLE (2525 -2125); +FORCEADD INPORT..1 +(3200 275); FORCEPROP 2 LAST CDS_LIB standard J 0 -(600 -2725); -DISPLAY INVISIBLE (600 -2725); +(3200 275); +DISPLAY INVISIBLE (3200 275); +FORCEPROP 1 LASTPIN (3250 275) VHDL_PORT IN +J 0 +(3265 205); +DISPLAY 0.872340 (3265 205); +PAINT PINK (3265 205); +DISPLAY INVISIBLE (3265 205); +FORCEPROP 1 LASTPIN (3250 275) HDL_PORT IN +J 0 +(3525 150); +DISPLAY 0.872340 (3525 150); +DISPLAY INVISIBLE (3525 150); +FORCEPROP 1 LAST OFFPAGE TRUE +J 0 +(3525 150); +DISPLAY 0.872340 (3525 150); +DISPLAY INVISIBLE (3525 150); +FORCEPROP 1 LAST PATH I64 +J 0 +(3175 325); +DISPLAY 0.872340 (3175 325); +PAINT PINK (3175 325); +DISPLAY INVISIBLE (3175 325); +FORCEADD INPORT..1 +(3200 75); +FORCEPROP 2 LAST CDS_LIB standard +J 0 +(3200 75); +DISPLAY INVISIBLE (3200 75); +FORCEPROP 1 LASTPIN (3250 75) VHDL_PORT IN +J 0 +(3265 5); +DISPLAY 0.872340 (3265 5); +PAINT PINK (3265 5); +DISPLAY INVISIBLE (3265 5); +FORCEPROP 1 LASTPIN (3250 75) HDL_PORT IN +J 0 +(3525 -50); +DISPLAY 0.872340 (3525 -50); +DISPLAY INVISIBLE (3525 -50); +FORCEPROP 1 LAST OFFPAGE TRUE +J 0 +(3525 -50); +DISPLAY 0.872340 (3525 -50); +DISPLAY INVISIBLE (3525 -50); +FORCEPROP 1 LAST PATH I65 +J 0 +(3175 125); +DISPLAY 0.872340 (3175 125); +PAINT PINK (3175 125); +DISPLAY INVISIBLE (3175 125); FORCEADD CAPCERSMDCL2..1 R 1 -(2175 -1875); -FORCEPROP 1 LASTPIN (2175 -1775) $PN # +(5975 0); +FORCEPROP 1 LASTPIN (5975 100) $PN # R 1 J 0 -(2150 -1775); -DISPLAY 0.723404 (2150 -1775); -DISPLAY INVISIBLE (2150 -1775); -FORCEPROP 1 LASTPIN (2175 -1975) $PN # +(5950 100); +DISPLAY 0.723404 (5950 100); +DISPLAY INVISIBLE (5950 100); +FORCEPROP 1 LASTPIN (5975 -100) $PN # R 1 J 2 -(2150 -1975); -DISPLAY 0.723404 (2150 -1975); -DISPLAY INVISIBLE (2150 -1975); +(5950 -100); +DISPLAY 0.723404 (5950 -100); +DISPLAY INVISIBLE (5950 -100); FORCEPROP 1 LAST PACK_TYPE 0603 R 1 J 1 -(2375 -1875); -DISPLAY 0.723404 (2375 -1875); -DISPLAY INVISIBLE (2375 -1875); +(6175 0); +DISPLAY 0.723404 (6175 0); +DISPLAY INVISIBLE (6175 0); FORCEPROP 2 LAST CDS_LIB cnpassive J 0 +(5975 0); +DISPLAY INVISIBLE (5975 0); +FORCEPROP 1 LAST SIZE 1 +R 1 +J 0 +(6045 -20); +DISPLAY 0.702128 (6045 -20); +PAINT WHITE (6045 -20); +DISPLAY INVISIBLE (6045 -20); +FORCEPROP 1 LAST $LOCATION C? +R 1 +J 0 +(5925 -25); +DISPLAY 0.723404 (5925 -25); +FORCEPROP 1 LAST VOLTAGE 16V +R 1 +J 1 +(6125 0); +DISPLAY 0.723404 (6125 0); +FORCEPROP 1 LAST VALUE 100NF +R 1 +J 1 +(6075 0); +DISPLAY 0.723404 (6075 0); +FORCEPROP 1 LAST PATH I67 +R 1 +J 0 +(5875 25); +DISPLAY 0.723404 (5875 25); +DISPLAY INVISIBLE (5875 25); +FORCEADD GND_SIGNAL..1 +(5925 -300); +FORCEPROP 3 LASTPIN (5975 -250) SIG_NAME GND_SIGNAL\g +J 0 +(5985 -240); +DISPLAY 0.659574 (5985 -240); +PAINT MONO (5985 -240); +DISPLAY INVISIBLE (5985 -240); +FORCEPROP 1 LAST HDL_POWER GND_SIGNAL +J 0 +(5925 -250); +DISPLAY 0.978723 (5925 -250); +DISPLAY INVISIBLE (5925 -250); +FORCEPROP 1 LAST BODY_TYPE PLUMBING +J 0 +(5925 -150); +DISPLAY 0.978723 (5925 -150); +DISPLAY INVISIBLE (5925 -150); +FORCEPROP 2 LAST CDS_LIB standard +J 0 +(5925 -300); +DISPLAY INVISIBLE (5925 -300); +FORCEPROP 2 LAST PATH I68 +J 0 +(5875 -225); +DISPLAY 1.021277 (5875 -225); +FORCEADD USBLC6-2..1 +(5025 175); +FORCEPROP 2 LAST CDS_LIB cndiscrete +J 0 +(5025 175); +DISPLAY INVISIBLE (5025 175); +FORCEPROP 1 LAST $LOCATION D3 +J 1 +(5030 393); +DISPLAY 0.723404 (5030 393); +PAINT WHITE (5030 393); +FORCEPROP 1 LAST TYPE USBLC6-2SC6 +J 1 +(5030 338); +DISPLAY 0.723404 (5030 338); +PAINT WHITE (5030 338); +FORCEPROP 1 LAST PACK_TYPE SOT23 +J 1 +(5030 -23); +DISPLAY 0.723404 (5030 -23); +PAINT WHITE (5030 -23); +FORCEPROP 1 LAST PATH I70 +J 1 +(5030 158); +DISPLAY 0.723404 (5030 158); +PAINT WHITE (5030 158); +DISPLAY INVISIBLE (5030 158); +FORCEADD GND_SIGNAL..1 +(4675 -75); +FORCEPROP 3 LASTPIN (4725 -25) SIG_NAME GND_SIGNAL\g +J 0 +(4735 -15); +DISPLAY 0.659574 (4735 -15); +PAINT MONO (4735 -15); +DISPLAY INVISIBLE (4735 -15); +FORCEPROP 2 LAST CDS_LIB standard +J 0 +(4675 -75); +DISPLAY INVISIBLE (4675 -75); +FORCEPROP 1 LAST HDL_POWER GND_SIGNAL +J 0 +(4675 -25); +DISPLAY 0.978723 (4675 -25); +DISPLAY INVISIBLE (4675 -25); +FORCEPROP 1 LAST BODY_TYPE PLUMBING +J 0 +(4675 75); +DISPLAY 0.978723 (4675 75); +DISPLAY INVISIBLE (4675 75); +FORCEPROP 2 LAST PATH I71 +J 0 +(4625 0); +DISPLAY 1.021277 (4625 0); +FORCEADD CAPCERSMDCL2..1 +R 1 (2175 -1875); -DISPLAY INVISIBLE (2175 -1875); -FORCEPROP 1 LAST PATH I9 +FORCEPROP 1 LAST VALUE 100NF +R 1 +J 1 +(2275 -1875); +DISPLAY 0.723404 (2275 -1875); +FORCEPROP 1 LAST VOLTAGE 16V +R 1 +J 1 +(2325 -1875); +DISPLAY 0.723404 (2325 -1875); +FORCEPROP 1 LAST $LOCATION C? R 1 J 0 -(2075 -1850); -DISPLAY 0.723404 (2075 -1850); -DISPLAY INVISIBLE (2075 -1850); +(2125 -1900); +DISPLAY 0.723404 (2125 -1900); FORCEPROP 1 LAST SIZE 1 R 1 J 0 @@ -429,24 +683,37 @@ J 0 DISPLAY 0.702128 (2245 -1895); PAINT WHITE (2245 -1895); DISPLAY INVISIBLE (2245 -1895); -FORCEPROP 1 LAST $LOCATION C? +FORCEPROP 1 LAST PATH I9 R 1 J 0 -(2125 -1900); -DISPLAY 0.723404 (2125 -1900); -FORCEPROP 1 LAST VOLTAGE 16V +(2075 -1850); +DISPLAY 0.723404 (2075 -1850); +DISPLAY INVISIBLE (2075 -1850); +FORCEPROP 2 LAST CDS_LIB cnpassive +J 0 +(2175 -1875); +DISPLAY INVISIBLE (2175 -1875); +FORCEPROP 1 LAST PACK_TYPE 0603 R 1 J 1 -(2325 -1875); -DISPLAY 0.723404 (2325 -1875); -FORCEPROP 1 LAST VALUE 100NF +(2375 -1875); +DISPLAY 0.723404 (2375 -1875); +DISPLAY INVISIBLE (2375 -1875); +FORCEPROP 1 LASTPIN (2175 -1975) $PN # R 1 -J 1 -(2275 -1875); -DISPLAY 0.723404 (2275 -1875); +J 2 +(2150 -1975); +DISPLAY 0.723404 (2150 -1975); +DISPLAY INVISIBLE (2150 -1975); +FORCEPROP 1 LASTPIN (2175 -1775) $PN # +R 1 +J 0 +(2150 -1775); +DISPLAY 0.723404 (2150 -1775); +DISPLAY INVISIBLE (2150 -1775); FORCEADD A3-2000..1 (1500 350); -FORCEPROP 0 LAST CDS_CON_LAST_MODIFIED Fri Apr 22 09:05:35 2016 +FORCEPROP 0 LAST CDS_CON_LAST_MODIFIED Wed May 18 10:06:29 2016 J 0 (3250 -2450); DISPLAY INVISIBLE (3250 -2450); @@ -479,67 +746,103 @@ J 0 DISPLAY 0.553191 (6300 -5625); PAINT AQUA (6300 -5625); DISPLAY INVISIBLE (6300 -5625); +WIRE 16 -1 (2575 -2125)(2575 -1975); WIRE 16 -1 (650 -2450)(650 -2675); WIRE 16 -1 (650 -2450)(800 -2450); WIRE 16 -1 (625 -250)(625 -475); WIRE 16 -1 (625 -250)(775 -250); WIRE 16 -1 (2175 -2125)(2175 -1975); -WIRE 16 -1 (1300 -2350)(1325 -2350); -WIRE 16 -1 (1325 -2350)(1325 -1650); -WIRE 16 -1 (-800 -1650)(1325 -1650); -FORCEPROP 2 LAST SIG_NAME SIG4 -J 0 -(-660 -1640); -DISPLAY 1.021277 (-660 -1640); -WIRE 16 -1 (1300 -2450)(1750 -2450); -WIRE 16 -1 (1275 -250)(1750 -250); -WIRE 16 -1 (1750 -250)(1750 -1450); -WIRE 16 -1 (1750 -2450)(1750 -1450); -WIRE 16 -1 (2800 -1450)(2175 -1450); -WIRE 16 -1 (2175 -1450)(1750 -1450); -WIRE 16 -1 (2175 -1775)(2175 -1450); +WIRE 16 -1 (5975 -250)(5975 -100); +WIRE 16 -1 (4725 175)(4725 -25); +WIRE 16 -1 (4775 175)(4725 175); +WIRE 16 -1 (5450 75)(5725 75); +WIRE 16 -1 (5275 75)(5450 75); +WIRE 16 -1 (5450 -200)(5450 75); +WIRE 16 -1 (4600 -200)(5450 -200); +WIRE 16 -1 (4775 75)(4600 75); +WIRE 16 -1 (4600 75)(4600 -200); +WIRE 16 -1 (4600 75)(3250 75); +FORCEPROP 2 LAST SIG_NAME SIG4_N +J 0 +(3390 85); +DISPLAY 1.021277 (3390 85); +WIRE 16 -1 (5450 275)(5275 275); +WIRE 16 -1 (5725 275)(5450 275); +WIRE 16 -1 (5450 275)(5450 575); +WIRE 16 -1 (5450 575)(4600 575); +WIRE 16 -1 (4600 275)(3250 275); +FORCEPROP 2 LAST SIG_NAME SIG4_P +J 0 +(3390 285); +DISPLAY 1.021277 (3390 285); +WIRE 16 -1 (4600 575)(4600 275); +WIRE 16 -1 (4775 275)(4600 275); +WIRE 16 -1 (5975 100)(5975 175); +WIRE 16 -1 (5975 175)(6300 175); +WIRE 16 -1 (5275 175)(5975 175); FORCEPROP 2 LAST SIG_NAME VCLAMP J 0 -(2365 -1440); -DISPLAY 1.021277 (2365 -1440); +(5890 185); +DISPLAY 1.021277 (5890 185); WIRE 16 -1 (1325 -2550)(1300 -2550); WIRE 16 -1 (1325 -3300)(1325 -2550); WIRE 16 -1 (1325 -3300)(-800 -3300); -FORCEPROP 2 LAST SIG_NAME SIG7 +FORCEPROP 2 LAST SIG_NAME SIG3_N J 0 (-660 -3290); DISPLAY 1.021277 (-660 -3290); +WIRE 16 -1 (1300 -350)(1275 -350); +WIRE 16 -1 (1300 -1100)(1300 -350); +WIRE 16 -1 (-800 -1100)(1300 -1100); +FORCEPROP 2 LAST SIG_NAME SIG1_N +J 0 +(-660 -1090); +DISPLAY 1.021277 (-660 -1090); +WIRE 16 -1 (5175 -350)(5150 -350); +WIRE 16 -1 (1275 -250)(1750 -250); +WIRE 16 -1 (1300 -2450)(1750 -2450); +WIRE 16 -1 (2800 -1450)(2575 -1450); +WIRE 16 -1 (2575 -1775)(2575 -1450); +WIRE 16 -1 (1750 -2450)(1750 -1450); +WIRE 16 -1 (1750 -250)(1750 -1450); +WIRE 16 -1 (2175 -1450)(1750 -1450); +WIRE 16 -1 (2575 -1450)(2175 -1450); +WIRE 16 -1 (2175 -1775)(2175 -1450); +FORCEPROP 2 LAST SIG_NAME VCLAMP +J 0 +(2240 -1390); +DISPLAY 1.021277 (2240 -1390); WIRE 16 -1 (250 -2550)(800 -2550); WIRE 16 -1 (250 -2750)(-800 -2750); -FORCEPROP 2 LAST SIG_NAME SIG6 +FORCEPROP 2 LAST SIG_NAME SIG3_P J 0 (-660 -2740); DISPLAY 1.021277 (-660 -2740); WIRE 16 -1 (250 -2750)(250 -2550); WIRE 16 -1 (250 -2350)(800 -2350); WIRE 16 -1 (250 -2200)(-800 -2200); -FORCEPROP 2 LAST SIG_NAME SIG5 +FORCEPROP 2 LAST SIG_NAME SIG2_N J 0 (-660 -2190); DISPLAY 1.021277 (-660 -2190); WIRE 16 -1 (250 -2200)(250 -2350); -WIRE 16 -1 (1300 -350)(1275 -350); -WIRE 16 -1 (1300 -1100)(1300 -350); -WIRE 16 -1 (-800 -1100)(1300 -1100); -FORCEPROP 2 LAST SIG_NAME SIG3 +WIRE 16 -1 (1300 -2350)(1325 -2350); +WIRE 16 -1 (1325 -2350)(1325 -1650); +WIRE 16 -1 (-800 -1650)(1325 -1650); +FORCEPROP 2 LAST SIG_NAME SIG2_P J 0 -(-660 -1090); -DISPLAY 1.021277 (-660 -1090); +(-660 -1640); +DISPLAY 1.021277 (-660 -1640); WIRE 16 -1 (225 -350)(775 -350); WIRE 16 -1 (225 -550)(-800 -550); -FORCEPROP 2 LAST SIG_NAME SIG2 +FORCEPROP 2 LAST SIG_NAME SIG1_P J 0 (-660 -540); DISPLAY 1.021277 (-660 -540); WIRE 16 -1 (225 -550)(225 -350); WIRE 16 -1 (225 -150)(775 -150); WIRE 16 -1 (225 0)(-800 0); -FORCEPROP 2 LAST SIG_NAME SIG1 +FORCEPROP 2 LAST SIG_NAME SIG0_N J 0 (-660 10); DISPLAY 1.021277 (-660 10); @@ -547,20 +850,26 @@ WIRE 16 -1 (225 0)(225 -150); WIRE 16 -1 (1275 -150)(1300 -150); WIRE 16 -1 (1300 -150)(1300 550); WIRE 16 -1 (-800 550)(1300 550); -FORCEPROP 2 LAST SIG_NAME SIG0 +FORCEPROP 2 LAST SIG_NAME SIG0_P J 0 (-660 560); DISPLAY 1.021277 (-660 560); -DOT 1 (1750 -1450); +DOT 1 (5450 75); +DOT 1 (4600 75); +DOT 1 (4600 275); +DOT 1 (5450 275); +DOT 1 (5975 175); +DOT 1 (2575 -1450); DOT 1 (2175 -1450); -FORCENOTE -LICENSED UNDER THE TAPR OPEN HARDWARE LICENSE (WWW.TAPR.ORG/OHL) -(4100 -3600) 0; -DISPLAY LEFT (4100 -3600); -DISPLAY 0.808511 (4100 -3600); +DOT 1 (1750 -1450); FORCENOTE 11 (6200 -2850) 0; DISPLAY LEFT (6200 -2850); DISPLAY 1.021277 (6200 -2850); +FORCENOTE +LICENSED UNDER THE TAPR OPEN HARDWARE LICENSE (WWW.TAPR.ORG/OHL) +(4100 -3600) 0; +DISPLAY LEFT (4100 -3600); +DISPLAY 0.808511 (4100 -3600); QUIT diff --git a/circuit_board/Cadence/worklib/fmc_tlu_diode_clamp_b/sch_1/page1.csb b/circuit_board/Cadence/worklib/fmc_tlu_diode_clamp_b/sch_1/page1.csb index d89964a1ef8448d5e190dafe919d087542275b5c..bb43ad7ce69c9d2ae750bd9d81b44c1623fa4de8 100644 Binary files a/circuit_board/Cadence/worklib/fmc_tlu_diode_clamp_b/sch_1/page1.csb and b/circuit_board/Cadence/worklib/fmc_tlu_diode_clamp_b/sch_1/page1.csb differ diff --git a/circuit_board/Cadence/worklib/fmc_tlu_diode_clamp_b/sch_1/page1.csv b/circuit_board/Cadence/worklib/fmc_tlu_diode_clamp_b/sch_1/page1.csv index 0096e9407af8a79cc1f5ab8564de8a5bef3c34a9..9e6b6b2b88027e15c5d5963026567568e2747bd4 100644 --- a/circuit_board/Cadence/worklib/fmc_tlu_diode_clamp_b/sch_1/page1.csv +++ b/circuit_board/Cadence/worklib/fmc_tlu_diode_clamp_b/sch_1/page1.csv @@ -2,139 +2,181 @@ FILE_TYPE = CONNECTIVITY; {Allegro Design Entry HDL 16.6-S055 (v16-6-112EP) 8/13/2015} "PAGE_NUMBER" = 1; 0"NC"; -1"GND_SIGNAL\g"; -2"GND_SIGNAL\g"; -3"GND_SIGNAL\g"; -4"SIG4"; -5"VCLAMP"; -6"SIG7"; -7"SIG6"; -8"SIG5"; -9"SIG3"; -10"SIG2"; -11"SIG1"; -12"SIG0"; +1"SIG4_N"; +2"SIG4_P"; +3"VCLAMP"; +4"GND_SIGNAL\g"; +5"GND_SIGNAL\g"; +6"SIG3_N"; +7"SIG1_N"; +8"VCLAMP"; +9"SIG3_P"; +10"SIG2_N"; +11"SIG2_P"; +12"SIG1_P"; +13"SIG0_N"; +14"SIG0_P"; +15"GND_SIGNAL\g"; +16"GND_SIGNAL\g"; +17"GND_SIGNAL\g"; +18"GND_SIGNAL\g"; %"INPORT" "1","(-850,550)","0","standard","I24"; ; -CDS_LIB"standard" -OFFPAGE"TRUE"; +OFFPAGE"TRUE" +CDS_LIB"standard"; "A" -VHDL_PORT"IN" -HDL_PORT"IN"12; +HDL_PORT"IN" +VHDL_PORT"IN"14; %"INPORT" "1","(-850,0)","0","standard","I25"; ; -CDS_LIB"standard" -OFFPAGE"TRUE"; +OFFPAGE"TRUE" +CDS_LIB"standard"; "A" -VHDL_PORT"IN" -HDL_PORT"IN"11; +HDL_PORT"IN" +VHDL_PORT"IN"13; %"INPORT" "1","(-850,-550)","0","standard","I26"; ; -CDS_LIB"standard" -OFFPAGE"TRUE"; +OFFPAGE"TRUE" +CDS_LIB"standard"; "A" -VHDL_PORT"IN" -HDL_PORT"IN"10; +HDL_PORT"IN" +VHDL_PORT"IN"12; %"INPORT" "1","(-850,-1100)","0","standard","I27"; ; -CDS_LIB"standard" -OFFPAGE"TRUE"; +OFFPAGE"TRUE" +CDS_LIB"standard"; "A" -VHDL_PORT"IN" -HDL_PORT"IN"9; +HDL_PORT"IN" +VHDL_PORT"IN"7; %"INPORT" "1","(-850,-1650)","0","standard","I28"; ; -CDS_LIB"standard" -OFFPAGE"TRUE"; +OFFPAGE"TRUE" +CDS_LIB"standard"; "A" -VHDL_PORT"IN" -HDL_PORT"IN"4; +HDL_PORT"IN" +VHDL_PORT"IN"11; %"INPORT" "1","(-850,-2200)","0","standard","I29"; ; -CDS_LIB"standard" -OFFPAGE"TRUE"; +OFFPAGE"TRUE" +CDS_LIB"standard"; "A" -VHDL_PORT"IN" -HDL_PORT"IN"8; +HDL_PORT"IN" +VHDL_PORT"IN"10; %"INPORT" "1","(-850,-2750)","0","standard","I30"; ; -CDS_LIB"standard" -OFFPAGE"TRUE"; +OFFPAGE"TRUE" +CDS_LIB"standard"; "A" -VHDL_PORT"IN" -HDL_PORT"IN"7; +HDL_PORT"IN" +VHDL_PORT"IN"9; %"INPORT" "1","(-850,-3300)","0","standard","I31"; ; -CDS_LIB"standard" -OFFPAGE"TRUE"; +OFFPAGE"TRUE" +CDS_LIB"standard"; "A" -VHDL_PORT"IN" -HDL_PORT"IN"6; +HDL_PORT"IN" +VHDL_PORT"IN"6; %"GND_SIGNAL" "1","(2125,-2175)","0","standard","I42"; ; -HDL_POWER"GND_SIGNAL" +CDS_LIB"standard" BODY_TYPE"PLUMBING" -CDS_LIB"standard"; -"GND"3; +HDL_POWER"GND_SIGNAL"; +"GND"16; %"GND_SIGNAL" "1","(575,-525)","0","standard","I53"; ; HDL_POWER"GND_SIGNAL" BODY_TYPE"PLUMBING" CDS_LIB"standard"; -"GND"2; +"GND"17; %"IOPORT" "1","(2850,-1450)","0","standard","I54"; ; -CDS_LIB"standard" -OFFPAGE"TRUE"; +OFFPAGE"TRUE" +CDS_LIB"standard"; "A" -HDL_PORT"INOUT" -VHDL_PORT"INOUT"5; +VHDL_PORT"INOUT" +HDL_PORT"INOUT"8; %"PRTR5V0U4Y" "1","(1025,-250)","0","cndiscrete","I55"; ; -$LOCATION"D?" -CDS_LIB"cndiscrete" TYPE"PRTR5V0U4Y" -PACK_TYPE"SC88"; -"ESD4"12; -"ESD1"11; -"ESD2"10; -"GND"2; -"ESD3"9; -"VCC"5; +$LOCATION"D?" +PACK_TYPE"SC88" +CDS_LIB"cndiscrete"; +"ESD4"14; +"ESD1"13; +"ESD2"12; +"GND"17; +"ESD3"7; +"VCC"8; %"PRTR5V0U4Y" "1","(1050,-2450)","0","cndiscrete","I56"; ; $LOCATION"D?" -TYPE"PRTR5V0U4Y" PACK_TYPE"SC88" +TYPE"PRTR5V0U4Y" CDS_LIB"cndiscrete"; -"ESD4"4; -"ESD1"8; -"ESD2"7; -"GND"1; +"ESD4"11; +"ESD1"10; +"ESD2"9; +"GND"15; "ESD3"6; -"VCC"5; +"VCC"8; %"GND_SIGNAL" "1","(600,-2725)","0","standard","I57"; ; -HDL_POWER"GND_SIGNAL" +CDS_LIB"standard" BODY_TYPE"PLUMBING" -CDS_LIB"standard"; -"GND"1; +HDL_POWER"GND_SIGNAL"; +"GND"15; %"CAPCERSMDCL2" -"1","(2175,-1875)","1","cnpassive","I9"; +"1","(2575,-1875)","1","cnpassive","I58"; +; +VALUE"100NF" +VOLTAGE"16V" +$LOCATION"C?" +SIZE"1" +CDS_LIB"cnpassive" +PACK_TYPE"0603"; +"A <SIZE-1..0>\NAC" +$PN"#"18; +"B <SIZE-1..0>\NAC" +$PN"#"8; +%"GND_SIGNAL" +"1","(2525,-2175)","0","standard","I59"; +; +CDS_LIB"standard" +BODY_TYPE"PLUMBING" +HDL_POWER"GND_SIGNAL"; +"GND"18; +%"INPORT" +"1","(3200,275)","0","standard","I64"; +; +CDS_LIB"standard" +OFFPAGE"TRUE"; +"A" +VHDL_PORT"IN" +HDL_PORT"IN"2; +%"INPORT" +"1","(3200,75)","0","standard","I65"; +; +CDS_LIB"standard" +OFFPAGE"TRUE"; +"A" +VHDL_PORT"IN" +HDL_PORT"IN"1; +%"CAPCERSMDCL2" +"1","(5975,0)","1","cnpassive","I67"; ; PACK_TYPE"0603" CDS_LIB"cnpassive" @@ -142,8 +184,48 @@ SIZE"1" $LOCATION"C?" VOLTAGE"16V" VALUE"100NF"; -"B <SIZE-1..0>\NAC" -$PN"#"5; "A <SIZE-1..0>\NAC" +$PN"#"4; +"B <SIZE-1..0>\NAC" $PN"#"3; +%"GND_SIGNAL" +"1","(5925,-300)","0","standard","I68"; +; +HDL_POWER"GND_SIGNAL" +BODY_TYPE"PLUMBING" +CDS_LIB"standard"; +"GND"4; +%"USBLC6-2" +"1","(5025,175)","0","cndiscrete","I70"; +; +CDS_LIB"cndiscrete" +$LOCATION"D3" +TYPE"USBLC6-2SC6" +PACK_TYPE"SOT23"; +"I/O1<1>"2; +"I/O1<0>"2; +"GND"5; +"I/O2<0>"1; +"I/O2<1>"1; +"VBUS"3; +%"GND_SIGNAL" +"1","(4675,-75)","0","standard","I71"; +; +CDS_LIB"standard" +HDL_POWER"GND_SIGNAL" +BODY_TYPE"PLUMBING"; +"GND"5; +%"CAPCERSMDCL2" +"1","(2175,-1875)","1","cnpassive","I9"; +; +VALUE"100NF" +VOLTAGE"16V" +$LOCATION"C?" +SIZE"1" +CDS_LIB"cnpassive" +PACK_TYPE"0603"; +"A <SIZE-1..0>\NAC" +$PN"#"16; +"B <SIZE-1..0>\NAC" +$PN"#"8; END. diff --git a/circuit_board/Cadence/worklib/fmc_tlu_diode_clamp_b/sch_1/pc.db b/circuit_board/Cadence/worklib/fmc_tlu_diode_clamp_b/sch_1/pc.db index 934e5488b3e834c2a566df880ba6d3c1f638fe78..98894a19ae3eb4d826057cbcd56b340c9c68d03d 100644 --- a/circuit_board/Cadence/worklib/fmc_tlu_diode_clamp_b/sch_1/pc.db +++ b/circuit_board/Cadence/worklib/fmc_tlu_diode_clamp_b/sch_1/pc.db @@ -1,4 +1,4 @@ --- pcdb file, Rev:1.0 written by Allegro Design Entry HDL 16.6-S055 (v16-6-112EP) 8/13/2015 on Fri Apr 22 09:05:35 2016 +-- pcdb file, Rev:1.0 written by Allegro Design Entry HDL 16.6-S055 (v16-6-112EP) 8/13/2015 on Wed May 18 10:06:29 2016 #ISCELL bris_cds_standard a3-2000 * * @@ -44,6 +44,30 @@ #ISCELL standard gnd_signal * page1_i57 +#CELL + cnpassive capcersmdcl2 * + page1_i58 +#ISCELL + standard gnd_signal * + page1_i59 +#ISCELL + standard inport * + page1_i64 +#ISCELL + standard inport * + page1_i65 +#CELL + cnpassive capcersmdcl2 * + page1_i67 +#ISCELL + standard gnd_signal * + page1_i68 +#CELL + cndiscrete usblc6-2 * + page1_i70 +#ISCELL + standard gnd_signal * + page1_i71 #CELL cnpassive capcersmdcl2 * page1_i9 diff --git a/circuit_board/Cadence/worklib/fmc_tlu_toplevel_c/packaged/pxl.state b/circuit_board/Cadence/worklib/fmc_tlu_toplevel_c/packaged/pxl.state index 8a1fafaf7f134872d461efdc3a595f0d10c88c8c..992407841d5d7466ac6d6649fa7e68e7b608e7d1 100644 --- a/circuit_board/Cadence/worklib/fmc_tlu_toplevel_c/packaged/pxl.state +++ b/circuit_board/Cadence/worklib/fmc_tlu_toplevel_c/packaged/pxl.state @@ -1,6 +1,6 @@ FILE_TYPE = PXL_HDL_CENTRIC_STATE_FILE; VERSION = PXL_HDL_CENTRIC_VERSION_1; -TIME = '11-Feb-2014 AT 18:41:08.00'; +TIME = '20-May-2016 AT 14:54:06.00'; {--------------------------------------------------------------------------} @@ -14,11 +14,11 @@ SEC = '1'; BEGIN_LIB_INFO: PRIM_FILE = '/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnconnector/plemo2ci/chips/chips.prt'; - PART_NAME = 'PLEMO2CI-PLEMO2-00B-GND=GND_SIA'; - LONG_PART_NAME = 'PLEMO2CI-PLEMO2-00B-GND=GND_SIGNAL'; - PARENT_PPT_PHYS_PART = 'PLEMO2CI-PLEMO2-00B'; + PART_NAME = 'PLEMO2CI-EPG.00.302.NLN-GND=GNA'; + LONG_PART_NAME = 'PLEMO2CI-EPG.00.302.NLN-GND=GND_SIGNAL'; + PARENT_PPT_PHYS_PART = 'PLEMO2CI-EPG.00.302.NLN'; PARENT_PPT = 'PLEMO2CI'; - PARENT_PPT_PART = 'PLEMO2CI-PLEMO2-00B'; + PARENT_PPT_PART = 'PLEMO2CI-EPG.00.302.NLN'; PARENT_CHIPS_PHYS_PART = 'PLEMO2CI'; END_LIB_INFO; END_PRIM; @@ -30,9 +30,9 @@ SEC = '1'; BEGIN_LIB_INFO: PRIM_FILE = '/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnmemory/24aa025e48/chips/chips.prt'; - PART_NAME = '24AA025E48'; + PART_NAME = '24AA025E48T-I/SN'; PARENT_PPT = '24AA025E48'; - PARENT_PPT_PART = '24AA025E48'; + PARENT_PPT_PART = '24AA025E48T-I/SN'; PARENT_CHIPS_PHYS_PART = '24AA025E48_SOIC'; END_LIB_INFO; END_PRIM; @@ -184,9 +184,9 @@ SEC = '1'; BEGIN_LIB_INFO: PRIM_FILE = '/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cndiscrete/zener/chips/chips.prt'; - PART_NAME = 'ZENER_SOD123-CA-BZT52C2V7'; + PART_NAME = 'ZENER_SOD123-CA-BZT52-C3V6'; PARENT_PPT = 'ZENER'; - PARENT_PPT_PART = 'ZENER_SOD123-CA-BZT52C2V7'; + PARENT_PPT_PART = 'ZENER_SOD123-CA-BZT52-C3V6'; PARENT_CHIPS_PHYS_PART = 'ZENER_SOD123-CA'; END_LIB_INFO; END_PRIM; @@ -203,6 +203,18 @@ LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):M5V'; PHY_SIGNAL = 'M5V'; END_SIGNAL; +BEGIN_SIGNAL: +CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):P2V5'; +LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):P2V5'; +PHY_SIGNAL = 'P2V5'; +END_SIGNAL; + +BEGIN_SIGNAL: +CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):P3V3'; +LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):P3V3'; +PHY_SIGNAL = 'P3V3'; +END_SIGNAL; + BEGIN_SIGNAL: CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):SCL'; LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):SCL'; @@ -360,405 +372,429 @@ PHY_SIGNAL = 'IN<3>'; END_SIGNAL; BEGIN_SIGNAL: -CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):GND_HDMI1'; -LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):GND_HDMI1'; -PHY_SIGNAL = 'GND_HDMI1'; +CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):UNNAMED_1_24AA025E48_I8_A0'; +LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):UNNAMED_1_24AA025E48_I8_A0'; +PHY_SIGNAL = 'UNNAMED_1_24AA025E48_I8_A0'; END_SIGNAL; BEGIN_SIGNAL: -CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):GND_HDMI2'; -LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):GND_HDMI2'; -PHY_SIGNAL = 'GND_HDMI2'; +CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):UNNAMED_1_24AA025E48_I8_A1'; +LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):UNNAMED_1_24AA025E48_I8_A1'; +PHY_SIGNAL = 'UNNAMED_1_24AA025E48_I8_A1'; END_SIGNAL; BEGIN_SIGNAL: -CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):BUSY0'; -LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):BUSY0'; -PHY_SIGNAL = 'BUSY0'; +CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):UNNAMED_1_24AA025E48_I8_A2'; +LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):UNNAMED_1_24AA025E48_I8_A2'; +PHY_SIGNAL = 'UNNAMED_1_24AA025E48_I8_A2'; END_SIGNAL; BEGIN_SIGNAL: -CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):BUSY0*'; -LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):BUSY0*'; -PHY_SIGNAL = 'BUSY0*'; +CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA(1)'; +LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA(1)'; +PHY_SIGNAL = 'FMC_LA<1>'; END_SIGNAL; BEGIN_SIGNAL: -CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):BUSY1'; -LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):BUSY1'; -PHY_SIGNAL = 'BUSY1'; +CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA(2)'; +LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA(2)'; +PHY_SIGNAL = 'FMC_LA<2>_1'; END_SIGNAL; BEGIN_SIGNAL: -CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):BUSY1*'; -LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):BUSY1*'; -PHY_SIGNAL = 'BUSY1*'; +CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA(5)'; +LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA(5)'; +PHY_SIGNAL = 'FMC_LA<5>'; END_SIGNAL; BEGIN_SIGNAL: -CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):CLK1'; -LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):CLK1'; -PHY_SIGNAL = 'CLK1'; +CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA(6)'; +LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA(6)'; +PHY_SIGNAL = 'FMC_LA<6>'; END_SIGNAL; BEGIN_SIGNAL: -CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):CLK1*'; -LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):CLK1*'; -PHY_SIGNAL = 'CLK1*'; +CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA(7)'; +LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA(7)'; +PHY_SIGNAL = 'FMC_LA<7>'; END_SIGNAL; BEGIN_SIGNAL: -CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):CONT0'; -LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):CONT0'; -PHY_SIGNAL = 'CONT0'; +CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA(8)'; +LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA(8)'; +PHY_SIGNAL = 'FMC_LA<8>'; END_SIGNAL; BEGIN_SIGNAL: -CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):CONT0*'; -LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):CONT0*'; -PHY_SIGNAL = 'CONT0*'; +CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA(9)'; +LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA(9)'; +PHY_SIGNAL = 'FMC_LA<9>'; END_SIGNAL; BEGIN_SIGNAL: -CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):CONT1'; -LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):CONT1'; -PHY_SIGNAL = 'CONT1'; +CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA(10)'; +LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA(10)'; +PHY_SIGNAL = 'FMC_LA<10>'; END_SIGNAL; BEGIN_SIGNAL: -CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):CONT1*'; -LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):CONT1*'; -PHY_SIGNAL = 'CONT1*'; +CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA(11)'; +LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA(11)'; +PHY_SIGNAL = 'FMC_LA<11>'; END_SIGNAL; BEGIN_SIGNAL: -CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):DUT_CLK0'; -LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):DUT_CLK0'; -PHY_SIGNAL = 'DUT_CLK0'; +CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA(12)'; +LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA(12)'; +PHY_SIGNAL = 'FMC_LA<12>'; END_SIGNAL; BEGIN_SIGNAL: -CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):DUT_CLK0*'; -LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):DUT_CLK0*'; -PHY_SIGNAL = 'DUT_CLK0*'; +CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA(13)'; +LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA(13)'; +PHY_SIGNAL = 'FMC_LA<13>'; END_SIGNAL; BEGIN_SIGNAL: -CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):TRIG0'; -LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):TRIG0'; -PHY_SIGNAL = 'TRIG0'; +CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA(14)'; +LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA(14)'; +PHY_SIGNAL = 'FMC_LA<14>'; END_SIGNAL; BEGIN_SIGNAL: -CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):TRIG0*'; -LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):TRIG0*'; -PHY_SIGNAL = 'TRIG0*'; +CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA(15)'; +LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA(15)'; +PHY_SIGNAL = 'FMC_LA<15>'; END_SIGNAL; BEGIN_SIGNAL: -CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):TRIG1'; -LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):TRIG1'; -PHY_SIGNAL = 'TRIG1'; +CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA(16)'; +LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA(16)'; +PHY_SIGNAL = 'FMC_LA<16>'; END_SIGNAL; BEGIN_SIGNAL: -CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):TRIG1*'; -LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):TRIG1*'; -PHY_SIGNAL = 'TRIG1*'; +CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA(17)'; +LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA(17)'; +PHY_SIGNAL = 'FMC_LA<17>'; END_SIGNAL; BEGIN_SIGNAL: -CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):SPARE1'; -LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):SPARE1'; -PHY_SIGNAL = 'SPARE1'; +CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA(18)'; +LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA(18)'; +PHY_SIGNAL = 'FMC_LA<18>'; END_SIGNAL; BEGIN_SIGNAL: -CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):SPARE1*'; -LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):SPARE1*'; -PHY_SIGNAL = 'SPARE1*'; +CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA(19)'; +LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA(19)'; +PHY_SIGNAL = 'FMC_LA<19>'; END_SIGNAL; BEGIN_SIGNAL: -CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):HDMI_POWER_ENABLE1'; -LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):HDMI_POWER_ENABLE1'; -PHY_SIGNAL = 'HDMI_POWER_ENABLE1'; +CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA(20)'; +LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA(20)'; +PHY_SIGNAL = 'FMC_LA<20>'; END_SIGNAL; BEGIN_SIGNAL: -CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):BUSY2'; -LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):BUSY2'; -PHY_SIGNAL = 'BUSY2'; +CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA(21)'; +LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA(21)'; +PHY_SIGNAL = 'FMC_LA<21>'; END_SIGNAL; BEGIN_SIGNAL: -CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):BUSY2*'; -LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):BUSY2*'; -PHY_SIGNAL = 'BUSY2*'; +CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA(22)'; +LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA(22)'; +PHY_SIGNAL = 'FMC_LA<22>'; END_SIGNAL; BEGIN_SIGNAL: -CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):CLK2'; -LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):CLK2'; -PHY_SIGNAL = 'CLK2'; +CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA(23)'; +LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA(23)'; +PHY_SIGNAL = 'FMC_LA<23>'; END_SIGNAL; BEGIN_SIGNAL: -CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):CLK2*'; -LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):CLK2*'; -PHY_SIGNAL = 'CLK2*'; +CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA(26)'; +LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA(26)'; +PHY_SIGNAL = 'FMC_LA<26>'; END_SIGNAL; BEGIN_SIGNAL: -CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):CONT2'; -LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):CONT2'; -PHY_SIGNAL = 'CONT2'; +CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA(27)'; +LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA(27)'; +PHY_SIGNAL = 'FMC_LA<27>'; END_SIGNAL; BEGIN_SIGNAL: -CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):CONT2*'; -LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):CONT2*'; -PHY_SIGNAL = 'CONT2*'; +CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA*(1)'; +LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA*(1)'; +PHY_SIGNAL = 'FMC_LA*<1>'; END_SIGNAL; BEGIN_SIGNAL: -CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):HDMI_POWER_ENABLE2'; -LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):HDMI_POWER_ENABLE2'; -PHY_SIGNAL = 'HDMI_POWER_ENABLE2'; +CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA*(2)'; +LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA*(2)'; +PHY_SIGNAL = 'FMC_LA*<2>_1'; END_SIGNAL; BEGIN_SIGNAL: -CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):SPARE2'; -LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):SPARE2'; -PHY_SIGNAL = 'SPARE2'; +CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA*(5)'; +LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA*(5)'; +PHY_SIGNAL = 'FMC_LA*<5>'; END_SIGNAL; BEGIN_SIGNAL: -CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):SPARE2*'; -LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):SPARE2*'; -PHY_SIGNAL = 'SPARE2*'; +CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA*(6)'; +LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA*(6)'; +PHY_SIGNAL = 'FMC_LA*<6>'; END_SIGNAL; BEGIN_SIGNAL: -CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):TRIG2'; -LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):TRIG2'; -PHY_SIGNAL = 'TRIG2'; +CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA*(7)'; +LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA*(7)'; +PHY_SIGNAL = 'FMC_LA*<7>'; END_SIGNAL; BEGIN_SIGNAL: -CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):TRIG2*'; -LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):TRIG2*'; -PHY_SIGNAL = 'TRIG2*'; +CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA*(8)'; +LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA*(8)'; +PHY_SIGNAL = 'FMC_LA*<8>'; END_SIGNAL; BEGIN_SIGNAL: -CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):UNNAMED_1_24AA025E48_I8_A0'; -LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):UNNAMED_1_24AA025E48_I8_A0'; -PHY_SIGNAL = 'UNNAMED_1_24AA025E48_I8_A0'; +CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA*(9)'; +LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA*(9)'; +PHY_SIGNAL = 'FMC_LA*<9>'; END_SIGNAL; BEGIN_SIGNAL: -CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):UNNAMED_1_24AA025E48_I8_A1'; -LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):UNNAMED_1_24AA025E48_I8_A1'; -PHY_SIGNAL = 'UNNAMED_1_24AA025E48_I8_A1'; +CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA*(10)'; +LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA*(10)'; +PHY_SIGNAL = 'FMC_LA*<10>'; END_SIGNAL; BEGIN_SIGNAL: -CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):UNNAMED_1_24AA025E48_I8_A2'; -LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):UNNAMED_1_24AA025E48_I8_A2'; -PHY_SIGNAL = 'UNNAMED_1_24AA025E48_I8_A2'; +CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA*(11)'; +LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA*(11)'; +PHY_SIGNAL = 'FMC_LA*<11>'; END_SIGNAL; BEGIN_SIGNAL: -CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):CTRIG1'; -LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):CTRIG1'; -PHY_SIGNAL = 'CTRIG1'; +CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA*(12)'; +LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA*(12)'; +PHY_SIGNAL = 'FMC_LA*<12>'; END_SIGNAL; BEGIN_SIGNAL: -CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):CTRIG1*'; -LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):CTRIG1*'; -PHY_SIGNAL = 'CTRIG1*'; +CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA*(13)'; +LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA*(13)'; +PHY_SIGNAL = 'FMC_LA*<13>'; END_SIGNAL; BEGIN_SIGNAL: -CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):CTRIG2'; -LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):CTRIG2'; -PHY_SIGNAL = 'CTRIG2'; +CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA*(14)'; +LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA*(14)'; +PHY_SIGNAL = 'FMC_LA*<14>'; END_SIGNAL; BEGIN_SIGNAL: -CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):CTRIG2*'; -LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):CTRIG2*'; -PHY_SIGNAL = 'CTRIG2*'; +CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA*(15)'; +LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA*(15)'; +PHY_SIGNAL = 'FMC_LA*<15>'; END_SIGNAL; BEGIN_SIGNAL: -CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA(1)'; -LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA(1)'; -PHY_SIGNAL = 'FMC_LA<1>'; +CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA*(16)'; +LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA*(16)'; +PHY_SIGNAL = 'FMC_LA*<16>'; END_SIGNAL; BEGIN_SIGNAL: -CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA(5)'; -LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA(5)'; -PHY_SIGNAL = 'FMC_LA<5>'; +CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA*(17)'; +LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA*(17)'; +PHY_SIGNAL = 'FMC_LA*<17>'; END_SIGNAL; BEGIN_SIGNAL: -CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA(6)'; -LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA(6)'; -PHY_SIGNAL = 'FMC_LA<6>'; +CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA*(18)'; +LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA*(18)'; +PHY_SIGNAL = 'FMC_LA*<18>'; END_SIGNAL; BEGIN_SIGNAL: -CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA(9)'; -LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA(9)'; -PHY_SIGNAL = 'FMC_LA<9>'; +CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA*(19)'; +LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA*(19)'; +PHY_SIGNAL = 'FMC_LA*<19>'; END_SIGNAL; BEGIN_SIGNAL: -CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA(10)'; -LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA(10)'; -PHY_SIGNAL = 'FMC_LA<10>'; +CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA*(20)'; +LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA*(20)'; +PHY_SIGNAL = 'FMC_LA*<20>'; END_SIGNAL; BEGIN_SIGNAL: -CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA(13)'; -LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA(13)'; -PHY_SIGNAL = 'FMC_LA<13>'; +CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA*(21)'; +LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA*(21)'; +PHY_SIGNAL = 'FMC_LA*<21>'; END_SIGNAL; BEGIN_SIGNAL: -CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA(15)'; -LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA(15)'; -PHY_SIGNAL = 'FMC_LA<15>'; +CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA*(22)'; +LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA*(22)'; +PHY_SIGNAL = 'FMC_LA*<22>'; END_SIGNAL; BEGIN_SIGNAL: -CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA(17)'; -LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA(17)'; -PHY_SIGNAL = 'FMC_LA<17>'; +CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA*(23)'; +LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA*(23)'; +PHY_SIGNAL = 'FMC_LA*<23>'; END_SIGNAL; BEGIN_SIGNAL: -CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA(23)'; -LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA(23)'; -PHY_SIGNAL = 'FMC_LA<23>'; +CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA*(26)'; +LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA*(26)'; +PHY_SIGNAL = 'FMC_LA*<26>'; END_SIGNAL; BEGIN_SIGNAL: -CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA(26)'; -LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA(26)'; -PHY_SIGNAL = 'FMC_LA<26>'; +CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA*(27)'; +LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA*(27)'; +PHY_SIGNAL = 'FMC_LA*<27>'; END_SIGNAL; BEGIN_SIGNAL: -CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA*(0)'; -LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA*(0)'; -PHY_SIGNAL = 'FMC_LA*<0>'; +CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FRONT_PANEL_CLK'; +LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FRONT_PANEL_CLK'; +PHY_SIGNAL = 'FRONT_PANEL_CLK'; END_SIGNAL; BEGIN_SIGNAL: -CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA*(1)'; -LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA*(1)'; -PHY_SIGNAL = 'FMC_LA*<1>'; +CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FRONT_PANEL_CLK*'; +LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FRONT_PANEL_CLK*'; +PHY_SIGNAL = 'FRONT_PANEL_CLK*'; +END_SIGNAL; + +BEGIN_SIGNAL: +CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):UNNAMED_1_PLEMO2CI_I7_A'; +LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):UNNAMED_1_PLEMO2CI_I7_A'; +PHY_SIGNAL = 'UNNAMED_1_PLEMO2CI_I7_A'; END_SIGNAL; BEGIN_SIGNAL: -CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA*(4)'; -LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA*(4)'; -PHY_SIGNAL = 'FMC_LA*<4>'; +CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):UNNAMED_1_PLEMO2CI_I7_B'; +LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):UNNAMED_1_PLEMO2CI_I7_B'; +PHY_SIGNAL = 'UNNAMED_1_PLEMO2CI_I7_B'; END_SIGNAL; BEGIN_SIGNAL: -CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA*(5)'; -LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA*(5)'; -PHY_SIGNAL = 'FMC_LA*<5>'; +CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):CLK_IO_1'; +LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):CLK_IO_1'; +PHY_SIGNAL = 'FMC_LA<2>'; END_SIGNAL; BEGIN_SIGNAL: -CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA*(6)'; -LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA*(6)'; -PHY_SIGNAL = 'FMC_LA*<6>'; +CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):CLK_IO_2'; +LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):CLK_IO_2'; +PHY_SIGNAL = 'FMC_LA*<2>'; END_SIGNAL; BEGIN_SIGNAL: -CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA*(9)'; -LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA*(9)'; -PHY_SIGNAL = 'FMC_LA*<9>'; +CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):GPIO_CLK'; +LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):GPIO_CLK'; +PHY_SIGNAL = 'FMC_LA<29>'; END_SIGNAL; BEGIN_SIGNAL: -CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA*(10)'; -LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA*(10)'; -PHY_SIGNAL = 'FMC_LA*<10>'; +CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):BUSY_FROM_FPGA(0)'; +LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):BUSY_FROM_FPGA(0)'; +PHY_SIGNAL = 'BUSY_FROM_FPGA<0>'; END_SIGNAL; BEGIN_SIGNAL: -CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA*(13)'; -LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA*(13)'; -PHY_SIGNAL = 'FMC_LA*<13>'; +CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):BUSY_TO_FPGA(0)'; +LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):BUSY_TO_FPGA(0)'; +PHY_SIGNAL = 'BUSY_TO_FPGA<0>'; END_SIGNAL; BEGIN_SIGNAL: -CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA*(17)'; -LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA*(17)'; -PHY_SIGNAL = 'FMC_LA*<17>'; +CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):CLK_FROM_FPGA(0)'; +LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):CLK_FROM_FPGA(0)'; +PHY_SIGNAL = 'CLK_FROM_FPGA<0>'; END_SIGNAL; BEGIN_SIGNAL: -CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA*(23)'; -LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA*(23)'; -PHY_SIGNAL = 'FMC_LA*<23>'; +CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):CLK_TO_DUT(0)'; +LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):CLK_TO_DUT(0)'; +PHY_SIGNAL = 'CLK_TO_DUT<0>'; END_SIGNAL; BEGIN_SIGNAL: -CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA*(26)'; -LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FMC_LA*(26)'; -PHY_SIGNAL = 'FMC_LA*<26>'; +CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):CLK_TO_DUT*(0)'; +LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):CLK_TO_DUT*(0)'; +PHY_SIGNAL = 'CLK_TO_DUT*<0>'; END_SIGNAL; BEGIN_SIGNAL: -CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FRONT_PANEL_CLK'; -LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FRONT_PANEL_CLK'; -PHY_SIGNAL = 'FRONT_PANEL_CLK'; +CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):CLK_TO_FPGA(0)'; +LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):CLK_TO_FPGA(0)'; +PHY_SIGNAL = 'CLK_TO_FPGA<0>'; END_SIGNAL; BEGIN_SIGNAL: -CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FRONT_PANEL_CLK*'; -LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FRONT_PANEL_CLK*'; -PHY_SIGNAL = 'FRONT_PANEL_CLK*'; +CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):CONT_TO_FPGA(0)'; +LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):CONT_TO_FPGA(0)'; +PHY_SIGNAL = 'CONT_TO_FPGA<0>'; END_SIGNAL; BEGIN_SIGNAL: -CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):UNNAMED_1_PLEMO2CI_I7_A'; -LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):UNNAMED_1_PLEMO2CI_I7_A'; -PHY_SIGNAL = 'UNNAMED_1_PLEMO2CI_I7_A'; +CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):ENABLE_BUSY_FROM_FPGA(0)'; +LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):ENABLE_BUSY_FROM_FPGA(0)'; +PHY_SIGNAL = 'ENABLE_BUSY_FROM_FPGA<0>'; END_SIGNAL; BEGIN_SIGNAL: -CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):UNNAMED_1_PLEMO2CI_I7_B'; -LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):UNNAMED_1_PLEMO2CI_I7_B'; -PHY_SIGNAL = 'UNNAMED_1_PLEMO2CI_I7_B'; +CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):ENABLE_CLK_FROM_FPGA(0)'; +LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):ENABLE_CLK_FROM_FPGA(0)'; +PHY_SIGNAL = 'ENABLE_CLK_FROM_FPGA<0>'; END_SIGNAL; BEGIN_SIGNAL: -CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):CLK_IO_1'; -LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):CLK_IO_1'; -PHY_SIGNAL = 'FMC_LA<2>'; +CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):ENABLE_CLK_TO_DUT(0)'; +LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):ENABLE_CLK_TO_DUT(0)'; +PHY_SIGNAL = 'ENABLE_CLK_TO_DUT<0>'; END_SIGNAL; BEGIN_SIGNAL: -CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):CLK_IO_2'; -LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):CLK_IO_2'; -PHY_SIGNAL = 'FMC_LA*<2>'; +CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):ENABLE_CONT_FROM_FPGA(0)'; +LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):ENABLE_CONT_FROM_FPGA(0)'; +PHY_SIGNAL = 'ENABLE_CONT_FROM_FPGA<0>'; END_SIGNAL; BEGIN_SIGNAL: -CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):GPIO_CLK'; -LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):GPIO_CLK'; -PHY_SIGNAL = 'FMC_LA<29>'; +CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):ENABLE_SPARE_FROM_FPGA(0)'; +LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):ENABLE_SPARE_FROM_FPGA(0)'; +PHY_SIGNAL = 'ENABLE_SPARE_FROM_FPGA<0>'; +END_SIGNAL; + +BEGIN_SIGNAL: +CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):ENABLE_TRIG_FROM_FPGA(0)'; +LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):ENABLE_TRIG_FROM_FPGA(0)'; +PHY_SIGNAL = 'ENABLE_TRIG_FROM_FPGA<0>'; +END_SIGNAL; + +BEGIN_SIGNAL: +CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):HDMI_CLK(0)'; +LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):HDMI_CLK(0)'; +PHY_SIGNAL = 'HDMI_CLK<0>'; +END_SIGNAL; + +BEGIN_SIGNAL: +CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):HDMI_CLK*(0)'; +LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):HDMI_CLK*(0)'; +PHY_SIGNAL = 'HDMI_CLK*<0>'; END_SIGNAL; BEGIN_SIGNAL: @@ -905,41 +941,30 @@ END_MODULE; { end of module '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1)'} BEGIN_MODULE: 'FMC_TLU_TOPLEVEL_C' = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1)'; PAGE = '4'; -BEGIN_PRIM: -PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I2@CNCONNECTOR.CON19P(CHIPS)'; -LOCATION = 'J1'; -SEC = '1'; +{--------------------------------------------------------------------------} -BEGIN_LIB_INFO: - PRIM_FILE = '/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnconnector/con19p/chips/chips.prt'; - PART_NAME = 'CON19P-MHDMI-19-02-H-TH-L-TR-GA'; - LONG_PART_NAME = 'CON19P-MHDMI-19-02-H-TH-L-TR-GND=GND_HDMI1'; - PARENT_PPT_PHYS_PART = 'CON19P-MHDMI-19-02-H-TH-L-TR'; - PARENT_PPT = 'CON19P'; - PARENT_PPT_PART = 'CON19P-MHDMI-19-02-H-TH-L-TR'; - PARENT_CHIPS_PHYS_PART = 'CON19P'; -END_LIB_INFO; -END_PRIM; +BEGIN_MODULE: 'FMC_TLU_HDMI_DUT_CONNECTOR' = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1)'; +PAGE = '1'; BEGIN_PRIM: -PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I3@CNCONNECTOR.CON19P(CHIPS)'; -LOCATION = 'J2'; +PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I2@CNCONNECTOR.CON19P(CHIPS)'; +LOCATION = 'J1'; SEC = '1'; BEGIN_LIB_INFO: PRIM_FILE = '/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnconnector/con19p/chips/chips.prt'; - PART_NAME = 'CON19P-MHDMI-19-02-H-TH-L-TR-GB'; - LONG_PART_NAME = 'CON19P-MHDMI-19-02-H-TH-L-TR-GND=GND_HDMI2'; - PARENT_PPT_PHYS_PART = 'CON19P-MHDMI-19-02-H-TH-L-TR'; + PART_NAME = 'CON19P-HDMI-19-01-X-SM-GND=GNDA'; + LONG_PART_NAME = 'CON19P-HDMI-19-01-X-SM-GND=GND_HDMI'; + PARENT_PPT_PHYS_PART = 'CON19P-HDMI-19-01-X-SM'; PARENT_PPT = 'CON19P'; - PARENT_PPT_PART = 'CON19P-MHDMI-19-02-H-TH-L-TR'; + PARENT_PPT_PART = 'CON19P-HDMI-19-01-X-SM'; PARENT_CHIPS_PHYS_PART = 'CON19P'; END_LIB_INFO; END_PRIM; BEGIN_PRIM: -PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I8@CNPASSIVE.CAPCERSMDCL2(CHIPS)'; -LOCATION = 'C49'; +PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I8@CNPASSIVE.CAPCERSMDCL2(CHIPS)'; +LOCATION = 'C9'; SEC = '1'; BEGIN_LIB_INFO: @@ -952,8 +977,8 @@ END_LIB_INFO; END_PRIM; BEGIN_PRIM: -PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I9@CNPASSIVE.CAPCERSMDCL2(CHIPS)'; -LOCATION = 'C48'; +PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I9@CNPASSIVE.CAPCERSMDCL2(CHIPS)'; +LOCATION = 'C8'; SEC = '1'; BEGIN_LIB_INFO: @@ -966,8 +991,8 @@ END_LIB_INFO; END_PRIM; BEGIN_PRIM: -PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I10@CNPASSIVE.RSMD0603(CHIPS)'; -LOCATION = 'R66'; +PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I10@CNPASSIVE.RSMD0603(CHIPS)'; +LOCATION = 'R11'; SEC = '1'; BEGIN_LIB_INFO: @@ -980,8 +1005,8 @@ END_LIB_INFO; END_PRIM; BEGIN_PRIM: -PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I11@CNPASSIVE.RSMD0603(CHIPS)'; -LOCATION = 'R67'; +PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I11@CNPASSIVE.RSMD0603(CHIPS)'; +LOCATION = 'R12'; SEC = '1'; BEGIN_LIB_INFO: @@ -994,716 +1019,622 @@ END_LIB_INFO; END_PRIM; BEGIN_PRIM: -PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I18@CNPASSIVE.RSMD0603(CHIPS)'; -LOCATION = 'R70'; +PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I65@CNPASSIVE.TP(CHIPS)'; +LOCATION = 'TP11'; SEC = '1'; BEGIN_LIB_INFO: - PRIM_FILE = '/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/rsmd0603/chips/chips.prt'; - PART_NAME = 'RSMD0603_1/10W-51,1%'; - PARENT_PPT = 'RSMD0603'; - PARENT_PPT_PART = 'RSMD0603_1/10W-51,1%'; - PARENT_CHIPS_PHYS_PART = 'RSMD0603_1/10W'; + PRIM_FILE = '/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/tp/chips/chips.prt'; + PART_NAME = 'TP_HOLE-0.8MM'; + PARENT_PPT = 'TP'; + PARENT_PPT_PART = 'TP_HOLE-0.8MM'; + PARENT_CHIPS_PHYS_PART = 'TP_HOLE'; END_LIB_INFO; END_PRIM; BEGIN_PRIM: -PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I19@CNPASSIVE.CAPCERSMDCL2(CHIPS)'; -LOCATION = 'C52'; +PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I66@CNPASSIVE.TP(CHIPS)'; +LOCATION = 'TP12'; SEC = '1'; BEGIN_LIB_INFO: - PRIM_FILE = '/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/capcersmdcl2/chips/chips.prt'; - PART_NAME = 'CAPCERSMDCL2_0603-100NF,16V'; - PARENT_PPT = 'CAPCERSMDCL2'; - PARENT_PPT_PART = 'CAPCERSMDCL2_0603-100NF,16V'; - PARENT_CHIPS_PHYS_PART = 'CAPCERSMDCL2_0603'; + PRIM_FILE = '/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/tp/chips/chips.prt'; + PART_NAME = 'TP_HOLE-0.8MM'; + PARENT_PPT = 'TP'; + PARENT_PPT_PART = 'TP_HOLE-0.8MM'; + PARENT_CHIPS_PHYS_PART = 'TP_HOLE'; END_LIB_INFO; END_PRIM; BEGIN_PRIM: -PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I21@CNPASSIVE.RSMD0603(CHIPS)'; -LOCATION = 'R71'; +PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I67@CNPASSIVE.TP(CHIPS)'; +LOCATION = 'TP4'; SEC = '1'; BEGIN_LIB_INFO: - PRIM_FILE = '/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/rsmd0603/chips/chips.prt'; - PART_NAME = 'RSMD0603_1/10W-51,1%'; - PARENT_PPT = 'RSMD0603'; - PARENT_PPT_PART = 'RSMD0603_1/10W-51,1%'; - PARENT_CHIPS_PHYS_PART = 'RSMD0603_1/10W'; + PRIM_FILE = '/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/tp/chips/chips.prt'; + PART_NAME = 'TP_HOLE-0.8MM'; + PARENT_PPT = 'TP'; + PARENT_PPT_PART = 'TP_HOLE-0.8MM'; + PARENT_CHIPS_PHYS_PART = 'TP_HOLE'; END_LIB_INFO; END_PRIM; BEGIN_PRIM: -PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I22@CNPASSIVE.CAPCERSMDCL2(CHIPS)'; -LOCATION = 'C53'; +PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I68@CNPASSIVE.TP(CHIPS)'; +LOCATION = 'TP9'; SEC = '1'; BEGIN_LIB_INFO: - PRIM_FILE = '/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/capcersmdcl2/chips/chips.prt'; - PART_NAME = 'CAPCERSMDCL2_0603-100NF,16V'; - PARENT_PPT = 'CAPCERSMDCL2'; - PARENT_PPT_PART = 'CAPCERSMDCL2_0603-100NF,16V'; - PARENT_CHIPS_PHYS_PART = 'CAPCERSMDCL2_0603'; + PRIM_FILE = '/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/tp/chips/chips.prt'; + PART_NAME = 'TP_HOLE-0.8MM'; + PARENT_PPT = 'TP'; + PARENT_PPT_PART = 'TP_HOLE-0.8MM'; + PARENT_CHIPS_PHYS_PART = 'TP_HOLE'; END_LIB_INFO; END_PRIM; BEGIN_PRIM: -PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I26@CNDISCRETE.TRANS MOSFET(CHIPS)'; -LOCATION = 'T1'; +PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I69@CNPASSIVE.TP(CHIPS)'; +LOCATION = 'TP10'; SEC = '1'; BEGIN_LIB_INFO: - PRIM_FILE = '/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cndiscrete/trans#20mosfet/chips/chips.prt'; - PART_NAME = 'TRANS MOSFET_GSD-FDV301N,SOT23'; - PARENT_PPT = 'TRANS MOSFET'; - PARENT_PPT_PART = 'TRANS MOSFET_GSD-FDV301N,SOT23'; - PARENT_CHIPS_PHYS_PART = 'TRANS MOSFET_GSD'; -END_LIB_INFO; + PRIM_FILE = '/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/tp/chips/chips.prt'; + PART_NAME = 'TP_HOLE-0.8MM'; + PARENT_PPT = 'TP'; + PARENT_PPT_PART = 'TP_HOLE-0.8MM'; + PARENT_CHIPS_PHYS_PART = 'TP_HOLE'; +END_LIB_INFO; END_PRIM; BEGIN_PRIM: -PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I28@CNINTERFACE.74LVC1G07(CHIPS)'; -LOCATION = 'IC2'; +PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I70@CNPASSIVE.TP(CHIPS)'; +LOCATION = 'TP3'; SEC = '1'; BEGIN_LIB_INFO: - PRIM_FILE = '/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cninterface/74lvc1g07/chips/chips.prt'; - PART_NAME = 'SN74LVC1G07DCK-GND=GND_SIGNAL,A'; - LONG_PART_NAME = 'SN74LVC1G07DCK-GND=GND_SIGNAL,VCC=P2V5'; - PARENT_PPT_PHYS_PART = 'SN74LVC1G07DCK'; - PARENT_PPT = '74LVC1G07'; - PARENT_PPT_PART = 'SN74LVC1G07DCK'; - PARENT_CHIPS_PHYS_PART = '74LVC1G07_SC70'; + PRIM_FILE = '/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/tp/chips/chips.prt'; + PART_NAME = 'TP_HOLE-0.8MM'; + PARENT_PPT = 'TP'; + PARENT_PPT_PART = 'TP_HOLE-0.8MM'; + PARENT_CHIPS_PHYS_PART = 'TP_HOLE'; END_LIB_INFO; END_PRIM; BEGIN_PRIM: -PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I29@CNPASSIVE.RSMD0603(CHIPS)'; -LOCATION = 'R1'; +PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I71@CNPASSIVE.TP(CHIPS)'; +LOCATION = 'TP7'; SEC = '1'; BEGIN_LIB_INFO: - PRIM_FILE = '/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/rsmd0603/chips/chips.prt'; - PART_NAME = 'RSMD0603_1/10W-1K,1%'; - PARENT_PPT = 'RSMD0603'; - PARENT_PPT_PART = 'RSMD0603_1/10W-1K,1%'; - PARENT_CHIPS_PHYS_PART = 'RSMD0603_1/10W'; + PRIM_FILE = '/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/tp/chips/chips.prt'; + PART_NAME = 'TP_HOLE-0.8MM'; + PARENT_PPT = 'TP'; + PARENT_PPT_PART = 'TP_HOLE-0.8MM'; + PARENT_CHIPS_PHYS_PART = 'TP_HOLE'; END_LIB_INFO; END_PRIM; BEGIN_PRIM: -PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I38@CNPASSIVE.RSMD0603(CHIPS)'; -LOCATION = 'R68'; +PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I72@CNPASSIVE.TP(CHIPS)'; +LOCATION = 'TP8'; SEC = '1'; BEGIN_LIB_INFO: - PRIM_FILE = '/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/rsmd0603/chips/chips.prt'; - PART_NAME = 'RSMD0603_1/10W-51,1%'; - PARENT_PPT = 'RSMD0603'; - PARENT_PPT_PART = 'RSMD0603_1/10W-51,1%'; - PARENT_CHIPS_PHYS_PART = 'RSMD0603_1/10W'; + PRIM_FILE = '/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/tp/chips/chips.prt'; + PART_NAME = 'TP_HOLE-0.8MM'; + PARENT_PPT = 'TP'; + PARENT_PPT_PART = 'TP_HOLE-0.8MM'; + PARENT_CHIPS_PHYS_PART = 'TP_HOLE'; END_LIB_INFO; END_PRIM; BEGIN_PRIM: -PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I39@CNPASSIVE.RSMD0603(CHIPS)'; -LOCATION = 'R69'; +PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I73@CNPASSIVE.TP(CHIPS)'; +LOCATION = 'TP5'; SEC = '1'; BEGIN_LIB_INFO: - PRIM_FILE = '/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/rsmd0603/chips/chips.prt'; - PART_NAME = 'RSMD0603_1/10W-51,1%'; - PARENT_PPT = 'RSMD0603'; - PARENT_PPT_PART = 'RSMD0603_1/10W-51,1%'; - PARENT_CHIPS_PHYS_PART = 'RSMD0603_1/10W'; + PRIM_FILE = '/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/tp/chips/chips.prt'; + PART_NAME = 'TP_HOLE-0.8MM'; + PARENT_PPT = 'TP'; + PARENT_PPT_PART = 'TP_HOLE-0.8MM'; + PARENT_CHIPS_PHYS_PART = 'TP_HOLE'; END_LIB_INFO; END_PRIM; BEGIN_PRIM: -PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I40@CNINTERFACE.74LVC1G07(CHIPS)'; -LOCATION = 'IC1'; +PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I74@CNPASSIVE.TP(CHIPS)'; +LOCATION = 'TP6'; SEC = '1'; BEGIN_LIB_INFO: - PRIM_FILE = '/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cninterface/74lvc1g07/chips/chips.prt'; - PART_NAME = 'SN74LVC1G07DCK-GND=GND_SIGNAL,A'; - LONG_PART_NAME = 'SN74LVC1G07DCK-GND=GND_SIGNAL,VCC=P2V5'; - PARENT_PPT_PHYS_PART = 'SN74LVC1G07DCK'; - PARENT_PPT = '74LVC1G07'; - PARENT_PPT_PART = 'SN74LVC1G07DCK'; - PARENT_CHIPS_PHYS_PART = '74LVC1G07_SC70'; + PRIM_FILE = '/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/tp/chips/chips.prt'; + PART_NAME = 'TP_HOLE-0.8MM'; + PARENT_PPT = 'TP'; + PARENT_PPT_PART = 'TP_HOLE-0.8MM'; + PARENT_CHIPS_PHYS_PART = 'TP_HOLE'; END_LIB_INFO; END_PRIM; BEGIN_PRIM: -PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I41@CNPASSIVE.CAPCERSMDCL2(CHIPS)'; -LOCATION = 'C47'; +PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I75@CNPASSIVE.TP(CHIPS)'; +LOCATION = 'TP2'; SEC = '1'; BEGIN_LIB_INFO: - PRIM_FILE = '/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/capcersmdcl2/chips/chips.prt'; - PART_NAME = 'CAPCERSMDCL2_0603-100NF,16V'; - PARENT_PPT = 'CAPCERSMDCL2'; - PARENT_PPT_PART = 'CAPCERSMDCL2_0603-100NF,16V'; - PARENT_CHIPS_PHYS_PART = 'CAPCERSMDCL2_0603'; + PRIM_FILE = '/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/tp/chips/chips.prt'; + PART_NAME = 'TP_HOLE-0.8MM'; + PARENT_PPT = 'TP'; + PARENT_PPT_PART = 'TP_HOLE-0.8MM'; + PARENT_CHIPS_PHYS_PART = 'TP_HOLE'; END_LIB_INFO; END_PRIM; BEGIN_PRIM: -PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I42@CNPASSIVE.CAPCERSMDCL2(CHIPS)'; -LOCATION = 'C46'; +PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I76@CNPASSIVE.TP(CHIPS)'; +LOCATION = 'TP1'; SEC = '1'; BEGIN_LIB_INFO: - PRIM_FILE = '/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/capcersmdcl2/chips/chips.prt'; - PART_NAME = 'CAPCERSMDCL2_0603-100NF,16V'; - PARENT_PPT = 'CAPCERSMDCL2'; - PARENT_PPT_PART = 'CAPCERSMDCL2_0603-100NF,16V'; - PARENT_CHIPS_PHYS_PART = 'CAPCERSMDCL2_0603'; + PRIM_FILE = '/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/tp/chips/chips.prt'; + PART_NAME = 'TP_HOLE-0.8MM'; + PARENT_PPT = 'TP'; + PARENT_PPT_PART = 'TP_HOLE-0.8MM'; + PARENT_CHIPS_PHYS_PART = 'TP_HOLE'; END_LIB_INFO; END_PRIM; BEGIN_PRIM: -PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I44@CNPASSIVE.RSMD0603(CHIPS)'; -LOCATION = 'R2'; +PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I79@CNINTERFACE.SN65MLVD040(CHIPS)'; +LOCATION = 'IC1'; SEC = '1'; BEGIN_LIB_INFO: - PRIM_FILE = '/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/rsmd0603/chips/chips.prt'; - PART_NAME = 'RSMD0603_1/10W-1K,1%'; - PARENT_PPT = 'RSMD0603'; - PARENT_PPT_PART = 'RSMD0603_1/10W-1K,1%'; - PARENT_CHIPS_PHYS_PART = 'RSMD0603_1/10W'; + PRIM_FILE = '/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cninterface/sn65mlvd040/chips/chips.prt'; + PART_NAME = 'SN65MLVD040RGZ-GND=GND_SIGNAL,A'; + LONG_PART_NAME = 'SN65MLVD040RGZ-GND=GND_SIGNAL,VCC=P3V3'; + PARENT_PPT_PHYS_PART = 'SN65MLVD040RGZ'; + PARENT_PPT = 'SN65MLVD040'; + PARENT_PPT_PART = 'SN65MLVD040RGZ'; + PARENT_CHIPS_PHYS_PART = 'SN65MLVD040_VQFN'; END_LIB_INFO; END_PRIM; BEGIN_PRIM: -PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I45@CNDISCRETE.TRANS MOSFET(CHIPS)'; -LOCATION = 'T2'; +PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I80@CNDISCRETE.COMMON_MODE_LINE_FILTER(CHIPS)'; +LOCATION = 'L1'; SEC = '1'; BEGIN_LIB_INFO: - PRIM_FILE = '/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cndiscrete/trans#20mosfet/chips/chips.prt'; - PART_NAME = 'TRANS MOSFET_GSD-FDV301N,SOT23'; - PARENT_PPT = 'TRANS MOSFET'; - PARENT_PPT_PART = 'TRANS MOSFET_GSD-FDV301N,SOT23'; - PARENT_CHIPS_PHYS_PART = 'TRANS MOSFET_GSD'; + PRIM_FILE = '/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cndiscrete/common_mode_line_filter/chips/chips.prt'; + PART_NAME = 'COMMON_MODE_LINE_FILTER_4312-7A'; + PARENT_PPT = 'COMMON_MODE_LINE_FILTER'; + PARENT_PPT_PART = 'COMMON_MODE_LINE_FILTER_4312-744231091,90OHM'; + PARENT_CHIPS_PHYS_PART = 'COMMON_MODE_LINE_FILTER_4312'; END_LIB_INFO; END_PRIM; BEGIN_PRIM: -PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I56@CNPASSIVE.CAPCERSMDCL2(CHIPS)'; -LOCATION = 'C51'; +PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I81@CNPASSIVE.RES_ARRAY_X4(CHIPS)'; +LOCATION = 'RN1'; SEC = '1'; BEGIN_LIB_INFO: - PRIM_FILE = '/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/capcersmdcl2/chips/chips.prt'; - PART_NAME = 'CAPCERSMDCL2_0603-100NF,16V'; - PARENT_PPT = 'CAPCERSMDCL2'; - PARENT_PPT_PART = 'CAPCERSMDCL2_0603-100NF,16V'; - PARENT_CHIPS_PHYS_PART = 'CAPCERSMDCL2_0603'; + PRIM_FILE = '/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/res_array_x4/chips/chips.prt'; + PART_NAME = 'RES_ARRAY_X4_1206_TC164-47,1%'; + PARENT_PPT = 'RES_ARRAY_X4'; + PARENT_PPT_PART = 'RES_ARRAY_X4_1206_TC164-47,1%'; + PARENT_CHIPS_PHYS_PART = 'RES_ARRAY_X4'; END_LIB_INFO; END_PRIM; BEGIN_PRIM: -PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I60@CNPASSIVE.CAPCERSMDCL2(CHIPS)'; -LOCATION = 'C50'; -SEC = '1'; +PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I82@CNPASSIVE.RES_ARRAY_X4(CHIPS)'; +LOCATION = 'RN2'; +SEC = '2'; BEGIN_LIB_INFO: - PRIM_FILE = '/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/capcersmdcl2/chips/chips.prt'; - PART_NAME = 'CAPCERSMDCL2_0603-100NF,16V'; - PARENT_PPT = 'CAPCERSMDCL2'; - PARENT_PPT_PART = 'CAPCERSMDCL2_0603-100NF,16V'; - PARENT_CHIPS_PHYS_PART = 'CAPCERSMDCL2_0603'; + PRIM_FILE = '/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/res_array_x4/chips/chips.prt'; + PART_NAME = 'RES_ARRAY_X4_1206_TC164-47,1%'; + PARENT_PPT = 'RES_ARRAY_X4'; + PARENT_PPT_PART = 'RES_ARRAY_X4_1206_TC164-47,1%'; + PARENT_CHIPS_PHYS_PART = 'RES_ARRAY_X4'; END_LIB_INFO; END_PRIM; BEGIN_PRIM: -PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I65@CNPASSIVE.TP(CHIPS)'; -LOCATION = 'TP11'; +PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I83@CNPASSIVE.CAPN4I(CHIPS)'; +LOCATION = 'CN1'; SEC = '1'; BEGIN_LIB_INFO: - PRIM_FILE = '/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/tp/chips/chips.prt'; - PART_NAME = 'TP_HOLE-0.8MM'; - PARENT_PPT = 'TP'; - PARENT_PPT_PART = 'TP_HOLE-0.8MM'; - PARENT_CHIPS_PHYS_PART = 'TP_HOLE'; + PRIM_FILE = '/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/capn4i/chips/chips.prt'; + PART_NAME = 'CAPN4I-1UF,16V,X5R,GNM21'; + PARENT_PPT = 'CAPN4I'; + PARENT_PPT_PART = 'CAPN4I-1UF,16V,X5R,GNM21'; + PARENT_CHIPS_PHYS_PART = 'CAPN4I'; END_LIB_INFO; END_PRIM; BEGIN_PRIM: -PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I66@CNPASSIVE.TP(CHIPS)'; -LOCATION = 'TP12'; +PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I85@CNLINEAR.TPS786XX(CHIPS)'; +LOCATION = 'IC5'; SEC = '1'; BEGIN_LIB_INFO: - PRIM_FILE = '/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/tp/chips/chips.prt'; - PART_NAME = 'TP_HOLE-0.8MM'; - PARENT_PPT = 'TP'; - PARENT_PPT_PART = 'TP_HOLE-0.8MM'; - PARENT_CHIPS_PHYS_PART = 'TP_HOLE'; + PRIM_FILE = '/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnlinear/tps786xx/chips/chips.prt'; + PART_NAME = 'TPS78633DCQ'; + PARENT_PPT = 'TPS786XX'; + PARENT_PPT_PART = 'TPS78633DCQ'; + PARENT_CHIPS_PHYS_PART = 'TPS786XX_SOT223'; END_LIB_INFO; END_PRIM; BEGIN_PRIM: -PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I67@CNPASSIVE.TP(CHIPS)'; -LOCATION = 'TP4'; +PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I87@CNINTERFACE.DS92001(CHIPS)'; +LOCATION = 'IC4'; SEC = '1'; BEGIN_LIB_INFO: - PRIM_FILE = '/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/tp/chips/chips.prt'; - PART_NAME = 'TP_HOLE-0.8MM'; - PARENT_PPT = 'TP'; - PARENT_PPT_PART = 'TP_HOLE-0.8MM'; - PARENT_CHIPS_PHYS_PART = 'TP_HOLE'; + PRIM_FILE = '/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cninterface/ds92001/chips/chips.prt'; + PART_NAME = 'DS92001TLD-GND=GND_SIGNAL,VCC=A'; + LONG_PART_NAME = 'DS92001TLD-GND=GND_SIGNAL,VCC=P3V3'; + PARENT_PPT_PHYS_PART = 'DS92001TLD'; + PARENT_PPT = 'DS92001'; + PARENT_PPT_PART = 'DS92001TLD'; + PARENT_CHIPS_PHYS_PART = 'DS92001_LLP'; END_LIB_INFO; END_PRIM; BEGIN_PRIM: -PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I68@CNPASSIVE.TP(CHIPS)'; -LOCATION = 'TP9'; +PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I88@CNPASSIVE.CAPCERSMDCL2(CHIPS)'; +LOCATION = 'C11'; SEC = '1'; BEGIN_LIB_INFO: - PRIM_FILE = '/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/tp/chips/chips.prt'; - PART_NAME = 'TP_HOLE-0.8MM'; - PARENT_PPT = 'TP'; - PARENT_PPT_PART = 'TP_HOLE-0.8MM'; - PARENT_CHIPS_PHYS_PART = 'TP_HOLE'; + PRIM_FILE = '/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/capcersmdcl2/chips/chips.prt'; + PART_NAME = 'CAPCERSMDCL2_0603-100NF,16V'; + PARENT_PPT = 'CAPCERSMDCL2'; + PARENT_PPT_PART = 'CAPCERSMDCL2_0603-100NF,16V'; + PARENT_CHIPS_PHYS_PART = 'CAPCERSMDCL2_0603'; END_LIB_INFO; END_PRIM; BEGIN_PRIM: -PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I69@CNPASSIVE.TP(CHIPS)'; -LOCATION = 'TP10'; +PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I90@CNPASSIVE.CAPCERSMDCL2(CHIPS)'; +LOCATION = 'C13'; SEC = '1'; BEGIN_LIB_INFO: - PRIM_FILE = '/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/tp/chips/chips.prt'; - PART_NAME = 'TP_HOLE-0.8MM'; - PARENT_PPT = 'TP'; - PARENT_PPT_PART = 'TP_HOLE-0.8MM'; - PARENT_CHIPS_PHYS_PART = 'TP_HOLE'; + PRIM_FILE = '/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/capcersmdcl2/chips/chips.prt'; + PART_NAME = 'CAPCERSMDCL2_0805-4.7UF,10V'; + PARENT_PPT = 'CAPCERSMDCL2'; + PARENT_PPT_PART = 'CAPCERSMDCL2_0805-4.7UF,10V'; + PARENT_CHIPS_PHYS_PART = 'CAPCERSMDCL2_0805'; END_LIB_INFO; END_PRIM; BEGIN_PRIM: -PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I70@CNPASSIVE.TP(CHIPS)'; -LOCATION = 'TP3'; +PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I91@CNPASSIVE.CAPCERSMDCL2(CHIPS)'; +LOCATION = 'C12'; SEC = '1'; BEGIN_LIB_INFO: - PRIM_FILE = '/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/tp/chips/chips.prt'; - PART_NAME = 'TP_HOLE-0.8MM'; - PARENT_PPT = 'TP'; - PARENT_PPT_PART = 'TP_HOLE-0.8MM'; - PARENT_CHIPS_PHYS_PART = 'TP_HOLE'; + PRIM_FILE = '/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/capcersmdcl2/chips/chips.prt'; + PART_NAME = 'CAPCERSMDCL2_0805-4.7UF,10V'; + PARENT_PPT = 'CAPCERSMDCL2'; + PARENT_PPT_PART = 'CAPCERSMDCL2_0805-4.7UF,10V'; + PARENT_CHIPS_PHYS_PART = 'CAPCERSMDCL2_0805'; END_LIB_INFO; END_PRIM; BEGIN_PRIM: -PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I71@CNPASSIVE.TP(CHIPS)'; -LOCATION = 'TP7'; +PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I106@CNPASSIVE.RSMD0603(CHIPS)'; +LOCATION = 'R1'; SEC = '1'; BEGIN_LIB_INFO: - PRIM_FILE = '/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/tp/chips/chips.prt'; - PART_NAME = 'TP_HOLE-0.8MM'; - PARENT_PPT = 'TP'; - PARENT_PPT_PART = 'TP_HOLE-0.8MM'; - PARENT_CHIPS_PHYS_PART = 'TP_HOLE'; + PRIM_FILE = '/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/rsmd0603/chips/chips.prt'; + PART_NAME = 'RSMD0603_1/10W-100,1%'; + PARENT_PPT = 'RSMD0603'; + PARENT_PPT_PART = 'RSMD0603_1/10W-100,1%'; + PARENT_CHIPS_PHYS_PART = 'RSMD0603_1/10W'; END_LIB_INFO; END_PRIM; BEGIN_PRIM: -PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I72@CNPASSIVE.TP(CHIPS)'; -LOCATION = 'TP8'; +PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I109@CNDISCRETE.COMMON_MODE_LINE_FILTER(CHIPS)'; +LOCATION = 'L5'; SEC = '1'; BEGIN_LIB_INFO: - PRIM_FILE = '/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/tp/chips/chips.prt'; - PART_NAME = 'TP_HOLE-0.8MM'; - PARENT_PPT = 'TP'; - PARENT_PPT_PART = 'TP_HOLE-0.8MM'; - PARENT_CHIPS_PHYS_PART = 'TP_HOLE'; + PRIM_FILE = '/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cndiscrete/common_mode_line_filter/chips/chips.prt'; + PART_NAME = 'COMMON_MODE_LINE_FILTER_4312-7A'; + PARENT_PPT = 'COMMON_MODE_LINE_FILTER'; + PARENT_PPT_PART = 'COMMON_MODE_LINE_FILTER_4312-744231091,90OHM'; + PARENT_CHIPS_PHYS_PART = 'COMMON_MODE_LINE_FILTER_4312'; END_LIB_INFO; END_PRIM; BEGIN_PRIM: -PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I73@CNPASSIVE.TP(CHIPS)'; -LOCATION = 'TP5'; +PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I110@CNPASSIVE.RES_ARRAY_X4(CHIPS)'; +LOCATION = 'RN2'; SEC = '1'; BEGIN_LIB_INFO: - PRIM_FILE = '/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/tp/chips/chips.prt'; - PART_NAME = 'TP_HOLE-0.8MM'; - PARENT_PPT = 'TP'; - PARENT_PPT_PART = 'TP_HOLE-0.8MM'; - PARENT_CHIPS_PHYS_PART = 'TP_HOLE'; + PRIM_FILE = '/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/res_array_x4/chips/chips.prt'; + PART_NAME = 'RES_ARRAY_X4_1206_TC164-47,1%'; + PARENT_PPT = 'RES_ARRAY_X4'; + PARENT_PPT_PART = 'RES_ARRAY_X4_1206_TC164-47,1%'; + PARENT_CHIPS_PHYS_PART = 'RES_ARRAY_X4'; END_LIB_INFO; END_PRIM; BEGIN_PRIM: -PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I74@CNPASSIVE.TP(CHIPS)'; -LOCATION = 'TP6'; -SEC = '1'; +PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I111@CNPASSIVE.RES_ARRAY_X4(CHIPS)'; +LOCATION = 'RN3'; +SEC = '2'; BEGIN_LIB_INFO: - PRIM_FILE = '/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/tp/chips/chips.prt'; - PART_NAME = 'TP_HOLE-0.8MM'; - PARENT_PPT = 'TP'; - PARENT_PPT_PART = 'TP_HOLE-0.8MM'; - PARENT_CHIPS_PHYS_PART = 'TP_HOLE'; + PRIM_FILE = '/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/res_array_x4/chips/chips.prt'; + PART_NAME = 'RES_ARRAY_X4_1206_TC164-47,1%'; + PARENT_PPT = 'RES_ARRAY_X4'; + PARENT_PPT_PART = 'RES_ARRAY_X4_1206_TC164-47,1%'; + PARENT_CHIPS_PHYS_PART = 'RES_ARRAY_X4'; END_LIB_INFO; END_PRIM; BEGIN_PRIM: -PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I75@CNPASSIVE.TP(CHIPS)'; -LOCATION = 'TP1'; +PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I112@CNPASSIVE.CAPN4I(CHIPS)'; +LOCATION = 'CN2'; SEC = '1'; BEGIN_LIB_INFO: - PRIM_FILE = '/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/tp/chips/chips.prt'; - PART_NAME = 'TP_HOLE-0.8MM'; - PARENT_PPT = 'TP'; - PARENT_PPT_PART = 'TP_HOLE-0.8MM'; - PARENT_CHIPS_PHYS_PART = 'TP_HOLE'; + PRIM_FILE = '/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/capn4i/chips/chips.prt'; + PART_NAME = 'CAPN4I-1UF,16V,X5R,GNM21'; + PARENT_PPT = 'CAPN4I'; + PARENT_PPT_PART = 'CAPN4I-1UF,16V,X5R,GNM21'; + PARENT_CHIPS_PHYS_PART = 'CAPN4I'; END_LIB_INFO; END_PRIM; BEGIN_PRIM: -PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I76@CNPASSIVE.TP(CHIPS)'; -LOCATION = 'TP2'; +PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I116@CNINTERFACE.SN65MLVD040(CHIPS)'; +LOCATION = 'IC2'; SEC = '1'; BEGIN_LIB_INFO: - PRIM_FILE = '/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/tp/chips/chips.prt'; - PART_NAME = 'TP_HOLE-0.8MM'; - PARENT_PPT = 'TP'; - PARENT_PPT_PART = 'TP_HOLE-0.8MM'; - PARENT_CHIPS_PHYS_PART = 'TP_HOLE'; + PRIM_FILE = '/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cninterface/sn65mlvd040/chips/chips.prt'; + PART_NAME = 'SN65MLVD040RGZ-GND=GND_SIGNAL,A'; + LONG_PART_NAME = 'SN65MLVD040RGZ-GND=GND_SIGNAL,VCC=P3V3'; + PARENT_PPT_PHYS_PART = 'SN65MLVD040RGZ'; + PARENT_PPT = 'SN65MLVD040'; + PARENT_PPT_PART = 'SN65MLVD040RGZ'; + PARENT_CHIPS_PHYS_PART = 'SN65MLVD040_VQFN'; END_LIB_INFO; END_PRIM; BEGIN_PRIM: -PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I78@CNCONNECTOR.CON8P(CHIPS)'; -LOCATION = 'J3'; +PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I121@CNDISCRETE.COMMON_MODE_LINE_FILTER(CHIPS)'; +LOCATION = 'L4'; SEC = '1'; BEGIN_LIB_INFO: - PRIM_FILE = '/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnconnector/con8p/chips/chips.prt'; - PART_NAME = 'CON8P-44661-1011-GND=FRAME'; - LONG_PART_NAME = 'CON8P-44661-1011-GND=FRAME'; - PARENT_PPT_PHYS_PART = 'CON8P-44661-1011'; - PARENT_PPT = 'CON8P'; - PARENT_PPT_PART = 'CON8P-44661-1011'; - PARENT_CHIPS_PHYS_PART = 'CON8P'; + PRIM_FILE = '/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cndiscrete/common_mode_line_filter/chips/chips.prt'; + PART_NAME = 'COMMON_MODE_LINE_FILTER_4312-7A'; + PARENT_PPT = 'COMMON_MODE_LINE_FILTER'; + PARENT_PPT_PART = 'COMMON_MODE_LINE_FILTER_4312-744231091,90OHM'; + PARENT_CHIPS_PHYS_PART = 'COMMON_MODE_LINE_FILTER_4312'; END_LIB_INFO; END_PRIM; BEGIN_PRIM: -PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I33@CNDISCRETE.USBLC6-2(CHIPS)'; -LOCATION = 'D2'; -SEC = '1'; +PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I122@CNPASSIVE.RES_ARRAY_X4(CHIPS)'; +LOCATION = 'RN1'; +SEC = '4'; BEGIN_LIB_INFO: - PRIM_FILE = '/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cndiscrete/usblc6#2d2/chips/chips.prt'; - PART_NAME = 'USBLC6-2SC6'; - PARENT_PPT = 'USBLC6-2'; - PARENT_PPT_PART = 'USBLC6-2SC6'; - PARENT_CHIPS_PHYS_PART = 'USBLC6-2_SOT23'; + PRIM_FILE = '/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/res_array_x4/chips/chips.prt'; + PART_NAME = 'RES_ARRAY_X4_1206_TC164-47,1%'; + PARENT_PPT = 'RES_ARRAY_X4'; + PARENT_PPT_PART = 'RES_ARRAY_X4_1206_TC164-47,1%'; + PARENT_CHIPS_PHYS_PART = 'RES_ARRAY_X4'; END_LIB_INFO; END_PRIM; BEGIN_PRIM: -PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I49@CNDISCRETE.USBLC6-2(CHIPS)'; -LOCATION = 'D1'; +PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I123@CNPASSIVE.RES_ARRAY_X4(CHIPS)'; +LOCATION = 'RN3'; SEC = '1'; BEGIN_LIB_INFO: - PRIM_FILE = '/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cndiscrete/usblc6#2d2/chips/chips.prt'; - PART_NAME = 'USBLC6-2SC6'; - PARENT_PPT = 'USBLC6-2'; - PARENT_PPT_PART = 'USBLC6-2SC6'; - PARENT_CHIPS_PHYS_PART = 'USBLC6-2_SOT23'; + PRIM_FILE = '/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/res_array_x4/chips/chips.prt'; + PART_NAME = 'RES_ARRAY_X4_1206_TC164-47,1%'; + PARENT_PPT = 'RES_ARRAY_X4'; + PARENT_PPT_PART = 'RES_ARRAY_X4_1206_TC164-47,1%'; + PARENT_CHIPS_PHYS_PART = 'RES_ARRAY_X4'; END_LIB_INFO; END_PRIM; -BEGIN_SIGNAL: -CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FRAME'; -LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):FRAME'; -PHY_SIGNAL = 'FRAME'; -END_SIGNAL; - -BEGIN_SIGNAL: -CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):P3V3'; -LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):P3V3'; -PHY_SIGNAL = 'P3V3'; -END_SIGNAL; - -BEGIN_SIGNAL: -CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):P2V5'; -LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):P2V5'; -PHY_SIGNAL = 'P2V5'; -END_SIGNAL; - -BEGIN_SIGNAL: -CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):GND_SIGNAL'; -LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):GND_SIGNAL'; -PHY_SIGNAL = 'GND_SIGNAL'; -END_SIGNAL; - -BEGIN_SIGNAL: -CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):UNNAMED_4_CAPCERSMDCL2_I19_B'; -LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):UNNAMED_4_CAPCERSMDCL2_I19_B'; -PHY_SIGNAL = 'UNNAMED_4_CAPCERSMDCL2_I19_B'; -END_SIGNAL; - -BEGIN_SIGNAL: -CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):UNNAMED_4_CAPCERSMDCL2_I22_B'; -LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):UNNAMED_4_CAPCERSMDCL2_I22_B'; -PHY_SIGNAL = 'UNNAMED_4_CAPCERSMDCL2_I22_B'; -END_SIGNAL; - -BEGIN_SIGNAL: -CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):UNNAMED_4_CAPCERSMDCL2_I8_B'; -LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):UNNAMED_4_CAPCERSMDCL2_I8_B'; -PHY_SIGNAL = 'UNNAMED_4_CAPCERSMDCL2_I8_B'; -END_SIGNAL; - -BEGIN_SIGNAL: -CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):UNNAMED_4_CAPCERSMDCL2_I9_B'; -LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):UNNAMED_4_CAPCERSMDCL2_I9_B'; -PHY_SIGNAL = 'UNNAMED_4_CAPCERSMDCL2_I9_B'; -END_SIGNAL; - -BEGIN_SIGNAL: -CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):UNNAMED_4_CON19P_I2_A'; -LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):UNNAMED_4_CON19P_I2_A'; -PHY_SIGNAL = 'UNNAMED_4_CON19P_I2_A'; -END_SIGNAL; - -BEGIN_SIGNAL: -CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):UNNAMED_4_74LVC1G07_I28_Y'; -LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):UNNAMED_4_74LVC1G07_I28_Y'; -PHY_SIGNAL = 'UNNAMED_4_74LVC1G07_I28_Y'; -END_SIGNAL; - -BEGIN_SIGNAL: -CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):UNNAMED_4_74LVC1G07_I40_Y'; -LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):UNNAMED_4_74LVC1G07_I40_Y'; -PHY_SIGNAL = 'UNNAMED_4_74LVC1G07_I40_Y'; -END_SIGNAL; - -BEGIN_SIGNAL: -CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):UNNAMED_4_CAPCERSMDCL2_I41_B'; -LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):UNNAMED_4_CAPCERSMDCL2_I41_B'; -PHY_SIGNAL = 'UNNAMED_4_CAPCERSMDCL2_I41_B'; -END_SIGNAL; - -BEGIN_SIGNAL: -CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):UNNAMED_4_CAPCERSMDCL2_I42_B'; -LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):UNNAMED_4_CAPCERSMDCL2_I42_B'; -PHY_SIGNAL = 'UNNAMED_4_CAPCERSMDCL2_I42_B'; -END_SIGNAL; - -BEGIN_SIGNAL: -CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):UNNAMED_4_CON19P_I3_A'; -LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):UNNAMED_4_CON19P_I3_A'; -PHY_SIGNAL = 'UNNAMED_4_CON19P_I3_A'; -END_SIGNAL; - -{--------------------------------------------------------------------------} +BEGIN_PRIM: +PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I124@CNPASSIVE.CAPN4I(CHIPS)'; +LOCATION = 'CN1'; +SEC = '4'; -BEGIN_MODULE: 'FMC_TLU_DIODE_CLAMP' = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I62@FMC_TLU_V1_LIB.FMC_TLU_DIODE_CLAMP(SCH_1)'; -PAGE = '1'; +BEGIN_LIB_INFO: + PRIM_FILE = '/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/capn4i/chips/chips.prt'; + PART_NAME = 'CAPN4I-1UF,16V,X5R,GNM21'; + PARENT_PPT = 'CAPN4I'; + PARENT_PPT_PART = 'CAPN4I-1UF,16V,X5R,GNM21'; + PARENT_CHIPS_PHYS_PART = 'CAPN4I'; +END_LIB_INFO; +END_PRIM; BEGIN_PRIM: -PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I62@FMC_TLU_V1_LIB.FMC_TLU_DIODE_CLAMP(SCH_1):PAGE1_I7@BRIS_CDS_ANALOGUE.PRTR5V0U8S(CHIPS)'; -LOCATION = 'U2'; -SEC = '7'; +PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I128@CNINTERFACE.SN65MLVD040(CHIPS)'; +LOCATION = 'IC1'; +SEC = '4'; BEGIN_LIB_INFO: - PRIM_FILE = '/projects/HEP_Instrumentation/cad/bris_cdslib/lib_psd14.x/cds_analogue/prtr5v0u8s/chips/chips.prt'; - PART_NAME = 'PRTR5V0U8S_TSSOP-NXP-GND=GND_SA'; - LONG_PART_NAME = 'PRTR5V0U8S_TSSOP-NXP-GND=GND_SIGNAL,VCC=P2V5'; - PARENT_PPT_PHYS_PART = 'PRTR5V0U8S_TSSOP-NXP'; - PARENT_PPT = 'PRTR5V0U8S'; - PARENT_PPT_PART = 'PRTR5V0U8S_TSSOP-NXP'; - PARENT_CHIPS_PHYS_PART = 'PRTR5V0U8S_TSSOP'; + PRIM_FILE = '/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cninterface/sn65mlvd040/chips/chips.prt'; + PART_NAME = 'SN65MLVD040RGZ-GND=GND_SIGNAL,A'; + LONG_PART_NAME = 'SN65MLVD040RGZ-GND=GND_SIGNAL,VCC=P3V3'; + PARENT_PPT_PHYS_PART = 'SN65MLVD040RGZ'; + PARENT_PPT = 'SN65MLVD040'; + PARENT_PPT_PART = 'SN65MLVD040RGZ'; + PARENT_CHIPS_PHYS_PART = 'SN65MLVD040_VQFN'; END_LIB_INFO; END_PRIM; BEGIN_PRIM: -PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I62@FMC_TLU_V1_LIB.FMC_TLU_DIODE_CLAMP(SCH_1):PAGE1_I9@CNPASSIVE.CAPCERSMDCL2(CHIPS)'; -LOCATION = 'C55'; +PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I133@CNDISCRETE.COMMON_MODE_LINE_FILTER(CHIPS)'; +LOCATION = 'L3'; SEC = '1'; BEGIN_LIB_INFO: - PRIM_FILE = '/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/capcersmdcl2/chips/chips.prt'; - PART_NAME = 'CAPCERSMDCL2_0603-100NF,16V'; - PARENT_PPT = 'CAPCERSMDCL2'; - PARENT_PPT_PART = 'CAPCERSMDCL2_0603-100NF,16V'; - PARENT_CHIPS_PHYS_PART = 'CAPCERSMDCL2_0603'; + PRIM_FILE = '/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cndiscrete/common_mode_line_filter/chips/chips.prt'; + PART_NAME = 'COMMON_MODE_LINE_FILTER_4312-7A'; + PARENT_PPT = 'COMMON_MODE_LINE_FILTER'; + PARENT_PPT_PART = 'COMMON_MODE_LINE_FILTER_4312-744231091,90OHM'; + PARENT_CHIPS_PHYS_PART = 'COMMON_MODE_LINE_FILTER_4312'; END_LIB_INFO; END_PRIM; BEGIN_PRIM: -PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I62@FMC_TLU_V1_LIB.FMC_TLU_DIODE_CLAMP(SCH_1):PAGE1_I43@BRIS_CDS_ANALOGUE.PRTR5V0U8S(CHIPS)'; -LOCATION = 'U2'; +PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I134@CNPASSIVE.RES_ARRAY_X4(CHIPS)'; +LOCATION = 'RN1'; SEC = '3'; BEGIN_LIB_INFO: - PRIM_FILE = '/projects/HEP_Instrumentation/cad/bris_cdslib/lib_psd14.x/cds_analogue/prtr5v0u8s/chips/chips.prt'; - PART_NAME = 'PRTR5V0U8S_TSSOP-NXP-GND=GND_SA'; - LONG_PART_NAME = 'PRTR5V0U8S_TSSOP-NXP-GND=GND_SIGNAL,VCC=P2V5'; - PARENT_PPT_PHYS_PART = 'PRTR5V0U8S_TSSOP-NXP'; - PARENT_PPT = 'PRTR5V0U8S'; - PARENT_PPT_PART = 'PRTR5V0U8S_TSSOP-NXP'; - PARENT_CHIPS_PHYS_PART = 'PRTR5V0U8S_TSSOP'; + PRIM_FILE = '/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/res_array_x4/chips/chips.prt'; + PART_NAME = 'RES_ARRAY_X4_1206_TC164-47,1%'; + PARENT_PPT = 'RES_ARRAY_X4'; + PARENT_PPT_PART = 'RES_ARRAY_X4_1206_TC164-47,1%'; + PARENT_CHIPS_PHYS_PART = 'RES_ARRAY_X4'; END_LIB_INFO; END_PRIM; BEGIN_PRIM: -PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I62@FMC_TLU_V1_LIB.FMC_TLU_DIODE_CLAMP(SCH_1):PAGE1_I44@BRIS_CDS_ANALOGUE.PRTR5V0U8S(CHIPS)'; -LOCATION = 'U2'; -SEC = '5'; +PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I135@CNPASSIVE.RES_ARRAY_X4(CHIPS)'; +LOCATION = 'RN2'; +SEC = '4'; BEGIN_LIB_INFO: - PRIM_FILE = '/projects/HEP_Instrumentation/cad/bris_cdslib/lib_psd14.x/cds_analogue/prtr5v0u8s/chips/chips.prt'; - PART_NAME = 'PRTR5V0U8S_TSSOP-NXP-GND=GND_SA'; - LONG_PART_NAME = 'PRTR5V0U8S_TSSOP-NXP-GND=GND_SIGNAL,VCC=P2V5'; - PARENT_PPT_PHYS_PART = 'PRTR5V0U8S_TSSOP-NXP'; - PARENT_PPT = 'PRTR5V0U8S'; - PARENT_PPT_PART = 'PRTR5V0U8S_TSSOP-NXP'; - PARENT_CHIPS_PHYS_PART = 'PRTR5V0U8S_TSSOP'; + PRIM_FILE = '/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/res_array_x4/chips/chips.prt'; + PART_NAME = 'RES_ARRAY_X4_1206_TC164-47,1%'; + PARENT_PPT = 'RES_ARRAY_X4'; + PARENT_PPT_PART = 'RES_ARRAY_X4_1206_TC164-47,1%'; + PARENT_CHIPS_PHYS_PART = 'RES_ARRAY_X4'; END_LIB_INFO; END_PRIM; BEGIN_PRIM: -PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I62@FMC_TLU_V1_LIB.FMC_TLU_DIODE_CLAMP(SCH_1):PAGE1_I45@BRIS_CDS_ANALOGUE.PRTR5V0U8S(CHIPS)'; -LOCATION = 'U2'; -SEC = '6'; +PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I136@CNPASSIVE.CAPN4I(CHIPS)'; +LOCATION = 'CN1'; +SEC = '3'; BEGIN_LIB_INFO: - PRIM_FILE = '/projects/HEP_Instrumentation/cad/bris_cdslib/lib_psd14.x/cds_analogue/prtr5v0u8s/chips/chips.prt'; - PART_NAME = 'PRTR5V0U8S_TSSOP-NXP-GND=GND_SA'; - LONG_PART_NAME = 'PRTR5V0U8S_TSSOP-NXP-GND=GND_SIGNAL,VCC=P2V5'; - PARENT_PPT_PHYS_PART = 'PRTR5V0U8S_TSSOP-NXP'; - PARENT_PPT = 'PRTR5V0U8S'; - PARENT_PPT_PART = 'PRTR5V0U8S_TSSOP-NXP'; - PARENT_CHIPS_PHYS_PART = 'PRTR5V0U8S_TSSOP'; + PRIM_FILE = '/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/capn4i/chips/chips.prt'; + PART_NAME = 'CAPN4I-1UF,16V,X5R,GNM21'; + PARENT_PPT = 'CAPN4I'; + PARENT_PPT_PART = 'CAPN4I-1UF,16V,X5R,GNM21'; + PARENT_CHIPS_PHYS_PART = 'CAPN4I'; END_LIB_INFO; END_PRIM; BEGIN_PRIM: -PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I62@FMC_TLU_V1_LIB.FMC_TLU_DIODE_CLAMP(SCH_1):PAGE1_I46@BRIS_CDS_ANALOGUE.PRTR5V0U8S(CHIPS)'; -LOCATION = 'U2'; -SEC = '1'; +PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I140@CNINTERFACE.SN65MLVD040(CHIPS)'; +LOCATION = 'IC1'; +SEC = '3'; BEGIN_LIB_INFO: - PRIM_FILE = '/projects/HEP_Instrumentation/cad/bris_cdslib/lib_psd14.x/cds_analogue/prtr5v0u8s/chips/chips.prt'; - PART_NAME = 'PRTR5V0U8S_TSSOP-NXP-GND=GND_SA'; - LONG_PART_NAME = 'PRTR5V0U8S_TSSOP-NXP-GND=GND_SIGNAL,VCC=P2V5'; - PARENT_PPT_PHYS_PART = 'PRTR5V0U8S_TSSOP-NXP'; - PARENT_PPT = 'PRTR5V0U8S'; - PARENT_PPT_PART = 'PRTR5V0U8S_TSSOP-NXP'; - PARENT_CHIPS_PHYS_PART = 'PRTR5V0U8S_TSSOP'; + PRIM_FILE = '/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cninterface/sn65mlvd040/chips/chips.prt'; + PART_NAME = 'SN65MLVD040RGZ-GND=GND_SIGNAL,A'; + LONG_PART_NAME = 'SN65MLVD040RGZ-GND=GND_SIGNAL,VCC=P3V3'; + PARENT_PPT_PHYS_PART = 'SN65MLVD040RGZ'; + PARENT_PPT = 'SN65MLVD040'; + PARENT_PPT_PART = 'SN65MLVD040RGZ'; + PARENT_CHIPS_PHYS_PART = 'SN65MLVD040_VQFN'; END_LIB_INFO; END_PRIM; BEGIN_PRIM: -PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I62@FMC_TLU_V1_LIB.FMC_TLU_DIODE_CLAMP(SCH_1):PAGE1_I47@BRIS_CDS_ANALOGUE.PRTR5V0U8S(CHIPS)'; -LOCATION = 'U2'; -SEC = '2'; +PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I145@CNDISCRETE.COMMON_MODE_LINE_FILTER(CHIPS)'; +LOCATION = 'L2'; +SEC = '1'; BEGIN_LIB_INFO: - PRIM_FILE = '/projects/HEP_Instrumentation/cad/bris_cdslib/lib_psd14.x/cds_analogue/prtr5v0u8s/chips/chips.prt'; - PART_NAME = 'PRTR5V0U8S_TSSOP-NXP-GND=GND_SA'; - LONG_PART_NAME = 'PRTR5V0U8S_TSSOP-NXP-GND=GND_SIGNAL,VCC=P2V5'; - PARENT_PPT_PHYS_PART = 'PRTR5V0U8S_TSSOP-NXP'; - PARENT_PPT = 'PRTR5V0U8S'; - PARENT_PPT_PART = 'PRTR5V0U8S_TSSOP-NXP'; - PARENT_CHIPS_PHYS_PART = 'PRTR5V0U8S_TSSOP'; + PRIM_FILE = '/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cndiscrete/common_mode_line_filter/chips/chips.prt'; + PART_NAME = 'COMMON_MODE_LINE_FILTER_4312-7A'; + PARENT_PPT = 'COMMON_MODE_LINE_FILTER'; + PARENT_PPT_PART = 'COMMON_MODE_LINE_FILTER_4312-744231091,90OHM'; + PARENT_CHIPS_PHYS_PART = 'COMMON_MODE_LINE_FILTER_4312'; END_LIB_INFO; END_PRIM; BEGIN_PRIM: -PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I62@FMC_TLU_V1_LIB.FMC_TLU_DIODE_CLAMP(SCH_1):PAGE1_I48@BRIS_CDS_ANALOGUE.PRTR5V0U8S(CHIPS)'; -LOCATION = 'U2'; -SEC = '8'; +PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I146@CNPASSIVE.RES_ARRAY_X4(CHIPS)'; +LOCATION = 'RN1'; +SEC = '2'; BEGIN_LIB_INFO: - PRIM_FILE = '/projects/HEP_Instrumentation/cad/bris_cdslib/lib_psd14.x/cds_analogue/prtr5v0u8s/chips/chips.prt'; - PART_NAME = 'PRTR5V0U8S_TSSOP-NXP-GND=GND_SA'; - LONG_PART_NAME = 'PRTR5V0U8S_TSSOP-NXP-GND=GND_SIGNAL,VCC=P2V5'; - PARENT_PPT_PHYS_PART = 'PRTR5V0U8S_TSSOP-NXP'; - PARENT_PPT = 'PRTR5V0U8S'; - PARENT_PPT_PART = 'PRTR5V0U8S_TSSOP-NXP'; - PARENT_CHIPS_PHYS_PART = 'PRTR5V0U8S_TSSOP'; + PRIM_FILE = '/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/res_array_x4/chips/chips.prt'; + PART_NAME = 'RES_ARRAY_X4_1206_TC164-47,1%'; + PARENT_PPT = 'RES_ARRAY_X4'; + PARENT_PPT_PART = 'RES_ARRAY_X4_1206_TC164-47,1%'; + PARENT_CHIPS_PHYS_PART = 'RES_ARRAY_X4'; END_LIB_INFO; END_PRIM; BEGIN_PRIM: -PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I62@FMC_TLU_V1_LIB.FMC_TLU_DIODE_CLAMP(SCH_1):PAGE1_I50@BRIS_CDS_ANALOGUE.PRTR5V0U8S(CHIPS)'; -LOCATION = 'U2'; -SEC = '4'; +PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I147@CNPASSIVE.RES_ARRAY_X4(CHIPS)'; +LOCATION = 'RN2'; +SEC = '3'; BEGIN_LIB_INFO: - PRIM_FILE = '/projects/HEP_Instrumentation/cad/bris_cdslib/lib_psd14.x/cds_analogue/prtr5v0u8s/chips/chips.prt'; - PART_NAME = 'PRTR5V0U8S_TSSOP-NXP-GND=GND_SA'; - LONG_PART_NAME = 'PRTR5V0U8S_TSSOP-NXP-GND=GND_SIGNAL,VCC=P2V5'; - PARENT_PPT_PHYS_PART = 'PRTR5V0U8S_TSSOP-NXP'; - PARENT_PPT = 'PRTR5V0U8S'; - PARENT_PPT_PART = 'PRTR5V0U8S_TSSOP-NXP'; - PARENT_CHIPS_PHYS_PART = 'PRTR5V0U8S_TSSOP'; + PRIM_FILE = '/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/res_array_x4/chips/chips.prt'; + PART_NAME = 'RES_ARRAY_X4_1206_TC164-47,1%'; + PARENT_PPT = 'RES_ARRAY_X4'; + PARENT_PPT_PART = 'RES_ARRAY_X4_1206_TC164-47,1%'; + PARENT_CHIPS_PHYS_PART = 'RES_ARRAY_X4'; END_LIB_INFO; END_PRIM; BEGIN_PRIM: -PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I62@FMC_TLU_V1_LIB.FMC_TLU_DIODE_CLAMP(SCH_1):PAGE1_I51@CNDISCRETE.ZENER(CHIPS)'; -LOCATION = 'Z2'; -SEC = '1'; +PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I148@CNPASSIVE.CAPN4I(CHIPS)'; +LOCATION = 'CN1'; +SEC = '2'; BEGIN_LIB_INFO: - PRIM_FILE = '/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cndiscrete/zener/chips/chips.prt'; - PART_NAME = 'ZENER_SOD123-CA-BZT52C2V7'; - PARENT_PPT = 'ZENER'; - PARENT_PPT_PART = 'ZENER_SOD123-CA-BZT52C2V7'; - PARENT_CHIPS_PHYS_PART = 'ZENER_SOD123-CA'; + PRIM_FILE = '/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/capn4i/chips/chips.prt'; + PART_NAME = 'CAPN4I-1UF,16V,X5R,GNM21'; + PARENT_PPT = 'CAPN4I'; + PARENT_PPT_PART = 'CAPN4I-1UF,16V,X5R,GNM21'; + PARENT_CHIPS_PHYS_PART = 'CAPN4I'; END_LIB_INFO; END_PRIM; -END_MODULE; { end of module '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I62@FMC_TLU_V1_LIB.FMC_TLU_DIODE_CLAMP(SCH_1)'} - -{--------------------------------------------------------------------------} - -BEGIN_MODULE: 'FMC_TLU_DIODE_CLAMP' = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I63@FMC_TLU_V1_LIB.FMC_TLU_DIODE_CLAMP(SCH_1)'; -PAGE = '1'; - BEGIN_PRIM: -PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I63@FMC_TLU_V1_LIB.FMC_TLU_DIODE_CLAMP(SCH_1):PAGE1_I7@BRIS_CDS_ANALOGUE.PRTR5V0U8S(CHIPS)'; -LOCATION = 'U3'; -SEC = '1'; +PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I152@CNINTERFACE.SN65MLVD040(CHIPS)'; +LOCATION = 'IC1'; +SEC = '2'; BEGIN_LIB_INFO: - PRIM_FILE = '/projects/HEP_Instrumentation/cad/bris_cdslib/lib_psd14.x/cds_analogue/prtr5v0u8s/chips/chips.prt'; - PART_NAME = 'PRTR5V0U8S_TSSOP-NXP-GND=GND_SA'; - LONG_PART_NAME = 'PRTR5V0U8S_TSSOP-NXP-GND=GND_SIGNAL,VCC=P2V5'; - PARENT_PPT_PHYS_PART = 'PRTR5V0U8S_TSSOP-NXP'; - PARENT_PPT = 'PRTR5V0U8S'; - PARENT_PPT_PART = 'PRTR5V0U8S_TSSOP-NXP'; - PARENT_CHIPS_PHYS_PART = 'PRTR5V0U8S_TSSOP'; + PRIM_FILE = '/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cninterface/sn65mlvd040/chips/chips.prt'; + PART_NAME = 'SN65MLVD040RGZ-GND=GND_SIGNAL,A'; + LONG_PART_NAME = 'SN65MLVD040RGZ-GND=GND_SIGNAL,VCC=P3V3'; + PARENT_PPT_PHYS_PART = 'SN65MLVD040RGZ'; + PARENT_PPT = 'SN65MLVD040'; + PARENT_PPT_PART = 'SN65MLVD040RGZ'; + PARENT_CHIPS_PHYS_PART = 'SN65MLVD040_VQFN'; END_LIB_INFO; END_PRIM; BEGIN_PRIM: -PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I63@FMC_TLU_V1_LIB.FMC_TLU_DIODE_CLAMP(SCH_1):PAGE1_I9@CNPASSIVE.CAPCERSMDCL2(CHIPS)'; -LOCATION = 'C56'; +PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I157@CNPASSIVE.CAPCERSMDCL2(CHIPS)'; +LOCATION = 'C10'; SEC = '1'; BEGIN_LIB_INFO: @@ -1716,295 +1647,335 @@ END_LIB_INFO; END_PRIM; BEGIN_PRIM: -PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I63@FMC_TLU_V1_LIB.FMC_TLU_DIODE_CLAMP(SCH_1):PAGE1_I43@BRIS_CDS_ANALOGUE.PRTR5V0U8S(CHIPS)'; -LOCATION = 'U3'; -SEC = '7'; +PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I160@CNPASSIVE.CAPCERSMDCL2(CHIPS)'; +LOCATION = 'C2'; +SEC = '1'; BEGIN_LIB_INFO: - PRIM_FILE = '/projects/HEP_Instrumentation/cad/bris_cdslib/lib_psd14.x/cds_analogue/prtr5v0u8s/chips/chips.prt'; - PART_NAME = 'PRTR5V0U8S_TSSOP-NXP-GND=GND_SA'; - LONG_PART_NAME = 'PRTR5V0U8S_TSSOP-NXP-GND=GND_SIGNAL,VCC=P2V5'; - PARENT_PPT_PHYS_PART = 'PRTR5V0U8S_TSSOP-NXP'; - PARENT_PPT = 'PRTR5V0U8S'; - PARENT_PPT_PART = 'PRTR5V0U8S_TSSOP-NXP'; - PARENT_CHIPS_PHYS_PART = 'PRTR5V0U8S_TSSOP'; + PRIM_FILE = '/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/capcersmdcl2/chips/chips.prt'; + PART_NAME = 'CAPCERSMDCL2_0603-100NF,16V'; + PARENT_PPT = 'CAPCERSMDCL2'; + PARENT_PPT_PART = 'CAPCERSMDCL2_0603-100NF,16V'; + PARENT_CHIPS_PHYS_PART = 'CAPCERSMDCL2_0603'; END_LIB_INFO; END_PRIM; BEGIN_PRIM: -PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I63@FMC_TLU_V1_LIB.FMC_TLU_DIODE_CLAMP(SCH_1):PAGE1_I44@BRIS_CDS_ANALOGUE.PRTR5V0U8S(CHIPS)'; -LOCATION = 'U3'; -SEC = '4'; +PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I161@CNPASSIVE.CAPCERSMDCL2(CHIPS)'; +LOCATION = 'C7'; +SEC = '1'; BEGIN_LIB_INFO: - PRIM_FILE = '/projects/HEP_Instrumentation/cad/bris_cdslib/lib_psd14.x/cds_analogue/prtr5v0u8s/chips/chips.prt'; - PART_NAME = 'PRTR5V0U8S_TSSOP-NXP-GND=GND_SA'; - LONG_PART_NAME = 'PRTR5V0U8S_TSSOP-NXP-GND=GND_SIGNAL,VCC=P2V5'; - PARENT_PPT_PHYS_PART = 'PRTR5V0U8S_TSSOP-NXP'; - PARENT_PPT = 'PRTR5V0U8S'; - PARENT_PPT_PART = 'PRTR5V0U8S_TSSOP-NXP'; - PARENT_CHIPS_PHYS_PART = 'PRTR5V0U8S_TSSOP'; + PRIM_FILE = '/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/capcersmdcl2/chips/chips.prt'; + PART_NAME = 'CAPCERSMDCL2_0603-100NF,16V'; + PARENT_PPT = 'CAPCERSMDCL2'; + PARENT_PPT_PART = 'CAPCERSMDCL2_0603-100NF,16V'; + PARENT_CHIPS_PHYS_PART = 'CAPCERSMDCL2_0603'; END_LIB_INFO; END_PRIM; BEGIN_PRIM: -PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I63@FMC_TLU_V1_LIB.FMC_TLU_DIODE_CLAMP(SCH_1):PAGE1_I45@BRIS_CDS_ANALOGUE.PRTR5V0U8S(CHIPS)'; -LOCATION = 'U3'; -SEC = '5'; +PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I162@CNPASSIVE.CAPCERSMDCL2(CHIPS)'; +LOCATION = 'C5'; +SEC = '1'; BEGIN_LIB_INFO: - PRIM_FILE = '/projects/HEP_Instrumentation/cad/bris_cdslib/lib_psd14.x/cds_analogue/prtr5v0u8s/chips/chips.prt'; - PART_NAME = 'PRTR5V0U8S_TSSOP-NXP-GND=GND_SA'; - LONG_PART_NAME = 'PRTR5V0U8S_TSSOP-NXP-GND=GND_SIGNAL,VCC=P2V5'; - PARENT_PPT_PHYS_PART = 'PRTR5V0U8S_TSSOP-NXP'; - PARENT_PPT = 'PRTR5V0U8S'; - PARENT_PPT_PART = 'PRTR5V0U8S_TSSOP-NXP'; - PARENT_CHIPS_PHYS_PART = 'PRTR5V0U8S_TSSOP'; + PRIM_FILE = '/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/capcersmdcl2/chips/chips.prt'; + PART_NAME = 'CAPCERSMDCL2_0603-100NF,16V'; + PARENT_PPT = 'CAPCERSMDCL2'; + PARENT_PPT_PART = 'CAPCERSMDCL2_0603-100NF,16V'; + PARENT_CHIPS_PHYS_PART = 'CAPCERSMDCL2_0603'; END_LIB_INFO; END_PRIM; BEGIN_PRIM: -PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I63@FMC_TLU_V1_LIB.FMC_TLU_DIODE_CLAMP(SCH_1):PAGE1_I46@BRIS_CDS_ANALOGUE.PRTR5V0U8S(CHIPS)'; -LOCATION = 'U3'; -SEC = '6'; +PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I163@CNPASSIVE.CAPCERSMDCL2(CHIPS)'; +LOCATION = 'C4'; +SEC = '1'; BEGIN_LIB_INFO: - PRIM_FILE = '/projects/HEP_Instrumentation/cad/bris_cdslib/lib_psd14.x/cds_analogue/prtr5v0u8s/chips/chips.prt'; - PART_NAME = 'PRTR5V0U8S_TSSOP-NXP-GND=GND_SA'; - LONG_PART_NAME = 'PRTR5V0U8S_TSSOP-NXP-GND=GND_SIGNAL,VCC=P2V5'; - PARENT_PPT_PHYS_PART = 'PRTR5V0U8S_TSSOP-NXP'; - PARENT_PPT = 'PRTR5V0U8S'; - PARENT_PPT_PART = 'PRTR5V0U8S_TSSOP-NXP'; - PARENT_CHIPS_PHYS_PART = 'PRTR5V0U8S_TSSOP'; + PRIM_FILE = '/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/capcersmdcl2/chips/chips.prt'; + PART_NAME = 'CAPCERSMDCL2_0603-100NF,16V'; + PARENT_PPT = 'CAPCERSMDCL2'; + PARENT_PPT_PART = 'CAPCERSMDCL2_0603-100NF,16V'; + PARENT_CHIPS_PHYS_PART = 'CAPCERSMDCL2_0603'; END_LIB_INFO; END_PRIM; BEGIN_PRIM: -PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I63@FMC_TLU_V1_LIB.FMC_TLU_DIODE_CLAMP(SCH_1):PAGE1_I47@BRIS_CDS_ANALOGUE.PRTR5V0U8S(CHIPS)'; -LOCATION = 'U3'; -SEC = '3'; +PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I164@CNPASSIVE.CAPCERSMDCL2(CHIPS)'; +LOCATION = 'C3'; +SEC = '1'; BEGIN_LIB_INFO: - PRIM_FILE = '/projects/HEP_Instrumentation/cad/bris_cdslib/lib_psd14.x/cds_analogue/prtr5v0u8s/chips/chips.prt'; - PART_NAME = 'PRTR5V0U8S_TSSOP-NXP-GND=GND_SA'; - LONG_PART_NAME = 'PRTR5V0U8S_TSSOP-NXP-GND=GND_SIGNAL,VCC=P2V5'; - PARENT_PPT_PHYS_PART = 'PRTR5V0U8S_TSSOP-NXP'; - PARENT_PPT = 'PRTR5V0U8S'; - PARENT_PPT_PART = 'PRTR5V0U8S_TSSOP-NXP'; - PARENT_CHIPS_PHYS_PART = 'PRTR5V0U8S_TSSOP'; + PRIM_FILE = '/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/capcersmdcl2/chips/chips.prt'; + PART_NAME = 'CAPCERSMDCL2_0603-100NF,16V'; + PARENT_PPT = 'CAPCERSMDCL2'; + PARENT_PPT_PART = 'CAPCERSMDCL2_0603-100NF,16V'; + PARENT_CHIPS_PHYS_PART = 'CAPCERSMDCL2_0603'; END_LIB_INFO; END_PRIM; -BEGIN_PRIM: -PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I63@FMC_TLU_V1_LIB.FMC_TLU_DIODE_CLAMP(SCH_1):PAGE1_I48@BRIS_CDS_ANALOGUE.PRTR5V0U8S(CHIPS)'; -LOCATION = 'U3'; -SEC = '2'; +BEGIN_SIGNAL: +CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):UNNAMED_1_CAPCERSMDCL2_I8_B'; +LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):UNNAMED_1_CAPCERSMDCL2_I8_B'; +PHY_SIGNAL = 'UNNAMED_1_CAPCERSMDCL2_I8_B'; +END_SIGNAL; -BEGIN_LIB_INFO: - PRIM_FILE = '/projects/HEP_Instrumentation/cad/bris_cdslib/lib_psd14.x/cds_analogue/prtr5v0u8s/chips/chips.prt'; - PART_NAME = 'PRTR5V0U8S_TSSOP-NXP-GND=GND_SA'; - LONG_PART_NAME = 'PRTR5V0U8S_TSSOP-NXP-GND=GND_SIGNAL,VCC=P2V5'; - PARENT_PPT_PHYS_PART = 'PRTR5V0U8S_TSSOP-NXP'; - PARENT_PPT = 'PRTR5V0U8S'; - PARENT_PPT_PART = 'PRTR5V0U8S_TSSOP-NXP'; - PARENT_CHIPS_PHYS_PART = 'PRTR5V0U8S_TSSOP'; -END_LIB_INFO; -END_PRIM; +BEGIN_SIGNAL: +CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):UNNAMED_1_CAPCERSMDCL2_I9_B'; +LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):UNNAMED_1_CAPCERSMDCL2_I9_B'; +PHY_SIGNAL = 'UNNAMED_1_CAPCERSMDCL2_I9_B'; +END_SIGNAL; -BEGIN_PRIM: -PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I63@FMC_TLU_V1_LIB.FMC_TLU_DIODE_CLAMP(SCH_1):PAGE1_I50@BRIS_CDS_ANALOGUE.PRTR5V0U8S(CHIPS)'; -LOCATION = 'U3'; -SEC = '8'; +BEGIN_SIGNAL: +CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):BUSY'; +LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):BUSY'; +PHY_SIGNAL = 'BUSY'; +END_SIGNAL; -BEGIN_LIB_INFO: - PRIM_FILE = '/projects/HEP_Instrumentation/cad/bris_cdslib/lib_psd14.x/cds_analogue/prtr5v0u8s/chips/chips.prt'; - PART_NAME = 'PRTR5V0U8S_TSSOP-NXP-GND=GND_SA'; - LONG_PART_NAME = 'PRTR5V0U8S_TSSOP-NXP-GND=GND_SIGNAL,VCC=P2V5'; - PARENT_PPT_PHYS_PART = 'PRTR5V0U8S_TSSOP-NXP'; - PARENT_PPT = 'PRTR5V0U8S'; - PARENT_PPT_PART = 'PRTR5V0U8S_TSSOP-NXP'; - PARENT_CHIPS_PHYS_PART = 'PRTR5V0U8S_TSSOP'; -END_LIB_INFO; -END_PRIM; +BEGIN_SIGNAL: +CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):BUSY*'; +LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):BUSY*'; +PHY_SIGNAL = 'BUSY*'; +END_SIGNAL; -BEGIN_PRIM: -PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I63@FMC_TLU_V1_LIB.FMC_TLU_DIODE_CLAMP(SCH_1):PAGE1_I51@CNDISCRETE.ZENER(CHIPS)'; -LOCATION = 'Z3'; -SEC = '1'; +BEGIN_SIGNAL: +CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):CLK'; +LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):CLK'; +PHY_SIGNAL = 'CLK'; +END_SIGNAL; -BEGIN_LIB_INFO: - PRIM_FILE = '/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cndiscrete/zener/chips/chips.prt'; - PART_NAME = 'ZENER_SOD123-CA-BZT52C2V7'; - PARENT_PPT = 'ZENER'; - PARENT_PPT_PART = 'ZENER_SOD123-CA-BZT52C2V7'; - PARENT_CHIPS_PHYS_PART = 'ZENER_SOD123-CA'; -END_LIB_INFO; -END_PRIM; +BEGIN_SIGNAL: +CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):CLK*'; +LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):CLK*'; +PHY_SIGNAL = 'CLK*'; +END_SIGNAL; -END_MODULE; { end of module '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I63@FMC_TLU_V1_LIB.FMC_TLU_DIODE_CLAMP(SCH_1)'} +BEGIN_SIGNAL: +CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):CONT'; +LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):CONT'; +PHY_SIGNAL = 'CONT'; +END_SIGNAL; -{--------------------------------------------------------------------------} +BEGIN_SIGNAL: +CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):CONT*'; +LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):CONT*'; +PHY_SIGNAL = 'CONT*'; +END_SIGNAL; -BEGIN_MODULE: 'FMC_TLU_DIODE_CLAMP' = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I64@FMC_TLU_V1_LIB.FMC_TLU_DIODE_CLAMP(SCH_1)'; -PAGE = '1'; +BEGIN_SIGNAL: +CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):SPARE'; +LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):SPARE'; +PHY_SIGNAL = 'SPARE'; +END_SIGNAL; -BEGIN_PRIM: -PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I64@FMC_TLU_V1_LIB.FMC_TLU_DIODE_CLAMP(SCH_1):PAGE1_I7@BRIS_CDS_ANALOGUE.PRTR5V0U8S(CHIPS)'; -LOCATION = 'U1'; -SEC = '7'; +BEGIN_SIGNAL: +CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):SPARE*'; +LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):SPARE*'; +PHY_SIGNAL = 'SPARE*'; +END_SIGNAL; -BEGIN_LIB_INFO: - PRIM_FILE = '/projects/HEP_Instrumentation/cad/bris_cdslib/lib_psd14.x/cds_analogue/prtr5v0u8s/chips/chips.prt'; - PART_NAME = 'PRTR5V0U8S_TSSOP-NXP-GND=GND_SA'; - LONG_PART_NAME = 'PRTR5V0U8S_TSSOP-NXP-GND=GND_SIGNAL,VCC=P2V5'; - PARENT_PPT_PHYS_PART = 'PRTR5V0U8S_TSSOP-NXP'; - PARENT_PPT = 'PRTR5V0U8S'; - PARENT_PPT_PART = 'PRTR5V0U8S_TSSOP-NXP'; - PARENT_CHIPS_PHYS_PART = 'PRTR5V0U8S_TSSOP'; -END_LIB_INFO; -END_PRIM; +BEGIN_SIGNAL: +CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):TRIG'; +LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):TRIG'; +PHY_SIGNAL = 'TRIG'; +END_SIGNAL; -BEGIN_PRIM: -PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I64@FMC_TLU_V1_LIB.FMC_TLU_DIODE_CLAMP(SCH_1):PAGE1_I9@CNPASSIVE.CAPCERSMDCL2(CHIPS)'; -LOCATION = 'C54'; -SEC = '1'; +BEGIN_SIGNAL: +CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):TRIG*'; +LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):TRIG*'; +PHY_SIGNAL = 'TRIG*'; +END_SIGNAL; -BEGIN_LIB_INFO: - PRIM_FILE = '/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/capcersmdcl2/chips/chips.prt'; - PART_NAME = 'CAPCERSMDCL2_0603-100NF,16V'; - PARENT_PPT = 'CAPCERSMDCL2'; - PARENT_PPT_PART = 'CAPCERSMDCL2_0603-100NF,16V'; - PARENT_CHIPS_PHYS_PART = 'CAPCERSMDCL2_0603'; -END_LIB_INFO; -END_PRIM; +BEGIN_SIGNAL: +CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):UNNAMED_1_CAPN4I_I83_A'; +LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):UNNAMED_1_CAPN4I_I83_A'; +PHY_SIGNAL = 'UNNAMED_1_CAPN4I_I83_A'; +END_SIGNAL; -BEGIN_PRIM: -PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I64@FMC_TLU_V1_LIB.FMC_TLU_DIODE_CLAMP(SCH_1):PAGE1_I43@BRIS_CDS_ANALOGUE.PRTR5V0U8S(CHIPS)'; -LOCATION = 'U1'; -SEC = '3'; +BEGIN_SIGNAL: +CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):UNNAMED_1_COMMONMODELINEFILTER_I80_1'; +LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):UNNAMED_1_COMMONMODELINEFILTER_I80_1'; +PHY_SIGNAL = 'UNNAMED_1_COMMONMODELINEFILTER_'; +END_SIGNAL; -BEGIN_LIB_INFO: - PRIM_FILE = '/projects/HEP_Instrumentation/cad/bris_cdslib/lib_psd14.x/cds_analogue/prtr5v0u8s/chips/chips.prt'; - PART_NAME = 'PRTR5V0U8S_TSSOP-NXP-GND=GND_SA'; - LONG_PART_NAME = 'PRTR5V0U8S_TSSOP-NXP-GND=GND_SIGNAL,VCC=P2V5'; - PARENT_PPT_PHYS_PART = 'PRTR5V0U8S_TSSOP-NXP'; - PARENT_PPT = 'PRTR5V0U8S'; - PARENT_PPT_PART = 'PRTR5V0U8S_TSSOP-NXP'; - PARENT_CHIPS_PHYS_PART = 'PRTR5V0U8S_TSSOP'; -END_LIB_INFO; -END_PRIM; +BEGIN_SIGNAL: +CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):UNNAMED_1_COMMONMODELINEFILTER_I80_2'; +LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):UNNAMED_1_COMMONMODELINEFILTER_I80_2'; +PHY_SIGNAL = 'UNNAMED_1_COMMONMODELINEFILTE_1'; +END_SIGNAL; -BEGIN_PRIM: -PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I64@FMC_TLU_V1_LIB.FMC_TLU_DIODE_CLAMP(SCH_1):PAGE1_I44@BRIS_CDS_ANALOGUE.PRTR5V0U8S(CHIPS)'; -LOCATION = 'U1'; -SEC = '5'; +BEGIN_SIGNAL: +CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):HDMI_POWER'; +LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):HDMI_POWER'; +PHY_SIGNAL = 'HDMI_POWER'; +END_SIGNAL; -BEGIN_LIB_INFO: - PRIM_FILE = '/projects/HEP_Instrumentation/cad/bris_cdslib/lib_psd14.x/cds_analogue/prtr5v0u8s/chips/chips.prt'; - PART_NAME = 'PRTR5V0U8S_TSSOP-NXP-GND=GND_SA'; - LONG_PART_NAME = 'PRTR5V0U8S_TSSOP-NXP-GND=GND_SIGNAL,VCC=P2V5'; - PARENT_PPT_PHYS_PART = 'PRTR5V0U8S_TSSOP-NXP'; - PARENT_PPT = 'PRTR5V0U8S'; - PARENT_PPT_PART = 'PRTR5V0U8S_TSSOP-NXP'; - PARENT_CHIPS_PHYS_PART = 'PRTR5V0U8S_TSSOP'; -END_LIB_INFO; -END_PRIM; +BEGIN_SIGNAL: +CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):UNNAMED_1_CAPCERSMDCL2_I88_A'; +LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):UNNAMED_1_CAPCERSMDCL2_I88_A'; +PHY_SIGNAL = 'UNNAMED_1_CAPCERSMDCL2_I88_A'; +END_SIGNAL; + +BEGIN_SIGNAL: +CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):UNNAMED_1_CAPN4I_I112_A'; +LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):UNNAMED_1_CAPN4I_I112_A'; +PHY_SIGNAL = 'UNNAMED_1_CAPN4I_I112_A'; +END_SIGNAL; + +BEGIN_SIGNAL: +CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):UNNAMED_1_CAPN4I_I124_A'; +LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):UNNAMED_1_CAPN4I_I124_A'; +PHY_SIGNAL = 'UNNAMED_1_CAPN4I_I124_A'; +END_SIGNAL; + +BEGIN_SIGNAL: +CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):UNNAMED_1_CAPN4I_I136_A'; +LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):UNNAMED_1_CAPN4I_I136_A'; +PHY_SIGNAL = 'UNNAMED_1_CAPN4I_I136_A'; +END_SIGNAL; + +BEGIN_SIGNAL: +CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):UNNAMED_1_CAPN4I_I148_A'; +LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):UNNAMED_1_CAPN4I_I148_A'; +PHY_SIGNAL = 'UNNAMED_1_CAPN4I_I148_A'; +END_SIGNAL; + +BEGIN_SIGNAL: +CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):UNNAMED_1_COMMONMODELINEFILTER_I109_1'; +LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):UNNAMED_1_COMMONMODELINEFILTER_I109_1'; +PHY_SIGNAL = 'UNNAMED_1_COMMONMODELINEFILTE_2'; +END_SIGNAL; + +BEGIN_SIGNAL: +CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):UNNAMED_1_COMMONMODELINEFILTER_I109_2'; +LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):UNNAMED_1_COMMONMODELINEFILTER_I109_2'; +PHY_SIGNAL = 'UNNAMED_1_COMMONMODELINEFILTE_3'; +END_SIGNAL; + +BEGIN_SIGNAL: +CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):UNNAMED_1_COMMONMODELINEFILTER_I121_1'; +LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):UNNAMED_1_COMMONMODELINEFILTER_I121_1'; +PHY_SIGNAL = 'UNNAMED_1_COMMONMODELINEFILTE_4'; +END_SIGNAL; + +BEGIN_SIGNAL: +CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):UNNAMED_1_COMMONMODELINEFILTER_I121_2'; +LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):UNNAMED_1_COMMONMODELINEFILTER_I121_2'; +PHY_SIGNAL = 'UNNAMED_1_COMMONMODELINEFILTE_5'; +END_SIGNAL; + +BEGIN_SIGNAL: +CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):UNNAMED_1_COMMONMODELINEFILTER_I133_1'; +LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):UNNAMED_1_COMMONMODELINEFILTER_I133_1'; +PHY_SIGNAL = 'UNNAMED_1_COMMONMODELINEFILTE_6'; +END_SIGNAL; + +BEGIN_SIGNAL: +CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):UNNAMED_1_COMMONMODELINEFILTER_I133_2'; +LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):UNNAMED_1_COMMONMODELINEFILTER_I133_2'; +PHY_SIGNAL = 'UNNAMED_1_COMMONMODELINEFILTE_7'; +END_SIGNAL; + +BEGIN_SIGNAL: +CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):GND_HDMI'; +LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):GND_HDMI'; +PHY_SIGNAL = 'GND_HDMI'; +END_SIGNAL; + +{--------------------------------------------------------------------------} + +BEGIN_MODULE: 'FMC_TLU_DIODE_CLAMP_B' = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I78@FMC_TLU_V1_LIB.FMC_TLU_DIODE_CLAMP_B(SCH_1)'; +PAGE = '1'; BEGIN_PRIM: -PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I64@FMC_TLU_V1_LIB.FMC_TLU_DIODE_CLAMP(SCH_1):PAGE1_I45@BRIS_CDS_ANALOGUE.PRTR5V0U8S(CHIPS)'; -LOCATION = 'U1'; -SEC = '6'; +PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I78@FMC_TLU_V1_LIB.FMC_TLU_DIODE_CLAMP_B(SCH_1):PAGE1_I9@CNPASSIVE.CAPCERSMDCL2(CHIPS)'; +LOCATION = 'C14'; +SEC = '1'; BEGIN_LIB_INFO: - PRIM_FILE = '/projects/HEP_Instrumentation/cad/bris_cdslib/lib_psd14.x/cds_analogue/prtr5v0u8s/chips/chips.prt'; - PART_NAME = 'PRTR5V0U8S_TSSOP-NXP-GND=GND_SA'; - LONG_PART_NAME = 'PRTR5V0U8S_TSSOP-NXP-GND=GND_SIGNAL,VCC=P2V5'; - PARENT_PPT_PHYS_PART = 'PRTR5V0U8S_TSSOP-NXP'; - PARENT_PPT = 'PRTR5V0U8S'; - PARENT_PPT_PART = 'PRTR5V0U8S_TSSOP-NXP'; - PARENT_CHIPS_PHYS_PART = 'PRTR5V0U8S_TSSOP'; + PRIM_FILE = '/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/capcersmdcl2/chips/chips.prt'; + PART_NAME = 'CAPCERSMDCL2_0603-100NF,16V'; + PARENT_PPT = 'CAPCERSMDCL2'; + PARENT_PPT_PART = 'CAPCERSMDCL2_0603-100NF,16V'; + PARENT_CHIPS_PHYS_PART = 'CAPCERSMDCL2_0603'; END_LIB_INFO; END_PRIM; BEGIN_PRIM: -PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I64@FMC_TLU_V1_LIB.FMC_TLU_DIODE_CLAMP(SCH_1):PAGE1_I46@BRIS_CDS_ANALOGUE.PRTR5V0U8S(CHIPS)'; -LOCATION = 'U1'; +PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I78@FMC_TLU_V1_LIB.FMC_TLU_DIODE_CLAMP_B(SCH_1):PAGE1_I55@CNDISCRETE.PRTR5V0U4Y(CHIPS)'; +LOCATION = 'D1'; SEC = '1'; BEGIN_LIB_INFO: - PRIM_FILE = '/projects/HEP_Instrumentation/cad/bris_cdslib/lib_psd14.x/cds_analogue/prtr5v0u8s/chips/chips.prt'; - PART_NAME = 'PRTR5V0U8S_TSSOP-NXP-GND=GND_SA'; - LONG_PART_NAME = 'PRTR5V0U8S_TSSOP-NXP-GND=GND_SIGNAL,VCC=P2V5'; - PARENT_PPT_PHYS_PART = 'PRTR5V0U8S_TSSOP-NXP'; - PARENT_PPT = 'PRTR5V0U8S'; - PARENT_PPT_PART = 'PRTR5V0U8S_TSSOP-NXP'; - PARENT_CHIPS_PHYS_PART = 'PRTR5V0U8S_TSSOP'; + PRIM_FILE = '/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cndiscrete/prtr5v0u4y/chips/chips.prt'; + PART_NAME = 'PRTR5V0U4Y'; + PARENT_PPT = 'PRTR5V0U4Y'; + PARENT_PPT_PART = 'PRTR5V0U4Y'; + PARENT_CHIPS_PHYS_PART = 'PRTR5V0U4Y_SC88'; END_LIB_INFO; END_PRIM; BEGIN_PRIM: -PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I64@FMC_TLU_V1_LIB.FMC_TLU_DIODE_CLAMP(SCH_1):PAGE1_I47@BRIS_CDS_ANALOGUE.PRTR5V0U8S(CHIPS)'; -LOCATION = 'U1'; -SEC = '2'; +PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I78@FMC_TLU_V1_LIB.FMC_TLU_DIODE_CLAMP_B(SCH_1):PAGE1_I56@CNDISCRETE.PRTR5V0U4Y(CHIPS)'; +LOCATION = 'D2'; +SEC = '1'; BEGIN_LIB_INFO: - PRIM_FILE = '/projects/HEP_Instrumentation/cad/bris_cdslib/lib_psd14.x/cds_analogue/prtr5v0u8s/chips/chips.prt'; - PART_NAME = 'PRTR5V0U8S_TSSOP-NXP-GND=GND_SA'; - LONG_PART_NAME = 'PRTR5V0U8S_TSSOP-NXP-GND=GND_SIGNAL,VCC=P2V5'; - PARENT_PPT_PHYS_PART = 'PRTR5V0U8S_TSSOP-NXP'; - PARENT_PPT = 'PRTR5V0U8S'; - PARENT_PPT_PART = 'PRTR5V0U8S_TSSOP-NXP'; - PARENT_CHIPS_PHYS_PART = 'PRTR5V0U8S_TSSOP'; + PRIM_FILE = '/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cndiscrete/prtr5v0u4y/chips/chips.prt'; + PART_NAME = 'PRTR5V0U4Y'; + PARENT_PPT = 'PRTR5V0U4Y'; + PARENT_PPT_PART = 'PRTR5V0U4Y'; + PARENT_CHIPS_PHYS_PART = 'PRTR5V0U4Y_SC88'; END_LIB_INFO; END_PRIM; BEGIN_PRIM: -PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I64@FMC_TLU_V1_LIB.FMC_TLU_DIODE_CLAMP(SCH_1):PAGE1_I48@BRIS_CDS_ANALOGUE.PRTR5V0U8S(CHIPS)'; -LOCATION = 'U1'; -SEC = '8'; +PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I78@FMC_TLU_V1_LIB.FMC_TLU_DIODE_CLAMP_B(SCH_1):PAGE1_I58@CNPASSIVE.CAPCERSMDCL2(CHIPS)'; +LOCATION = 'C15'; +SEC = '1'; BEGIN_LIB_INFO: - PRIM_FILE = '/projects/HEP_Instrumentation/cad/bris_cdslib/lib_psd14.x/cds_analogue/prtr5v0u8s/chips/chips.prt'; - PART_NAME = 'PRTR5V0U8S_TSSOP-NXP-GND=GND_SA'; - LONG_PART_NAME = 'PRTR5V0U8S_TSSOP-NXP-GND=GND_SIGNAL,VCC=P2V5'; - PARENT_PPT_PHYS_PART = 'PRTR5V0U8S_TSSOP-NXP'; - PARENT_PPT = 'PRTR5V0U8S'; - PARENT_PPT_PART = 'PRTR5V0U8S_TSSOP-NXP'; - PARENT_CHIPS_PHYS_PART = 'PRTR5V0U8S_TSSOP'; + PRIM_FILE = '/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/capcersmdcl2/chips/chips.prt'; + PART_NAME = 'CAPCERSMDCL2_0603-100NF,16V'; + PARENT_PPT = 'CAPCERSMDCL2'; + PARENT_PPT_PART = 'CAPCERSMDCL2_0603-100NF,16V'; + PARENT_CHIPS_PHYS_PART = 'CAPCERSMDCL2_0603'; END_LIB_INFO; END_PRIM; BEGIN_PRIM: -PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I64@FMC_TLU_V1_LIB.FMC_TLU_DIODE_CLAMP(SCH_1):PAGE1_I50@BRIS_CDS_ANALOGUE.PRTR5V0U8S(CHIPS)'; -LOCATION = 'U1'; -SEC = '4'; +PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I78@FMC_TLU_V1_LIB.FMC_TLU_DIODE_CLAMP_B(SCH_1):PAGE1_I67@CNPASSIVE.CAPCERSMDCL2(CHIPS)'; +LOCATION = 'C16'; +SEC = '1'; BEGIN_LIB_INFO: - PRIM_FILE = '/projects/HEP_Instrumentation/cad/bris_cdslib/lib_psd14.x/cds_analogue/prtr5v0u8s/chips/chips.prt'; - PART_NAME = 'PRTR5V0U8S_TSSOP-NXP-GND=GND_SA'; - LONG_PART_NAME = 'PRTR5V0U8S_TSSOP-NXP-GND=GND_SIGNAL,VCC=P2V5'; - PARENT_PPT_PHYS_PART = 'PRTR5V0U8S_TSSOP-NXP'; - PARENT_PPT = 'PRTR5V0U8S'; - PARENT_PPT_PART = 'PRTR5V0U8S_TSSOP-NXP'; - PARENT_CHIPS_PHYS_PART = 'PRTR5V0U8S_TSSOP'; + PRIM_FILE = '/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/capcersmdcl2/chips/chips.prt'; + PART_NAME = 'CAPCERSMDCL2_0603-100NF,16V'; + PARENT_PPT = 'CAPCERSMDCL2'; + PARENT_PPT_PART = 'CAPCERSMDCL2_0603-100NF,16V'; + PARENT_CHIPS_PHYS_PART = 'CAPCERSMDCL2_0603'; END_LIB_INFO; END_PRIM; BEGIN_PRIM: -PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I64@FMC_TLU_V1_LIB.FMC_TLU_DIODE_CLAMP(SCH_1):PAGE1_I51@CNDISCRETE.ZENER(CHIPS)'; -LOCATION = 'Z1'; +PATH_NAME = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I78@FMC_TLU_V1_LIB.FMC_TLU_DIODE_CLAMP_B(SCH_1):PAGE1_I70@CNDISCRETE.USBLC6-2(CHIPS)'; +LOCATION = 'D4'; SEC = '1'; BEGIN_LIB_INFO: - PRIM_FILE = '/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cndiscrete/zener/chips/chips.prt'; - PART_NAME = 'ZENER_SOD123-CA-BZT52C2V7'; - PARENT_PPT = 'ZENER'; - PARENT_PPT_PART = 'ZENER_SOD123-CA-BZT52C2V7'; - PARENT_CHIPS_PHYS_PART = 'ZENER_SOD123-CA'; + PRIM_FILE = '/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cndiscrete/usblc6#2d2/chips/chips.prt'; + PART_NAME = 'USBLC6-2SC6'; + PARENT_PPT = 'USBLC6-2'; + PARENT_PPT_PART = 'USBLC6-2SC6'; + PARENT_CHIPS_PHYS_PART = 'USBLC6-2_SOT23'; END_LIB_INFO; END_PRIM; -END_MODULE; { end of module '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I64@FMC_TLU_V1_LIB.FMC_TLU_DIODE_CLAMP(SCH_1)'} +END_MODULE; { end of module '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I78@FMC_TLU_V1_LIB.FMC_TLU_DIODE_CLAMP_B(SCH_1)'} + +END_MODULE; { end of module '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1)'} END_MODULE; { end of module '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1)'} @@ -2083,6 +2054,12 @@ LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):P12V'; PHY_SIGNAL = 'P12V'; END_SIGNAL; +BEGIN_SIGNAL: +CANON_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):GND_SIGNAL'; +LOG_SIGNAL = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):GND_SIGNAL'; +PHY_SIGNAL = 'GND_SIGNAL'; +END_SIGNAL; + {--------------------------------------------------------------------------} BEGIN_MODULE: 'PC023A_DAC_VTHRESH' = '@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_C(SCH_1):PAGE2_I5@FMC_TLU_V1_LIB.PC023A_DAC_VTHRESH(SCH_1)'; @@ -5094,18 +5071,18 @@ R4_5, C13, C5_1, C14, C5_2, C15, C5_3, C16, C5_4, C17, C5_5, C18, C5_6, C19, J1, J2, J3, PX1_1, C60, J4, PX1_2, C61, PX1_3, C62, PX1_4, C63, TP5_1, C64, TP5_2, ~ C65, TP5_3, C66, R6_1, TP5_4, C67, R6_2, C68, R6_3, L6_5, C69, R6_4, R6_5, C7_1, ~ C7_2, R50, C7_3, R51, C7_4, IC1, R52, IC2, C7_5, R53, IC3, C7_6, R54, IC4, R55, ~ -IC5, R56, IC6, R57, IC7, R58, IC8, R59, T1, C11_5, IC9, T2, C11_6, TP7_1, TP7_2, ~ -RG2_6, TP7_3, R8_1, TP7_4, R8_2, R8_3, R8_4, C20, D2_5, C21, C22, C9_1, C23, C~ -9_2, C24, C9_3, C25, C9_4, REG1_5, C26, R11_1, C9_5, REG1_6, C27, R11_2, C9_6, ~ -C28, R11_3, C29, R11_4, C70, R10, C13_5, REG1, C71, R11, R12, R13, R14, R15, R16, ~ -VR1_5, R17, VR1_6, R18, R19, R1_1, R1_2, R60, R1_3, TP10, L1_5, R61, R13_1, R1_4, ~ -TP11, L1_6, R62, R13_2, TP12, R1_5, R63, R13_3, TP13, R1_6, R64, C2_1, R13_4, ~ -TP14, R65, C2_2, TP15, R66, C2_3, TP16, R67, C2_4, TP17, R68, C2_5, C15_5, TP18, ~ -R69, U1, C2_6, TP19, U2, U3, U4, IC1_1, PX10, IC1_2, TP2_1, PX11, C30, IC1_3, ~ -TP2_2, PX12, C31, IC1_4, TP2_3, PX13, C32, R3_1, TP2_4, IC1_5, C33, R3_2, C34, ~ -R3_3, L3_5, Z1, C35, R3_4, Z2, L3_6, C36, PX1, R3_5, Z3, C37, PX2, C38, Z4, R3_6, ~ -C4_1, PX3, C39, C4_2, PX4, C4_3, PX5, L1, C4_4, PX6, L2, C4_5, PX7, L3, R20, C~ -4_6, PX8, R21, PX9, VR1, R22, R23, R24, R25, R26, IC3_1, R27, IC3_2, TP4_1, R28, ~ -IC3_3, TP4_2, R29, IC3_4, TP4_3, R5_1, TP4_4, R5_2, R5_3, L5_5, R70, R5_4, TP20, ~ -R71; +IC5, R56, IC6, R57, CN1, IC7, R58, CN2, IC8, R59, T1, C11_5, IC9, T2, C11_6, T~ +P7_1, TP7_2, RG2_6, TP7_3, R8_1, TP7_4, R8_2, R8_3, R8_4, C20, D2_5, C21, C22, ~ +C9_1, C23, C9_2, C24, C9_3, C25, C9_4, REG1_5, C26, R11_1, C9_5, REG1_6, C27, ~ +R11_2, C9_6, C28, R11_3, C29, R11_4, C70, R10, C13_5, REG1, C71, R11, R12, R13, ~ +R14, R15, R16, VR1_5, R17, VR1_6, R18, R19, R1_1, R1_2, R60, R1_3, TP10, L1_5, ~ +R61, R13_1, R1_4, TP11, L1_6, R62, R13_2, TP12, R1_5, R63, R13_3, TP13, R1_6, ~ +R64, C2_1, R13_4, TP14, R65, C2_2, TP15, R66, C2_3, TP16, R67, C2_4, TP17, R68, ~ +C2_5, C15_5, TP18, R69, U1, C2_6, TP19, U2, U3, U4, IC1_1, PX10, IC1_2, TP2_1, ~ +PX11, C30, IC1_3, TP2_2, PX12, C31, IC1_4, TP2_3, PX13, C32, R3_1, TP2_4, IC1_5, ~ +C33, RN1, R3_2, C34, RN2, R3_3, L3_5, Z1, C35, RN3, R3_4, Z2, L3_6, C36, PX1, ~ +R3_5, Z3, C37, PX2, C38, Z4, R3_6, C4_1, PX3, C39, C4_2, PX4, C4_3, PX5, L1, C~ +4_4, PX6, L2, C4_5, PX7, L3, R20, C4_6, PX8, L4, R21, PX9, VR1, L5, R22, R23, ~ +R24, R25, R26, IC3_1, R27, IC3_2, TP4_1, R28, IC3_3, TP4_2, R29, IC3_4, TP4_3, ~ +R5_1, TP4_4, R5_2, R5_3, L5_5, R70, R5_4, TP20, R71; END_REFDES_LIST; { end of REFDES_LIST } diff --git a/circuit_board/Cadence/worklib/fmc_tlu_toplevel_c/physical/allegro.jrl b/circuit_board/Cadence/worklib/fmc_tlu_toplevel_c/physical/allegro.jrl index a780527f29d464d2d5f639eed4e8dcc7d877628c..b73598b47c8fa00373a924b24ddd25235e8712f2 100644 --- a/circuit_board/Cadence/worklib/fmc_tlu_toplevel_c/physical/allegro.jrl +++ b/circuit_board/Cadence/worklib/fmc_tlu_toplevel_c/physical/allegro.jrl @@ -1,769 +1,458 @@ -\t (00:01:45) allegro 16.6 S035 (v16-6-112CR) Linux I32 -\t (00:01:45) Journal start - Tue Jul 14 10:36:00 2015 -\t (00:01:45) Host=voltar.phy.bris.ac.uk User=phdgc Pid=6892 CPUs=8 -\t (00:01:45) CmdLine= /software/CAD/Cadence/2014_2015/SPB166/tools/pcb/bin/allegro.exe -proj /projects/HEP_Instrumentation/cad/designs/uob-hep-pc051a/trunk/design_files/pc051a_toplevel.cpm -product Concept_HDL_studio -mpssession phdgc_ProjectMgr20240 -mpshost voltar.phy.bris.ac.uk -\t (00:01:45) -\d (00:01:45) Design opened: /projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/circuit_board/Cadence/worklib/fmc_tlu_toplevel_b/physical/fmc_tlu_v1a_66_gloss4b.brd -\i (00:01:47) zoom points -\t (00:01:47) Pick 1st corner of the new window. -\i (00:01:47) pick -7.3458 46.9349 -\t (00:01:47) last pick: -7.3458 46.9349 -\t (00:01:47) Pick to complete the window. -\i (00:01:48) pick 11.4776 13.4710 -\t (00:01:48) last pick: 11.4776 13.4710 -\t (00:01:48) Grids are drawn 0.3200, 0.3200 apart for enhanced viewability. -\i (00:01:48) trapsize 2562 -\i (00:01:52) setwindow form.vf_vis -\i (00:01:52) FORM vf_vis 1 all_colorvisible YES -\i (00:01:55) setwindow pcb -\i (00:01:55) zoom points -\t (00:01:55) Pick 1st corner of the new window. -\i (00:01:56) pick 10.5904 39.0429 -\t (00:01:56) last pick: 10.5904 39.0429 -\t (00:01:56) Pick to complete the window. -\i (00:01:57) pick 17.6624 28.3324 -\t (00:01:57) last pick: 17.6624 28.3324 -\t (00:01:57) Grids are drawn 0.0800, 0.0800 apart for enhanced viewability. -\i (00:01:57) trapsize 820 -\i (00:01:59) roam x 96 -\i (00:01:59) roam x 96 -\i (00:01:59) roam x 96 -\i (00:01:59) roam x 96 -\i (00:01:59) roam x 96 -\i (00:01:59) roam x 96 -\i (00:01:59) roam x 96 -\i (00:01:59) roam x 96 -\i (00:01:59) roam x 96 -\i (00:01:59) roam x 96 -\i (00:02:00) roam x 96 -\i (00:02:00) roam x 96 -\i (00:02:00) roam y -96 -\i (00:02:00) roam y -96 -\i (00:02:00) roam y -96 -\i (00:02:00) roam y -96 -\i (00:02:00) roam y -96 -\i (00:02:00) roam y -96 -\i (00:02:00) roam y -96 -\i (00:02:00) roam y -96 -\i (00:02:00) roam y -96 -\i (00:02:00) roam y -96 -\i (00:02:00) roam y -96 -\i (00:02:00) roam y -96 -\i (00:02:01) roam y -96 -\i (00:02:01) roam y -96 -\i (00:02:01) roam y -96 -\i (00:02:01) roam y -96 -\i (00:02:01) roam y -96 -\i (00:02:01) roam y -96 -\i (00:02:01) roam y -96 -\i (00:02:01) roam y -96 -\i (00:02:02) roam y -96 -\i (00:02:02) roam y -96 -\i (00:02:02) roam y -96 -\i (00:02:02) roam y -96 -\i (00:02:02) roam y -96 -\i (00:02:02) roam y -96 -\i (00:02:02) roam y -96 -\i (00:02:02) roam y -96 -\i (00:02:02) roam y -96 -\i (00:02:02) roam y -96 -\i (00:02:02) roam y -96 -\i (00:02:02) roam y -96 -\i (00:02:02) roam y -96 -\i (00:02:02) roam y -96 -\i (00:02:02) roam y -96 -\i (00:02:02) roam y -96 -\i (00:02:03) roam y -96 -\i (00:02:03) roam y -96 -\i (00:26:10) trapsize 819 -\i (00:26:10) trapsize 809 -\i (00:26:10) trapsize 721 -\i (00:26:11) trapsize 697 -\i (00:26:11) trapsize 690 -\i (00:26:11) trapsize 688 -\i (00:26:16) setwindow form.vf_vis -\i (00:26:16) FORM vf_vis 1 all_colorvisible NO -\i (00:26:17) FORM vf_vis 2 all_colorvisible YES -\i (00:26:18) setwindow pcb -\i (00:26:18) roam start -\i (00:26:18) roam x -64 -\i (00:26:18) roam y 16 -\i (00:26:18) roam x -16 -\i (00:26:18) roam x -16 -\i (00:26:18) roam x -32 -\i (00:26:18) roam y -32 -\i (00:26:19) roam x -16 -\i (00:26:19) roam y -32 -\i (00:26:19) roam x -16 -\i (00:26:19) roam y -32 -\i (00:26:19) roam x -16 -\i (00:26:19) roam y -32 -\i (00:26:19) roam x -16 -\i (00:26:19) roam y -48 -\i (00:26:19) roam x -16 -\i (00:26:19) roam y -32 -\i (00:26:19) roam x -16 -\i (00:26:19) roam y -48 -\i (00:26:19) roam y -32 -\i (00:26:19) roam y 16 -\i (00:26:19) roam y 48 -\i (00:26:19) roam x -16 -\i (00:26:19) roam y 48 -\i (00:26:19) roam y 16 -\i (00:26:19) roam x -16 -\i (00:26:19) roam y 64 -\i (00:26:19) roam y 48 -\i (00:26:19) roam x -16 -\i (00:26:19) roam y 96 -\i (00:26:19) roam y 16 -\i (00:26:19) roam x -16 -\i (00:26:19) roam y 96 -\i (00:26:19) roam x -16 -\i (00:26:19) roam y 64 -\i (00:26:19) roam y 64 -\i (00:26:19) roam x -16 -\i (00:26:19) roam y 64 -\i (00:26:19) roam y 48 -\i (00:26:19) roam y 48 -\i (00:26:19) roam y 48 -\i (00:26:19) roam y 48 -\i (00:26:19) roam y 32 -\i (00:26:19) roam y 32 -\i (00:26:19) roam y 16 -\i (00:26:19) roam y 16 -\i (00:26:19) roam y 16 -\i (00:26:19) roam y 16 -\i (00:26:19) roam y 16 -\i (00:26:19) roam x 16 -\i (00:26:19) roam y 16 -\i (00:26:20) roam y 16 -\i (00:26:20) roam y 16 -\i (00:26:20) roam y 16 -\i (00:26:20) roam y 16 -\i (00:26:20) roam y 16 -\i (00:26:20) roam y 16 -\i (00:26:20) roam y 16 -\i (00:26:20) roam x 16 -\i (00:26:20) roam y 32 -\i (00:26:20) roam y 32 -\i (00:26:20) roam y 32 -\i (00:26:20) roam x 16 -\i (00:26:20) roam y 32 -\i (00:26:20) roam y 32 -\i (00:26:20) roam y 32 -\i (00:26:20) roam x 16 -\i (00:26:20) roam y 48 -\i (00:26:20) roam y 32 -\i (00:26:20) roam y 32 -\i (00:26:20) roam y 32 -\i (00:26:20) roam y 32 -\i (00:26:20) roam y 32 -\i (00:26:20) roam y 16 -\i (00:26:20) roam x 16 -\i (00:26:20) roam y 32 -\i (00:26:20) roam y 16 -\i (00:26:20) roam y 16 -\i (00:26:20) roam x 16 -\i (00:26:20) roam x 16 -\i (00:26:20) roam x 16 -\i (00:26:20) roam y -16 -\i (00:26:20) roam x 16 -\i (00:26:20) roam x 16 -\i (00:26:20) roam x 32 -\i (00:26:20) roam y -32 -\i (00:26:20) roam x 32 -\i (00:26:20) roam y -16 -\i (00:26:20) roam x 48 -\i (00:26:20) roam y -32 -\i (00:26:21) roam x 48 -\i (00:26:21) roam y -32 -\i (00:26:21) roam x 32 -\i (00:26:21) roam y -32 -\i (00:26:21) roam x 32 -\i (00:26:21) roam y -16 -\i (00:26:21) roam x 48 -\i (00:26:21) roam y -32 -\i (00:26:21) roam x 32 -\i (00:26:21) roam y -32 -\i (00:26:21) roam x 16 -\i (00:26:21) roam y -16 -\i (00:26:21) roam x 16 -\i (00:26:21) roam y -16 -\i (00:26:21) roam x 32 -\i (00:26:21) roam y -32 -\i (00:26:21) roam x 16 -\i (00:26:21) roam y -16 -\i (00:26:21) roam x 16 -\i (00:26:21) roam y -16 -\i (00:26:21) roam x 16 -\i (00:26:21) roam y -16 -\i (00:26:21) roam x 16 -\i (00:26:21) roam x 16 -\i (00:26:21) roam y -16 -\i (00:26:21) roam x 16 -\i (00:26:21) roam y -16 -\i (00:26:21) roam x 16 -\i (00:26:21) roam y -16 -\i (00:26:21) roam x 16 -\i (00:26:21) roam y -16 -\i (00:26:21) roam x 32 -\i (00:26:21) roam y -16 -\i (00:26:21) roam x 16 -\i (00:26:21) roam y -16 -\i (00:26:21) roam y -16 -\i (00:26:21) roam x 16 -\i (00:26:21) roam y -16 -\i (00:26:21) roam x 16 -\i (00:26:21) roam y -16 -\i (00:26:21) roam y -16 -\i (00:26:21) roam x 16 -\i (00:26:21) roam y -16 -\i (00:26:21) roam x 16 -\i (00:26:21) roam y -16 -\i (00:26:21) roam y -16 -\i (00:26:21) roam x 16 -\i (00:26:21) roam y -16 -\i (00:26:21) roam x 16 -\i (00:26:21) roam y -16 -\i (00:26:22) roam x 16 -\i (00:26:22) roam y -16 -\i (00:26:22) roam x 16 -\i (00:26:22) roam y -16 -\i (00:26:22) roam y -16 -\i (00:26:22) roam x 16 -\i (00:26:22) roam x 16 -\i (00:26:22) roam y -16 -\i (00:26:22) roam x 16 -\i (00:26:22) roam x 16 -\i (00:26:22) roam y -16 -\i (00:26:22) roam x 32 -\i (00:26:22) roam x 32 -\i (00:26:22) roam y -16 -\i (00:26:22) roam x 48 -\i (00:26:22) roam y -16 -\i (00:26:22) roam x 64 -\i (00:26:22) roam y -16 -\i (00:26:22) roam x 48 -\i (00:26:22) roam x 64 -\i (00:26:22) roam y -16 -\i (00:26:22) roam x 80 -\i (00:26:22) roam y -16 -\i (00:26:22) roam x 48 -\i (00:26:22) roam x 64 -\i (00:26:22) roam y -16 -\i (00:26:22) roam x 48 -\i (00:26:22) roam x 48 -\i (00:26:22) roam y -16 -\i (00:26:22) roam x 32 -\i (00:26:22) roam x 32 -\i (00:26:22) roam y -16 -\i (00:26:22) roam x 16 -\i (00:26:22) roam x 16 -\i (00:26:22) roam y 16 -\i (00:26:22) roam y 16 -\i (00:26:22) roam y 32 -\i (00:26:22) roam y 32 -\i (00:26:22) roam x -16 -\i (00:26:22) roam y 48 -\i (00:26:22) roam y 48 -\i (00:26:22) roam y 48 -\i (00:26:22) roam y 48 -\i (00:26:22) roam y 64 -\i (00:26:22) roam y 32 -\i (00:26:22) roam y 16 -\i (00:26:23) roam y 16 -\i (00:26:23) roam y 16 -\i (00:26:23) roam x -16 -\i (00:26:23) roam x -16 -\i (00:26:23) roam x -16 -\i (00:26:23) roam x -32 -\i (00:26:23) roam x -32 -\i (00:26:23) roam x -16 -\i (00:26:23) roam x -32 -\i (00:26:23) roam x -32 -\i (00:26:23) roam x -16 -\i (00:26:23) roam x -32 -\i (00:26:23) roam x -32 -\i (00:26:23) roam x -16 -\i (00:26:23) roam x -16 -\i (00:26:24) roam x -16 -\i (00:26:24) roam x -16 -\i (00:26:24) roam x -16 -\i (00:26:24) roam x -16 -\i (00:26:24) roam x -16 -\i (00:26:24) roam x -16 -\i (00:26:24) roam x -16 -\i (00:26:24) roam x -16 -\i (00:26:24) roam x -16 -\i (00:26:25) roam x -16 -\i (00:26:25) roam end -\i (00:26:26) roam start -\i (00:26:26) roam x -16 -\i (00:26:26) roam y 64 -\i (00:26:26) roam x -48 -\i (00:26:26) roam y 96 -\i (00:26:26) roam x -32 -\i (00:26:26) roam y 112 -\i (00:26:26) roam x -16 -\i (00:26:26) roam y 32 -\i (00:26:27) roam y 48 -\i (00:26:27) roam x -16 -\i (00:26:27) roam y 96 -\i (00:26:27) roam x -16 -\i (00:26:27) roam y 112 -\i (00:26:27) roam x -16 -\i (00:26:27) roam y 48 -\i (00:26:27) roam y 80 -\i (00:26:27) roam x -16 -\i (00:26:27) roam y 80 -\i (00:26:27) roam x -16 -\i (00:26:27) roam y 64 -\i (00:26:27) roam y 80 -\i (00:26:27) roam x -16 -\i (00:26:27) roam y 64 -\i (00:26:27) roam x -16 -\i (00:26:27) roam y 64 -\i (00:26:27) roam y 64 -\i (00:26:27) roam x -16 -\i (00:26:27) roam y 64 -\i (00:26:27) roam y 48 -\i (00:26:27) roam x -16 -\i (00:26:27) roam y 48 -\i (00:26:27) roam y 80 -\i (00:26:27) roam x -16 -\i (00:26:27) roam y 48 -\i (00:26:27) roam y 48 -\i (00:26:27) roam x -16 -\i (00:26:27) roam y 48 -\i (00:26:27) roam y 48 -\i (00:26:27) roam y 32 -\i (00:26:27) roam y 16 -\i (00:26:27) roam y 16 -\i (00:26:27) roam y 16 -\i (00:26:27) roam y 16 -\i (00:26:27) roam x -16 -\i (00:26:27) roam y 16 -\i (00:26:27) roam y -48 -\i (00:26:27) roam x -16 -\i (00:26:27) roam y 32 -\i (00:26:27) roam y 16 -\i (00:26:27) roam y 16 -\i (00:26:28) roam y 16 -\i (00:26:28) roam x 16 -\i (00:26:28) roam y 16 -\i (00:26:28) roam y 16 -\i (00:26:28) roam x 16 -\i (00:26:28) roam x 16 -\i (00:26:28) roam y 32 -\i (00:26:28) roam x 32 -\i (00:26:28) roam y 32 -\i (00:26:28) roam x 32 -\i (00:26:28) roam y 48 -\i (00:26:28) roam x 64 -\i (00:26:28) roam y 48 -\i (00:26:28) roam x 48 -\i (00:26:28) roam y 80 -\i (00:26:28) roam x 80 -\i (00:26:28) roam y 64 -\i (00:26:28) roam x 112 -\i (00:26:28) roam y 96 -\i (00:26:28) roam x 16 -\i (00:26:28) roam y 32 -\i (00:26:28) roam x 96 -\i (00:26:28) roam y 112 -\i (00:26:28) roam x 64 -\i (00:26:28) roam y 80 -\i (00:26:28) roam x 48 -\i (00:26:28) roam y 64 -\i (00:26:28) roam x 64 -\i (00:26:28) roam y 64 -\i (00:26:28) roam x 48 -\i (00:26:28) roam y 64 -\i (00:26:28) roam x 64 -\i (00:26:28) roam y 48 -\i (00:26:28) roam x 64 -\i (00:26:28) roam y 48 -\i (00:26:28) roam x 48 -\i (00:26:28) roam y 48 -\i (00:26:28) roam x 48 -\i (00:26:28) roam y 48 -\i (00:26:28) roam x 48 -\i (00:26:28) roam y 32 -\i (00:26:28) roam x 48 -\i (00:26:28) roam y 16 -\i (00:26:28) roam x 48 -\i (00:26:28) roam y 32 -\i (00:26:28) roam x 16 -\i (00:26:28) roam y 16 -\i (00:26:28) roam x 16 -\i (00:26:28) roam y 16 -\i (00:26:28) roam x -16 -\i (00:26:28) roam y 16 -\i (00:26:29) roam x -32 -\i (00:26:29) roam y 16 -\i (00:26:29) roam x -80 -\i (00:26:29) roam y 48 -\i (00:26:29) roam x -128 -\i (00:26:29) roam y 112 -\i (00:26:29) roam x -96 -\i (00:26:29) roam y 80 -\i (00:26:29) roam x -112 -\i (00:26:29) roam y 80 -\i (00:26:29) roam x -96 -\i (00:26:29) roam y 96 -\i (00:26:29) roam x -80 -\i (00:26:29) roam y 96 -\i (00:26:29) roam x -80 -\i (00:26:29) roam y 96 -\i (00:26:29) roam x -64 -\i (00:26:29) roam y 96 -\i (00:26:29) roam x -80 -\i (00:26:29) roam y 144 -\i (00:26:29) roam x -32 -\i (00:26:29) roam y 48 -\i (00:26:29) roam x -80 -\i (00:26:29) roam y 144 -\i (00:26:29) roam x -32 -\i (00:26:29) roam y 80 -\i (00:26:29) roam x -48 -\i (00:26:29) roam y 80 -\i (00:26:29) roam x -16 -\i (00:26:29) roam y 80 -\i (00:26:29) roam x -32 -\i (00:26:29) roam y 64 -\i (00:26:29) roam x -16 -\i (00:26:29) roam y 48 -\i (00:26:29) roam x -16 -\i (00:26:29) roam y 32 -\i (00:26:29) roam x -16 -\i (00:26:29) roam y 16 -\i (00:26:29) roam y 32 -\i (00:26:29) roam x -16 -\i (00:26:29) roam y 16 -\i (00:26:29) roam x -16 -\i (00:26:29) roam y 16 -\i (00:26:29) roam x -16 -\i (00:26:29) roam y 16 -\i (00:26:29) roam x -16 -\i (00:26:29) roam y -16 -\i (00:26:29) roam y -16 -\i (00:26:29) roam x -16 -\i (00:26:29) roam y -80 -\i (00:26:29) roam x -16 -\i (00:26:29) roam y 16 -\i (00:26:29) roam x -16 -\i (00:26:30) roam x -16 -\i (00:26:30) roam x -64 -\i (00:26:30) roam x -48 -\i (00:26:30) roam x -64 -\i (00:26:30) roam x -80 -\i (00:26:30) roam x -112 -\i (00:26:30) roam x -112 -\i (00:26:30) roam y -16 -\i (00:26:30) roam x -128 -\i (00:26:30) roam x -144 -\i (00:26:30) roam x -144 -\i (00:26:30) roam x -224 -\i (00:26:30) roam x -112 -\i (00:26:30) roam x -96 -\i (00:26:30) roam x -80 -\i (00:26:30) roam x -64 -\i (00:26:30) roam x -48 -\i (00:26:30) roam x -32 -\i (00:26:30) roam y 16 -\i (00:26:30) roam x -16 -\i (00:26:30) roam x -16 -\i (00:26:30) roam x -16 -\i (00:26:30) roam y 16 -\i (00:26:30) roam x -16 -\i (00:26:30) roam x -16 -\i (00:26:30) roam x -32 -\i (00:26:30) roam x -48 -\i (00:26:30) roam x -64 -\i (00:26:30) roam x -48 -\i (00:26:30) roam x -64 -\i (00:26:30) roam x -64 -\i (00:26:30) roam x -48 -\i (00:26:30) roam x -96 -\i (00:26:30) roam x -48 -\i (00:26:30) roam y -16 -\i (00:26:30) roam x -32 -\i (00:26:30) roam x -32 -\i (00:26:30) roam y -16 -\i (00:26:30) roam x -48 -\i (00:26:30) roam x -16 -\i (00:26:30) roam x -32 -\i (00:26:30) roam x -32 -\i (00:26:30) roam x -16 -\i (00:26:30) roam x -32 -\i (00:26:30) roam x -16 -\i (00:26:30) roam x -32 -\i (00:26:30) roam x -32 -\i (00:26:30) roam x -32 -\i (00:26:30) roam x -16 -\i (00:26:30) roam x -32 -\i (00:26:30) roam x -32 -\i (00:26:30) roam x -32 -\i (00:26:30) roam x -32 -\i (00:26:30) roam x -32 -\i (00:26:30) roam y -16 -\i (00:26:30) roam x -16 -\i (00:26:30) roam x -16 -\i (00:26:31) roam y -16 -\i (00:26:31) roam y -16 -\i (00:26:31) roam y -16 -\i (00:26:31) roam y -16 -\i (00:26:31) roam y -32 -\i (00:26:31) roam x 16 -\i (00:26:31) roam y -64 -\i (00:26:31) roam x 16 -\i (00:26:31) roam y -64 -\i (00:26:31) roam y -64 -\i (00:26:31) roam y -128 -\i (00:26:31) roam y -96 -\i (00:26:31) roam x 16 -\i (00:26:31) roam y -80 -\i (00:26:31) roam y -80 -\i (00:26:31) roam y -96 -\i (00:26:31) roam y -80 -\i (00:26:31) roam y -64 -\i (00:26:31) roam y -64 -\i (00:26:31) roam x -16 -\i (00:26:31) roam y -48 -\i (00:26:31) roam y -48 -\i (00:26:31) roam y -32 -\i (00:26:31) roam y -16 -\i (00:26:31) roam y -16 -\i (00:26:32) roam y -16 -\i (00:26:32) roam y -16 -\i (00:26:32) roam y -16 -\i (00:26:32) roam y -16 -\i (00:26:32) roam y -16 -\i (00:26:32) roam y -16 -\i (00:26:32) roam y -16 -\i (00:26:32) roam y -16 -\i (00:26:32) roam x 16 -\i (00:26:32) roam y -32 -\i (00:26:32) roam y -32 -\i (00:26:32) roam y -32 -\i (00:26:32) roam x 16 -\i (00:26:32) roam y -48 -\i (00:26:32) roam y -32 -\i (00:26:32) roam y -48 -\i (00:26:32) roam x 16 -\i (00:26:32) roam y -32 -\i (00:26:32) roam y -48 -\i (00:26:32) roam y -48 -\i (00:26:32) roam y -32 -\i (00:26:32) roam x 16 -\i (00:26:32) roam y -48 -\i (00:26:32) roam y -32 -\i (00:26:32) roam y -48 -\i (00:26:32) roam x 16 -\i (00:26:32) roam y -48 -\i (00:26:32) roam y -48 -\i (00:26:32) roam y -32 -\i (00:26:32) roam x 16 -\i (00:26:32) roam y -48 -\i (00:26:32) roam y -32 -\i (00:26:32) roam y -32 -\i (00:26:32) roam y -16 -\i (00:26:32) roam y -32 -\i (00:26:32) roam y -16 -\i (00:26:32) roam y -32 -\i (00:26:32) roam y -16 -\i (00:26:32) roam y -32 -\i (00:26:32) roam y -16 -\i (00:26:32) roam y -32 -\i (00:26:32) roam y -32 -\i (00:26:32) roam y -16 -\i (00:26:32) roam x 16 -\i (00:26:32) roam y -32 -\i (00:26:32) roam y -16 -\i (00:26:32) roam y -16 -\i (00:26:32) roam y -32 -\i (00:26:32) roam y -16 -\i (00:26:32) roam y -16 -\i (00:26:32) roam y -16 -\i (00:26:32) roam y -16 -\i (00:26:32) roam y -16 -\i (00:26:32) roam y -16 -\i (00:26:32) roam y -16 -\i (00:26:33) roam y -16 -\i (00:26:33) roam y -16 -\i (00:26:33) roam y -16 -\i (00:26:33) roam y -16 -\i (00:26:33) roam y -16 -\i (00:26:33) roam y -16 -\i (00:26:33) roam y -16 -\i (00:26:33) roam y -16 -\i (00:26:33) roam y -16 -\i (00:26:33) roam y -16 -\i (00:26:33) roam y -32 -\i (00:26:33) roam x -16 -\i (00:26:33) roam y -16 -\i (00:26:33) roam y -16 -\i (00:26:33) roam y -32 -\i (00:26:33) roam y -16 -\i (00:26:33) roam y -32 -\i (00:26:33) roam y -16 -\i (00:26:33) roam y -32 -\i (00:26:33) roam y -16 -\i (00:26:33) roam y -32 -\i (00:26:33) roam y -16 -\i (00:26:33) roam y -32 -\i (00:26:33) roam y -16 -\i (00:26:33) roam y -16 -\i (00:26:33) roam y -32 -\i (00:26:33) roam y -16 -\i (00:26:33) roam x -32 -\i (00:26:33) roam y -16 -\i (00:26:33) roam y -32 -\i (00:26:33) roam y -32 -\i (00:26:33) roam y -16 -\i (00:26:33) roam y -16 -\i (00:26:33) roam y -16 -\i (00:26:33) roam y -16 -\i (00:26:33) roam y -16 -\i (00:26:33) roam y -16 -\i (00:26:33) roam y -16 -\i (00:26:33) roam y -16 -\i (00:26:33) roam y -16 -\i (00:26:33) roam y -16 -\i (00:26:33) roam y -16 -\i (00:26:33) roam y -16 -\i (00:26:33) roam y -16 -\i (00:26:33) roam y -16 -\i (00:26:33) roam y -16 -\i (00:26:33) roam y -16 -\i (00:26:33) roam y -16 -\i (00:26:33) roam y -16 -\i (00:26:33) roam y -16 -\i (00:26:33) roam y -16 -\i (00:26:34) roam y -16 -\i (00:26:34) roam y -16 -\i (00:26:34) roam y -16 -\i (00:26:34) roam y -16 -\i (00:26:34) roam y -16 -\i (00:26:34) roam y -16 -\i (00:26:34) roam y -16 -\i (00:26:34) roam y -16 -\i (00:26:34) roam y -16 -\i (00:26:34) roam y -16 -\i (00:26:34) roam y -16 -\i (00:26:34) roam y -16 -\i (00:26:34) roam y -16 -\i (00:26:34) roam y -16 -\i (00:26:34) roam y -16 -\i (00:26:34) roam y -16 -\i (00:26:34) roam y -16 -\i (00:26:34) roam y -16 -\i (00:26:34) roam y -16 -\i (00:26:34) roam y -16 -\i (00:26:34) roam y -16 -\i (00:26:34) roam y -32 -\i (00:26:34) roam y -32 -\i (00:26:34) roam y -16 -\i (00:26:34) roam y -32 -\i (00:26:34) roam y -32 -\i (00:26:34) roam x -16 -\i (00:26:34) roam y -16 -\i (00:26:34) roam x -32 -\i (00:26:34) roam y -16 -\i (00:26:34) roam y -16 -\i (00:26:34) roam y -32 -\i (00:26:34) roam y -16 -\i (00:26:34) roam y -16 -\i (00:26:34) roam y -16 -\i (00:26:34) roam x -16 -\i (00:26:34) roam y -16 -\i (00:26:34) roam y -16 -\i (00:26:34) roam y -16 -\i (00:26:35) roam y -16 -\i (00:26:35) roam y -16 -\i (00:26:35) roam y -16 -\i (00:26:35) roam y -16 -\i (00:26:35) roam y -16 -\i (00:26:35) roam y -16 -\i (00:26:35) roam y -16 -\i (00:26:35) roam y -16 -\i (00:26:35) roam y -16 -\i (00:26:35) roam y -16 -\i (00:26:35) roam y -16 -\i (00:26:35) roam y -16 -\i (00:26:35) roam y -16 -\i (00:26:35) roam y -16 -\i (00:26:35) roam y -16 -\i (00:26:35) roam y -16 -\i (00:26:35) roam y -16 -\i (00:26:35) roam y -32 -\i (00:26:35) roam x 16 -\i (00:26:35) roam y -32 -\i (00:26:35) roam y -32 -\i (00:26:35) roam y -32 -\i (00:26:35) roam y -16 -\i (00:26:35) roam x 16 -\i (00:26:35) roam y -32 -\i (00:26:35) roam y -16 -\i (00:26:35) roam y -32 -\i (00:26:35) roam y -16 -\i (00:26:35) roam y -16 -\i (00:26:35) roam y -16 -\i (00:26:35) roam y -16 -\i (00:26:35) roam x 16 -\i (00:26:35) roam y -16 -\i (00:26:35) roam y -16 -\i (00:26:35) roam y -16 -\i (00:26:35) roam x 16 -\i (00:26:35) roam y -32 -\i (00:26:35) roam y -16 -\i (00:26:35) roam y -16 -\i (00:26:35) roam y -16 -\i (00:26:35) roam y -16 -\i (00:26:35) roam x 16 -\i (00:26:35) roam y -16 -\i (00:26:35) roam y -16 -\i (00:26:35) roam y -16 -\i (00:26:36) roam y -16 -\i (00:26:36) roam y -16 -\i (00:26:36) roam y -16 -\i (00:26:36) roam y -16 -\i (00:26:36) roam y -16 -\i (00:26:36) roam y -16 -\i (00:26:36) roam y -16 -\i (00:26:36) roam y -16 -\i (00:26:36) roam y -16 -\i (00:26:36) roam y -16 -\i (00:26:36) roam y -16 -\i (00:26:36) roam y -16 -\i (00:26:36) roam y -16 -\i (00:26:36) roam y -16 -\i (00:26:36) roam y -16 -\i (00:26:36) roam y -16 -\i (00:26:36) roam y -16 -\i (00:26:36) roam y -32 -\i (00:26:36) roam x 16 -\i (00:26:36) roam y -32 -\i (00:26:36) roam y -16 -\i (00:26:36) roam y -32 -\i (00:26:36) roam y -32 -\i (00:26:36) roam y -32 -\i (00:26:36) roam y -32 -\i (00:26:36) roam x 16 -\i (00:26:36) roam y -32 -\i (00:26:36) roam y -16 -\i (00:26:36) roam y -32 -\i (00:26:36) roam y -16 -\i (00:26:36) roam y -32 -\i (00:26:36) roam y -16 -\i (00:26:36) roam y -16 -\i (00:26:36) roam y -16 -\i (00:26:36) roam y -16 -\i (00:26:36) roam y -16 -\i (00:26:36) roam y -16 -\i (00:26:36) roam y -16 -\i (00:26:36) roam y -16 -\i (00:26:37) roam y -16 -\i (00:26:37) roam end -\i (00:26:53) exit -\t (00:26:54) Journal end - Tue Jul 14 11:01:09 2015 +\t (00:00:03) allegro 16.6-2015 S055 (v16-6-112EH) Linux I32 +\t (00:00:03) Journal start - Fri May 20 14:54:43 2016 +\t (00:00:03) Host=voltar.phy.bris.ac.uk User=phdgc Pid=12505 CPUs=8 +\t (00:00:03) CmdLine= /software/CAD/Cadence/2015-16/RHELx86/SPB_16.60.055/tools/pcb/bin/allegro.exe -proj /projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/circuit_board/Cadence/fmc_tlu_v1c.cpm -product Concept_HDL_studio -mpssession phdgc_ProjectMgr1575 -mpshost voltar.phy.bris.ac.uk +\t (00:00:03) +\t (00:00:04) Opening existing design... +\d (00:00:04) Design opened: /projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/circuit_board/Cadence/worklib/fmc_tlu_toplevel_c/physical/fmc_tlu_v1c_67.brd +\t (00:00:04) Grids are drawn 1.6000, 1.6000 apart for enhanced viewability. +\i (00:00:05) trapsize 13045 +\i (00:00:05) trapsize 12371 +\i (00:00:05) trapsize 13164 +\t (00:00:05) Grids are drawn 0.8000, 0.8000 apart for enhanced viewability. +\i (00:00:05) trapsize 9433 +\t (00:00:05) Grids are drawn 0.8000, 0.8000 apart for enhanced viewability. +\i (00:00:05) trapsize 9433 +\i (00:00:06) ifp +\i (00:00:11) trapsize 9420 +\i (00:00:11) trapsize 9335 +\i (00:00:11) trapsize 6355 +\i (00:00:12) trapsize 5388 +\i (00:00:12) trapsize 5227 +\i (00:00:14) roam start +\i (00:00:14) roam x -48 +\i (00:00:14) roam y 64 +\i (00:00:14) roam x -16 +\i (00:00:14) roam y 80 +\i (00:00:14) roam y 48 +\i (00:00:14) roam y 48 +\i (00:00:14) roam y 32 +\i (00:00:14) roam x 16 +\i (00:00:14) roam y -16 +\i (00:00:14) roam x 64 +\i (00:00:14) roam y -48 +\i (00:00:14) roam x 64 +\i (00:00:14) roam y -64 +\i (00:00:14) roam x 96 +\i (00:00:14) roam y -128 +\i (00:00:14) roam x 112 +\i (00:00:14) roam y -128 +\i (00:00:14) roam x 96 +\i (00:00:14) roam y -128 +\i (00:00:14) roam x 80 +\i (00:00:14) roam y -112 +\i (00:00:14) roam x 16 +\i (00:00:14) roam y -32 +\i (00:00:14) roam x 16 +\i (00:00:14) roam y -16 +\i (00:00:15) roam x -16 +\i (00:00:15) roam y 16 +\i (00:00:15) roam y 16 +\i (00:00:15) roam x -16 +\i (00:00:15) roam y 16 +\i (00:00:15) roam x -16 +\i (00:00:15) roam y 16 +\i (00:00:15) roam x -16 +\i (00:00:15) roam y 16 +\i (00:00:15) roam x -16 +\i (00:00:15) roam y 16 +\i (00:00:15) roam x -16 +\i (00:00:15) roam y 16 +\i (00:00:15) roam x -16 +\i (00:00:15) roam y 16 +\i (00:00:15) roam x -16 +\i (00:00:15) roam y 16 +\i (00:00:15) roam x -16 +\i (00:00:15) roam y 16 +\i (00:00:15) roam x -16 +\i (00:00:15) roam y 16 +\i (00:00:15) roam x -16 +\i (00:00:15) roam y 16 +\i (00:00:15) roam x -16 +\i (00:00:15) roam y 16 +\i (00:00:15) roam x -16 +\i (00:00:16) roam end +\i (00:00:18) setwindow form.vf_vis +\i (00:00:18) FORM vf_vis 1 all_colorvisible NO +\i (00:00:19) FORM vf_vis 3 all_colorvisible NO +\i (00:00:23) FORM vf_vis 4 all_colorvisible NO +\i (00:00:26) FORM vf_vis 6 all_colorvisible NO +\i (00:00:29) FORM vf_vis 1 all_colorvisible YES +\i (00:00:45) setwindow pcb +\i (00:00:45) roam start +\i (00:00:45) roam x -48 +\i (00:00:45) roam y -64 +\i (00:00:45) roam x -80 +\i (00:00:45) roam y -112 +\i (00:00:45) roam x -112 +\i (00:00:45) roam y -128 +\i (00:00:46) roam x -64 +\i (00:00:46) roam y -80 +\i (00:00:46) roam x -48 +\i (00:00:46) roam y -80 +\i (00:00:46) roam y -64 +\i (00:00:46) roam y -64 +\i (00:00:46) roam y -32 +\i (00:00:46) roam y -16 +\i (00:00:46) roam y -16 +\i (00:00:46) roam y 16 +\i (00:00:46) roam y 64 +\i (00:00:46) roam x 16 +\i (00:00:46) roam y 48 +\i (00:00:46) roam x 48 +\i (00:00:46) roam y 64 +\i (00:00:46) roam y 48 +\i (00:00:46) roam x 16 +\i (00:00:46) roam y 32 +\i (00:00:47) roam x 16 +\i (00:00:47) roam x 16 +\i (00:00:47) roam x 32 +\i (00:00:47) roam x 64 +\i (00:00:47) roam x 80 +\i (00:00:47) roam x 48 +\i (00:00:47) roam x 16 +\i (00:00:48) roam y 16 +\i (00:00:48) roam y 32 +\i (00:00:48) roam y 16 +\i (00:00:48) roam y 32 +\i (00:00:48) roam y 16 +\i (00:00:48) roam y 16 +\i (00:00:48) roam y 16 +\i (00:00:48) roam y 16 +\i (00:00:48) roam y 16 +\i (00:00:48) roam y 16 +\i (00:00:48) roam y 32 +\i (00:00:48) roam x -16 +\i (00:00:48) roam y 16 +\i (00:00:48) roam y 32 +\i (00:00:48) roam y 32 +\i (00:00:48) roam y 32 +\i (00:00:48) roam y 16 +\i (00:00:48) roam y 32 +\i (00:00:49) roam y -16 +\i (00:00:49) roam x 16 +\i (00:00:49) roam y -32 +\i (00:00:49) roam x 16 +\i (00:00:49) roam y -32 +\i (00:00:49) roam x 16 +\i (00:00:49) roam y -16 +\i (00:00:50) roam x -16 +\i (00:00:50) roam x -96 +\i (00:00:50) roam x -64 +\i (00:00:50) roam y 16 +\i (00:00:50) roam x -64 +\i (00:00:50) roam y 16 +\i (00:00:50) roam x -32 +\i (00:00:50) roam x -32 +\i (00:00:50) roam x -16 +\i (00:00:50) roam y 16 +\i (00:00:50) roam x -32 +\i (00:00:50) roam x -16 +\i (00:00:51) roam x 16 +\i (00:00:51) roam y 16 +\i (00:00:51) roam x 16 +\i (00:00:51) roam y 16 +\i (00:00:51) roam y 16 +\i (00:00:52) roam x 16 +\i (00:00:52) roam x 16 +\i (00:00:53) roam x 16 +\i (00:00:53) roam x 16 +\i (00:00:53) roam y -16 +\i (00:00:53) roam x 16 +\i (00:00:53) roam y -32 +\i (00:00:53) roam x 16 +\i (00:00:53) roam y -32 +\i (00:00:53) roam y -16 +\i (00:00:53) roam x 16 +\i (00:00:53) roam y -32 +\i (00:00:54) roam y -16 +\i (00:00:54) roam y -16 +\i (00:00:54) roam x 16 +\i (00:00:54) roam y -16 +\i (00:00:54) roam y -16 +\i (00:00:54) roam y -16 +\i (00:00:54) roam x 16 +\i (00:00:54) roam y -16 +\i (00:00:54) roam x 16 +\i (00:00:54) roam y -16 +\i (00:00:54) roam x 16 +\i (00:00:54) roam x 16 +\i (00:00:55) roam x -16 +\i (00:00:55) roam x -32 +\i (00:00:55) roam x -32 +\i (00:00:55) roam x -32 +\i (00:00:55) roam y 16 +\i (00:00:55) roam x -16 +\i (00:00:55) roam x -16 +\i (00:00:55) roam y 16 +\i (00:00:55) roam x -16 +\i (00:00:55) roam y 16 +\i (00:00:56) roam y 16 +\i (00:00:56) roam y 16 +\i (00:00:56) roam x 16 +\i (00:00:56) roam y 32 +\i (00:00:56) roam x 16 +\i (00:00:56) roam y 16 +\i (00:00:56) roam y 16 +\i (00:00:56) roam x 16 +\i (00:00:56) roam x 16 +\i (00:00:56) roam y 16 +\i (00:00:56) roam y 16 +\i (00:00:57) roam end +\i (00:01:01) setwindow form.vf_vis +\i (00:01:01) FORM vf_vis 1 all_colorvisible NO +\i (00:01:02) FORM vf_vis 6 all_colorvisible YES +\i (00:01:09) setwindow pcb +\i (00:01:09) roam start +\i (00:01:09) roam x 48 +\i (00:01:09) roam y 16 +\i (00:01:09) roam x 32 +\i (00:01:09) roam y 32 +\i (00:01:09) roam x 16 +\i (00:01:09) roam y 16 +\i (00:01:09) roam y 64 +\i (00:01:09) roam x 16 +\i (00:01:09) roam y 32 +\i (00:01:09) roam y 32 +\i (00:01:10) roam x 32 +\i (00:01:10) roam x 112 +\i (00:01:10) roam y -48 +\i (00:01:10) roam x 176 +\i (00:01:10) roam y -64 +\i (00:01:10) roam x 96 +\i (00:01:10) roam y -32 +\i (00:01:10) roam y -32 +\i (00:01:11) roam y -16 +\i (00:01:11) roam x -16 +\i (00:01:12) roam x -16 +\i (00:01:12) roam x -16 +\i (00:01:12) roam x -16 +\i (00:01:12) roam x -16 +\i (00:01:12) roam x -16 +\i (00:01:13) roam x -16 +\i (00:01:13) roam x -16 +\i (00:01:13) roam x -16 +\i (00:01:13) roam y 16 +\i (00:01:13) roam x -16 +\i (00:01:13) roam x -32 +\i (00:01:13) roam x -96 +\i (00:01:13) roam y 32 +\i (00:01:13) roam x -176 +\i (00:01:13) roam y 32 +\i (00:01:13) roam x -96 +\i (00:01:13) roam y 16 +\i (00:01:13) roam x -80 +\i (00:01:13) roam x -32 +\i (00:01:13) roam y 16 +\i (00:01:15) roam end +\i (00:01:17) zoom points +\t (00:01:17) Pick 1st corner of the new window. +\i (00:01:18) pick 34.2105 38.5387 +\t (00:01:18) last pick: 34.2105 38.5387 +\t (00:01:18) Pick to complete the window. +\i (00:01:19) pick 48.0108 6.2335 +\t (00:01:19) last pick: 48.0108 6.2335 +\t (00:01:19) Grids are drawn 0.2000, 0.2000 apart for enhanced viewability. +\i (00:01:19) trapsize 2103 +\i (00:01:19) ifp +\i (00:01:26) setwindow form.vf_vis +\i (00:01:26) FORM vf_vis 6 all_colorvisible NO +\i (00:01:27) FORM vf_vis 1 all_colorvisible YES +\i (00:01:38) setwindow pcb +\i (00:01:38) roam start +\i (00:01:38) roam x -80 +\i (00:01:38) roam y 16 +\i (00:01:38) roam x -240 +\i (00:01:38) roam y 80 +\i (00:01:39) roam x -208 +\i (00:01:39) roam y 96 +\i (00:01:39) roam x -112 +\i (00:01:39) roam y 32 +\i (00:01:39) roam x -64 +\i (00:01:39) roam y 16 +\i (00:01:39) roam x -64 +\i (00:01:39) roam x -16 +\i (00:01:39) roam x -16 +\i (00:01:39) roam x -16 +\i (00:01:39) roam y -16 +\i (00:01:39) roam x -16 +\i (00:01:39) roam y -16 +\i (00:01:39) roam x -32 +\i (00:01:39) roam x -32 +\i (00:01:39) roam y -16 +\i (00:01:39) roam x -96 +\i (00:01:39) roam x -80 +\i (00:01:39) roam x -64 +\i (00:01:39) roam x -64 +\i (00:01:39) roam y 48 +\i (00:01:39) roam x -64 +\i (00:01:39) roam y 64 +\i (00:01:39) roam x -80 +\i (00:01:39) roam y 64 +\i (00:01:39) roam x -80 +\i (00:01:39) roam y 80 +\i (00:01:39) roam x -48 +\i (00:01:39) roam y 64 +\i (00:01:39) roam x -16 +\i (00:01:39) roam y 32 +\i (00:01:39) roam x -16 +\i (00:01:39) roam y 16 +\i (00:01:40) roam x 16 +\i (00:01:40) roam end +\i (00:01:44) roam start +\i (00:01:44) roam x 32 +\i (00:01:44) roam y -80 +\i (00:01:44) roam x 48 +\i (00:01:44) roam y -96 +\i (00:01:44) roam x 48 +\i (00:01:44) roam y -128 +\i (00:01:44) roam x 64 +\i (00:01:44) roam y -112 +\i (00:01:44) roam x 80 +\i (00:01:44) roam y -176 +\i (00:01:44) roam x 64 +\i (00:01:44) roam y -128 +\i (00:01:44) roam x 48 +\i (00:01:44) roam y -112 +\i (00:01:44) roam x 16 +\i (00:01:44) roam y -96 +\i (00:01:44) roam x 16 +\i (00:01:44) roam y -80 +\i (00:01:44) roam y -64 +\i (00:01:44) roam y -32 +\i (00:01:44) roam x 16 +\i (00:01:44) roam y -32 +\i (00:01:44) roam y -16 +\i (00:01:44) roam y -32 +\i (00:01:45) roam y -16 +\i (00:01:45) roam y -16 +\i (00:01:45) roam y -32 +\i (00:01:45) roam y -16 +\i (00:01:45) roam y -16 +\i (00:01:45) roam y -16 +\i (00:01:45) roam y -16 +\i (00:01:45) roam y -16 +\i (00:01:45) roam y -16 +\i (00:01:45) roam y -16 +\i (00:01:45) roam y -16 +\i (00:01:45) roam y -16 +\i (00:01:45) roam y -16 +\i (00:01:45) roam x 16 +\i (00:01:45) roam x 16 +\i (00:01:45) roam x 16 +\i (00:01:45) roam x 32 +\i (00:01:45) roam x 64 +\i (00:01:45) roam y 16 +\i (00:01:45) roam x 48 +\i (00:01:45) roam y 16 +\i (00:01:45) roam x 16 +\i (00:01:45) roam y 16 +\i (00:01:45) roam x 16 +\i (00:01:45) roam y 16 +\i (00:01:46) roam y 16 +\i (00:01:46) roam x 16 +\i (00:01:46) roam y 16 +\i (00:01:47) roam y 32 +\i (00:01:47) roam x 16 +\i (00:01:47) roam y 32 +\i (00:01:47) roam x 16 +\i (00:01:47) roam y 48 +\i (00:01:47) roam x 16 +\i (00:01:47) roam y 48 +\i (00:01:47) roam x 16 +\i (00:01:47) roam y 16 +\i (00:01:47) roam x 16 +\i (00:01:47) roam x 16 +\i (00:01:47) roam x 16 +\i (00:01:48) roam x 16 +\i (00:01:48) roam y -16 +\i (00:01:48) roam x 32 +\i (00:01:48) roam y -16 +\i (00:01:48) roam x 32 +\i (00:01:48) roam y -32 +\i (00:01:48) roam x 48 +\i (00:01:48) roam y -32 +\i (00:01:48) roam x 32 +\i (00:01:48) roam y -16 +\i (00:01:48) roam x 16 +\i (00:01:48) roam y -16 +\i (00:01:48) roam y -16 +\i (00:01:48) roam x 16 +\i (00:01:49) roam x -16 +\i (00:01:49) roam x -16 +\i (00:01:49) roam x -16 +\i (00:01:49) roam x -32 +\i (00:01:49) roam x -16 +\i (00:01:49) roam x -16 +\i (00:01:49) roam x -16 +\i (00:01:49) roam x -16 +\i (00:01:50) roam x -16 +\i (00:01:50) roam x -16 +\i (00:01:50) roam x -16 +\i (00:01:50) roam x -16 +\i (00:01:50) roam x -16 +\i (00:01:50) roam x -16 +\i (00:01:50) roam x -16 +\i (00:01:50) roam x -16 +\i (00:01:50) roam x -16 +\i (00:01:50) roam x -16 +\i (00:01:50) roam end +\i (00:01:56) place manual +\i (00:12:46) setwindow form.plc_manual +\i (00:12:46) FORM plc_manual done +\i (00:12:46) setwindow pcb +\i (00:12:46) ifp +\i (00:12:52) exit +\e (00:12:52) Do you want to save the changes you made to fmc_tlu_v1c_67.brd? +\i (00:12:57) fillin menu_cancel +\i (00:12:57) ifp +\i (00:13:02) padeditlib +\i (00:16:41) fillin "C130h60o-15" +\i (00:16:44) setwindow form.padedit +\i (00:16:44) FORM padedit layers +\i (00:16:50) FORM padedit flash_th +\i (00:16:50) FORM padedit grid row begin_layer +\i (00:16:51) FORM padedit grid row default_internal +\i (00:16:58) FORM padedit grid row end_layer +\i (00:17:06) pse_exit +\i (00:17:25) fillin "C130h60o-15" +\i (00:17:28) setwindow form.padedit +\i (00:17:28) FORM padedit grid row begin_layer +\i (00:17:41) FORM padedit flash_th +\i (00:17:43) FORM padedit geometry_th Rectangle +\i (00:17:48) FORM padedit width_th 1.6 +\i (00:17:50) FORM padedit height_th 1.6 +\i (00:17:52) FORM padedit grid row end_layer +\i (00:17:54) FORM padedit grid row default_internal +\i (00:18:02) FORM padedit flash_th +\i (00:18:03) FORM padedit geometry_th Rectangle +\i (00:18:06) FORM padedit width_th 1.6 +\i (00:18:08) FORM padedit height_th 1.6 +\i (00:18:10) FORM padedit x_offset_th 0.15 +\i (00:18:12) FORM padedit grid row begin_layer +\i (00:18:13) FORM padedit grid row default_internal +\i (00:18:15) FORM padedit grid row begin_layer +\i (00:18:19) FORM padedit x_offset_th 0.15 +\i (00:18:21) FORM padedit grid row default_internal +\i (00:18:23) FORM padedit grid row end_layer +\i (00:18:25) FORM padedit grid row begin_layer +\i (00:18:27) FORM padedit grid row end_layer +\i (00:18:31) FORM padedit flash_th +\i (00:18:33) FORM padedit geometry_th Rectangle +\i (00:18:37) FORM padedit width_th 1.6 +\i (00:18:38) FORM padedit height_th 1.6 +\i (00:18:40) FORM padedit x_offset_th 0.15 +\i (00:18:45) pse_update +\w (00:18:45) WARNING: If this is a via padstack, it must be added to the via list in constraints. +\i (00:18:49) pse_save +\i (00:18:52) pse_save_as +\i (00:19:03) fillin "c130h60o-15.pad" +\i (00:19:06) pse_exit +\i (00:19:12) fillin "menu_cancel" +\i (00:20:43) fillin yes +\i (00:20:43) setwindow pcb +\i (00:20:43) ifp +\i (00:20:48) exit +\e (00:20:48) Do you want to save the changes you made to fmc_tlu_v1c_67.brd? +\i (00:20:50) fillin yes +\t (00:20:51) Journal end - Fri May 20 15:15:31 2016 diff --git a/circuit_board/Cadence/worklib/fmc_tlu_toplevel_c/physical/allegro.jrl,1 b/circuit_board/Cadence/worklib/fmc_tlu_toplevel_c/physical/allegro.jrl,1 index 4b95d6092f655e5cc2a7fdc128fbae827d7b87a6..a780527f29d464d2d5f639eed4e8dcc7d877628c 100644 --- a/circuit_board/Cadence/worklib/fmc_tlu_toplevel_c/physical/allegro.jrl,1 +++ b/circuit_board/Cadence/worklib/fmc_tlu_toplevel_c/physical/allegro.jrl,1 @@ -1,490 +1,769 @@ -\t (00:00:34) allegro 16.6 S014 (v16-6-112AU) Linux I32 -\t (00:00:34) Journal start - Wed May 6 14:39:49 2015 -\t (00:00:34) Host=fortis.phy.bris.ac.uk User=phdgc Pid=24973 CPUs=4 -\t (00:00:34) -\t (00:00:35) Opening existing design... -\d (00:00:36) Database opened: /projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/circuit_board/Cadence/worklib/fmc_tlu_toplevel_b/physical/fmc_tlu_v1a_66_gloss4b.brd -\t (00:00:36) Grids are drawn 2.5600, 2.5600 apart for enhanced viewability. -\i (00:00:36) trapsize 13171 -\i (00:00:36) trapsize 12856 -\i (00:00:36) trapsize 13226 -\i (00:00:37) trapsize 11342 -\i (00:00:37) trapsize 14936 -\i (00:00:38) ifp -\i (00:00:42) zoom points -\t (00:00:42) Pick 1st corner of the new window. -\i (00:00:43) pick -6.6217 73.7313 -\t (00:00:43) last pick: -6.6217 73.7313 -\t (00:00:43) Pick to complete the window. -\i (00:00:43) pick 62.0837 24.7414 -\t (00:00:43) last pick: 62.0837 24.7414 -\t (00:00:43) Grids are drawn 0.6400, 0.6400 apart for enhanced viewability. -\i (00:00:43) trapsize 4822 -\i (00:00:43) ifp -\i (00:05:04) zoom points -\t (00:05:04) Pick 1st corner of the new window. -\i (00:05:05) pick -2.7642 66.4985 -\t (00:05:05) last pick: -2.7642 66.4985 -\t (00:05:05) Pick to complete the window. -\i (00:05:05) pick 68.5026 42.5822 -\t (00:05:05) last pick: 68.5026 42.5822 -\i (00:05:05) trapsize 2513 -\i (00:05:05) ifp -\i (00:05:07) show element -\i (00:05:11) setwindow form.find -\i (00:05:11) FORM find all_off -\i (00:05:12) FORM find nets YES -\i (00:25:30) setwindow pcb -\i (00:25:30) trapsize 2233 -\i (00:25:32) trapsize 2513 -\i (02:45:29) pick grid 47.0924 52.5266 -\t (02:45:29) last pick: 47.0900 52.5300 -\i (02:45:42) pick grid 47.7458 50.0639 -\t (02:45:42) last pick: 47.7500 50.0600 -\i (03:36:18) zoom points -\t (03:36:18) Pick 1st corner of the new window. -\i (03:36:21) pick 20.8574 65.1918 -\t (03:36:21) last pick: 20.8574 65.1918 -\t (03:36:21) Pick to complete the window. -\i (03:36:22) pick 32.5676 53.6825 -\t (03:36:22) last pick: 32.5676 53.6825 -\t (03:36:22) Grids are drawn 0.1600, 0.1600 apart for enhanced viewability. -\i (03:36:22) trapsize 1133 -\i (03:36:31) setwindow form.find -\i (03:36:31) FORM find pins YES -\i (03:36:31) FORM find nets NO -\i (03:39:20) FORM find nets YES -\i (03:39:23) setwindow pcb -\i (03:39:23) pick grid 27.5636 57.6247 -\t (03:39:23) last pick: 27.5600 57.6200 -\i (03:39:35) pick grid 28.3113 57.6473 -\t (03:39:35) last pick: 28.3100 57.6500 -\i (03:48:51) pick grid 24.3238 55.8801 -\t (03:48:51) last pick: 24.3200 55.8800 -\i (03:48:56) drag_start grid 23.2816 56.2200 -\i (03:48:56) drag_stop 33.3636 56.4919 -\i (03:48:57) roam x -96 -\i (03:48:57) roam x -96 -\i (03:48:58) roam x -96 -\i (03:48:58) roam x -96 -\i (03:48:58) roam x -96 -\i (03:48:58) roam x -96 -\i (03:48:58) roam x -96 -\i (03:48:58) roam x -96 -\i (03:48:58) roam x -96 -\i (03:48:58) roam x -96 -\i (03:48:58) roam x -96 -\i (03:48:58) roam x -96 -\i (03:48:58) roam x -96 -\i (03:48:58) roam x -96 -\i (03:48:58) roam x -96 -\i (03:48:58) roam x -96 -\i (03:49:02) pick grid 10.5162 57.2622 -\t (03:49:02) last pick: 10.5200 57.2600 -\i (03:49:13) pick grid 11.2639 57.4661 -\t (03:49:13) last pick: 11.2600 57.4700 -\i (03:50:13) zoom out -\i (03:50:13) setwindow pcb -\i (03:50:13) zoom out 19.5107 59.4145 -\t (03:50:13) Grids are drawn 0.6400, 0.6400 apart for enhanced viewability. -\i (03:50:13) trapsize 2266 -\i (03:50:13) zoom out -\i (03:50:13) setwindow pcb -\i (03:50:13) zoom out 19.5107 59.4145 -\i (03:50:13) trapsize 4531 -\i (03:50:14) roam y 96 -\i (03:50:14) roam y 96 -\i (03:50:14) roam y 96 -\i (03:50:14) roam y 96 -\i (03:50:15) roam y 96 -\i (03:50:15) roam y 96 -\i (03:50:15) roam y 96 -\i (03:50:15) roam y 96 -\i (03:50:15) roam y 96 -\i (03:50:15) roam y 96 -\i (03:50:15) roam y 96 -\i (03:50:15) roam y 96 -\i (03:50:16) roam y 96 -\i (03:50:16) roam y 96 -\i (03:50:16) roam y -96 -\i (03:50:16) roam y -96 -\i (03:50:17) roam y -96 -\i (03:50:17) roam y -96 -\i (03:50:17) roam y -96 -\i (03:50:17) roam y -96 -\i (03:50:17) roam y -96 -\i (03:50:17) roam y -96 -\i (03:50:17) roam y -96 -\i (03:50:17) roam y -96 -\i (03:50:18) roam y -96 -\i (03:50:18) roam y -96 -\i (03:50:21) roam x 96 -\i (03:50:21) roam x 96 -\i (03:50:21) roam x 96 -\i (03:50:21) roam x 96 -\i (03:50:21) roam x 96 -\i (03:50:21) roam x 96 -\i (03:50:21) roam x 96 -\i (03:50:21) roam x 96 -\i (03:50:21) roam x 96 -\i (03:50:21) roam x 96 -\i (03:50:22) roam x 96 -\i (03:50:22) roam x 96 -\i (03:50:22) roam x 96 -\i (03:50:22) roam x 96 -\i (03:50:22) roam y 96 -\i (03:50:22) roam y 96 -\i (03:50:22) roam y 96 -\i (03:50:22) roam y 96 -\i (03:50:23) roam y 96 -\i (03:50:23) roam y 96 -\i (03:50:23) roam y 96 -\i (03:50:23) roam y 96 -\i (03:50:23) roam y 96 -\i (03:50:23) roam y 96 -\i (03:50:26) roam y -96 -\i (03:50:26) roam y -96 -\i (03:50:32) setwindow form.vf_vis -\i (03:50:32) FORM vf_vis 1 all_colorvisible YES -\i (03:50:35) setwindow pcb -\i (03:50:35) pick grid 76.7401 15.3528 -\t (03:50:35) last pick: 76.7400 15.3500 -\i (03:50:36) roam x -96 -\i (03:50:36) roam x -96 -\i (03:50:36) roam x -96 -\i (03:50:36) roam x -96 -\i (03:50:36) roam x -96 -\i (03:50:36) roam x -96 -\i (03:50:36) roam x -96 -\i (03:50:36) roam x -96 -\i (03:50:36) roam x -96 -\i (03:50:36) roam x -96 -\i (03:50:36) roam x -96 -\i (03:50:36) roam x -96 -\i (03:50:36) roam x -96 -\i (03:50:36) roam x -96 -\i (03:50:37) roam y -96 -\i (03:50:37) roam y -96 -\i (03:50:37) roam y -96 -\i (03:50:37) roam y -96 -\i (03:50:37) roam y -96 -\i (03:50:37) roam y -96 -\i (03:50:37) roam y -96 -\i (03:50:37) roam y -96 -\i (03:51:27) roam y 96 -\i (03:51:27) roam y 96 -\i (03:51:27) roam y 96 -\i (03:51:27) roam y 96 -\i (03:51:28) roam y 96 -\i (03:51:28) roam y 96 -\i (03:51:28) roam y 96 -\i (03:51:28) roam y 96 -\i (03:51:28) roam y 96 -\i (03:51:28) roam y 96 -\i (03:51:28) roam y 96 -\i (03:51:28) roam y 96 -\i (03:51:28) roam x 96 -\i (03:51:28) roam x 96 -\i (03:51:29) roam x 96 -\i (03:51:29) roam x 96 -\i (03:51:29) roam x 96 -\i (03:51:29) roam x 96 -\i (03:51:29) roam x 96 -\i (03:51:29) roam x 96 -\i (03:51:29) roam x 96 -\i (03:51:29) roam x 96 -\i (03:51:29) roam x 96 -\i (03:51:29) roam x 96 -\i (03:51:29) roam x 96 -\i (03:51:29) roam x 96 -\i (03:51:29) roam x 96 -\i (03:51:29) roam x 96 -\i (03:51:29) roam x -96 -\i (03:51:29) roam x -96 -\i (03:51:36) setwindow form.find -\i (03:51:36) FORM find nets NO -\i (03:51:50) setwindow pcb -\i (03:51:50) zoom points -\t (03:51:50) Pick 1st corner of the new window. -\i (03:51:51) pick 90.3338 -2.0472 -\t (03:51:51) last pick: 90.3338 -2.0472 -\t (03:51:51) Pick to complete the window. -\i (03:51:51) pick 107.9150 -14.7347 -\t (03:51:51) last pick: 107.9150 -14.7347 -\t (03:51:51) Grids are drawn 0.1600, 0.1600 apart for enhanced viewability. -\i (03:51:51) trapsize 1249 -\i (03:52:53) roam y -96 -\i (03:52:53) roam y -96 -\i (03:52:54) roam y -96 -\i (03:52:54) roam y -96 -\i (03:52:54) roam y -96 -\i (03:52:54) roam y -96 -\i (03:52:54) roam y -96 -\i (03:52:54) roam y -96 -\i (03:52:54) roam y -96 -\i (03:52:54) roam y -96 -\i (03:52:54) roam y -96 -\i (03:52:54) roam y -96 -\i (03:52:54) roam y -96 -\i (03:52:54) roam y -96 -\i (03:52:54) roam y -96 -\i (03:52:54) roam y -96 -\i (03:52:54) roam y -96 -\i (03:52:54) roam y -96 -\i (03:52:54) roam y -96 -\i (03:52:54) roam y -96 -\i (03:52:54) roam y -96 -\i (03:52:54) roam y -96 -\i (03:52:54) roam y -96 -\i (03:52:54) roam y -96 -\i (03:52:54) roam y -96 -\i (03:52:54) roam y -96 -\i (03:52:54) roam y -96 -\i (03:52:54) roam y -96 -\i (03:52:54) roam y -96 -\i (03:52:54) roam y -96 -\i (03:52:54) roam y -96 -\i (03:52:54) roam y -96 -\i (03:52:54) roam y -96 -\i (03:52:54) roam y -96 -\i (03:52:55) roam y -96 -\i (03:52:55) roam y -96 -\i (03:52:55) roam y -96 -\i (03:52:55) roam y -96 -\i (03:52:55) roam y -96 -\i (03:52:55) roam y -96 -\i (03:52:55) roam y -96 -\i (03:52:55) roam y -96 -\i (03:52:55) roam y -96 -\i (03:52:55) roam y -96 -\i (03:52:55) roam y -96 -\i (03:52:55) roam y -96 -\i (03:52:56) roam y -96 -\i (03:52:56) roam y -96 -\i (03:52:56) roam y -96 -\i (03:52:56) roam y -96 -\i (03:52:56) roam y -96 -\i (03:52:56) roam y -96 -\i (03:52:56) roam y -96 -\i (03:52:56) roam y -96 -\i (03:52:56) roam y -96 -\i (03:52:56) roam y -96 -\i (03:52:56) roam y -96 -\i (03:52:56) roam y -96 -\i (03:52:56) roam y -96 -\i (03:52:56) roam y -96 -\i (03:52:56) roam y -96 -\i (03:52:56) roam y -96 -\i (03:52:57) roam y -96 -\i (03:52:57) roam y -96 -\i (03:52:57) roam x -96 -\i (03:52:57) roam x -96 -\i (03:52:57) roam x -96 -\i (03:52:57) roam x -96 -\i (03:52:57) roam x -96 -\i (03:52:57) roam x -96 -\i (03:52:57) roam x -96 -\i (03:52:57) roam x -96 -\i (03:52:57) roam x -96 -\i (03:52:57) roam x -96 -\i (03:52:57) roam x -96 -\i (03:52:57) roam x -96 -\i (03:52:58) roam x -96 -\i (03:52:58) roam x -96 -\i (03:52:58) roam x -96 -\i (03:52:58) roam x -96 -\i (03:52:58) roam x -96 -\i (03:52:58) roam x -96 -\i (03:52:58) roam x -96 -\i (03:52:58) roam x -96 -\i (03:52:58) roam x -96 -\i (03:52:58) roam x -96 -\i (03:52:58) roam x -96 -\i (03:52:58) roam x -96 -\i (03:52:58) roam x -96 -\i (03:52:58) roam x -96 -\i (03:52:58) roam x -96 -\i (03:52:58) roam x -96 -\i (03:52:58) roam x -96 -\i (03:52:58) roam x -96 -\i (03:52:58) roam x -96 -\i (03:52:58) roam x -96 -\i (03:52:58) roam x -96 -\i (03:52:58) roam x -96 -\i (03:52:58) roam x -96 -\i (03:52:58) roam x -96 -\i (03:52:58) roam x -96 -\i (03:52:58) roam x -96 -\i (03:52:58) roam x -96 -\i (03:52:58) roam x -96 -\i (03:52:58) roam x -96 -\i (03:52:58) roam x -96 -\i (03:52:58) roam x -96 -\i (03:52:58) roam x -96 -\i (03:52:58) roam x -96 -\i (03:52:58) roam x -96 -\i (03:52:58) roam x -96 -\i (03:52:58) roam x -96 -\i (03:52:58) roam x -96 -\i (03:52:58) roam x -96 -\i (03:52:58) roam x -96 -\i (03:52:58) roam x -96 -\i (03:52:58) roam x -96 -\i (03:52:58) roam x -96 -\i (03:52:58) roam x -96 -\i (03:52:58) roam x -96 -\i (03:52:58) roam x -96 -\i (03:52:58) roam x -96 -\i (03:52:59) roam y 96 -\i (03:52:59) roam y 96 -\i (03:52:59) roam y 96 -\i (03:52:59) roam y 96 -\i (03:52:59) roam y 96 -\i (03:52:59) roam y 96 -\i (03:53:00) roam y 96 -\i (03:53:00) roam y 96 -\i (03:53:00) roam x -96 -\i (03:53:00) roam x -96 -\i (03:53:48) pick grid 23.4175 56.8451 -\t (03:53:48) last pick: 23.4200 56.8500 -\i (03:53:48) roam x -96 -\i (03:53:48) roam x -96 -\i (03:53:48) roam x -96 -\i (03:53:48) roam x -96 -\i (03:53:49) roam x -96 -\i (03:53:49) roam x -96 -\i (03:53:49) roam x -96 -\i (03:53:49) roam x -96 -\i (03:53:49) roam x -96 -\i (03:53:49) roam x -96 -\i (03:53:49) roam x -96 -\i (03:53:49) roam x -96 -\i (03:53:49) roam x -96 -\i (03:53:49) roam x -96 -\i (03:54:11) show element -\i (03:54:14) setwindow form.find -\i (03:54:14) FORM find nets YES -\i (03:54:21) setwindow pcb -\i (03:54:21) pick grid 11.4542 57.6693 -\t (03:54:21) last pick: 11.4500 57.6700 -\i (03:54:38) pick grid 10.5551 57.1448 -\t (03:54:38) last pick: 10.5600 57.1400 -\i (03:54:44) pick grid 11.3543 57.1448 -\t (03:54:44) last pick: 11.3500 57.1400 -\i (04:25:43) pick grid 4.5360 52.9240 -\t (04:25:43) last pick: 4.5400 52.9200 -\i (04:26:01) pick grid 27.1637 57.5694 -\t (04:26:01) last pick: 27.1600 57.5700 -\i (04:26:07) pick grid 26.2896 57.3196 -\t (04:26:07) last pick: 26.2900 57.3200 -\i (04:26:15) pick grid 26.9389 57.2697 -\t (04:26:15) last pick: 26.9400 57.2700 -\i (04:26:19) pick grid 27.1138 57.2947 -\t (04:26:19) last pick: 27.1100 57.2900 -\i (04:28:02) pick grid 9.3563 57.6943 -\t (04:28:02) last pick: 9.3600 57.6900 -\i (04:28:18) pick grid 10.1305 57.6193 -\t (04:28:18) last pick: 10.1300 57.6200 -\i (04:29:36) roam x 96 -\i (04:29:36) roam x 96 -\i (04:29:36) roam x 96 -\i (04:29:36) roam x 96 -\i (04:29:36) roam x 96 -\i (04:29:36) roam x 96 -\i (04:29:36) roam x 96 -\i (04:29:36) roam x 96 -\i (04:29:36) roam x 96 -\i (04:29:36) roam x 96 -\i (04:29:36) roam x 96 -\i (04:29:36) roam x 96 -\i (04:29:37) roam x 96 -\i (04:29:37) roam x 96 -\i (04:29:37) roam x 96 -\i (04:29:37) roam x 96 -\i (04:29:37) roam x 96 -\i (04:29:37) roam x 96 -\i (04:29:37) roam y 96 -\i (04:29:37) roam y 96 -\i (04:29:37) roam y 96 -\i (04:29:37) roam y 96 -\i (04:29:37) roam y 96 -\i (04:29:37) roam y 96 -\i (04:29:38) roam y 96 -\i (04:29:38) roam y 96 -\i (04:29:40) pick grid 47.3939 50.2016 -\t (04:29:40) last pick: 47.3900 50.2000 -\i (04:34:48) roam y -96 -\i (04:34:48) roam y -96 -\i (04:34:48) roam y -96 -\i (04:34:48) roam y -96 -\i (04:34:48) roam y -96 -\i (04:34:48) roam y -96 -\i (04:34:49) roam x -96 -\i (04:34:49) roam x -96 -\i (04:34:49) roam x -96 -\i (04:34:49) roam x -96 -\i (04:34:49) roam x -96 -\i (04:34:49) roam x -96 -\i (04:34:49) roam x -96 -\i (04:34:49) roam x -96 -\i (04:34:49) roam x -96 -\i (04:34:49) roam x -96 -\i (04:34:49) roam x -96 -\i (04:34:49) roam x -96 -\i (04:34:50) roam x -96 -\i (04:34:50) roam x -96 -\i (04:34:50) roam x -96 -\i (04:34:50) roam x -96 -\i (04:34:50) roam y -96 -\i (04:34:50) roam y -96 -\i (04:52:16) roam x 96 -\i (04:52:16) roam x 96 -\i (04:52:16) roam x 96 -\i (04:52:16) roam x 96 -\i (04:52:16) roam x 96 -\i (04:52:16) roam x 96 -\i (04:52:16) roam x 96 -\i (04:52:16) roam x 96 -\i (04:52:17) roam x 96 -\i (04:52:17) roam x 96 -\i (04:52:17) roam x 96 -\i (04:52:17) roam x 96 -\i (04:52:17) roam x 96 -\i (04:52:17) roam x 96 -\i (04:52:17) roam x 96 -\i (04:52:17) roam x 96 -\i (04:52:17) roam y 96 -\i (04:52:17) roam y 96 -\i (04:52:17) roam y 96 -\i (04:52:17) roam y 96 -\i (04:52:18) roam y 96 -\i (04:52:18) roam y 96 -\i (25:36:11) pick grid 48.1181 46.3554 -\t (25:36:11) last pick: 48.1200 46.3600 -\i (25:41:34) roam y -96 -\i (25:41:34) roam y -96 -\i (25:41:34) roam y -96 -\i (25:41:34) roam y -96 -\i (25:41:35) roam y -96 -\i (25:41:35) roam y -96 -\i (25:41:35) roam y -96 -\i (25:41:35) roam y -96 -\i (25:41:35) roam x -96 -\i (25:41:35) roam x -96 -\i (25:41:36) roam x -96 -\i (25:41:36) roam x -96 -\i (25:41:36) roam x -96 -\i (25:41:36) roam x -96 -\i (73:17:31) zoom out -\i (73:17:31) setwindow pcb -\i (73:17:31) zoom out 33.7073 61.0909 -\t (73:17:31) Grids are drawn 0.6400, 0.6400 apart for enhanced viewability. -\i (73:17:31) trapsize 2498 -\i (117:09:46) pick grid 48.1929 50.0018 -\t (117:09:46) last pick: 48.1900 50.0000 -\i (123:55:16) pick grid 7.4830 61.8901 -\t (123:55:16) last pick: 7.4800 61.8900 -\i (123:55:21) exit -\e (123:55:23) Do you want to save the changes you made to fmc_tlu_v1a_66_gloss4b.brd? -\i (123:55:25) fillin no -\t (123:55:26) Journal end - Mon May 11 18:34:41 2015 +\t (00:01:45) allegro 16.6 S035 (v16-6-112CR) Linux I32 +\t (00:01:45) Journal start - Tue Jul 14 10:36:00 2015 +\t (00:01:45) Host=voltar.phy.bris.ac.uk User=phdgc Pid=6892 CPUs=8 +\t (00:01:45) CmdLine= /software/CAD/Cadence/2014_2015/SPB166/tools/pcb/bin/allegro.exe -proj /projects/HEP_Instrumentation/cad/designs/uob-hep-pc051a/trunk/design_files/pc051a_toplevel.cpm -product Concept_HDL_studio -mpssession phdgc_ProjectMgr20240 -mpshost voltar.phy.bris.ac.uk +\t (00:01:45) +\d (00:01:45) Design opened: /projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/circuit_board/Cadence/worklib/fmc_tlu_toplevel_b/physical/fmc_tlu_v1a_66_gloss4b.brd +\i (00:01:47) zoom points +\t (00:01:47) Pick 1st corner of the new window. +\i (00:01:47) pick -7.3458 46.9349 +\t (00:01:47) last pick: -7.3458 46.9349 +\t (00:01:47) Pick to complete the window. +\i (00:01:48) pick 11.4776 13.4710 +\t (00:01:48) last pick: 11.4776 13.4710 +\t (00:01:48) Grids are drawn 0.3200, 0.3200 apart for enhanced viewability. +\i (00:01:48) trapsize 2562 +\i (00:01:52) setwindow form.vf_vis +\i (00:01:52) FORM vf_vis 1 all_colorvisible YES +\i (00:01:55) setwindow pcb +\i (00:01:55) zoom points +\t (00:01:55) Pick 1st corner of the new window. +\i (00:01:56) pick 10.5904 39.0429 +\t (00:01:56) last pick: 10.5904 39.0429 +\t (00:01:56) Pick to complete the window. +\i (00:01:57) pick 17.6624 28.3324 +\t (00:01:57) last pick: 17.6624 28.3324 +\t (00:01:57) Grids are drawn 0.0800, 0.0800 apart for enhanced viewability. +\i (00:01:57) trapsize 820 +\i (00:01:59) roam x 96 +\i (00:01:59) roam x 96 +\i (00:01:59) roam x 96 +\i (00:01:59) roam x 96 +\i (00:01:59) roam x 96 +\i (00:01:59) roam x 96 +\i (00:01:59) roam x 96 +\i (00:01:59) roam x 96 +\i (00:01:59) roam x 96 +\i (00:01:59) roam x 96 +\i (00:02:00) roam x 96 +\i (00:02:00) roam x 96 +\i (00:02:00) roam y -96 +\i (00:02:00) roam y -96 +\i (00:02:00) roam y -96 +\i (00:02:00) roam y -96 +\i (00:02:00) roam y -96 +\i (00:02:00) roam y -96 +\i (00:02:00) roam y -96 +\i (00:02:00) roam y -96 +\i (00:02:00) roam y -96 +\i (00:02:00) roam y -96 +\i (00:02:00) roam y -96 +\i (00:02:00) roam y -96 +\i (00:02:01) roam y -96 +\i (00:02:01) roam y -96 +\i (00:02:01) roam y -96 +\i (00:02:01) roam y -96 +\i (00:02:01) roam y -96 +\i (00:02:01) roam y -96 +\i (00:02:01) roam y -96 +\i (00:02:01) roam y -96 +\i (00:02:02) roam y -96 +\i (00:02:02) roam y -96 +\i (00:02:02) roam y -96 +\i (00:02:02) roam y -96 +\i (00:02:02) roam y -96 +\i (00:02:02) roam y -96 +\i (00:02:02) roam y -96 +\i (00:02:02) roam y -96 +\i (00:02:02) roam y -96 +\i (00:02:02) roam y -96 +\i (00:02:02) roam y -96 +\i (00:02:02) roam y -96 +\i (00:02:02) roam y -96 +\i (00:02:02) roam y -96 +\i (00:02:02) roam y -96 +\i (00:02:02) roam y -96 +\i (00:02:03) roam y -96 +\i (00:02:03) roam y -96 +\i (00:26:10) trapsize 819 +\i (00:26:10) trapsize 809 +\i (00:26:10) trapsize 721 +\i (00:26:11) trapsize 697 +\i (00:26:11) trapsize 690 +\i (00:26:11) trapsize 688 +\i (00:26:16) setwindow form.vf_vis +\i (00:26:16) FORM vf_vis 1 all_colorvisible NO +\i (00:26:17) FORM vf_vis 2 all_colorvisible YES +\i (00:26:18) setwindow pcb +\i (00:26:18) roam start +\i (00:26:18) roam x -64 +\i (00:26:18) roam y 16 +\i (00:26:18) roam x -16 +\i (00:26:18) roam x -16 +\i (00:26:18) roam x -32 +\i (00:26:18) roam y -32 +\i (00:26:19) roam x -16 +\i (00:26:19) roam y -32 +\i (00:26:19) roam x -16 +\i (00:26:19) roam y -32 +\i (00:26:19) roam x -16 +\i (00:26:19) roam y -32 +\i (00:26:19) roam x -16 +\i (00:26:19) roam y -48 +\i (00:26:19) roam x -16 +\i (00:26:19) roam y -32 +\i (00:26:19) roam x -16 +\i (00:26:19) roam y -48 +\i (00:26:19) roam y -32 +\i (00:26:19) roam y 16 +\i (00:26:19) roam y 48 +\i (00:26:19) roam x -16 +\i (00:26:19) roam y 48 +\i (00:26:19) roam y 16 +\i (00:26:19) roam x -16 +\i (00:26:19) roam y 64 +\i (00:26:19) roam y 48 +\i (00:26:19) roam x -16 +\i (00:26:19) roam y 96 +\i (00:26:19) roam y 16 +\i (00:26:19) roam x -16 +\i (00:26:19) roam y 96 +\i (00:26:19) roam x -16 +\i (00:26:19) roam y 64 +\i (00:26:19) roam y 64 +\i (00:26:19) roam x -16 +\i (00:26:19) roam y 64 +\i (00:26:19) roam y 48 +\i (00:26:19) roam y 48 +\i (00:26:19) roam y 48 +\i (00:26:19) roam y 48 +\i (00:26:19) roam y 32 +\i (00:26:19) roam y 32 +\i (00:26:19) roam y 16 +\i (00:26:19) roam y 16 +\i (00:26:19) roam y 16 +\i (00:26:19) roam y 16 +\i (00:26:19) roam y 16 +\i (00:26:19) roam x 16 +\i (00:26:19) roam y 16 +\i (00:26:20) roam y 16 +\i (00:26:20) roam y 16 +\i (00:26:20) roam y 16 +\i (00:26:20) roam y 16 +\i (00:26:20) roam y 16 +\i (00:26:20) roam y 16 +\i (00:26:20) roam y 16 +\i (00:26:20) roam x 16 +\i (00:26:20) roam y 32 +\i (00:26:20) roam y 32 +\i (00:26:20) roam y 32 +\i (00:26:20) roam x 16 +\i (00:26:20) roam y 32 +\i (00:26:20) roam y 32 +\i (00:26:20) roam y 32 +\i (00:26:20) roam x 16 +\i (00:26:20) roam y 48 +\i (00:26:20) roam y 32 +\i (00:26:20) roam y 32 +\i (00:26:20) roam y 32 +\i (00:26:20) roam y 32 +\i (00:26:20) roam y 32 +\i (00:26:20) roam y 16 +\i (00:26:20) roam x 16 +\i (00:26:20) roam y 32 +\i (00:26:20) roam y 16 +\i (00:26:20) roam y 16 +\i (00:26:20) roam x 16 +\i (00:26:20) roam x 16 +\i (00:26:20) roam x 16 +\i (00:26:20) roam y -16 +\i (00:26:20) roam x 16 +\i (00:26:20) roam x 16 +\i (00:26:20) roam x 32 +\i (00:26:20) roam y -32 +\i (00:26:20) roam x 32 +\i (00:26:20) roam y -16 +\i (00:26:20) roam x 48 +\i (00:26:20) roam y -32 +\i (00:26:21) roam x 48 +\i (00:26:21) roam y -32 +\i (00:26:21) roam x 32 +\i (00:26:21) roam y -32 +\i (00:26:21) roam x 32 +\i (00:26:21) roam y -16 +\i (00:26:21) roam x 48 +\i (00:26:21) roam y -32 +\i (00:26:21) roam x 32 +\i (00:26:21) roam y -32 +\i (00:26:21) roam x 16 +\i (00:26:21) roam y -16 +\i (00:26:21) roam x 16 +\i (00:26:21) roam y -16 +\i (00:26:21) roam x 32 +\i (00:26:21) roam y -32 +\i (00:26:21) roam x 16 +\i (00:26:21) roam y -16 +\i (00:26:21) roam x 16 +\i (00:26:21) roam y -16 +\i (00:26:21) roam x 16 +\i (00:26:21) roam y -16 +\i (00:26:21) roam x 16 +\i (00:26:21) roam x 16 +\i (00:26:21) roam y -16 +\i (00:26:21) roam x 16 +\i (00:26:21) roam y -16 +\i (00:26:21) roam x 16 +\i (00:26:21) roam y -16 +\i (00:26:21) roam x 16 +\i (00:26:21) roam y -16 +\i (00:26:21) roam x 32 +\i (00:26:21) roam y -16 +\i (00:26:21) roam x 16 +\i (00:26:21) roam y -16 +\i (00:26:21) roam y -16 +\i (00:26:21) roam x 16 +\i (00:26:21) roam y -16 +\i (00:26:21) roam x 16 +\i (00:26:21) roam y -16 +\i (00:26:21) roam y -16 +\i (00:26:21) roam x 16 +\i (00:26:21) roam y -16 +\i (00:26:21) roam x 16 +\i (00:26:21) roam y -16 +\i (00:26:21) roam y -16 +\i (00:26:21) roam x 16 +\i (00:26:21) roam y -16 +\i (00:26:21) roam x 16 +\i (00:26:21) roam y -16 +\i (00:26:22) roam x 16 +\i (00:26:22) roam y -16 +\i (00:26:22) roam x 16 +\i (00:26:22) roam y -16 +\i (00:26:22) roam y -16 +\i (00:26:22) roam x 16 +\i (00:26:22) roam x 16 +\i (00:26:22) roam y -16 +\i (00:26:22) roam x 16 +\i (00:26:22) roam x 16 +\i (00:26:22) roam y -16 +\i (00:26:22) roam x 32 +\i (00:26:22) roam x 32 +\i (00:26:22) roam y -16 +\i (00:26:22) roam x 48 +\i (00:26:22) roam y -16 +\i (00:26:22) roam x 64 +\i (00:26:22) roam y -16 +\i (00:26:22) roam x 48 +\i (00:26:22) roam x 64 +\i (00:26:22) roam y -16 +\i (00:26:22) roam x 80 +\i (00:26:22) roam y -16 +\i (00:26:22) roam x 48 +\i (00:26:22) roam x 64 +\i (00:26:22) roam y -16 +\i (00:26:22) roam x 48 +\i (00:26:22) roam x 48 +\i (00:26:22) roam y -16 +\i (00:26:22) roam x 32 +\i (00:26:22) roam x 32 +\i (00:26:22) roam y -16 +\i (00:26:22) roam x 16 +\i (00:26:22) roam x 16 +\i (00:26:22) roam y 16 +\i (00:26:22) roam y 16 +\i (00:26:22) roam y 32 +\i (00:26:22) roam y 32 +\i (00:26:22) roam x -16 +\i (00:26:22) roam y 48 +\i (00:26:22) roam y 48 +\i (00:26:22) roam y 48 +\i (00:26:22) roam y 48 +\i (00:26:22) roam y 64 +\i (00:26:22) roam y 32 +\i (00:26:22) roam y 16 +\i (00:26:23) roam y 16 +\i (00:26:23) roam y 16 +\i (00:26:23) roam x -16 +\i (00:26:23) roam x -16 +\i (00:26:23) roam x -16 +\i (00:26:23) roam x -32 +\i (00:26:23) roam x -32 +\i (00:26:23) roam x -16 +\i (00:26:23) roam x -32 +\i (00:26:23) roam x -32 +\i (00:26:23) roam x -16 +\i (00:26:23) roam x -32 +\i (00:26:23) roam x -32 +\i (00:26:23) roam x -16 +\i (00:26:23) roam x -16 +\i (00:26:24) roam x -16 +\i (00:26:24) roam x -16 +\i (00:26:24) roam x -16 +\i (00:26:24) roam x -16 +\i (00:26:24) roam x -16 +\i (00:26:24) roam x -16 +\i (00:26:24) roam x -16 +\i (00:26:24) roam x -16 +\i (00:26:24) roam x -16 +\i (00:26:25) roam x -16 +\i (00:26:25) roam end +\i (00:26:26) roam start +\i (00:26:26) roam x -16 +\i (00:26:26) roam y 64 +\i (00:26:26) roam x -48 +\i (00:26:26) roam y 96 +\i (00:26:26) roam x -32 +\i (00:26:26) roam y 112 +\i (00:26:26) roam x -16 +\i (00:26:26) roam y 32 +\i (00:26:27) roam y 48 +\i (00:26:27) roam x -16 +\i (00:26:27) roam y 96 +\i (00:26:27) roam x -16 +\i (00:26:27) roam y 112 +\i (00:26:27) roam x -16 +\i (00:26:27) roam y 48 +\i (00:26:27) roam y 80 +\i (00:26:27) roam x -16 +\i (00:26:27) roam y 80 +\i (00:26:27) roam x -16 +\i (00:26:27) roam y 64 +\i (00:26:27) roam y 80 +\i (00:26:27) roam x -16 +\i (00:26:27) roam y 64 +\i (00:26:27) roam x -16 +\i (00:26:27) roam y 64 +\i (00:26:27) roam y 64 +\i (00:26:27) roam x -16 +\i (00:26:27) roam y 64 +\i (00:26:27) roam y 48 +\i (00:26:27) roam x -16 +\i (00:26:27) roam y 48 +\i (00:26:27) roam y 80 +\i (00:26:27) roam x -16 +\i (00:26:27) roam y 48 +\i (00:26:27) roam y 48 +\i (00:26:27) roam x -16 +\i (00:26:27) roam y 48 +\i (00:26:27) roam y 48 +\i (00:26:27) roam y 32 +\i (00:26:27) roam y 16 +\i (00:26:27) roam y 16 +\i (00:26:27) roam y 16 +\i (00:26:27) roam y 16 +\i (00:26:27) roam x -16 +\i (00:26:27) roam y 16 +\i (00:26:27) roam y -48 +\i (00:26:27) roam x -16 +\i (00:26:27) roam y 32 +\i (00:26:27) roam y 16 +\i (00:26:27) roam y 16 +\i (00:26:28) roam y 16 +\i (00:26:28) roam x 16 +\i (00:26:28) roam y 16 +\i (00:26:28) roam y 16 +\i (00:26:28) roam x 16 +\i (00:26:28) roam x 16 +\i (00:26:28) roam y 32 +\i (00:26:28) roam x 32 +\i (00:26:28) roam y 32 +\i (00:26:28) roam x 32 +\i (00:26:28) roam y 48 +\i (00:26:28) roam x 64 +\i (00:26:28) roam y 48 +\i (00:26:28) roam x 48 +\i (00:26:28) roam y 80 +\i (00:26:28) roam x 80 +\i (00:26:28) roam y 64 +\i (00:26:28) roam x 112 +\i (00:26:28) roam y 96 +\i (00:26:28) roam x 16 +\i (00:26:28) roam y 32 +\i (00:26:28) roam x 96 +\i (00:26:28) roam y 112 +\i (00:26:28) roam x 64 +\i (00:26:28) roam y 80 +\i (00:26:28) roam x 48 +\i (00:26:28) roam y 64 +\i (00:26:28) roam x 64 +\i (00:26:28) roam y 64 +\i (00:26:28) roam x 48 +\i (00:26:28) roam y 64 +\i (00:26:28) roam x 64 +\i (00:26:28) roam y 48 +\i (00:26:28) roam x 64 +\i (00:26:28) roam y 48 +\i (00:26:28) roam x 48 +\i (00:26:28) roam y 48 +\i (00:26:28) roam x 48 +\i (00:26:28) roam y 48 +\i (00:26:28) roam x 48 +\i (00:26:28) roam y 32 +\i (00:26:28) roam x 48 +\i (00:26:28) roam y 16 +\i (00:26:28) roam x 48 +\i (00:26:28) roam y 32 +\i (00:26:28) roam x 16 +\i (00:26:28) roam y 16 +\i (00:26:28) roam x 16 +\i (00:26:28) roam y 16 +\i (00:26:28) roam x -16 +\i (00:26:28) roam y 16 +\i (00:26:29) roam x -32 +\i (00:26:29) roam y 16 +\i (00:26:29) roam x -80 +\i (00:26:29) roam y 48 +\i (00:26:29) roam x -128 +\i (00:26:29) roam y 112 +\i (00:26:29) roam x -96 +\i (00:26:29) roam y 80 +\i (00:26:29) roam x -112 +\i (00:26:29) roam y 80 +\i (00:26:29) roam x -96 +\i (00:26:29) roam y 96 +\i (00:26:29) roam x -80 +\i (00:26:29) roam y 96 +\i (00:26:29) roam x -80 +\i (00:26:29) roam y 96 +\i (00:26:29) roam x -64 +\i (00:26:29) roam y 96 +\i (00:26:29) roam x -80 +\i (00:26:29) roam y 144 +\i (00:26:29) roam x -32 +\i (00:26:29) roam y 48 +\i (00:26:29) roam x -80 +\i (00:26:29) roam y 144 +\i (00:26:29) roam x -32 +\i (00:26:29) roam y 80 +\i (00:26:29) roam x -48 +\i (00:26:29) roam y 80 +\i (00:26:29) roam x -16 +\i (00:26:29) roam y 80 +\i (00:26:29) roam x -32 +\i (00:26:29) roam y 64 +\i (00:26:29) roam x -16 +\i (00:26:29) roam y 48 +\i (00:26:29) roam x -16 +\i (00:26:29) roam y 32 +\i (00:26:29) roam x -16 +\i (00:26:29) roam y 16 +\i (00:26:29) roam y 32 +\i (00:26:29) roam x -16 +\i (00:26:29) roam y 16 +\i (00:26:29) roam x -16 +\i (00:26:29) roam y 16 +\i (00:26:29) roam x -16 +\i (00:26:29) roam y 16 +\i (00:26:29) roam x -16 +\i (00:26:29) roam y -16 +\i (00:26:29) roam y -16 +\i (00:26:29) roam x -16 +\i (00:26:29) roam y -80 +\i (00:26:29) roam x -16 +\i (00:26:29) roam y 16 +\i (00:26:29) roam x -16 +\i (00:26:30) roam x -16 +\i (00:26:30) roam x -64 +\i (00:26:30) roam x -48 +\i (00:26:30) roam x -64 +\i (00:26:30) roam x -80 +\i (00:26:30) roam x -112 +\i (00:26:30) roam x -112 +\i (00:26:30) roam y -16 +\i (00:26:30) roam x -128 +\i (00:26:30) roam x -144 +\i (00:26:30) roam x -144 +\i (00:26:30) roam x -224 +\i (00:26:30) roam x -112 +\i (00:26:30) roam x -96 +\i (00:26:30) roam x -80 +\i (00:26:30) roam x -64 +\i (00:26:30) roam x -48 +\i (00:26:30) roam x -32 +\i (00:26:30) roam y 16 +\i (00:26:30) roam x -16 +\i (00:26:30) roam x -16 +\i (00:26:30) roam x -16 +\i (00:26:30) roam y 16 +\i (00:26:30) roam x -16 +\i (00:26:30) roam x -16 +\i (00:26:30) roam x -32 +\i (00:26:30) roam x -48 +\i (00:26:30) roam x -64 +\i (00:26:30) roam x -48 +\i (00:26:30) roam x -64 +\i (00:26:30) roam x -64 +\i (00:26:30) roam x -48 +\i (00:26:30) roam x -96 +\i (00:26:30) roam x -48 +\i (00:26:30) roam y -16 +\i (00:26:30) roam x -32 +\i (00:26:30) roam x -32 +\i (00:26:30) roam y -16 +\i (00:26:30) roam x -48 +\i (00:26:30) roam x -16 +\i (00:26:30) roam x -32 +\i (00:26:30) roam x -32 +\i (00:26:30) roam x -16 +\i (00:26:30) roam x -32 +\i (00:26:30) roam x -16 +\i (00:26:30) roam x -32 +\i (00:26:30) roam x -32 +\i (00:26:30) roam x -32 +\i (00:26:30) roam x -16 +\i (00:26:30) roam x -32 +\i (00:26:30) roam x -32 +\i (00:26:30) roam x -32 +\i (00:26:30) roam x -32 +\i (00:26:30) roam x -32 +\i (00:26:30) roam y -16 +\i (00:26:30) roam x -16 +\i (00:26:30) roam x -16 +\i (00:26:31) roam y -16 +\i (00:26:31) roam y -16 +\i (00:26:31) roam y -16 +\i (00:26:31) roam y -16 +\i (00:26:31) roam y -32 +\i (00:26:31) roam x 16 +\i (00:26:31) roam y -64 +\i (00:26:31) roam x 16 +\i (00:26:31) roam y -64 +\i (00:26:31) roam y -64 +\i (00:26:31) roam y -128 +\i (00:26:31) roam y -96 +\i (00:26:31) roam x 16 +\i (00:26:31) roam y -80 +\i (00:26:31) roam y -80 +\i (00:26:31) roam y -96 +\i (00:26:31) roam y -80 +\i (00:26:31) roam y -64 +\i (00:26:31) roam y -64 +\i (00:26:31) roam x -16 +\i (00:26:31) roam y -48 +\i (00:26:31) roam y -48 +\i (00:26:31) roam y -32 +\i (00:26:31) roam y -16 +\i (00:26:31) roam y -16 +\i (00:26:32) roam y -16 +\i (00:26:32) roam y -16 +\i (00:26:32) roam y -16 +\i (00:26:32) roam y -16 +\i (00:26:32) roam y -16 +\i (00:26:32) roam y -16 +\i (00:26:32) roam y -16 +\i (00:26:32) roam y -16 +\i (00:26:32) roam x 16 +\i (00:26:32) roam y -32 +\i (00:26:32) roam y -32 +\i (00:26:32) roam y -32 +\i (00:26:32) roam x 16 +\i (00:26:32) roam y -48 +\i (00:26:32) roam y -32 +\i (00:26:32) roam y -48 +\i (00:26:32) roam x 16 +\i (00:26:32) roam y -32 +\i (00:26:32) roam y -48 +\i (00:26:32) roam y -48 +\i (00:26:32) roam y -32 +\i (00:26:32) roam x 16 +\i (00:26:32) roam y -48 +\i (00:26:32) roam y -32 +\i (00:26:32) roam y -48 +\i (00:26:32) roam x 16 +\i (00:26:32) roam y -48 +\i (00:26:32) roam y -48 +\i (00:26:32) roam y -32 +\i (00:26:32) roam x 16 +\i (00:26:32) roam y -48 +\i (00:26:32) roam y -32 +\i (00:26:32) roam y -32 +\i (00:26:32) roam y -16 +\i (00:26:32) roam y -32 +\i (00:26:32) roam y -16 +\i (00:26:32) roam y -32 +\i (00:26:32) roam y -16 +\i (00:26:32) roam y -32 +\i (00:26:32) roam y -16 +\i (00:26:32) roam y -32 +\i (00:26:32) roam y -32 +\i (00:26:32) roam y -16 +\i (00:26:32) roam x 16 +\i (00:26:32) roam y -32 +\i (00:26:32) roam y -16 +\i (00:26:32) roam y -16 +\i (00:26:32) roam y -32 +\i (00:26:32) roam y -16 +\i (00:26:32) roam y -16 +\i (00:26:32) roam y -16 +\i (00:26:32) roam y -16 +\i (00:26:32) roam y -16 +\i (00:26:32) roam y -16 +\i (00:26:32) roam y -16 +\i (00:26:33) roam y -16 +\i (00:26:33) roam y -16 +\i (00:26:33) roam y -16 +\i (00:26:33) roam y -16 +\i (00:26:33) roam y -16 +\i (00:26:33) roam y -16 +\i (00:26:33) roam y -16 +\i (00:26:33) roam y -16 +\i (00:26:33) roam y -16 +\i (00:26:33) roam y -16 +\i (00:26:33) roam y -32 +\i (00:26:33) roam x -16 +\i (00:26:33) roam y -16 +\i (00:26:33) roam y -16 +\i (00:26:33) roam y -32 +\i (00:26:33) roam y -16 +\i (00:26:33) roam y -32 +\i (00:26:33) roam y -16 +\i (00:26:33) roam y -32 +\i (00:26:33) roam y -16 +\i (00:26:33) roam y -32 +\i (00:26:33) roam y -16 +\i (00:26:33) roam y -32 +\i (00:26:33) roam y -16 +\i (00:26:33) roam y -16 +\i (00:26:33) roam y -32 +\i (00:26:33) roam y -16 +\i (00:26:33) roam x -32 +\i (00:26:33) roam y -16 +\i (00:26:33) roam y -32 +\i (00:26:33) roam y -32 +\i (00:26:33) roam y -16 +\i (00:26:33) roam y -16 +\i (00:26:33) roam y -16 +\i (00:26:33) roam y -16 +\i (00:26:33) roam y -16 +\i (00:26:33) roam y -16 +\i (00:26:33) roam y -16 +\i (00:26:33) roam y -16 +\i (00:26:33) roam y -16 +\i (00:26:33) roam y -16 +\i (00:26:33) roam y -16 +\i (00:26:33) roam y -16 +\i (00:26:33) roam y -16 +\i (00:26:33) roam y -16 +\i (00:26:33) roam y -16 +\i (00:26:33) roam y -16 +\i (00:26:33) roam y -16 +\i (00:26:33) roam y -16 +\i (00:26:33) roam y -16 +\i (00:26:33) roam y -16 +\i (00:26:34) roam y -16 +\i (00:26:34) roam y -16 +\i (00:26:34) roam y -16 +\i (00:26:34) roam y -16 +\i (00:26:34) roam y -16 +\i (00:26:34) roam y -16 +\i (00:26:34) roam y -16 +\i (00:26:34) roam y -16 +\i (00:26:34) roam y -16 +\i (00:26:34) roam y -16 +\i (00:26:34) roam y -16 +\i (00:26:34) roam y -16 +\i (00:26:34) roam y -16 +\i (00:26:34) roam y -16 +\i (00:26:34) roam y -16 +\i (00:26:34) roam y -16 +\i (00:26:34) roam y -16 +\i (00:26:34) roam y -16 +\i (00:26:34) roam y -16 +\i (00:26:34) roam y -16 +\i (00:26:34) roam y -16 +\i (00:26:34) roam y -32 +\i (00:26:34) roam y -32 +\i (00:26:34) roam y -16 +\i (00:26:34) roam y -32 +\i (00:26:34) roam y -32 +\i (00:26:34) roam x -16 +\i (00:26:34) roam y -16 +\i (00:26:34) roam x -32 +\i (00:26:34) roam y -16 +\i (00:26:34) roam y -16 +\i (00:26:34) roam y -32 +\i (00:26:34) roam y -16 +\i (00:26:34) roam y -16 +\i (00:26:34) roam y -16 +\i (00:26:34) roam x -16 +\i (00:26:34) roam y -16 +\i (00:26:34) roam y -16 +\i (00:26:34) roam y -16 +\i (00:26:35) roam y -16 +\i (00:26:35) roam y -16 +\i (00:26:35) roam y -16 +\i (00:26:35) roam y -16 +\i (00:26:35) roam y -16 +\i (00:26:35) roam y -16 +\i (00:26:35) roam y -16 +\i (00:26:35) roam y -16 +\i (00:26:35) roam y -16 +\i (00:26:35) roam y -16 +\i (00:26:35) roam y -16 +\i (00:26:35) roam y -16 +\i (00:26:35) roam y -16 +\i (00:26:35) roam y -16 +\i (00:26:35) roam y -16 +\i (00:26:35) roam y -16 +\i (00:26:35) roam y -16 +\i (00:26:35) roam y -32 +\i (00:26:35) roam x 16 +\i (00:26:35) roam y -32 +\i (00:26:35) roam y -32 +\i (00:26:35) roam y -32 +\i (00:26:35) roam y -16 +\i (00:26:35) roam x 16 +\i (00:26:35) roam y -32 +\i (00:26:35) roam y -16 +\i (00:26:35) roam y -32 +\i (00:26:35) roam y -16 +\i (00:26:35) roam y -16 +\i (00:26:35) roam y -16 +\i (00:26:35) roam y -16 +\i (00:26:35) roam x 16 +\i (00:26:35) roam y -16 +\i (00:26:35) roam y -16 +\i (00:26:35) roam y -16 +\i (00:26:35) roam x 16 +\i (00:26:35) roam y -32 +\i (00:26:35) roam y -16 +\i (00:26:35) roam y -16 +\i (00:26:35) roam y -16 +\i (00:26:35) roam y -16 +\i (00:26:35) roam x 16 +\i (00:26:35) roam y -16 +\i (00:26:35) roam y -16 +\i (00:26:35) roam y -16 +\i (00:26:36) roam y -16 +\i (00:26:36) roam y -16 +\i (00:26:36) roam y -16 +\i (00:26:36) roam y -16 +\i (00:26:36) roam y -16 +\i (00:26:36) roam y -16 +\i (00:26:36) roam y -16 +\i (00:26:36) roam y -16 +\i (00:26:36) roam y -16 +\i (00:26:36) roam y -16 +\i (00:26:36) roam y -16 +\i (00:26:36) roam y -16 +\i (00:26:36) roam y -16 +\i (00:26:36) roam y -16 +\i (00:26:36) roam y -16 +\i (00:26:36) roam y -16 +\i (00:26:36) roam y -16 +\i (00:26:36) roam y -32 +\i (00:26:36) roam x 16 +\i (00:26:36) roam y -32 +\i (00:26:36) roam y -16 +\i (00:26:36) roam y -32 +\i (00:26:36) roam y -32 +\i (00:26:36) roam y -32 +\i (00:26:36) roam y -32 +\i (00:26:36) roam x 16 +\i (00:26:36) roam y -32 +\i (00:26:36) roam y -16 +\i (00:26:36) roam y -32 +\i (00:26:36) roam y -16 +\i (00:26:36) roam y -32 +\i (00:26:36) roam y -16 +\i (00:26:36) roam y -16 +\i (00:26:36) roam y -16 +\i (00:26:36) roam y -16 +\i (00:26:36) roam y -16 +\i (00:26:36) roam y -16 +\i (00:26:36) roam y -16 +\i (00:26:36) roam y -16 +\i (00:26:37) roam y -16 +\i (00:26:37) roam end +\i (00:26:53) exit +\t (00:26:54) Journal end - Tue Jul 14 11:01:09 2015 diff --git a/circuit_board/Cadence/worklib/fmc_tlu_toplevel_c/physical/eco.txt b/circuit_board/Cadence/worklib/fmc_tlu_toplevel_c/physical/eco.txt index 85d2097d334d1189b82e9c2abd5f43087ad1fb43..03d7040ba27ae4853b0cf4cbdd26815675faa52b 100644 --- a/circuit_board/Cadence/worklib/fmc_tlu_toplevel_c/physical/eco.txt +++ b/circuit_board/Cadence/worklib/fmc_tlu_toplevel_c/physical/eco.txt @@ -2,8 +2,2472 @@ | ECO REPORT | | Page 1 | |------------------------------------------------------------------------------| -| .../Cadence/worklib/fmc_tlu_toplevel_b/physical/fmc_tlu_v1a_66_gloss4.brd | -| Tue Feb 11 18:41:15 2014 | +| .../Cadence/worklib/fmc_tlu_toplevel_c/physical/fmc_tlu_v1a_66.brd | +| Fri May 20 14:54:08 2016 | +|------------------------------------------------------------------------------| +| NET CHANGES | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | +| net name | type of change | pin_id | x | y | to net | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | + BEAM_TRIGGER*<0> pins ADDED TO this existing net (pins not previously on any net) + IC2_4.19 + R11_4.2 + TP3_4.1 + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + BEAM_TRIGGER*<1> pins ADDED TO this existing net (pins not previously on any net) + IC2_3.19 + R11_3.2 + TP3_3.1 + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + BEAM_TRIGGER*<2> pins ADDED TO this existing net (pins not previously on any net) + IC2_2.19 + R11_2.2 + TP3_2.1 + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + BEAM_TRIGGER*<3> pins ADDED TO this existing net (pins not previously on any net) + IC2_1.19 + R11_1.2 + TP3_1.1 + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + BEAM_TRIGGER<0> pins ADDED TO this existing net (pins not previously on any net) + IC2_4.20 + R13_4.2 + TP2_4.1 + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + BEAM_TRIGGER<1> pins ADDED TO this existing net (pins not previously on any net) + IC2_3.20 + R13_3.2 + TP2_3.1 + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + BEAM_TRIGGER<2> pins ADDED TO this existing net (pins not previously on any net) + IC2_2.20 + R13_2.2 + TP2_2.1 + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + BEAM_TRIGGER<3> pins ADDED TO this existing net (pins not previously on any net) + IC2_1.20 + R13_1.2 + TP2_1.1 + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + BEAM_TRIGGER_CFD*<0> pins ADDED TO this existing net (pins not previously on any net) + IC2_4.2 + R12_4.2 + TP6_4.1 + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - +|------------------------------------------------------------------------------| +| ECO REPORT | +| Page 2 | +|------------------------------------------------------------------------------| +| .../Cadence/worklib/fmc_tlu_toplevel_c/physical/fmc_tlu_v1a_66.brd | +| Fri May 20 14:54:08 2016 | +|------------------------------------------------------------------------------| +| NET CHANGES | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | +| net name | type of change | pin_id | x | y | to net | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | + BEAM_TRIGGER_CFD*<1> pins ADDED TO this existing net (pins not previously on any net) + IC2_3.2 + R12_3.2 + TP6_3.1 + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + BEAM_TRIGGER_CFD*<2> pins MOVED FROM this net (to net name listed on right) + IC5.2 88.2700 25.1000 + P5V + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + pins ADDED TO this existing net (pins not previously on any net) + IC2_2.2 + R12_2.2 + TP6_2.1 + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + BEAM_TRIGGER_CFD*<3> pins ADDED TO this existing net (pins not previously on any net) + IC2_1.2 + R12_1.2 + TP6_1.1 + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + BEAM_TRIGGER_CFD<0> pins ADDED TO this existing net (pins not previously on any net) + IC2_4.1 + R14_4.2 + TP5_4.1 + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + BEAM_TRIGGER_CFD<1> pins ADDED TO this existing net (pins not previously on any net) + IC2_3.1 + R14_3.2 + TP5_3.1 + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + BEAM_TRIGGER_CFD<2> pins MOVED FROM this net (to net name listed on right) + IC5.1 87.0000 25.1000 + FMC_LA<5> + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + pins ADDED TO this existing net (pins not previously on any net) + IC2_2.1 + R14_2.2 + TP5_2.1 + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + BEAM_TRIGGER_CFD<3> pins MOVED FROM this net (to net name listed on right) + IC4.1 67.2500 23.9000 + GND_SIGNAL + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + pins ADDED TO this existing net (pins not previously on any net) + IC2_1.1 + R14_1.2 +|------------------------------------------------------------------------------| +| ECO REPORT | +| Page 3 | +|------------------------------------------------------------------------------| +| .../Cadence/worklib/fmc_tlu_toplevel_c/physical/fmc_tlu_v1a_66.brd | +| Fri May 20 14:54:08 2016 | +|------------------------------------------------------------------------------| +| NET CHANGES | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | +| net name | type of change | pin_id | x | y | to net | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | + TP5_1.1 + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + BUSY0 pins MOVED FROM this net (to net name listed on right) + J4.H22 103.395 1.1750 + FMC_LA<19> + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + BUSY1 pins MOVED FROM this net (to net name listed on right) + J4.C18 98.3150 -5.1750 + FMC_LA<14> + TP5.1 + BUSY + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + BUSY2 pins MOVED FROM this net (to net name listed on right) + J4.G15 94.5050 -0.0950 + FMC_LA<12> + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + BUSY pins ADDED TO this new net + D2.1 19.2829 55.2139 + J1.7 13.2476 58.0700 + L3.4 7.3000 23.4500 + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + BUSY* pins ADDED TO this new net + D2.6 19.2829 53.0139 + J1.9 12.2476 58.0700 + L3.1 6.5000 23.4500 + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + BUSY0* pins MOVED FROM this net (to net name listed on right) + J4.H23 104.665 1.1750 + FMC_LA*<19> + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + BUSY1* pins MOVED FROM this net (to net name listed on right) + J4.C19 99.5850 -5.1750 + FMC_LA*<14> + TP6.1 + BUSY* + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + BUSY2* pins MOVED FROM this net (to net name listed on right) + J4.G16 95.7750 -0.0950 + FMC_LA*<12> + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + BUSY_FROM_FPGA<0> pins ADDED TO this new net + IC1.28 38.2500 61.9650 + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + BUSY_TO_FPGA<0> pins ADDED TO this new net + IC1.29 37.7500 61.9650 +|------------------------------------------------------------------------------| +| ECO REPORT | +| Page 4 | +|------------------------------------------------------------------------------| +| .../Cadence/worklib/fmc_tlu_toplevel_c/physical/fmc_tlu_v1a_66.brd | +| Fri May 20 14:54:08 2016 | +|------------------------------------------------------------------------------| +| NET CHANGES | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | +| net name | type of change | pin_id | x | y | to net | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | + CLK1 pins MOVED FROM this net (to net name listed on right) + J4.C26 108.475 -5.1750 + FMC_LA<27> + TP1.1 + CLK + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + CLK2 pins MOVED FROM this net (to net name listed on right) + J4.H7 84.3450 1.1750 + FMC_LA<2>_1 + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + CLK pins ADDED TO this new net + D1.4 35.1600 53.0600 + J1.1 16.2476 58.0700 + L2.4 10.6000 23.5500 + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + CLK* pins ADDED TO this new net + D1.3 35.1600 55.2600 + J1.3 15.2476 58.0700 + L2.1 9.8000 23.5500 + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + CLK1* pins MOVED FROM this net (to net name listed on right) + J4.C27 109.745 -5.1750 + FMC_LA*<27> + TP2.1 + CLK* + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + CLK2* pins MOVED FROM this net (to net name listed on right) + J4.H8 85.6150 1.1750 + FMC_LA*<2>_1 + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + CLK_FROM_FPGA<0> pins ADDED TO this new net + IC1.32 36.2500 61.9650 + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + CLK_TO_DUT*<0> pins ADDED TO this new net + IC4.2 67.7500 23.9000 + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + CLK_TO_DUT<0> pins ADDED TO this new net + IC4.3 68.2500 23.9000 + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + CLK_TO_FPGA<0> pins ADDED TO this new net + IC1.33 35.7500 61.9650 + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + CONT0 pins MOVED FROM this net (to net name listed on right) + J4.G24 105.935 -0.0950 + FMC_LA<22> +|------------------------------------------------------------------------------| +| ECO REPORT | +| Page 5 | +|------------------------------------------------------------------------------| +| .../Cadence/worklib/fmc_tlu_toplevel_c/physical/fmc_tlu_v1a_66.brd | +| Fri May 20 14:54:08 2016 | +|------------------------------------------------------------------------------| +| NET CHANGES | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | +| net name | type of change | pin_id | x | y | to net | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | + CONT1 pins MOVED FROM this net (to net name listed on right) + J4.C22 103.395 -5.1750 + FMC_LA<18> + TP9.1 + CONT + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + CONT2 pins MOVED FROM this net (to net name listed on right) + J4.H13 91.9650 1.1750 + FMC_LA<7> + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + CONT pins ADDED TO this new net + D2.3 17.9829 55.2139 + J1.4 14.7476 58.0700 + L4.4 + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + CONT* pins ADDED TO this new net + D2.4 17.9829 53.0139 + J1.6 13.7476 58.0700 + L4.1 + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + CONT0* pins MOVED FROM this net (to net name listed on right) + J4.G25 107.205 -0.0950 + FMC_LA*<22> + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + CONT1* pins MOVED FROM this net (to net name listed on right) + J4.C23 104.665 -5.1750 + FMC_LA*<18> + TP10.1 + CONT* + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + CONT2* pins MOVED FROM this net (to net name listed on right) + J4.H14 93.2350 1.1750 + FMC_LA*<7> + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + CONT_TO_FPGA<0> pins ADDED TO this new net + IC1.26 39.2500 61.9650 + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + CTRIG1 pins MOVED FROM this net (to net name listed on right) + TP7.1 + TRIG + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + CTRIG1* pins MOVED FROM this net (to net name listed on right) + TP8.1 + TRIG* + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - +|------------------------------------------------------------------------------| +| ECO REPORT | +| Page 6 | +|------------------------------------------------------------------------------| +| .../Cadence/worklib/fmc_tlu_toplevel_c/physical/fmc_tlu_v1a_66.brd | +| Fri May 20 14:54:08 2016 | +|------------------------------------------------------------------------------| +| NET CHANGES | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | +| net name | type of change | pin_id | x | y | to net | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | + DUT_CLK0 pins MOVED FROM this net (to net name listed on right) + J4.H25 107.205 1.1750 + FMC_LA<21> + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + DUT_CLK0* pins MOVED FROM this net (to net name listed on right) + J4.H26 108.475 1.1750 + FMC_LA*<21> + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + ENABLE_BUSY_FROM_FPGA<0> pins ADDED TO this new net + IC1.8 37.7500 54.9650 + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + ENABLE_CLK_FROM_FPGA<0> pins ADDED TO this new net + IC1.5 36.2500 54.9650 + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + ENABLE_CLK_TO_DUT<0> pins ADDED TO this new net + IC4.8 67.2500 26.3000 + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + ENABLE_CONT_FROM_FPGA<0> pins ADDED TO this new net + IC1.12 39.7500 54.9650 + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + ENABLE_SPARE_FROM_FPGA<0> pins ADDED TO this new net + IC1.1 34.2500 54.9650 + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + ENABLE_TRIG_FROM_FPGA<0> pins ADDED TO this new net + IC2.1 17.3500 54.9570 + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + FMC_LA*<0> pins MOVED FROM this net (to net name listed on right) + J4.G7 84.3450 -0.0950 + CONT_TO_FPGA<0> + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + FMC_LA*<1> pins ADDED TO this existing net (pins not previously on any net) + IC1.36 34.2500 61.9650 + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + FMC_LA*<2>_1 pins ADDED TO this new net + IC2.36 17.3500 61.9570 + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + FMC_LA*<4> pins MOVED FROM this net (to net name listed on right) + J4.H11 89.4250 1.1750 + CLK_TO_FPGA<0> + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + FMC_LA<1> pins ADDED TO this existing net (pins not previously on any net) + IC1.35 34.7500 61.9650 + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + FMC_LA<29> pins ADDED TO this existing net (pins not previously on any net) + IC1.25 39.7500 61.9650 +|------------------------------------------------------------------------------| +| ECO REPORT | +| Page 7 | +|------------------------------------------------------------------------------| +| .../Cadence/worklib/fmc_tlu_toplevel_c/physical/fmc_tlu_v1a_66.brd | +| Fri May 20 14:54:08 2016 | +|------------------------------------------------------------------------------| +| NET CHANGES | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | +| net name | type of change | pin_id | x | y | to net | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | + FMC_LA<2>_1 pins ADDED TO this new net + IC2.35 17.8500 61.9570 + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + GND_HDMI1 pins MOVED FROM this net (to net name listed on right) + J1.20 18.9976 57.4500 + GND_HDMI + J1.21 4.4976 57.4500 + GND_HDMI + J1.22 18.9976 51.4800 + GND_HDMI + J1.23 4.4976 51.4800 + GND_HDMI + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + GND_SIGNAL pins MOVED FROM this net (to net name listed on right) + C8.1 4.3500 37.4000 + GND_HDMI + C9.1 -0.6000 35.1500 + GND_HDMI + C10.1 10.3000 33.5500 + P3V3 + C11.1 60.5500 33.5500 + UNNAMED_1_CAPCERSMDCL2_I88_A + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + pins ADDED TO this existing net (pins not previously on any net) + C10_1.1 + C10_2.1 + C10_3.1 + C10_4.1 + C10_6.1 + C11_6.1 + C12_6.1 + C1_6.1 + C2_1.1 + C2_2.1 + C2_3.1 + C2_4.1 + C3_1.1 + C3_2.1 + C3_3.1 + C3_4.1 + C3_6.1 + C4_1.1 + C4_2.1 + C4_3.1 + C4_4.1 +|------------------------------------------------------------------------------| +| ECO REPORT | +| Page 8 | +|------------------------------------------------------------------------------| +| .../Cadence/worklib/fmc_tlu_toplevel_c/physical/fmc_tlu_v1a_66.brd | +| Fri May 20 14:54:08 2016 | +|------------------------------------------------------------------------------| +| NET CHANGES | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | +| net name | type of change | pin_id | x | y | to net | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | + C4_6.1 + C5_1.1 + C5_2.1 + C5_3.1 + C5_4.1 + C5_6.1 + C6_1.1 + C6_2.1 + C6_3.1 + C6_4.1 + C6_6.1 + C7_1.1 + C7_2.1 + C7_3.1 + C7_4.1 + C7_6.1 + C8_1.1 + C8_2.1 + C8_3.1 + C8_4.1 + C8_6.1 + C9_1.1 + C9_2.1 + C9_3.1 + C9_4.1 + C9_6.1 + CN1.2 + CN1.4 + CN1.6 + CN1.8 + CN2.2 + IC1.19 40.5000 58.7150 + IC1.20 40.5000 59.2150 + IC1.21 40.5000 59.7150 + IC1.22 40.5000 60.2150 + IC1.39 33.5000 60.2150 + IC1.40 33.5000 59.7150 + IC1.41 33.5000 59.2150 + IC1.42 33.5000 58.7150 + IC2.39 16.6000 60.2070 + IC2.40 16.6000 59.7070 + IC2_1.4 + IC2_1.17 + IC2_2.4 + IC2_2.17 +|------------------------------------------------------------------------------| +| ECO REPORT | +| Page 9 | +|------------------------------------------------------------------------------| +| .../Cadence/worklib/fmc_tlu_toplevel_c/physical/fmc_tlu_v1a_66.brd | +| Fri May 20 14:54:08 2016 | +|------------------------------------------------------------------------------| +| NET CHANGES | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | +| net name | type of change | pin_id | x | y | to net | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | + IC2_3.4 + IC2_3.17 + IC2_4.4 + IC2_4.17 + R10_1.1 + R10_2.1 + R10_3.1 + R10_4.1 + R11_1.1 + R11_2.1 + R11_3.1 + R11_4.1 + R12_1.1 + R12_2.1 + R12_3.1 + R12_4.1 + R13_1.1 + R13_2.1 + R13_3.1 + R13_4.1 + R14_1.1 + R14_2.1 + R14_3.1 + R14_4.1 + R1_6.1 + R2_6.1 + R3_6.1 + R6_1.1 + R6_2.1 + R6_3.1 + R6_4.1 + R7_1.1 + R7_2.1 + R7_3.1 + R7_4.1 + R8_1.1 + R8_2.1 + R8_3.1 + R8_4.1 + R9_1.1 + R9_2.1 + R9_3.1 + R9_4.1 + REG1_6.3 + RG1_6.A6 +|------------------------------------------------------------------------------| +| ECO REPORT | +| Page 10 | +|------------------------------------------------------------------------------| +| .../Cadence/worklib/fmc_tlu_toplevel_c/physical/fmc_tlu_v1a_66.brd | +| Fri May 20 14:54:08 2016 | +|------------------------------------------------------------------------------| +| NET CHANGES | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | +| net name | type of change | pin_id | x | y | to net | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | + RG1_6.A7 + RG1_6.B6 + RG1_6.B7 + RG1_6.C6 + RG1_6.C7 + RG1_6.E1 + RG1_6.E2 + RG1_6.E3 + RG1_6.E4 + RG1_6.E5 + RG1_6.E6 + RG1_6.E7 + RG1_6.F4 + RG1_6.F5 + RG1_6.F6 + RG1_6.F7 + RG1_6.G4 + RG1_6.G5 + RG1_6.G6 + RG1_6.H4 + RG1_6.H7 + RG2_6.A1 + RG2_6.A2 + RG2_6.A3 + RG2_6.A4 + RG2_6.A5 + RG2_6.B1 + RG2_6.B2 + RG2_6.B3 + RG2_6.B4 + RG2_6.B5 + RG2_6.C1 + RG2_6.C2 + RG2_6.C3 + RG2_6.C4 + RG2_6.C5 + RG2_6.E1 + RG2_6.E2 + RG2_6.E3 + RG2_6.E4 + RG2_6.E5 + RG2_6.E6 + RG2_6.E7 + RG2_6.F4 + RG2_6.F5 +|------------------------------------------------------------------------------| +| ECO REPORT | +| Page 11 | +|------------------------------------------------------------------------------| +| .../Cadence/worklib/fmc_tlu_toplevel_c/physical/fmc_tlu_v1a_66.brd | +| Fri May 20 14:54:08 2016 | +|------------------------------------------------------------------------------| +| NET CHANGES | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | +| net name | type of change | pin_id | x | y | to net | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | + RG2_6.F6 + RG2_6.F7 + RG2_6.G4 + RG2_6.G5 + RG2_6.G6 + RG2_6.H4 + RG2_6.H7 + TP4_1.1 + TP4_2.1 + TP4_3.1 + TP4_4.1 + TP7_1.1 + TP7_2.1 + TP7_3.1 + TP7_4.1 + VR1_6.2 + VR1_6.4 + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + HDMI_CLK*<0> pins ADDED TO this new net + IC1.4 35.7500 54.9650 + IC4.7 67.7500 26.3000 + L2.2 9.8000 25.2500 + RN2.2 + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + HDMI_CLK<0> pins ADDED TO this new net + IC1.3 35.2500 54.9650 + IC4.6 68.2500 26.3000 + L2.3 10.6000 25.2500 + RN1.3 + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + HDMI_POWER pins ADDED TO this new net + C13.2 98.5500 31.9000 + IC5.4 90.8100 25.1000 + J1.14 9.7476 58.0700 + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + HDMI_POWER_ENABLE1 pins MOVED FROM this net (to net name listed on right) + IC2.2 17.8500 54.9570 + P3V3 + J4.H10 88.1550 1.1750 + CLK_FROM_FPGA<0> + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + HDMI_POWER_ENABLE2 pins MOVED FROM this net (to net name listed on right) + IC1.2 34.7500 54.9650 + P3V3 + J4.H20 100.855 1.1750 +|------------------------------------------------------------------------------| +| ECO REPORT | +| Page 12 | +|------------------------------------------------------------------------------| +| .../Cadence/worklib/fmc_tlu_toplevel_c/physical/fmc_tlu_v1a_66.brd | +| Fri May 20 14:54:08 2016 | +|------------------------------------------------------------------------------| +| NET CHANGES | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | +| net name | type of change | pin_id | x | y | to net | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | + FMC_LA*<15> + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + IN<0> pins ADDED TO this existing net (pins not previously on any net) + D1_4.3 + IC2_4.12 + R2_4.2 + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + IN<1> pins ADDED TO this existing net (pins not previously on any net) + D1_3.3 + IC2_3.12 + R2_3.2 + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + IN<2> pins MOVED FROM this net (to net name listed on right) + R12.2 82.2500 34.0000 + GND_SIGNAL + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + pins ADDED TO this existing net (pins not previously on any net) + D1_2.3 + IC2_2.12 + R2_2.2 + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + IN<3> pins MOVED FROM this net (to net name listed on right) + R11.2 63.2500 34.0000 + GND_SIGNAL + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + pins ADDED TO this existing net (pins not previously on any net) + D1_1.3 + IC2_1.12 + R2_1.2 + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + M5V pins MOVED FROM this net (to net name listed on right) + C2.1 65.7000 45.3500 + GND_SIGNAL + C3.1 84.7000 45.3500 + GND_SIGNAL + C4.1 103.700 45.3500 + GND_SIGNAL + C5.1 122.700 45.3500 + GND_SIGNAL + C7.2 14.0500 37.5000 + P3V3 + C15.2 60.3500 28.4000 + P3V3 + C16.2 79.3500 28.4000 + P3V3 +|------------------------------------------------------------------------------| +| ECO REPORT | +| Page 13 | +|------------------------------------------------------------------------------| +| .../Cadence/worklib/fmc_tlu_toplevel_c/physical/fmc_tlu_v1a_66.brd | +| Fri May 20 14:54:08 2016 | +|------------------------------------------------------------------------------| +| NET CHANGES | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | +| net name | type of change | pin_id | x | y | to net | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | + IC5.6 89.5400 30.9000 + GND_SIGNAL + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + pins ADDED TO this existing net (pins not previously on any net) + C11_6.2 + C1_1.1 + C1_2.1 + C1_3.1 + C1_4.1 + C6_1.2 + C6_2.2 + C6_3.2 + C6_4.2 + C9_1.2 + C9_2.2 + C9_3.2 + C9_4.2 + D1_1.1 + D1_2.1 + D1_3.1 + D1_4.1 + IC2_1.6 + IC2_1.15 + IC2_2.6 + IC2_2.15 + IC2_3.6 + IC2_3.15 + IC2_4.6 + IC2_4.15 + REG1_6.1 + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + M5V7_6 pins ADDED TO this existing net (pins not previously on any net) + C10_6.2 + L3_6.2 + REG1_6.2 + REG1_6.4 + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + P12V pins ADDED TO this existing net (pins not previously on any net) + C1_6.2 + L1_6.1 + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + P2V5 pins MOVED FROM this net (to net name listed on right) + C1.2 129.351 49.4930 + P3V3 + D1.5 35.8100 53.0600 +|------------------------------------------------------------------------------| +| ECO REPORT | +| Page 14 | +|------------------------------------------------------------------------------| +| .../Cadence/worklib/fmc_tlu_toplevel_c/physical/fmc_tlu_v1a_66.brd | +| Fri May 20 14:54:08 2016 | +|------------------------------------------------------------------------------| +| NET CHANGES | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | +| net name | type of change | pin_id | x | y | to net | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | + P3V3 + D2.5 18.6329 53.0139 + P3V3 + D3.5 129.971 46.6330 + P3V3 + IC4.5 68.7500 26.3000 + P3V3 + IC5.3 89.5400 25.1000 + GND_SIGNAL + Z4.C 132.500 37.2000 + P3V3 + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + pins ADDED TO this existing net (pins not previously on any net) + C3_1.2 + C3_2.2 + C3_3.2 + C3_4.2 + C5_1.2 + C5_2.2 + C5_3.2 + C5_4.2 + C8_1.2 + C8_2.2 + C8_3.2 + C8_4.2 + IC2_1.3 + IC2_1.5 + IC2_1.16 + IC2_1.18 + IC2_2.3 + IC2_2.5 + IC2_2.16 + IC2_2.18 + IC2_3.3 + IC2_3.5 + IC2_3.16 + IC2_3.18 + IC2_4.3 + IC2_4.5 + IC2_4.16 + IC2_4.18 + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + P3V3 pins ADDED TO this existing net (pins not previously on any net) + D4.5 61.6000 46.1000 + IC1.30 37.2500 61.9650 +|------------------------------------------------------------------------------| +| ECO REPORT | +| Page 15 | +|------------------------------------------------------------------------------| +| .../Cadence/worklib/fmc_tlu_toplevel_c/physical/fmc_tlu_v1a_66.brd | +| Fri May 20 14:54:08 2016 | +|------------------------------------------------------------------------------| +| NET CHANGES | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | +| net name | type of change | pin_id | x | y | to net | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | + IC2.30 20.3500 61.9570 + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + P5V pins MOVED FROM this net (to net name listed on right) + C2.2 65.7000 46.8500 + P3V3 + C3.2 84.7000 46.8500 + P3V3 + C4.2 103.700 46.8500 + P3V3 + C5.2 122.700 46.8500 + P3V3 + D4.2 64.2000 46.1000 + GND_SIGNAL + R1.2 20.7500 64.0690 + CLK_TO_DUT*<0> + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + pins ADDED TO this existing net (pins not previously on any net) + C10_1.2 + C10_2.2 + C10_3.2 + C10_4.2 + C12_6.2 + C1_1.2 + C1_2.2 + C1_3.2 + C1_4.2 + C4_1.2 + C4_2.2 + C4_3.2 + C4_4.2 + C7_1.2 + C7_2.2 + C7_3.2 + C7_4.2 + D1_1.2 + D1_2.2 + D1_3.2 + D1_4.2 + IC2_1.7 + IC2_1.14 + IC2_2.7 + IC2_2.14 + IC2_3.7 + IC2_3.14 + IC2_4.7 +|------------------------------------------------------------------------------| +| ECO REPORT | +| Page 16 | +|------------------------------------------------------------------------------| +| .../Cadence/worklib/fmc_tlu_toplevel_c/physical/fmc_tlu_v1a_66.brd | +| Fri May 20 14:54:08 2016 | +|------------------------------------------------------------------------------| +| NET CHANGES | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | +| net name | type of change | pin_id | x | y | to net | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | + IC2_4.14 + VR1_6.3 + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + P5V7_6 pins MOVED FROM this net (to net name listed on right) + C10.2 10.3000 35.0500 + GND_SIGNAL + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + pins ADDED TO this existing net (pins not previously on any net) + C9_6.2 + L2_6.2 + VR1_6.1 + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + SPARE1 pins MOVED FROM this net (to net name listed on right) + J4.G12 90.6950 -0.0950 + FMC_LA<8> + TP11.1 + SPARE + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + SPARE2 pins MOVED FROM this net (to net name listed on right) + J4.H16 95.7750 1.1750 + FMC_LA<11> + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + SPARE pins ADDED TO this new net + D1.6 36.4600 53.0600 + J1.10 11.7476 58.0700 + L1.4 -1.0000 30.8500 + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + SPARE* pins ADDED TO this new net + D1.1 36.4600 55.2600 + J1.12 10.7476 58.0700 + L1.1 -0.2000 30.8500 + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + SPARE1* pins MOVED FROM this net (to net name listed on right) + J4.G13 91.9650 -0.0950 + FMC_LA*<8> + TP12.1 + SPARE* + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + SPARE2* pins MOVED FROM this net (to net name listed on right) + J4.H17 97.0450 1.1750 + FMC_LA*<11> + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + TRIG0 pins MOVED FROM this net (to net name listed on right) + J4.G21 102.125 -0.0950 + FMC_LA<20> +|------------------------------------------------------------------------------| +| ECO REPORT | +| Page 17 | +|------------------------------------------------------------------------------| +| .../Cadence/worklib/fmc_tlu_toplevel_c/physical/fmc_tlu_v1a_66.brd | +| Fri May 20 14:54:08 2016 | +|------------------------------------------------------------------------------| +| NET CHANGES | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | +| net name | type of change | pin_id | x | y | to net | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | + TRIG1 pins MOVED FROM this net (to net name listed on right) + J4.G9 86.8850 -0.0950 + BUSY_FROM_FPGA<0> + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + TRIG2 pins MOVED FROM this net (to net name listed on right) + J4.G18 98.3150 -0.0950 + FMC_LA<16> + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + TRIG pins ADDED TO this new net + D4.1 64.2000 45.1500 + D4.6 61.6000 45.1500 + J1.15 9.2476 58.0700 + L5.4 + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + TRIG* pins ADDED TO this new net + D4.3 64.2000 47.0500 + D4.4 61.6000 47.0500 + J1.16 8.7476 58.0700 + L5.1 + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + TRIG0* pins MOVED FROM this net (to net name listed on right) + J4.G22 103.395 -0.0950 + FMC_LA*<20> + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + TRIG1* pins MOVED FROM this net (to net name listed on right) + J4.G10 88.1550 -0.0950 + BUSY_TO_FPGA<0> + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + TRIG2* pins MOVED FROM this net (to net name listed on right) + J4.G19 99.5850 -0.0950 + FMC_LA*<16> + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + UNNAMED_1_CAPCERSMDCL2_I78_B_1 pins MOVED FROM this net (to net name listed on right) + C11.2 60.5500 32.0500 + GND_SIGNAL + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + pins ADDED TO this existing net (pins not previously on any net) + C2_1.2 + IC2_1.11 + R5_1.2 + TP1_1.1 + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + UNNAMED_1_CAPCERSMDCL2_I78_B_2 pins MOVED FROM this net (to net name listed on right) + C12.2 79.5500 31.9000 + P5V +|------------------------------------------------------------------------------| +| ECO REPORT | +| Page 18 | +|------------------------------------------------------------------------------| +| .../Cadence/worklib/fmc_tlu_toplevel_c/physical/fmc_tlu_v1a_66.brd | +| Fri May 20 14:54:08 2016 | +|------------------------------------------------------------------------------| +| NET CHANGES | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | +| net name | type of change | pin_id | x | y | to net | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | + pins ADDED TO this existing net (pins not previously on any net) + C2_2.2 + IC2_2.11 + R5_2.2 + TP1_2.1 + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + UNNAMED_1_CAPCERSMDCL2_I78_B_3 pins ADDED TO this existing net (pins not previously on any net) + C2_3.2 + IC2_3.11 + R5_3.2 + TP1_3.1 + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + UNNAMED_1_CAPCERSMDCL2_I78_B_4 pins MOVED FROM this net (to net name listed on right) + C14.2 117.550 32.0500 + P3V3 + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + pins ADDED TO this existing net (pins not previously on any net) + C2_4.2 + IC2_4.11 + R5_4.2 + TP1_4.1 + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + UNNAMED_1_CAPCERSMDCL2_I81_A_6 pins ADDED TO this existing net (pins not previously on any net) + C2_6.1 + R1_6.2 + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + UNNAMED_1_CAPCERSMDCL2_I88_A pins ADDED TO this new net + IC5.5 92.0800 25.1000 + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + UNNAMED_1_CAPCERSMDCL2_I8_B pins ADDED TO this new net + C9.2 -0.6000 33.6500 + R12.1 83.7500 34.0000 + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + UNNAMED_1_CAPCERSMDCL2_I9_B pins ADDED TO this new net + C8.2 2.8500 37.4000 + R11.1 64.7500 34.0000 + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + UNNAMED_1_CAPN4I_I112_A pins ADDED TO this new net + CN2.1 + RN2.5 + RN3.6 + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + UNNAMED_1_CAPN4I_I124_A pins ADDED TO this new net + CN1.7 + RN1.8 +|------------------------------------------------------------------------------| +| ECO REPORT | +| Page 19 | +|------------------------------------------------------------------------------| +| .../Cadence/worklib/fmc_tlu_toplevel_c/physical/fmc_tlu_v1a_66.brd | +| Fri May 20 14:54:08 2016 | +|------------------------------------------------------------------------------| +| NET CHANGES | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | +| net name | type of change | pin_id | x | y | to net | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | + RN3.5 + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + UNNAMED_1_CAPN4I_I136_A pins ADDED TO this new net + CN1.5 + RN1.7 + RN2.8 + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + UNNAMED_1_CAPN4I_I148_A pins ADDED TO this new net + CN1.3 + RN1.6 + RN2.7 + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + UNNAMED_1_CAPN4I_I83_A pins ADDED TO this new net + CN1.1 + RN1.5 + RN2.6 + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + UNNAMED_1_COMMONMODELINEFILTER_ pins ADDED TO this new net + IC1.47 33.5000 56.2150 + L1.3 -1.0000 29.1500 + RN1.4 + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + UNNAMED_1_COMMONMODELINEFILTE_1 pins ADDED TO this new net + IC1.48 33.5000 55.7150 + L1.2 -0.2000 29.1500 + RN2.3 + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + UNNAMED_1_COMMONMODELINEFILTE_2 pins ADDED TO this new net + IC2.47 16.6000 56.2070 + L5.3 + RN2.4 + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + UNNAMED_1_COMMONMODELINEFILTE_3 pins ADDED TO this new net + IC2.48 16.6000 55.7070 + L5.2 + RN3.3 + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + UNNAMED_1_COMMONMODELINEFILTE_4 pins ADDED TO this new net + IC1.13 40.5000 55.7150 + L4.3 + RN1.1 + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + UNNAMED_1_COMMONMODELINEFILTE_5 pins ADDED TO this new net + IC1.14 40.5000 56.2150 + L4.2 +|------------------------------------------------------------------------------| +| ECO REPORT | +| Page 20 | +|------------------------------------------------------------------------------| +| .../Cadence/worklib/fmc_tlu_toplevel_c/physical/fmc_tlu_v1a_66.brd | +| Fri May 20 14:54:08 2016 | +|------------------------------------------------------------------------------| +| NET CHANGES | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | +| net name | type of change | pin_id | x | y | to net | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | + RN3.4 + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + UNNAMED_1_COMMONMODELINEFILTE_6 pins ADDED TO this new net + IC1.9 38.2500 54.9650 + L3.3 7.3000 25.1500 + RN1.2 + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + UNNAMED_1_COMMONMODELINEFILTE_7 pins ADDED TO this new net + IC1.10 38.7500 54.9650 + L3.2 6.5000 25.1500 + RN2.1 + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + UNNAMED_1_LTM8047_I70_ADJ_6 pins ADDED TO this existing net (pins not previously on any net) + R2_6.2 + RG1_6.G7 + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + UNNAMED_1_LTM8047_I82_ADJ_6 pins ADDED TO this existing net (pins not previously on any net) + R3_6.2 + RG2_6.G7 + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + UNNAMED_1_MAX9601_I1_HYS_1 pins ADDED TO this existing net (pins not previously on any net) + IC2_1.8 + R10_1.2 + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + UNNAMED_1_MAX9601_I1_HYS_2 pins ADDED TO this existing net (pins not previously on any net) + IC2_2.8 + R10_2.2 + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + UNNAMED_1_MAX9601_I1_HYS_3 pins ADDED TO this existing net (pins not previously on any net) + IC2_3.8 + R10_3.2 + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + UNNAMED_1_MAX9601_I1_HYS_4 pins ADDED TO this existing net (pins not previously on any net) + IC2_4.8 + R10_4.2 + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + UNNAMED_1_MAX9601_I1_IN_1 pins ADDED TO this existing net (pins not previously on any net) + IC2_1.10 + R3_1.2 + R7_1.2 + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + UNNAMED_1_MAX9601_I1_IN_2 pins ADDED TO this existing net (pins not previously on any net) + IC2_2.10 + R3_2.2 + R7_2.2 +|------------------------------------------------------------------------------| +| ECO REPORT | +| Page 21 | +|------------------------------------------------------------------------------| +| .../Cadence/worklib/fmc_tlu_toplevel_c/physical/fmc_tlu_v1a_66.brd | +| Fri May 20 14:54:08 2016 | +|------------------------------------------------------------------------------| +| NET CHANGES | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | +| net name | type of change | pin_id | x | y | to net | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | + UNNAMED_1_MAX9601_I1_IN_3 pins ADDED TO this existing net (pins not previously on any net) + IC2_3.10 + R3_3.2 + R7_3.2 + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + UNNAMED_1_MAX9601_I1_IN_4 pins ADDED TO this existing net (pins not previously on any net) + IC2_4.10 + R3_4.2 + R7_4.2 + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + UNNAMED_1_MAX9601_I1_IN_1_1 pins MOVED FROM this net (to net name listed on right) + IC4.9 68.0000 25.1000 + DAP + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + pins ADDED TO this existing net (pins not previously on any net) + IC2_1.9 + PX2_1.1 + R6_1.2 + R8_1.2 + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + UNNAMED_1_MAX9601_I1_IN_1_2 pins ADDED TO this existing net (pins not previously on any net) + IC2_2.9 + PX2_2.1 + R6_2.2 + R8_2.2 + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + UNNAMED_1_MAX9601_I1_IN_1_3 pins ADDED TO this existing net (pins not previously on any net) + IC2_3.9 + PX2_3.1 + R6_3.2 + R8_3.2 + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + UNNAMED_1_MAX9601_I1_IN_1_4 pins ADDED TO this existing net (pins not previously on any net) + IC2_4.9 + PX2_4.1 + R6_4.2 + R8_4.2 + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + UNNAMED_1_MAX9601_I71_HYS_1 pins ADDED TO this existing net (pins not previously on any net) + IC2_1.13 + R9_1.2 + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + UNNAMED_1_MAX9601_I71_HYS_2 pins ADDED TO this existing net (pins not previously on any net) + IC2_2.13 + R9_2.2 +|------------------------------------------------------------------------------| +| ECO REPORT | +| Page 22 | +|------------------------------------------------------------------------------| +| .../Cadence/worklib/fmc_tlu_toplevel_c/physical/fmc_tlu_v1a_66.brd | +| Fri May 20 14:54:08 2016 | +|------------------------------------------------------------------------------| +| NET CHANGES | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | +| net name | type of change | pin_id | x | y | to net | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | + UNNAMED_1_MAX9601_I71_HYS_3 pins ADDED TO this existing net (pins not previously on any net) + IC2_3.13 + R9_3.2 + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + UNNAMED_1_MAX9601_I71_HYS_4 pins ADDED TO this existing net (pins not previously on any net) + IC2_4.13 + R9_4.2 + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + UNNAMED_1_PCOAXSMD_I168_A_1 pins ADDED TO this existing net (pins not previously on any net) + PX1_1.1 + R2_1.1 + R3_1.1 + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + UNNAMED_1_PCOAXSMD_I168_A_2 pins ADDED TO this existing net (pins not previously on any net) + PX1_2.1 + R2_2.1 + R3_2.1 + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + UNNAMED_1_PCOAXSMD_I168_A_3 pins ADDED TO this existing net (pins not previously on any net) + PX1_3.1 + R2_3.1 + R3_3.1 + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + UNNAMED_1_PCOAXSMD_I168_A_4 pins ADDED TO this existing net (pins not previously on any net) + PX1_4.1 + R2_4.1 + R3_4.1 + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + UNNAMED_4_74LVC1G07_I28_Y pins MOVED FROM this net (to net name listed on right) + R1.1 19.2500 64.0690 + CLK_TO_DUT<0> + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + VIN_FILTERED_6 pins ADDED TO this existing net (pins not previously on any net) + C2_6.2 + C3_6.2 + C4_6.2 + C5_6.2 + C6_6.2 + L1_6.2 + RG1_6.F3 + RG1_6.G1 + RG1_6.G2 + RG1_6.H1 + RG1_6.H2 + RG1_6.H5 +|------------------------------------------------------------------------------| +| ECO REPORT | +| Page 23 | +|------------------------------------------------------------------------------| +| .../Cadence/worklib/fmc_tlu_toplevel_c/physical/fmc_tlu_v1a_66.brd | +| Fri May 20 14:54:08 2016 | +|------------------------------------------------------------------------------| +| NET CHANGES | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | +| net name | type of change | pin_id | x | y | to net | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | + RG2_6.F3 + RG2_6.G1 + RG2_6.G2 + RG2_6.H1 + RG2_6.H2 + RG2_6.H5 + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + VM2<0>_6 pins ADDED TO this existing net (pins not previously on any net) + C8_6.2 + L3_6.1 + RG1_6.A1 + RG1_6.A2 + RG1_6.A3 + RG1_6.A4 + RG1_6.A5 + RG1_6.B1 + RG1_6.B2 + RG1_6.B3 + RG1_6.B4 + RG1_6.B5 + RG1_6.C1 + RG1_6.C2 + RG1_6.C3 + RG1_6.C4 + RG1_6.C5 + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + VP1<0>_6 pins ADDED TO this existing net (pins not previously on any net) + C7_6.2 + L2_6.1 + RG2_6.A6 + RG2_6.A7 + RG2_6.B6 + RG2_6.B7 + RG2_6.C6 + RG2_6.C7 + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + VTHRESH<0> pins ADDED TO this existing net (pins not previously on any net) + R5_4.1 + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + VTHRESH<1> pins ADDED TO this existing net (pins not previously on any net) + R5_3.1 + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + VTHRESH<2> pins ADDED TO this existing net (pins not previously on any net) + R5_2.1 + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - +|------------------------------------------------------------------------------| +| ECO REPORT | +| Page 24 | +|------------------------------------------------------------------------------| +| .../Cadence/worklib/fmc_tlu_toplevel_c/physical/fmc_tlu_v1a_66.brd | +| Fri May 20 14:54:08 2016 | +|------------------------------------------------------------------------------| +| NET CHANGES | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | +| net name | type of change | pin_id | x | y | to net | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | + VTHRESH<3> pins ADDED TO this existing net (pins not previously on any net) + R5_1.1 +|------------------------------------------------------------------------------| +| ECO REPORT | +| Page 25 | +|------------------------------------------------------------------------------| +| .../Cadence/worklib/fmc_tlu_toplevel_c/physical/fmc_tlu_v1a_66.brd | +| Fri May 20 14:54:08 2016 | +|------------------------------------------------------------------------------| +| NET PROPERTIES added to design | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | +| net name | property | value | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | + BUSY_FROM_FPGA<0> BUS_NAME FMC_LA + BUSY_FROM_FPGA<0> BUS_NAME FMC_LA + BUSY_TO_FPGA<0> BUS_NAME FMC_LA* + BUSY_TO_FPGA<0> BUS_NAME FMC_LA* + CLK_FROM_FPGA<0> BUS_NAME FMC_LA + CLK_FROM_FPGA<0> BUS_NAME FMC_LA + CLK_TO_FPGA<0> BUS_NAME FMC_LA* + CLK_TO_FPGA<0> BUS_NAME FMC_LA* + CONT_TO_FPGA<0> BUS_NAME FMC_LA* + CONT_TO_FPGA<0> BUS_NAME FMC_LA* +|------------------------------------------------------------------------------| +| ECO REPORT | +| Page 26 | +|------------------------------------------------------------------------------| +| .../Cadence/worklib/fmc_tlu_toplevel_c/physical/fmc_tlu_v1a_66.brd | +| Fri May 20 14:54:08 2016 | +|------------------------------------------------------------------------------| +| COMPONENT DEFINITION added to design | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | +| device type | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | + 24AA025E48T-I/SN + CAPN4I-1UF,16V,X5R,GNM21 + COMMON_MODE_LINE_FILTER_4312-7A + CON19P-HDMI-19-01-X-SM-GND=GNDA + DS92001TLD-GND=GND_SIGNAL,VCC=A + FERRITE_SMD-7427921,WURTH + FERRITE_SMD-BLM41P800S,MURATA + PLEMO2CI-EPG.00.302.NLN-GND=GNA + PRTR5V0U4Y + RES_ARRAY_X4_1206_TC164-47,1% + SN65MLVD040RGZ-GND=GND_SIGNAL,A + TPS78633DCQ + ZENER_SOD123-CA-BZT52-C3V6 +|------------------------------------------------------------------------------| +| ECO REPORT | +| Page 27 | +|------------------------------------------------------------------------------| +| .../Cadence/worklib/fmc_tlu_toplevel_c/physical/fmc_tlu_v1a_66.brd | +| Fri May 20 14:54:08 2016 | +|------------------------------------------------------------------------------| +| COMPONENTS DELETED from design | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | +| ref des | device type | x | y | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | + C17 CAPCERSMDCL2_0603-1.0UF,6.3V 97.6000 28.4000 + C18 CAPCERSMDCL2_0603-1.0UF,6.3V 116.600 28.4000 + C19 CAPCERSMDCL2_0603-100NF,16V 59.3000 26.7000 + C20 CAPCERSMDCL2_0603-100NF,16V 78.3000 26.7000 + C21 CAPCERSMDCL2_0603-100NF,16V 97.3000 26.7000 + C22 CAPCERSMDCL2_0603-100NF,16V 116.300 26.7000 + C23 CAPCERSMDCL2_0805-4.7UF,10V 13.8000 26.3000 + C24 CAPCERSMDCL2_0603-100NF,16V 71.5000 26.3000 + C25 CAPCERSMDCL2_0603-100NF,16V 90.5000 26.3000 + C26 CAPCERSMDCL2_0603-100NF,16V 109.500 26.3000 + C27 CAPCERSMDCL2_0603-100NF,16V 128.500 26.3000 + C28 CAPCERSMDCL2_1210-4.7UF,50V 3.1000 24.2000 + C30 CAPCERSMDCL2_0603-1.0UF,6.3V 72.6500 22.7000 + C31 CAPCERSMDCL2_0603-1.0UF,6.3V 91.6500 22.7000 + C32 CAPCERSMDCL2_0603-1.0UF,6.3V 110.650 22.7000 + C33 CAPCERSMDCL2_0603-1.0UF,6.3V 129.650 22.7000 + C34 CAPCERSMDCL2_1210-4.7UF,50V -0.6000 19.1000 + C35 CAPCERSMDCL2_1210-22UF,16V 18.7000 18.9000 + C36 CAPCERSMDCL2_0603-1.0UF,6.3V 74.0500 18.4000 + C37 CAPCERSMDCL2_0603-1.0UF,6.3V 93.0500 18.4000 + C38 CAPCERSMDCL2_0603-1.0UF,6.3V 112.050 18.4000 + C39 CAPCERSMDCL2_0603-1.0UF,6.3V 131.050 18.4000 + C41 CAPCERSMDCL2_1210-4.7UF,50V -0.4000 11.9000 + C43 CAPCERSMDCL2_1210-4.7UF,50V 9.2000 6.5000 + C44 CAPCERSMDCL2_1210-4.7UF,50V 15.2000 6.5000 + C45 CAPCERSMDCL2_1210-22UF,16V 2.4000 -8.4000 + C46 CAPCERSMDCL2_0603-100NF,16V 31.6000 63.3430 + C47 CAPCERSMDCL2_0603-100NF,16V 25.8000 63.3430 + C48 CAPCERSMDCL2_0603-100NF,16V 14.4000 63.3430 + C49 CAPCERSMDCL2_0603-100NF,16V 9.0000 63.3430 + C50 CAPCERSMDCL2_0603-100NF,16V 20.9000 59.5090 + C51 CAPCERSMDCL2_0603-100NF,16V 37.4000 59.5040 + C52 CAPCERSMDCL2_0603-100NF,16V 40.5000 54.7240 + C53 CAPCERSMDCL2_0603-100NF,16V 53.9000 54.5000 + C54 CAPCERSMDCL2_0603-100NF,16V 26.4600 53.2400 + C55 CAPCERSMDCL2_0603-100NF,16V 10.3100 53.0200 + C56 CAPCERSMDCL2_0603-100NF,16V 47.8100 43.2700 + C57 CAPCERSMDCL2_0603-100NF,16V 124.900 30.2000 + C58 CAPCERSMDCL2_0603-100NF,16V 119.250 30.2000 + C59 CAPCERSMDCL2_0603-100NF,16V 105.900 30.2000 + C60 CAPCERSMDCL2_0603-100NF,16V 100.250 30.2000 + C61 CAPCERSMDCL2_0603-100NF,16V 86.9000 30.2000 + C62 CAPCERSMDCL2_0603-100NF,16V 81.2500 30.2000 + C63 CAPCERSMDCL2_0603-100NF,16V 67.9000 30.2000 + C64 CAPCERSMDCL2_0603-100NF,16V 62.2500 30.2000 +|------------------------------------------------------------------------------| +| ECO REPORT | +| Page 28 | +|------------------------------------------------------------------------------| +| .../Cadence/worklib/fmc_tlu_toplevel_c/physical/fmc_tlu_v1a_66.brd | +| Fri May 20 14:54:08 2016 | +|------------------------------------------------------------------------------| +| COMPONENTS DELETED from design | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | +| ref des | device type | x | y | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | + C66 CAPCERSMDCL2_0603-100NF,16V 124.900 26.9000 + C67 CAPCERSMDCL2_0603-100NF,16V 105.900 26.9000 + C68 CAPCERSMDCL2_0603-100NF,16V 86.9000 26.9000 + C69 CAPCERSMDCL2_0603-100NF,16V 67.9000 26.9000 + D5 HBAT-540C 81.9000 46.1000 + D6 HBAT-540C 100.900 46.1000 + D7 HBAT-540C 119.900 46.1000 + IC6 MAX9601_TSSOP 106.000 25.1000 + IC7 MAX9601_TSSOP 125.000 25.1000 + J2 CON19P-MHDMI-19-02-H-TH-L-TR-GB 28.7476 58.0700 + J3 CON8P-44661-1011-GND=FRAME 47.2476 57.7600 + PX6 PCOAXSMD-UFL_R_SMT-GND=GND_SIGA 59.7000 41.2000 + PX7 PCOAXSMD-UFL_R_SMT-GND=GND_SIGA 78.7000 41.2000 + PX8 PCOAXSMD-UFL_R_SMT-GND=GND_SIGA 97.7000 41.2000 + PX9 PCOAXSMD-UFL_R_SMT-GND=GND_SIGA 116.700 41.2000 + PX10 PCOAXSMD-UFL_R_SMT-GND=GND_SIGA 71.2000 41.2000 + PX11 PCOAXSMD-UFL_R_SMT-GND=GND_SIGA 90.2000 41.2000 + PX12 PCOAXSMD-UFL_R_SMT-GND=GND_SIGA 109.200 41.2000 + PX13 PCOAXSMD-UFL_R_SMT-GND=GND_SIGA 128.200 41.2000 + R2 RSMD0603_1/10W-1K,1% 36.8000 64.0670 + R7 RSMD0603_1/10W-100,1% 58.4000 35.0000 + R8 RSMD0603_1/10W-100,1% 77.4000 35.0000 + R9 RSMD0603_1/10W-100,1% 96.4000 35.0000 + R10 RSMD0603_1/10W-100,1% 115.400 35.0000 + R13 RSMD0805_125MW-12,1% 102.000 34.0000 + R14 RSMD0805_125MW-12,1% 121.000 34.0000 + R15 RSMD0603_1/10W-100,1% 67.3000 33.8000 + R16 RSMD0603_1/10W-100,1% 86.3000 33.8000 + R17 RSMD0603_1/10W-100,1% 105.300 33.8000 + R18 RSMD0603_1/10W-100,1% 124.300 33.8000 + R19 RSMD0603_1/10W-51,1% 65.8500 32.2000 + R20 RSMD0603_1/10W-51,1% 84.8500 32.2000 + R21 RSMD0603_1/10W-51,1% 103.850 32.2000 + R22 RSMD0603_1/10W-51,1% 122.850 32.2000 + R23 RSMD0805_125MW-100,1% 71.5000 30.3000 + R24 RSMD0805_125MW-100,1% 90.5000 30.3000 + R25 RSMD0805_125MW-100,1% 109.500 30.3000 + R26 RSMD0805_125MW-100,1% 128.500 30.3000 + R27 RSMD0603_1/10W-XX,1% 59.3000 29.9000 + R28 RSMD0603_1/10W-XX,1% 78.3000 29.9000 + R29 RSMD0603_1/10W-XX,1% 97.3000 29.9000 + R30 RSMD0603_1/10W-XX,1% 116.300 29.9000 + R31 RSMD0805_125MW-100,1% 71.5000 28.4000 + R32 RSMD0805_125MW-100,1% 90.5000 28.4000 + R33 RSMD0805_125MW-100,1% 109.500 28.4000 +|------------------------------------------------------------------------------| +| ECO REPORT | +| Page 29 | +|------------------------------------------------------------------------------| +| .../Cadence/worklib/fmc_tlu_toplevel_c/physical/fmc_tlu_v1a_66.brd | +| Fri May 20 14:54:08 2016 | +|------------------------------------------------------------------------------| +| COMPONENTS DELETED from design | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | +| ref des | device type | x | y | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | + R34 RSMD0805_125MW-100,1% 128.500 28.4000 + R35 RSMD0603_1/10W-3.3,1% 1.7000 28.3000 + R36 RSMD0603_1/10W-XX,1% 73.8500 25.9000 + R37 RSMD0603_1/10W-XX,1% 92.8500 25.9000 + R38 RSMD0603_1/10W-XX,1% 111.850 25.9000 + R39 RSMD0603_1/10W-XX,1% 130.850 25.9000 + R42 RSMD0603_1/10W-75,1% 58.6000 25.1000 + R43 RSMD0603_1/10W-75,1% 77.6000 25.1000 + R44 RSMD0603_1/10W-75,1% 96.6000 25.1000 + R45 RSMD0603_1/10W-75,1% 115.600 25.1000 + R48 RSMD0603_1/10W-75,1% 59.4000 23.3000 + R49 RSMD0603_1/10W-75,1% 78.4000 23.3000 + R50 RSMD0603_1/10W-75,1% 97.4000 23.3000 + R51 RSMD0603_1/10W-75,1% 116.400 23.3000 + R52 RSMD0603_1/10W-75,1% 69.4000 20.5000 + R53 RSMD0603_1/10W-75,1% 88.4000 20.5000 + R54 RSMD0603_1/10W-75,1% 107.400 20.5000 + R55 RSMD0603_1/10W-75,1% 126.400 20.5000 + R56 RSMD0603_1/10W-75,1% 81.5000 20.3000 + R57 RSMD0603_1/10W-75,1% 100.500 20.3000 + R58 RSMD0603_1/10W-75,1% 119.500 20.3000 + R59 RSMD0603_1/10W-75,1% 63.7000 18.4500 + R66 RSMD0603_1/10W-51,1% 14.4000 61.8200 + R67 RSMD0603_1/10W-51,1% 9.0000 61.8200 + R68 RSMD0603_1/10W-51,1% 25.8000 61.7930 + R69 RSMD0603_1/10W-51,1% 31.6000 61.7650 + R70 RSMD0603_1/10W-51,1% 40.5000 52.9450 + R71 RSMD0603_1/10W-51,1% 54.6000 52.3000 + R72 RSMD0603_1/10W-6.19K,1% 8.1000 9.8500 + R73 RSMD0603_1/10W-6.19K,1% 7.2000 2.2000 + REG1 LT1175_SOT_223 14.7000 32.2000 + RG1 LTM8047EY#PBF 9.1000 14.7000 + RG2 LTM8047EY#PBF 11.9000 -2.8000 + T1 TRANS MOSFET_GSD-FDV301N,SOT23 20.1000 61.7430 + T2 TRANS MOSFET_GSD-FDV301N,SOT23 37.0000 61.7370 + TP14 TP_HOLE-0.8MM 58.4000 32.1000 + TP15 TP_HOLE-0.8MM 77.4000 32.1000 + TP16 TP_HOLE-0.8MM 96.4000 32.1000 + TP17 TP_HOLE-0.8MM 115.400 32.1000 + TP18 TP_HOLE-0.8MM 61.6000 23.4000 + TP19 TP_HOLE-0.8MM 80.6000 23.4000 + TP20 TP_HOLE-0.8MM 99.6000 23.4000 + TP21 TP_HOLE-0.8MM 118.600 23.4000 + TP23 TP_HOLE-0.8MM 57.1000 23.2000 + TP24 TP_HOLE-0.8MM 76.1000 23.2000 +|------------------------------------------------------------------------------| +| ECO REPORT | +| Page 30 | +|------------------------------------------------------------------------------| +| .../Cadence/worklib/fmc_tlu_toplevel_c/physical/fmc_tlu_v1a_66.brd | +| Fri May 20 14:54:08 2016 | +|------------------------------------------------------------------------------| +| COMPONENTS DELETED from design | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | +| ref des | device type | x | y | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | + TP25 TP_HOLE-0.8MM 95.1000 23.2000 + TP26 TP_HOLE-0.8MM 114.100 23.2000 + TP27 TP_HOLE-0.8MM 60.7500 21.4500 + TP28 TP_HOLE-0.8MM 64.5500 20.5000 + TP29 TP_HOLE-0.8MM 67.0500 20.5000 + TP30 TP_HOLE-0.8MM 83.5500 20.5000 + TP31 TP_HOLE-0.8MM 86.0500 20.5000 + TP32 TP_HOLE-0.8MM 102.550 20.5000 + TP33 TP_HOLE-0.8MM 105.050 20.5000 + TP34 TP_HOLE-0.8MM 121.550 20.5000 + TP35 TP_HOLE-0.8MM 124.050 20.5000 + TP36 TP_HOLE-0.8MM 76.8000 20.0000 + TP37 TP_HOLE-0.8MM 95.8000 20.0000 + TP38 TP_HOLE-0.8MM 114.800 20.0000 + TP39 TP_HOLE-0.8MM 65.8500 17.9000 + TP40 TP_HOLE-0.8MM 84.8500 17.9000 + TP41 TP_HOLE-0.8MM 103.850 17.9000 + TP42 TP_HOLE-0.8MM 122.850 17.9000 + U1 PRTR5V0U8S_TSSOP-NXP-GND=GND_SA 26.5000 54.3000 + U2 PRTR5V0U8S_TSSOP-NXP-GND=GND_SA 10.2900 54.1100 + U3 PRTR5V0U8S_TSSOP-NXP-GND=GND_SA 47.7000 44.2000 + VR1 LT1129CST-5_SOT223-LINEAR 5.9000 31.6000 + Z1 ZENER_SOD123-CA-BZT52C2V7 29.2000 50.4500 + Z2 ZENER_SOD123-CA-BZT52C2V7 8.5500 47.3000 + Z3 ZENER_SOD123-CA-BZT52C2V7 39.4500 43.5500 +|------------------------------------------------------------------------------| +| ECO REPORT | +| Page 31 | +|------------------------------------------------------------------------------| +| .../Cadence/worklib/fmc_tlu_toplevel_c/physical/fmc_tlu_v1a_66.brd | +| Fri May 20 14:54:08 2016 | +|------------------------------------------------------------------------------| +| COMPONENTS ADDED to design | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | +| ref des | device type | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | + C10_1 CAPCERSMDCL2_0603-100NF,16V + C10_2 CAPCERSMDCL2_0603-100NF,16V + C10_3 CAPCERSMDCL2_0603-100NF,16V + C10_4 CAPCERSMDCL2_0603-100NF,16V + C10_6 CAPCERSMDCL2_0805-4.7UF,10V + C11_6 CAPCERSMDCL2_0805-4.7UF,10V + C12_6 CAPCERSMDCL2_0805-4.7UF,10V + C1_1 CAPCERSMDCL2_0603-100NF,16V + C1_2 CAPCERSMDCL2_0603-100NF,16V + C1_3 CAPCERSMDCL2_0603-100NF,16V + C1_4 CAPCERSMDCL2_0603-100NF,16V + C1_6 CAPCERSMDCL2_1210-4.7UF,50V + C2_1 CAPCERSMDCL2_0603-10NF,50V + C2_2 CAPCERSMDCL2_0603-10NF,50V + C2_3 CAPCERSMDCL2_0603-10NF,50V + C2_4 CAPCERSMDCL2_0603-10NF,50V + C2_6 CAPCERSMDCL2_1210-4.7UF,50V + C3_1 CAPCERSMDCL2_0603-1.0UF,6.3V + C3_2 CAPCERSMDCL2_0603-1.0UF,6.3V + C3_3 CAPCERSMDCL2_0603-1.0UF,6.3V + C3_4 CAPCERSMDCL2_0603-1.0UF,6.3V + C3_6 CAPCERSMDCL2_1210-4.7UF,50V + C4_1 CAPCERSMDCL2_0603-1.0UF,6.3V + C4_2 CAPCERSMDCL2_0603-1.0UF,6.3V + C4_3 CAPCERSMDCL2_0603-1.0UF,6.3V + C4_4 CAPCERSMDCL2_0603-1.0UF,6.3V + C4_6 CAPCERSMDCL2_1210-4.7UF,50V + C5_1 CAPCERSMDCL2_0603-100NF,16V + C5_2 CAPCERSMDCL2_0603-100NF,16V + C5_3 CAPCERSMDCL2_0603-100NF,16V + C5_4 CAPCERSMDCL2_0603-100NF,16V + C5_6 CAPCERSMDCL2_1210-4.7UF,50V + C6_1 CAPCERSMDCL2_0603-1.0UF,6.3V + C6_2 CAPCERSMDCL2_0603-1.0UF,6.3V + C6_3 CAPCERSMDCL2_0603-1.0UF,6.3V + C6_4 CAPCERSMDCL2_0603-1.0UF,6.3V + C6_6 CAPCERSMDCL2_1210-4.7UF,50V + C7_1 CAPCERSMDCL2_0603-100NF,16V + C7_2 CAPCERSMDCL2_0603-100NF,16V + C7_3 CAPCERSMDCL2_0603-100NF,16V + C7_4 CAPCERSMDCL2_0603-100NF,16V + C7_6 CAPCERSMDCL2_1210-22UF,16V + C8_1 CAPCERSMDCL2_0603-100NF,16V + C8_2 CAPCERSMDCL2_0603-100NF,16V + C8_3 CAPCERSMDCL2_0603-100NF,16V +|------------------------------------------------------------------------------| +| ECO REPORT | +| Page 32 | +|------------------------------------------------------------------------------| +| .../Cadence/worklib/fmc_tlu_toplevel_c/physical/fmc_tlu_v1a_66.brd | +| Fri May 20 14:54:08 2016 | +|------------------------------------------------------------------------------| +| COMPONENTS ADDED to design | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | +| ref des | device type | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | + C8_4 CAPCERSMDCL2_0603-100NF,16V + C8_6 CAPCERSMDCL2_1210-22UF,16V + C9_1 CAPCERSMDCL2_0603-100NF,16V + C9_2 CAPCERSMDCL2_0603-100NF,16V + C9_3 CAPCERSMDCL2_0603-100NF,16V + C9_4 CAPCERSMDCL2_0603-100NF,16V + C9_6 CAPCERSMDCL2_0805-4.7UF,10V + CN1 CAPN4I-1UF,16V,X5R,GNM21 + CN2 CAPN4I-1UF,16V,X5R,GNM21 + D1_1 HBAT-540C + D1_2 HBAT-540C + D1_3 HBAT-540C + D1_4 HBAT-540C + IC2_1 MAX9601_TSSOP + IC2_2 MAX9601_TSSOP + IC2_3 MAX9601_TSSOP + IC2_4 MAX9601_TSSOP + L4 COMMON_MODE_LINE_FILTER_4312-7A + L5 COMMON_MODE_LINE_FILTER_4312-7A + L1_6 FERRITE_SMD-BLM41P800S,MURATA + L2_6 FERRITE_SMD-7427921,WURTH + L3_6 FERRITE_SMD-7427921,WURTH + PX1_1 PCOAXSMD-UFL_R_SMT-GND=GND_SIGA + PX1_2 PCOAXSMD-UFL_R_SMT-GND=GND_SIGA + PX1_3 PCOAXSMD-UFL_R_SMT-GND=GND_SIGA + PX1_4 PCOAXSMD-UFL_R_SMT-GND=GND_SIGA + PX2_1 PCOAXSMD-UFL_R_SMT-GND=GND_SIGA + PX2_2 PCOAXSMD-UFL_R_SMT-GND=GND_SIGA + PX2_3 PCOAXSMD-UFL_R_SMT-GND=GND_SIGA + PX2_4 PCOAXSMD-UFL_R_SMT-GND=GND_SIGA + R10_1 RSMD0603_1/10W-XX,1% + R10_2 RSMD0603_1/10W-XX,1% + R10_3 RSMD0603_1/10W-XX,1% + R10_4 RSMD0603_1/10W-XX,1% + R11_1 RSMD0603_1/10W-75,1% + R11_2 RSMD0603_1/10W-75,1% + R11_3 RSMD0603_1/10W-75,1% + R11_4 RSMD0603_1/10W-75,1% + R12_1 RSMD0603_1/10W-75,1% + R12_2 RSMD0603_1/10W-75,1% + R12_3 RSMD0603_1/10W-75,1% + R12_4 RSMD0603_1/10W-75,1% + R13_1 RSMD0603_1/10W-75,1% + R13_2 RSMD0603_1/10W-75,1% + R13_3 RSMD0603_1/10W-75,1% +|------------------------------------------------------------------------------| +| ECO REPORT | +| Page 33 | +|------------------------------------------------------------------------------| +| .../Cadence/worklib/fmc_tlu_toplevel_c/physical/fmc_tlu_v1a_66.brd | +| Fri May 20 14:54:08 2016 | +|------------------------------------------------------------------------------| +| COMPONENTS ADDED to design | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | +| ref des | device type | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | + R13_4 RSMD0603_1/10W-75,1% + R14_1 RSMD0603_1/10W-75,1% + R14_2 RSMD0603_1/10W-75,1% + R14_3 RSMD0603_1/10W-75,1% + R14_4 RSMD0603_1/10W-75,1% + R1_6 RSMD0603_1/10W-3.3,1% + R2_1 RSMD0805_125MW-12,1% + R2_2 RSMD0805_125MW-12,1% + R2_3 RSMD0805_125MW-12,1% + R2_4 RSMD0805_125MW-12,1% + R2_6 RSMD0603_1/10W-6.19K,1% + R3_1 RSMD0603_1/10W-51,1% + R3_2 RSMD0603_1/10W-51,1% + R3_3 RSMD0603_1/10W-51,1% + R3_4 RSMD0603_1/10W-51,1% + R3_6 RSMD0603_1/10W-6.19K,1% + R5_1 RSMD0603_1/10W-100,1% + R5_2 RSMD0603_1/10W-100,1% + R5_3 RSMD0603_1/10W-100,1% + R5_4 RSMD0603_1/10W-100,1% + R6_1 RSMD0805_125MW-100,1% + R6_2 RSMD0805_125MW-100,1% + R6_3 RSMD0805_125MW-100,1% + R6_4 RSMD0805_125MW-100,1% + R7_1 RSMD0603_1/10W-100,1% + R7_2 RSMD0603_1/10W-100,1% + R7_3 RSMD0603_1/10W-100,1% + R7_4 RSMD0603_1/10W-100,1% + R8_1 RSMD0805_125MW-100,1% + R8_2 RSMD0805_125MW-100,1% + R8_3 RSMD0805_125MW-100,1% + R8_4 RSMD0805_125MW-100,1% + R9_1 RSMD0603_1/10W-XX,1% + R9_2 RSMD0603_1/10W-XX,1% + R9_3 RSMD0603_1/10W-XX,1% + R9_4 RSMD0603_1/10W-XX,1% + REG1_6 LT1175_SOT_223 + RG1_6 LTM8047EY#PBF + RG2_6 LTM8047EY#PBF + RN1 RES_ARRAY_X4_1206_TC164-47,1% + RN2 RES_ARRAY_X4_1206_TC164-47,1% + RN3 RES_ARRAY_X4_1206_TC164-47,1% + TP1_1 TP_HOLE-0.8MM + TP1_2 TP_HOLE-0.8MM + TP1_3 TP_HOLE-0.8MM +|------------------------------------------------------------------------------| +| ECO REPORT | +| Page 34 | +|------------------------------------------------------------------------------| +| .../Cadence/worklib/fmc_tlu_toplevel_c/physical/fmc_tlu_v1a_66.brd | +| Fri May 20 14:54:08 2016 | +|------------------------------------------------------------------------------| +| COMPONENTS ADDED to design | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | +| ref des | device type | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | + TP1_4 TP_HOLE-0.8MM + TP2_1 TP_HOLE-0.8MM + TP2_2 TP_HOLE-0.8MM + TP2_3 TP_HOLE-0.8MM + TP2_4 TP_HOLE-0.8MM + TP3_1 TP_HOLE-0.8MM + TP3_2 TP_HOLE-0.8MM + TP3_3 TP_HOLE-0.8MM + TP3_4 TP_HOLE-0.8MM + TP4_1 TP_HOLE-0.8MM + TP4_2 TP_HOLE-0.8MM + TP4_3 TP_HOLE-0.8MM + TP4_4 TP_HOLE-0.8MM + TP5_1 TP_HOLE-0.8MM + TP5_2 TP_HOLE-0.8MM + TP5_3 TP_HOLE-0.8MM + TP5_4 TP_HOLE-0.8MM + TP6_1 TP_HOLE-0.8MM + TP6_2 TP_HOLE-0.8MM + TP6_3 TP_HOLE-0.8MM + TP6_4 TP_HOLE-0.8MM + TP7_1 TP_HOLE-0.8MM + TP7_2 TP_HOLE-0.8MM + TP7_3 TP_HOLE-0.8MM + TP7_4 TP_HOLE-0.8MM + VR1_6 LT1129CST-5_SOT223-LINEAR +|------------------------------------------------------------------------------| +| ECO REPORT | +| Page 35 | +|------------------------------------------------------------------------------| +| .../Cadence/worklib/fmc_tlu_toplevel_c/physical/fmc_tlu_v1a_66.brd | +| Fri May 20 14:54:08 2016 | +|------------------------------------------------------------------------------| +| COMPONENTS CHANGED from one device type to another in design | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | +| ref des | new device type | x | y | old device type | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | + C7 CAPCERSMDCL2_0603-100NF,16V 13.3000 37.5000 CAPCERSMDCL2_0805-4.7UF,10V + C8 CAPCERSMDCL2_0603-100NF,16V 3.6000 37.4000 CAPCERSMDCL2_0805-4.7UF,10V + C9 CAPCERSMDCL2_0603-100NF,16V -0.6000 34.4000 CAPCERSMDCL2_1210-4.7UF,50V + C10 CAPCERSMDCL2_0603-100NF,16V 10.3000 34.3000 CAPCERSMDCL2_0805-4.7UF,10V + C11 CAPCERSMDCL2_0603-100NF,16V 60.5500 32.8000 CAPCERSMDCL2_0603-10NF,50V + C12 CAPCERSMDCL2_0805-4.7UF,10V 79.5500 32.8000 CAPCERSMDCL2_0603-10NF,50V + C13 CAPCERSMDCL2_0805-4.7UF,10V 98.5500 32.8000 CAPCERSMDCL2_0603-10NF,50V + C14 CAPCERSMDCL2_0603-100NF,16V 117.550 32.8000 CAPCERSMDCL2_0603-10NF,50V + C15 CAPCERSMDCL2_0603-100NF,16V 59.6000 28.4000 CAPCERSMDCL2_0603-1.0UF,6.3V + C16 CAPCERSMDCL2_0603-100NF,16V 78.6000 28.4000 CAPCERSMDCL2_0603-1.0UF,6.3V + D1 PRTR5V0U4Y 35.8100 54.1600 USBLC6-2SC6 + D2 PRTR5V0U4Y 18.6329 54.1139 USBLC6-2SC6 + D4 USBLC6-2SC6 62.9000 46.1000 HBAT-540C + IC1 SN65MLVD040RGZ-GND=GND_SIGNAL,A 37.0000 58.4650 SN74LVC1G07DCK-GND=GND_SIGNAL,A + IC2 SN65MLVD040RGZ-GND=GND_SIGNAL,A 20.1000 58.4570 SN74LVC1G07DCK-GND=GND_SIGNAL,A + IC4 DS92001TLD-GND=GND_SIGNAL,VCC=A 68.0000 25.1000 MAX9601_TSSOP + IC5 TPS78633DCQ 87.0000 25.1000 MAX9601_TSSOP + IC9 24AA025E48T-I/SN 52.7169 13.4477 24AA025E48 + J1 CON19P-HDMI-19-01-X-SM-GND=GNDA 11.7476 58.0700 CON19P-MHDMI-19-02-H-TH-L-TR-GA + L1 COMMON_MODE_LINE_FILTER_4312-7A -0.6000 30.0000 FERRITE_SMD-BLM41P800S,MURATA + L2 COMMON_MODE_LINE_FILTER_4312-7A 10.2000 24.4000 FERRITE_SMD-7427921,WURTH + L3 COMMON_MODE_LINE_FILTER_4312-7A 6.9000 24.3000 FERRITE_SMD-7427921,WURTH + PX1 PLEMO2CI-EPG.00.302.NLN-GND=GNA 120.600 55.4620 PLEMO2CI-PLEMO2-00B-GND=GND_SIA + R1 RSMD0603_1/10W-100,1% 20.0000 64.0690 RSMD0603_1/10W-1K,1% + R11 RSMD0603_1/10W-51,1% 64.0000 34.0000 RSMD0805_125MW-12,1% + R12 RSMD0603_1/10W-51,1% 83.0000 34.0000 RSMD0805_125MW-12,1% + Z4 ZENER_SOD123-CA-BZT52-C3V6 132.500 35.4000 ZENER_SOD123-CA-BZT52C2V7 +|------------------------------------------------------------------------------| +| ECO REPORT | +| Page 36 | +|------------------------------------------------------------------------------| +| .../Cadence/worklib/fmc_tlu_toplevel_c/physical/fmc_tlu_v1a_66.brd | +| Fri May 20 14:54:08 2016 | +|------------------------------------------------------------------------------| +| COMPONENT PROPERTIES deleted from design | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | +| ref des | x | y | property | value | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | + C2 65.7000 46.1000 REUSE_INSTANCE FMC_TLU_CFD_1 + C2 65.7000 46.1000 REUSE_NAME FMC_TLU_CFD + C2 65.7000 46.1000 REUSE_PID 27 + C3 84.7000 46.1000 REUSE_INSTANCE FMC_TLU_CFD_2 + C3 84.7000 46.1000 REUSE_NAME FMC_TLU_CFD + C3 84.7000 46.1000 REUSE_PID 27 + C4 103.700 46.1000 REUSE_INSTANCE FMC_TLU_CFD_3 + C4 103.700 46.1000 REUSE_NAME FMC_TLU_CFD + C4 103.700 46.1000 REUSE_PID 27 + C5 122.700 46.1000 REUSE_INSTANCE FMC_TLU_CFD_4 + C5 122.700 46.1000 REUSE_NAME FMC_TLU_CFD + C5 122.700 46.1000 REUSE_PID 27 + C7 13.3000 37.5000 REUSE_INSTANCE FMC_TLU_VSUPPLY5V_6 + C7 13.3000 37.5000 REUSE_NAME FMC_TLU_VSUPPLY5V + C7 13.3000 37.5000 REUSE_PID 2 + C8 3.6000 37.4000 REUSE_INSTANCE FMC_TLU_VSUPPLY5V_6 + C8 3.6000 37.4000 REUSE_NAME FMC_TLU_VSUPPLY5V + C8 3.6000 37.4000 REUSE_PID 1 + C9 -0.6000 34.4000 REUSE_INSTANCE FMC_TLU_VSUPPLY5V_6 + C9 -0.6000 34.4000 REUSE_NAME FMC_TLU_VSUPPLY5V + C9 -0.6000 34.4000 REUSE_PID 22 + C10 10.3000 34.3000 REUSE_INSTANCE FMC_TLU_VSUPPLY5V_6 + C10 10.3000 34.3000 REUSE_NAME FMC_TLU_VSUPPLY5V + C10 10.3000 34.3000 REUSE_PID 6 + C11 60.5500 32.8000 REUSE_INSTANCE FMC_TLU_CFD_1 + C11 60.5500 32.8000 REUSE_NAME FMC_TLU_CFD + C11 60.5500 32.8000 REUSE_PID 19 + C12 79.5500 32.8000 REUSE_INSTANCE FMC_TLU_CFD_2 + C12 79.5500 32.8000 REUSE_NAME FMC_TLU_CFD + C12 79.5500 32.8000 REUSE_PID 19 + C13 98.5500 32.8000 REUSE_INSTANCE FMC_TLU_CFD_3 + C13 98.5500 32.8000 REUSE_NAME FMC_TLU_CFD + C13 98.5500 32.8000 REUSE_PID 19 + C14 117.550 32.8000 REUSE_INSTANCE FMC_TLU_CFD_4 + C14 117.550 32.8000 REUSE_NAME FMC_TLU_CFD + C14 117.550 32.8000 REUSE_PID 19 + C15 59.6000 28.4000 REUSE_INSTANCE FMC_TLU_CFD_1 + C15 59.6000 28.4000 REUSE_NAME FMC_TLU_CFD + C15 59.6000 28.4000 REUSE_PID 5 + C16 78.6000 28.4000 REUSE_INSTANCE FMC_TLU_CFD_2 + C16 78.6000 28.4000 REUSE_NAME FMC_TLU_CFD + C16 78.6000 28.4000 REUSE_PID 5 + D4 62.9000 46.1000 REUSE_INSTANCE FMC_TLU_CFD_1 + D4 62.9000 46.1000 REUSE_NAME FMC_TLU_CFD + D4 62.9000 46.1000 REUSE_PID 25 +|------------------------------------------------------------------------------| +| ECO REPORT | +| Page 37 | +|------------------------------------------------------------------------------| +| .../Cadence/worklib/fmc_tlu_toplevel_c/physical/fmc_tlu_v1a_66.brd | +| Fri May 20 14:54:08 2016 | +|------------------------------------------------------------------------------| +| COMPONENT PROPERTIES deleted from design | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | +| ref des | x | y | property | value | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | + IC4 68.0000 25.1000 REUSE_INSTANCE FMC_TLU_CFD_1 + IC4 68.0000 25.1000 REUSE_NAME FMC_TLU_CFD + IC4 68.0000 25.1000 REUSE_PID 14 + IC5 87.0000 25.1000 REUSE_INSTANCE FMC_TLU_CFD_2 + IC5 87.0000 25.1000 REUSE_NAME FMC_TLU_CFD + IC5 87.0000 25.1000 REUSE_PID 14 + L1 -0.6000 30.0000 REUSE_INSTANCE FMC_TLU_VSUPPLY5V_6 + L1 -0.6000 30.0000 REUSE_NAME FMC_TLU_VSUPPLY5V + L1 -0.6000 30.0000 REUSE_PID 21 + L2 10.2000 24.4000 REUSE_INSTANCE FMC_TLU_VSUPPLY5V_6 + L2 10.2000 24.4000 REUSE_NAME FMC_TLU_VSUPPLY5V + L2 10.2000 24.4000 REUSE_PID 7 + L3 6.9000 24.3000 REUSE_INSTANCE FMC_TLU_VSUPPLY5V_6 + L3 6.9000 24.3000 REUSE_NAME FMC_TLU_VSUPPLY5V + L3 6.9000 24.3000 REUSE_PID 8 + R11 64.0000 34.0000 REUSE_INSTANCE FMC_TLU_CFD_1 + R11 64.0000 34.0000 REUSE_NAME FMC_TLU_CFD + R11 64.0000 34.0000 REUSE_PID 24 + R12 83.0000 34.0000 REUSE_INSTANCE FMC_TLU_CFD_2 + R12 83.0000 34.0000 REUSE_NAME FMC_TLU_CFD + R12 83.0000 34.0000 REUSE_PID 24 +|------------------------------------------------------------------------------| +| ECO REPORT | +| Page 38 | +|------------------------------------------------------------------------------| +| .../Cadence/worklib/fmc_tlu_toplevel_c/physical/fmc_tlu_v1a_66.brd | +| Fri May 20 14:54:08 2016 | +|------------------------------------------------------------------------------| +| COMPONENT PROPERTIES added to design | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | +| ref des | x | y | property | value | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | + C10_1 REUSE_INSTANCE FMC_TLU_CFD_1 + C10_1 REUSE_NAME FMC_TLU_CFD + C10_1 REUSE_PID 1 + C10_2 REUSE_INSTANCE FMC_TLU_CFD_2 + C10_2 REUSE_NAME FMC_TLU_CFD + C10_2 REUSE_PID 1 + C10_3 REUSE_INSTANCE FMC_TLU_CFD_3 + C10_3 REUSE_NAME FMC_TLU_CFD + C10_3 REUSE_PID 1 + C10_4 REUSE_INSTANCE FMC_TLU_CFD_4 + C10_4 REUSE_NAME FMC_TLU_CFD + C10_4 REUSE_PID 1 + C10_6 REUSE_INSTANCE FMC_TLU_VSUPPLY5V_6 + C10_6 REUSE_NAME FMC_TLU_VSUPPLY5V + C10_6 REUSE_PID 5 + C11_6 REUSE_INSTANCE FMC_TLU_VSUPPLY5V_6 + C11_6 REUSE_NAME FMC_TLU_VSUPPLY5V + C11_6 REUSE_PID 2 + C12_6 REUSE_INSTANCE FMC_TLU_VSUPPLY5V_6 + C12_6 REUSE_NAME FMC_TLU_VSUPPLY5V + C12_6 REUSE_PID 1 + C1_1 REUSE_INSTANCE FMC_TLU_CFD_1 + C1_1 REUSE_NAME FMC_TLU_CFD + C1_1 REUSE_PID 27 + C1_2 REUSE_INSTANCE FMC_TLU_CFD_2 + C1_2 REUSE_NAME FMC_TLU_CFD + C1_2 REUSE_PID 27 + C1_3 REUSE_INSTANCE FMC_TLU_CFD_3 + C1_3 REUSE_NAME FMC_TLU_CFD + C1_3 REUSE_PID 27 + C1_4 REUSE_INSTANCE FMC_TLU_CFD_4 + C1_4 REUSE_NAME FMC_TLU_CFD + C1_4 REUSE_PID 27 + C1_6 REUSE_INSTANCE FMC_TLU_VSUPPLY5V_6 + C1_6 REUSE_NAME FMC_TLU_VSUPPLY5V + C1_6 REUSE_PID 22 + C2_1 REUSE_INSTANCE FMC_TLU_CFD_1 + C2_1 REUSE_NAME FMC_TLU_CFD + C2_1 REUSE_PID 19 + C2_2 REUSE_INSTANCE FMC_TLU_CFD_2 + C2_2 REUSE_NAME FMC_TLU_CFD + C2_2 REUSE_PID 19 + C2_3 REUSE_INSTANCE FMC_TLU_CFD_3 + C2_3 REUSE_NAME FMC_TLU_CFD + C2_3 REUSE_PID 19 +|------------------------------------------------------------------------------| +| ECO REPORT | +| Page 39 | +|------------------------------------------------------------------------------| +| .../Cadence/worklib/fmc_tlu_toplevel_c/physical/fmc_tlu_v1a_66.brd | +| Fri May 20 14:54:08 2016 | +|------------------------------------------------------------------------------| +| COMPONENT PROPERTIES added to design | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | +| ref des | x | y | property | value | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | + C2_4 REUSE_INSTANCE FMC_TLU_CFD_4 + C2_4 REUSE_NAME FMC_TLU_CFD + C2_4 REUSE_PID 19 + C2_6 REUSE_INSTANCE FMC_TLU_VSUPPLY5V_6 + C2_6 REUSE_NAME FMC_TLU_VSUPPLY5V + C2_6 REUSE_PID 20 + C3_1 REUSE_INSTANCE FMC_TLU_CFD_1 + C3_1 REUSE_NAME FMC_TLU_CFD + C3_1 REUSE_PID 8 + C3_2 REUSE_INSTANCE FMC_TLU_CFD_2 + C3_2 REUSE_NAME FMC_TLU_CFD + C3_2 REUSE_PID 8 + C3_3 REUSE_INSTANCE FMC_TLU_CFD_3 + C3_3 REUSE_NAME FMC_TLU_CFD + C3_3 REUSE_PID 8 + C3_4 REUSE_INSTANCE FMC_TLU_CFD_4 + C3_4 REUSE_NAME FMC_TLU_CFD + C3_4 REUSE_PID 8 + C3_6 REUSE_INSTANCE FMC_TLU_VSUPPLY5V_6 + C3_6 REUSE_NAME FMC_TLU_VSUPPLY5V + C3_6 REUSE_PID 18 + C4_1 REUSE_INSTANCE FMC_TLU_CFD_1 + C4_1 REUSE_NAME FMC_TLU_CFD + C4_1 REUSE_PID 7 + C4_2 REUSE_INSTANCE FMC_TLU_CFD_2 + C4_2 REUSE_NAME FMC_TLU_CFD + C4_2 REUSE_PID 7 + C4_3 REUSE_INSTANCE FMC_TLU_CFD_3 + C4_3 REUSE_NAME FMC_TLU_CFD + C4_3 REUSE_PID 7 + C4_4 REUSE_INSTANCE FMC_TLU_CFD_4 + C4_4 REUSE_NAME FMC_TLU_CFD + C4_4 REUSE_PID 7 + C4_6 REUSE_INSTANCE FMC_TLU_VSUPPLY5V_6 + C4_6 REUSE_NAME FMC_TLU_VSUPPLY5V + C4_6 REUSE_PID 17 + C5_1 REUSE_INSTANCE FMC_TLU_CFD_1 + C5_1 REUSE_NAME FMC_TLU_CFD + C5_1 REUSE_PID 6 + C5_2 REUSE_INSTANCE FMC_TLU_CFD_2 + C5_2 REUSE_NAME FMC_TLU_CFD + C5_2 REUSE_PID 6 + C5_3 REUSE_INSTANCE FMC_TLU_CFD_3 + C5_3 REUSE_NAME FMC_TLU_CFD + C5_3 REUSE_PID 6 +|------------------------------------------------------------------------------| +| ECO REPORT | +| Page 40 | +|------------------------------------------------------------------------------| +| .../Cadence/worklib/fmc_tlu_toplevel_c/physical/fmc_tlu_v1a_66.brd | +| Fri May 20 14:54:08 2016 | +|------------------------------------------------------------------------------| +| COMPONENT PROPERTIES added to design | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | +| ref des | x | y | property | value | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | + C5_4 REUSE_INSTANCE FMC_TLU_CFD_4 + C5_4 REUSE_NAME FMC_TLU_CFD + C5_4 REUSE_PID 6 + C5_6 REUSE_INSTANCE FMC_TLU_VSUPPLY5V_6 + C5_6 REUSE_NAME FMC_TLU_VSUPPLY5V + C5_6 REUSE_PID 16 + C6_1 REUSE_INSTANCE FMC_TLU_CFD_1 + C6_1 REUSE_NAME FMC_TLU_CFD + C6_1 REUSE_PID 5 + C6_2 REUSE_INSTANCE FMC_TLU_CFD_2 + C6_2 REUSE_NAME FMC_TLU_CFD + C6_2 REUSE_PID 5 + C6_3 REUSE_INSTANCE FMC_TLU_CFD_3 + C6_3 REUSE_NAME FMC_TLU_CFD + C6_3 REUSE_PID 5 + C6_4 REUSE_INSTANCE FMC_TLU_CFD_4 + C6_4 REUSE_NAME FMC_TLU_CFD + C6_4 REUSE_PID 5 + C6_6 REUSE_INSTANCE FMC_TLU_VSUPPLY5V_6 + C6_6 REUSE_NAME FMC_TLU_VSUPPLY5V + C6_6 REUSE_PID 15 + C7_1 REUSE_INSTANCE FMC_TLU_CFD_1 + C7_1 REUSE_NAME FMC_TLU_CFD + C7_1 REUSE_PID 4 + C7_2 REUSE_INSTANCE FMC_TLU_CFD_2 + C7_2 REUSE_NAME FMC_TLU_CFD + C7_2 REUSE_PID 4 + C7_3 REUSE_INSTANCE FMC_TLU_CFD_3 + C7_3 REUSE_NAME FMC_TLU_CFD + C7_3 REUSE_PID 4 + C7_4 REUSE_INSTANCE FMC_TLU_CFD_4 + C7_4 REUSE_NAME FMC_TLU_CFD + C7_4 REUSE_PID 4 + C7_6 REUSE_INSTANCE FMC_TLU_VSUPPLY5V_6 + C7_6 REUSE_NAME FMC_TLU_VSUPPLY5V + C7_6 REUSE_PID 10 + C8_1 REUSE_INSTANCE FMC_TLU_CFD_1 + C8_1 REUSE_NAME FMC_TLU_CFD + C8_1 REUSE_PID 3 + C8_2 REUSE_INSTANCE FMC_TLU_CFD_2 + C8_2 REUSE_NAME FMC_TLU_CFD + C8_2 REUSE_PID 3 + C8_3 REUSE_INSTANCE FMC_TLU_CFD_3 + C8_3 REUSE_NAME FMC_TLU_CFD + C8_3 REUSE_PID 3 +|------------------------------------------------------------------------------| +| ECO REPORT | +| Page 41 | +|------------------------------------------------------------------------------| +| .../Cadence/worklib/fmc_tlu_toplevel_c/physical/fmc_tlu_v1a_66.brd | +| Fri May 20 14:54:08 2016 | +|------------------------------------------------------------------------------| +| COMPONENT PROPERTIES added to design | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | +| ref des | x | y | property | value | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | + C8_4 REUSE_INSTANCE FMC_TLU_CFD_4 + C8_4 REUSE_NAME FMC_TLU_CFD + C8_4 REUSE_PID 3 + C8_6 REUSE_INSTANCE FMC_TLU_VSUPPLY5V_6 + C8_6 REUSE_NAME FMC_TLU_VSUPPLY5V + C8_6 REUSE_PID 9 + C9_1 REUSE_INSTANCE FMC_TLU_CFD_1 + C9_1 REUSE_NAME FMC_TLU_CFD + C9_1 REUSE_PID 2 + C9_2 REUSE_INSTANCE FMC_TLU_CFD_2 + C9_2 REUSE_NAME FMC_TLU_CFD + C9_2 REUSE_PID 2 + C9_3 REUSE_INSTANCE FMC_TLU_CFD_3 + C9_3 REUSE_NAME FMC_TLU_CFD + C9_3 REUSE_PID 2 + C9_4 REUSE_INSTANCE FMC_TLU_CFD_4 + C9_4 REUSE_NAME FMC_TLU_CFD + C9_4 REUSE_PID 2 + C9_6 REUSE_INSTANCE FMC_TLU_VSUPPLY5V_6 + C9_6 REUSE_NAME FMC_TLU_VSUPPLY5V + C9_6 REUSE_PID 6 + D1_1 REUSE_INSTANCE FMC_TLU_CFD_1 + D1_1 REUSE_NAME FMC_TLU_CFD + D1_1 REUSE_PID 25 + D1_2 REUSE_INSTANCE FMC_TLU_CFD_2 + D1_2 REUSE_NAME FMC_TLU_CFD + D1_2 REUSE_PID 25 + D1_3 REUSE_INSTANCE FMC_TLU_CFD_3 + D1_3 REUSE_NAME FMC_TLU_CFD + D1_3 REUSE_PID 25 + D1_4 REUSE_INSTANCE FMC_TLU_CFD_4 + D1_4 REUSE_NAME FMC_TLU_CFD + D1_4 REUSE_PID 25 + IC2_1 REUSE_INSTANCE FMC_TLU_CFD_1 + IC2_1 REUSE_NAME FMC_TLU_CFD + IC2_1 REUSE_PID 14 + IC2_2 REUSE_INSTANCE FMC_TLU_CFD_2 + IC2_2 REUSE_NAME FMC_TLU_CFD + IC2_2 REUSE_PID 14 + IC2_3 REUSE_INSTANCE FMC_TLU_CFD_3 + IC2_3 REUSE_NAME FMC_TLU_CFD + IC2_3 REUSE_PID 14 + IC2_4 REUSE_INSTANCE FMC_TLU_CFD_4 + IC2_4 REUSE_NAME FMC_TLU_CFD + IC2_4 REUSE_PID 14 +|------------------------------------------------------------------------------| +| ECO REPORT | +| Page 42 | +|------------------------------------------------------------------------------| +| .../Cadence/worklib/fmc_tlu_toplevel_c/physical/fmc_tlu_v1a_66.brd | +| Fri May 20 14:54:08 2016 | +|------------------------------------------------------------------------------| +| COMPONENT PROPERTIES added to design | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | +| ref des | x | y | property | value | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | + L1_6 REUSE_INSTANCE FMC_TLU_VSUPPLY5V_6 + L1_6 REUSE_NAME FMC_TLU_VSUPPLY5V + L1_6 REUSE_PID 21 + L2_6 REUSE_INSTANCE FMC_TLU_VSUPPLY5V_6 + L2_6 REUSE_NAME FMC_TLU_VSUPPLY5V + L2_6 REUSE_PID 8 + L3_6 REUSE_INSTANCE FMC_TLU_VSUPPLY5V_6 + L3_6 REUSE_NAME FMC_TLU_VSUPPLY5V + L3_6 REUSE_PID 7 + PX1_1 REUSE_INSTANCE FMC_TLU_CFD_1 + PX1_1 REUSE_NAME FMC_TLU_CFD + PX1_1 REUSE_PID 39 + PX1_2 REUSE_INSTANCE FMC_TLU_CFD_2 + PX1_2 REUSE_NAME FMC_TLU_CFD + PX1_2 REUSE_PID 39 + PX1_3 REUSE_INSTANCE FMC_TLU_CFD_3 + PX1_3 REUSE_NAME FMC_TLU_CFD + PX1_3 REUSE_PID 39 + PX1_4 REUSE_INSTANCE FMC_TLU_CFD_4 + PX1_4 REUSE_NAME FMC_TLU_CFD + PX1_4 REUSE_PID 39 + PX2_1 REUSE_INSTANCE FMC_TLU_CFD_1 + PX2_1 REUSE_NAME FMC_TLU_CFD + PX2_1 REUSE_PID 38 + PX2_2 REUSE_INSTANCE FMC_TLU_CFD_2 + PX2_2 REUSE_NAME FMC_TLU_CFD + PX2_2 REUSE_PID 38 + PX2_3 REUSE_INSTANCE FMC_TLU_CFD_3 + PX2_3 REUSE_NAME FMC_TLU_CFD + PX2_3 REUSE_PID 38 + PX2_4 REUSE_INSTANCE FMC_TLU_CFD_4 + PX2_4 REUSE_NAME FMC_TLU_CFD + PX2_4 REUSE_PID 38 + R10_1 REUSE_INSTANCE FMC_TLU_CFD_1 + R10_1 REUSE_NAME FMC_TLU_CFD + R10_1 REUSE_PID 15 + R10_2 REUSE_INSTANCE FMC_TLU_CFD_2 + R10_2 REUSE_NAME FMC_TLU_CFD + R10_2 REUSE_PID 15 + R10_3 REUSE_INSTANCE FMC_TLU_CFD_3 + R10_3 REUSE_NAME FMC_TLU_CFD + R10_3 REUSE_PID 15 + R10_4 REUSE_INSTANCE FMC_TLU_CFD_4 + R10_4 REUSE_NAME FMC_TLU_CFD + R10_4 REUSE_PID 15 +|------------------------------------------------------------------------------| +| ECO REPORT | +| Page 43 | +|------------------------------------------------------------------------------| +| .../Cadence/worklib/fmc_tlu_toplevel_c/physical/fmc_tlu_v1a_66.brd | +| Fri May 20 14:54:08 2016 | +|------------------------------------------------------------------------------| +| COMPONENT PROPERTIES added to design | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | +| ref des | x | y | property | value | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | + R11_1 REUSE_INSTANCE FMC_TLU_CFD_1 + R11_1 REUSE_NAME FMC_TLU_CFD + R11_1 REUSE_PID 12 + R11_2 REUSE_INSTANCE FMC_TLU_CFD_2 + R11_2 REUSE_NAME FMC_TLU_CFD + R11_2 REUSE_PID 12 + R11_3 REUSE_INSTANCE FMC_TLU_CFD_3 + R11_3 REUSE_NAME FMC_TLU_CFD + R11_3 REUSE_PID 12 + R11_4 REUSE_INSTANCE FMC_TLU_CFD_4 + R11_4 REUSE_NAME FMC_TLU_CFD + R11_4 REUSE_PID 12 + R12_1 REUSE_INSTANCE FMC_TLU_CFD_1 + R12_1 REUSE_NAME FMC_TLU_CFD + R12_1 REUSE_PID 30 + R12_2 REUSE_INSTANCE FMC_TLU_CFD_2 + R12_2 REUSE_NAME FMC_TLU_CFD + R12_2 REUSE_PID 30 + R12_3 REUSE_INSTANCE FMC_TLU_CFD_3 + R12_3 REUSE_NAME FMC_TLU_CFD + R12_3 REUSE_PID 30 + R12_4 REUSE_INSTANCE FMC_TLU_CFD_4 + R12_4 REUSE_NAME FMC_TLU_CFD + R12_4 REUSE_PID 30 + R13_1 REUSE_INSTANCE FMC_TLU_CFD_1 + R13_1 REUSE_NAME FMC_TLU_CFD + R13_1 REUSE_PID 10 + R13_2 REUSE_INSTANCE FMC_TLU_CFD_2 + R13_2 REUSE_NAME FMC_TLU_CFD + R13_2 REUSE_PID 10 + R13_3 REUSE_INSTANCE FMC_TLU_CFD_3 + R13_3 REUSE_NAME FMC_TLU_CFD + R13_3 REUSE_PID 10 + R13_4 REUSE_INSTANCE FMC_TLU_CFD_4 + R13_4 REUSE_NAME FMC_TLU_CFD + R13_4 REUSE_PID 10 + R14_1 REUSE_INSTANCE FMC_TLU_CFD_1 + R14_1 REUSE_NAME FMC_TLU_CFD + R14_1 REUSE_PID 29 + R14_2 REUSE_INSTANCE FMC_TLU_CFD_2 + R14_2 REUSE_NAME FMC_TLU_CFD + R14_2 REUSE_PID 29 + R14_3 REUSE_INSTANCE FMC_TLU_CFD_3 + R14_3 REUSE_NAME FMC_TLU_CFD + R14_3 REUSE_PID 29 +|------------------------------------------------------------------------------| +| ECO REPORT | +| Page 44 | +|------------------------------------------------------------------------------| +| .../Cadence/worklib/fmc_tlu_toplevel_c/physical/fmc_tlu_v1a_66.brd | +| Fri May 20 14:54:08 2016 | +|------------------------------------------------------------------------------| +| COMPONENT PROPERTIES added to design | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | +| ref des | x | y | property | value | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | + R14_4 REUSE_INSTANCE FMC_TLU_CFD_4 + R14_4 REUSE_NAME FMC_TLU_CFD + R14_4 REUSE_PID 29 + R1_6 REUSE_INSTANCE FMC_TLU_VSUPPLY5V_6 + R1_6 REUSE_NAME FMC_TLU_VSUPPLY5V + R1_6 REUSE_PID 19 + R2_1 REUSE_INSTANCE FMC_TLU_CFD_1 + R2_1 REUSE_NAME FMC_TLU_CFD + R2_1 REUSE_PID 24 + R2_2 REUSE_INSTANCE FMC_TLU_CFD_2 + R2_2 REUSE_NAME FMC_TLU_CFD + R2_2 REUSE_PID 24 + R2_3 REUSE_INSTANCE FMC_TLU_CFD_3 + R2_3 REUSE_NAME FMC_TLU_CFD + R2_3 REUSE_PID 24 + R2_4 REUSE_INSTANCE FMC_TLU_CFD_4 + R2_4 REUSE_NAME FMC_TLU_CFD + R2_4 REUSE_PID 24 + R2_6 REUSE_INSTANCE FMC_TLU_VSUPPLY5V_6 + R2_6 REUSE_NAME FMC_TLU_VSUPPLY5V + R2_6 REUSE_PID 14 + R3_1 REUSE_INSTANCE FMC_TLU_CFD_1 + R3_1 REUSE_NAME FMC_TLU_CFD + R3_1 REUSE_PID 23 + R3_2 REUSE_INSTANCE FMC_TLU_CFD_2 + R3_2 REUSE_NAME FMC_TLU_CFD + R3_2 REUSE_PID 23 + R3_3 REUSE_INSTANCE FMC_TLU_CFD_3 + R3_3 REUSE_NAME FMC_TLU_CFD + R3_3 REUSE_PID 23 + R3_4 REUSE_INSTANCE FMC_TLU_CFD_4 + R3_4 REUSE_NAME FMC_TLU_CFD + R3_4 REUSE_PID 23 + R3_6 REUSE_INSTANCE FMC_TLU_VSUPPLY5V_6 + R3_6 REUSE_NAME FMC_TLU_VSUPPLY5V + R3_6 REUSE_PID 13 + R5_1 REUSE_INSTANCE FMC_TLU_CFD_1 + R5_1 REUSE_NAME FMC_TLU_CFD + R5_1 REUSE_PID 21 + R5_2 REUSE_INSTANCE FMC_TLU_CFD_2 + R5_2 REUSE_NAME FMC_TLU_CFD + R5_2 REUSE_PID 21 + R5_3 REUSE_INSTANCE FMC_TLU_CFD_3 + R5_3 REUSE_NAME FMC_TLU_CFD + R5_3 REUSE_PID 21 +|------------------------------------------------------------------------------| +| ECO REPORT | +| Page 45 | +|------------------------------------------------------------------------------| +| .../Cadence/worklib/fmc_tlu_toplevel_c/physical/fmc_tlu_v1a_66.brd | +| Fri May 20 14:54:08 2016 | +|------------------------------------------------------------------------------| +| COMPONENT PROPERTIES added to design | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | +| ref des | x | y | property | value | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | + R5_4 REUSE_INSTANCE FMC_TLU_CFD_4 + R5_4 REUSE_NAME FMC_TLU_CFD + R5_4 REUSE_PID 21 + R6_1 REUSE_INSTANCE FMC_TLU_CFD_1 + R6_1 REUSE_NAME FMC_TLU_CFD + R6_1 REUSE_PID 20 + R6_2 REUSE_INSTANCE FMC_TLU_CFD_2 + R6_2 REUSE_NAME FMC_TLU_CFD + R6_2 REUSE_PID 20 + R6_3 REUSE_INSTANCE FMC_TLU_CFD_3 + R6_3 REUSE_NAME FMC_TLU_CFD + R6_3 REUSE_PID 20 + R6_4 REUSE_INSTANCE FMC_TLU_CFD_4 + R6_4 REUSE_NAME FMC_TLU_CFD + R6_4 REUSE_PID 20 + R7_1 REUSE_INSTANCE FMC_TLU_CFD_1 + R7_1 REUSE_NAME FMC_TLU_CFD + R7_1 REUSE_PID 18 + R7_2 REUSE_INSTANCE FMC_TLU_CFD_2 + R7_2 REUSE_NAME FMC_TLU_CFD + R7_2 REUSE_PID 18 + R7_3 REUSE_INSTANCE FMC_TLU_CFD_3 + R7_3 REUSE_NAME FMC_TLU_CFD + R7_3 REUSE_PID 18 + R7_4 REUSE_INSTANCE FMC_TLU_CFD_4 + R7_4 REUSE_NAME FMC_TLU_CFD + R7_4 REUSE_PID 18 + R8_1 REUSE_INSTANCE FMC_TLU_CFD_1 + R8_1 REUSE_NAME FMC_TLU_CFD + R8_1 REUSE_PID 17 + R8_2 REUSE_INSTANCE FMC_TLU_CFD_2 + R8_2 REUSE_NAME FMC_TLU_CFD + R8_2 REUSE_PID 17 + R8_3 REUSE_INSTANCE FMC_TLU_CFD_3 + R8_3 REUSE_NAME FMC_TLU_CFD + R8_3 REUSE_PID 17 + R8_4 REUSE_INSTANCE FMC_TLU_CFD_4 + R8_4 REUSE_NAME FMC_TLU_CFD + R8_4 REUSE_PID 17 + R9_1 REUSE_INSTANCE FMC_TLU_CFD_1 + R9_1 REUSE_NAME FMC_TLU_CFD + R9_1 REUSE_PID 16 + R9_2 REUSE_INSTANCE FMC_TLU_CFD_2 + R9_2 REUSE_NAME FMC_TLU_CFD + R9_2 REUSE_PID 16 +|------------------------------------------------------------------------------| +| ECO REPORT | +| Page 46 | +|------------------------------------------------------------------------------| +| .../Cadence/worklib/fmc_tlu_toplevel_c/physical/fmc_tlu_v1a_66.brd | +| Fri May 20 14:54:08 2016 | +|------------------------------------------------------------------------------| +| COMPONENT PROPERTIES added to design | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | +| ref des | x | y | property | value | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | + R9_3 REUSE_INSTANCE FMC_TLU_CFD_3 + R9_3 REUSE_NAME FMC_TLU_CFD + R9_3 REUSE_PID 16 + R9_4 REUSE_INSTANCE FMC_TLU_CFD_4 + R9_4 REUSE_NAME FMC_TLU_CFD + R9_4 REUSE_PID 16 + REG1_6 REUSE_INSTANCE FMC_TLU_VSUPPLY5V_6 + REG1_6 REUSE_NAME FMC_TLU_VSUPPLY5V + REG1_6 REUSE_PID 3 + RG1_6 REUSE_INSTANCE FMC_TLU_VSUPPLY5V_6 + RG1_6 REUSE_NAME FMC_TLU_VSUPPLY5V + RG1_6 REUSE_PID 12 + RG2_6 REUSE_INSTANCE FMC_TLU_VSUPPLY5V_6 + RG2_6 REUSE_NAME FMC_TLU_VSUPPLY5V + RG2_6 REUSE_PID 11 + TP1_1 REUSE_INSTANCE FMC_TLU_CFD_1 + TP1_1 REUSE_NAME FMC_TLU_CFD + TP1_1 REUSE_PID 37 + TP1_2 REUSE_INSTANCE FMC_TLU_CFD_2 + TP1_2 REUSE_NAME FMC_TLU_CFD + TP1_2 REUSE_PID 37 + TP1_3 REUSE_INSTANCE FMC_TLU_CFD_3 + TP1_3 REUSE_NAME FMC_TLU_CFD + TP1_3 REUSE_PID 37 + TP1_4 REUSE_INSTANCE FMC_TLU_CFD_4 + TP1_4 REUSE_NAME FMC_TLU_CFD + TP1_4 REUSE_PID 37 + TP2_1 REUSE_INSTANCE FMC_TLU_CFD_1 + TP2_1 REUSE_NAME FMC_TLU_CFD + TP2_1 REUSE_PID 36 + TP2_2 REUSE_INSTANCE FMC_TLU_CFD_2 + TP2_2 REUSE_NAME FMC_TLU_CFD + TP2_2 REUSE_PID 36 + TP2_3 REUSE_INSTANCE FMC_TLU_CFD_3 + TP2_3 REUSE_NAME FMC_TLU_CFD + TP2_3 REUSE_PID 36 + TP2_4 REUSE_INSTANCE FMC_TLU_CFD_4 + TP2_4 REUSE_NAME FMC_TLU_CFD + TP2_4 REUSE_PID 36 + TP3_1 REUSE_INSTANCE FMC_TLU_CFD_1 + TP3_1 REUSE_NAME FMC_TLU_CFD + TP3_1 REUSE_PID 35 + TP3_2 REUSE_INSTANCE FMC_TLU_CFD_2 + TP3_2 REUSE_NAME FMC_TLU_CFD + TP3_2 REUSE_PID 35 +|------------------------------------------------------------------------------| +| ECO REPORT | +| Page 47 | +|------------------------------------------------------------------------------| +| .../Cadence/worklib/fmc_tlu_toplevel_c/physical/fmc_tlu_v1a_66.brd | +| Fri May 20 14:54:08 2016 | +|------------------------------------------------------------------------------| +| COMPONENT PROPERTIES added to design | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | +| ref des | x | y | property | value | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | + TP3_3 REUSE_INSTANCE FMC_TLU_CFD_3 + TP3_3 REUSE_NAME FMC_TLU_CFD + TP3_3 REUSE_PID 35 + TP3_4 REUSE_INSTANCE FMC_TLU_CFD_4 + TP3_4 REUSE_NAME FMC_TLU_CFD + TP3_4 REUSE_PID 35 + TP4_1 REUSE_INSTANCE FMC_TLU_CFD_1 + TP4_1 REUSE_NAME FMC_TLU_CFD + TP4_1 REUSE_PID 34 + TP4_2 REUSE_INSTANCE FMC_TLU_CFD_2 + TP4_2 REUSE_NAME FMC_TLU_CFD + TP4_2 REUSE_PID 34 + TP4_3 REUSE_INSTANCE FMC_TLU_CFD_3 + TP4_3 REUSE_NAME FMC_TLU_CFD + TP4_3 REUSE_PID 34 + TP4_4 REUSE_INSTANCE FMC_TLU_CFD_4 + TP4_4 REUSE_NAME FMC_TLU_CFD + TP4_4 REUSE_PID 34 + TP5_1 REUSE_INSTANCE FMC_TLU_CFD_1 + TP5_1 REUSE_NAME FMC_TLU_CFD + TP5_1 REUSE_PID 33 + TP5_2 REUSE_INSTANCE FMC_TLU_CFD_2 + TP5_2 REUSE_NAME FMC_TLU_CFD + TP5_2 REUSE_PID 33 + TP5_3 REUSE_INSTANCE FMC_TLU_CFD_3 + TP5_3 REUSE_NAME FMC_TLU_CFD + TP5_3 REUSE_PID 33 + TP5_4 REUSE_INSTANCE FMC_TLU_CFD_4 + TP5_4 REUSE_NAME FMC_TLU_CFD + TP5_4 REUSE_PID 33 + TP6_1 REUSE_INSTANCE FMC_TLU_CFD_1 + TP6_1 REUSE_NAME FMC_TLU_CFD + TP6_1 REUSE_PID 32 + TP6_2 REUSE_INSTANCE FMC_TLU_CFD_2 + TP6_2 REUSE_NAME FMC_TLU_CFD + TP6_2 REUSE_PID 32 + TP6_3 REUSE_INSTANCE FMC_TLU_CFD_3 + TP6_3 REUSE_NAME FMC_TLU_CFD + TP6_3 REUSE_PID 32 + TP6_4 REUSE_INSTANCE FMC_TLU_CFD_4 + TP6_4 REUSE_NAME FMC_TLU_CFD + TP6_4 REUSE_PID 32 + TP7_1 REUSE_INSTANCE FMC_TLU_CFD_1 + TP7_1 REUSE_NAME FMC_TLU_CFD + TP7_1 REUSE_PID 31 +|------------------------------------------------------------------------------| +| ECO REPORT | +| Page 48 | +|------------------------------------------------------------------------------| +| .../Cadence/worklib/fmc_tlu_toplevel_c/physical/fmc_tlu_v1a_66.brd | +| Fri May 20 14:54:08 2016 | +|------------------------------------------------------------------------------| +| COMPONENT PROPERTIES added to design | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | +| ref des | x | y | property | value | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | + TP7_2 REUSE_INSTANCE FMC_TLU_CFD_2 + TP7_2 REUSE_NAME FMC_TLU_CFD + TP7_2 REUSE_PID 31 + TP7_3 REUSE_INSTANCE FMC_TLU_CFD_3 + TP7_3 REUSE_NAME FMC_TLU_CFD + TP7_3 REUSE_PID 31 + TP7_4 REUSE_INSTANCE FMC_TLU_CFD_4 + TP7_4 REUSE_NAME FMC_TLU_CFD + TP7_4 REUSE_PID 31 + VR1_6 REUSE_INSTANCE FMC_TLU_VSUPPLY5V_6 + VR1_6 REUSE_NAME FMC_TLU_VSUPPLY5V + VR1_6 REUSE_PID 4 +|------------------------------------------------------------------------------| +| ECO REPORT | +| Page 49 | +|------------------------------------------------------------------------------| +| .../Cadence/worklib/fmc_tlu_toplevel_c/physical/fmc_tlu_v1a_66.brd | +| Fri May 20 14:54:08 2016 | |------------------------------------------------------------------------------| | SLOT PROPERTIES added to design | |- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | @@ -13,195 +2477,370 @@ C1.2 128.601 49.4930 NO_SWAP_GATE_E C6.2 41.8000 44.9000 HARD_LOCATION C6.2 41.8000 44.9000 NO_SWAP_GATE_E + C7.2 13.3000 37.5000 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/capcersmdcl2/chips/chips.prt + C7.2 13.3000 37.5000 SIZE 1 + C8.2 3.6000 37.4000 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/capcersmdcl2/chips/chips.prt + C8.2 3.6000 37.4000 SIZE 1 + C9.2 -0.6000 34.4000 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/capcersmdcl2/chips/chips.prt + C9.2 -0.6000 34.4000 SIZE 1 + C10.2 10.3000 34.3000 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/capcersmdcl2/chips/chips.prt + C10.2 10.3000 34.3000 SIZE 1 + C11.2 60.5500 32.8000 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/capcersmdcl2/chips/chips.prt + C11.2 60.5500 32.8000 SIZE 1 + C12.2 79.5500 32.8000 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/capcersmdcl2/chips/chips.prt + C12.2 79.5500 32.8000 SIZE 1 + C13.2 98.5500 32.8000 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/capcersmdcl2/chips/chips.prt + C13.2 98.5500 32.8000 SIZE 1 + C14.2 117.550 32.8000 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/capcersmdcl2/chips/chips.prt + C14.2 117.550 32.8000 SIZE 1 + C15.2 59.6000 28.4000 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/capcersmdcl2/chips/chips.prt + C15.2 59.6000 28.4000 SIZE 1 + C16.2 78.6000 28.4000 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/capcersmdcl2/chips/chips.prt + C16.2 78.6000 28.4000 SIZE 1 C29.2 43.3500 23.7000 HARD_LOCATION C29.2 43.3500 23.7000 NO_SWAP_GATE_E C40.2 43.9000 16.2500 HARD_LOCATION C40.2 43.9000 16.2500 NO_SWAP_GATE_E C42.2 44.0000 11.4000 HARD_LOCATION C42.2 44.0000 11.4000 NO_SWAP_GATE_E - C46.2 31.6000 63.3430 HARD_LOCATION - C46.2 31.6000 63.3430 NO_SWAP_GATE_E - C47.2 25.8000 63.3430 HARD_LOCATION - C47.2 25.8000 63.3430 NO_SWAP_GATE_E - C48.2 14.4000 63.3430 HARD_LOCATION - C48.2 14.4000 63.3430 NO_SWAP_GATE_E - C49.2 9.0000 63.3430 HARD_LOCATION - C49.2 9.0000 63.3430 NO_SWAP_GATE_E - C50.2 20.9000 59.5090 HARD_LOCATION - C50.2 20.9000 59.5090 NO_SWAP_GATE_E - C51.2 37.4000 59.5040 HARD_LOCATION - C51.2 37.4000 59.5040 NO_SWAP_GATE_E - C52.2 40.5000 54.7240 HARD_LOCATION - C52.2 40.5000 54.7240 NO_SWAP_GATE_E - C53.2 53.9000 54.5000 HARD_LOCATION - C53.2 53.9000 54.5000 NO_SWAP_GATE_E - C54.2 26.4600 53.2400 HARD_LOCATION - C54.2 26.4600 53.2400 NO_SWAP_GATE_E - C55.2 10.3100 53.0200 HARD_LOCATION - C55.2 10.3100 53.0200 NO_SWAP_GATE_E - C56.2 47.8100 43.2700 HARD_LOCATION - C56.2 47.8100 43.2700 NO_SWAP_GATE_E C65.2 49.7000 30.0000 HARD_LOCATION C65.2 49.7000 30.0000 NO_SWAP_GATE_E C70.2 50.3000 19.6300 HARD_LOCATION C70.2 50.3000 19.6300 NO_SWAP_GATE_E C71.2 47.3000 17.8040 HARD_LOCATION C71.2 47.3000 17.8040 NO_SWAP_GATE_E - C10_1.2 62.2500 30.2000 HARD_LOCATION - C10_1.2 62.2500 30.2000 NO_SWAP_GATE_E - C10_2.2 81.2500 30.2000 HARD_LOCATION - C10_2.2 81.2500 30.2000 NO_SWAP_GATE_E - C10_3.2 100.250 30.2000 HARD_LOCATION - C10_3.2 100.250 30.2000 NO_SWAP_GATE_E - C10_4.2 119.250 30.2000 HARD_LOCATION + C10_1.2 HARD_LOCATION + C10_1.2 NO_SWAP_GATE_E + C10_1.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/capcersmdcl2/chips/chips.prt + C10_1.2 SIZE 1 + C10_2.2 HARD_LOCATION + C10_2.2 NO_SWAP_GATE_E + C10_2.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/capcersmdcl2/chips/chips.prt + C10_2.2 SIZE 1 + C10_3.2 HARD_LOCATION |------------------------------------------------------------------------------| | ECO REPORT | -| Page 2 | +| Page 50 | |------------------------------------------------------------------------------| -| .../Cadence/worklib/fmc_tlu_toplevel_b/physical/fmc_tlu_v1a_66_gloss4.brd | -| Tue Feb 11 18:41:15 2014 | +| .../Cadence/worklib/fmc_tlu_toplevel_c/physical/fmc_tlu_v1a_66.brd | +| Fri May 20 14:54:08 2016 | |------------------------------------------------------------------------------| | SLOT PROPERTIES added to design | |- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | | slot_id | x | y | property | value | |- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | - C10_4.2 119.250 30.2000 NO_SWAP_GATE_E - C10_6.2 13.8000 26.3000 HARD_LOCATION - C10_6.2 13.8000 26.3000 NO_SWAP_GATE_E - C11_6.2 13.3000 37.5000 HARD_LOCATION - C11_6.2 13.3000 37.5000 NO_SWAP_GATE_E - C12_6.2 3.6000 37.4000 HARD_LOCATION - C12_6.2 3.6000 37.4000 NO_SWAP_GATE_E - C1_1.2 65.7000 46.1000 HARD_LOCATION - C1_1.2 65.7000 46.1000 NO_SWAP_GATE_E - C1_2.2 84.7000 46.1000 HARD_LOCATION - C1_2.2 84.7000 46.1000 NO_SWAP_GATE_E - C1_3.2 103.700 46.1000 HARD_LOCATION - C1_3.2 103.700 46.1000 NO_SWAP_GATE_E - C1_4.2 122.700 46.1000 HARD_LOCATION - C1_4.2 122.700 46.1000 NO_SWAP_GATE_E - C1_6.2 -0.6000 34.4000 HARD_LOCATION - C1_6.2 -0.6000 34.4000 NO_SWAP_GATE_E - C2_1.2 60.5500 32.8000 HARD_LOCATION - C2_1.2 60.5500 32.8000 NO_SWAP_GATE_E - C2_2.2 79.5500 32.8000 HARD_LOCATION - C2_2.2 79.5500 32.8000 NO_SWAP_GATE_E - C2_3.2 98.5500 32.8000 HARD_LOCATION - C2_3.2 98.5500 32.8000 NO_SWAP_GATE_E - C2_4.2 117.550 32.8000 HARD_LOCATION - C2_4.2 117.550 32.8000 NO_SWAP_GATE_E - C2_6.2 3.1000 24.2000 HARD_LOCATION - C2_6.2 3.1000 24.2000 NO_SWAP_GATE_E - C3_1.2 74.0500 18.4000 HARD_LOCATION - C3_1.2 74.0500 18.4000 NO_SWAP_GATE_E - C3_2.2 93.0500 18.4000 HARD_LOCATION - C3_2.2 93.0500 18.4000 NO_SWAP_GATE_E - C3_3.2 112.050 18.4000 HARD_LOCATION - C3_3.2 112.050 18.4000 NO_SWAP_GATE_E - C3_4.2 131.050 18.4000 HARD_LOCATION - C3_4.2 131.050 18.4000 NO_SWAP_GATE_E - C3_6.2 -0.4000 11.9000 HARD_LOCATION - C3_6.2 -0.4000 11.9000 NO_SWAP_GATE_E - C4_1.2 72.6500 22.7000 HARD_LOCATION - C4_1.2 72.6500 22.7000 NO_SWAP_GATE_E - C4_2.2 91.6500 22.7000 HARD_LOCATION - C4_2.2 91.6500 22.7000 NO_SWAP_GATE_E - C4_3.2 110.650 22.7000 HARD_LOCATION - C4_3.2 110.650 22.7000 NO_SWAP_GATE_E - C4_4.2 129.650 22.7000 HARD_LOCATION - C4_4.2 129.650 22.7000 NO_SWAP_GATE_E + C10_3.2 NO_SWAP_GATE_E + C10_3.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/capcersmdcl2/chips/chips.prt + C10_3.2 SIZE 1 + C10_4.2 HARD_LOCATION + C10_4.2 NO_SWAP_GATE_E + C10_4.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/capcersmdcl2/chips/chips.prt + C10_4.2 SIZE 1 + C10_6.2 HARD_LOCATION + C10_6.2 NO_SWAP_GATE_E + C10_6.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/capcersmdcl2/chips/chips.prt + C10_6.2 SIZE 1 + C11_6.2 HARD_LOCATION + C11_6.2 NO_SWAP_GATE_E + C11_6.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/capcersmdcl2/chips/chips.prt + C11_6.2 SIZE 1 + C12_6.2 HARD_LOCATION + C12_6.2 NO_SWAP_GATE_E + C12_6.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/capcersmdcl2/chips/chips.prt + C12_6.2 SIZE 1 + C1_1.2 HARD_LOCATION + C1_1.2 NO_SWAP_GATE_E + C1_1.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/capcersmdcl2/chips/chips.prt + C1_1.2 SIZE 1 + C1_2.2 HARD_LOCATION + C1_2.2 NO_SWAP_GATE_E + C1_2.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/capcersmdcl2/chips/chips.prt + C1_2.2 SIZE 1 + C1_3.2 HARD_LOCATION + C1_3.2 NO_SWAP_GATE_E + C1_3.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/capcersmdcl2/chips/chips.prt + C1_3.2 SIZE 1 + C1_4.2 HARD_LOCATION + C1_4.2 NO_SWAP_GATE_E + C1_4.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/capcersmdcl2/chips/chips.prt + C1_4.2 SIZE 1 + C1_6.2 HARD_LOCATION + C1_6.2 NO_SWAP_GATE_E + C1_6.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/capcersmdcl2/chips/chips.prt + C1_6.2 SIZE 1 + C2_1.2 HARD_LOCATION + C2_1.2 NO_SWAP_GATE_E + C2_1.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/capcersmdcl2/chips/chips.prt + C2_1.2 SIZE 1 + C2_2.2 HARD_LOCATION + C2_2.2 NO_SWAP_GATE_E |------------------------------------------------------------------------------| | ECO REPORT | -| Page 3 | +| Page 51 | |------------------------------------------------------------------------------| -| .../Cadence/worklib/fmc_tlu_toplevel_b/physical/fmc_tlu_v1a_66_gloss4.brd | -| Tue Feb 11 18:41:15 2014 | +| .../Cadence/worklib/fmc_tlu_toplevel_c/physical/fmc_tlu_v1a_66.brd | +| Fri May 20 14:54:08 2016 | |------------------------------------------------------------------------------| | SLOT PROPERTIES added to design | |- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | | slot_id | x | y | property | value | |- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | - C4_6.2 15.2000 6.5000 HARD_LOCATION - C4_6.2 15.2000 6.5000 NO_SWAP_GATE_E - C5_1.2 71.5000 26.3000 HARD_LOCATION - C5_1.2 71.5000 26.3000 NO_SWAP_GATE_E - C5_2.2 90.5000 26.3000 HARD_LOCATION - C5_2.2 90.5000 26.3000 NO_SWAP_GATE_E - C5_3.2 109.500 26.3000 HARD_LOCATION - C5_3.2 109.500 26.3000 NO_SWAP_GATE_E - C5_4.2 128.500 26.3000 HARD_LOCATION - C5_4.2 128.500 26.3000 NO_SWAP_GATE_E - C5_6.2 -0.6000 19.1000 HARD_LOCATION - C5_6.2 -0.6000 19.1000 NO_SWAP_GATE_E - C6_1.2 59.6000 28.4000 HARD_LOCATION - C6_1.2 59.6000 28.4000 NO_SWAP_GATE_E - C6_2.2 78.6000 28.4000 HARD_LOCATION - C6_2.2 78.6000 28.4000 NO_SWAP_GATE_E - C6_3.2 97.6000 28.4000 HARD_LOCATION - C6_3.2 97.6000 28.4000 NO_SWAP_GATE_E - C6_4.2 116.600 28.4000 HARD_LOCATION - C6_4.2 116.600 28.4000 NO_SWAP_GATE_E - C6_6.2 9.2000 6.5000 HARD_LOCATION - C6_6.2 9.2000 6.5000 NO_SWAP_GATE_E - C7_1.2 67.9000 30.2000 HARD_LOCATION - C7_1.2 67.9000 30.2000 NO_SWAP_GATE_E - C7_2.2 86.9000 30.2000 HARD_LOCATION - C7_2.2 86.9000 30.2000 NO_SWAP_GATE_E - C7_3.2 105.900 30.2000 HARD_LOCATION - C7_3.2 105.900 30.2000 NO_SWAP_GATE_E - C7_4.2 124.900 30.2000 HARD_LOCATION - C7_4.2 124.900 30.2000 NO_SWAP_GATE_E - C7_6.2 2.4000 -8.4000 HARD_LOCATION - C7_6.2 2.4000 -8.4000 NO_SWAP_GATE_E - C8_1.2 59.3000 26.7000 HARD_LOCATION - C8_1.2 59.3000 26.7000 NO_SWAP_GATE_E - C8_2.2 78.3000 26.7000 HARD_LOCATION - C8_2.2 78.3000 26.7000 NO_SWAP_GATE_E - C8_3.2 97.3000 26.7000 HARD_LOCATION - C8_3.2 97.3000 26.7000 NO_SWAP_GATE_E - C8_4.2 116.300 26.7000 HARD_LOCATION - C8_4.2 116.300 26.7000 NO_SWAP_GATE_E - C8_6.2 18.7000 18.9000 HARD_LOCATION - C8_6.2 18.7000 18.9000 NO_SWAP_GATE_E - C9_1.2 67.9000 26.9000 HARD_LOCATION - C9_1.2 67.9000 26.9000 NO_SWAP_GATE_E - C9_2.2 86.9000 26.9000 HARD_LOCATION + C2_2.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/capcersmdcl2/chips/chips.prt + C2_2.2 SIZE 1 + C2_3.2 HARD_LOCATION + C2_3.2 NO_SWAP_GATE_E + C2_3.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/capcersmdcl2/chips/chips.prt + C2_3.2 SIZE 1 + C2_4.2 HARD_LOCATION + C2_4.2 NO_SWAP_GATE_E + C2_4.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/capcersmdcl2/chips/chips.prt + C2_4.2 SIZE 1 + C2_6.2 HARD_LOCATION + C2_6.2 NO_SWAP_GATE_E + C2_6.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/capcersmdcl2/chips/chips.prt + C2_6.2 SIZE 1 + C3_1.2 HARD_LOCATION + C3_1.2 NO_SWAP_GATE_E + C3_1.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/capcersmdcl2/chips/chips.prt + C3_1.2 SIZE 1 + C3_2.2 HARD_LOCATION + C3_2.2 NO_SWAP_GATE_E + C3_2.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/capcersmdcl2/chips/chips.prt + C3_2.2 SIZE 1 + C3_3.2 HARD_LOCATION + C3_3.2 NO_SWAP_GATE_E + C3_3.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/capcersmdcl2/chips/chips.prt + C3_3.2 SIZE 1 + C3_4.2 HARD_LOCATION + C3_4.2 NO_SWAP_GATE_E + C3_4.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/capcersmdcl2/chips/chips.prt + C3_4.2 SIZE 1 + C3_6.2 HARD_LOCATION + C3_6.2 NO_SWAP_GATE_E + C3_6.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/capcersmdcl2/chips/chips.prt + C3_6.2 SIZE 1 + C4_1.2 HARD_LOCATION + C4_1.2 NO_SWAP_GATE_E + C4_1.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/capcersmdcl2/chips/chips.prt + C4_1.2 SIZE 1 + C4_2.2 HARD_LOCATION + C4_2.2 NO_SWAP_GATE_E + C4_2.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/capcersmdcl2/chips/chips.prt + C4_2.2 SIZE 1 + C4_3.2 HARD_LOCATION + C4_3.2 NO_SWAP_GATE_E + C4_3.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/capcersmdcl2/chips/chips.prt |------------------------------------------------------------------------------| | ECO REPORT | -| Page 4 | +| Page 52 | +|------------------------------------------------------------------------------| +| .../Cadence/worklib/fmc_tlu_toplevel_c/physical/fmc_tlu_v1a_66.brd | +| Fri May 20 14:54:08 2016 | +|------------------------------------------------------------------------------| +| SLOT PROPERTIES added to design | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | +| slot_id | x | y | property | value | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | + C4_3.2 SIZE 1 + C4_4.2 HARD_LOCATION + C4_4.2 NO_SWAP_GATE_E + C4_4.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/capcersmdcl2/chips/chips.prt + C4_4.2 SIZE 1 + C4_6.2 HARD_LOCATION + C4_6.2 NO_SWAP_GATE_E + C4_6.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/capcersmdcl2/chips/chips.prt + C4_6.2 SIZE 1 + C5_1.2 HARD_LOCATION + C5_1.2 NO_SWAP_GATE_E + C5_1.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/capcersmdcl2/chips/chips.prt + C5_1.2 SIZE 1 + C5_2.2 HARD_LOCATION + C5_2.2 NO_SWAP_GATE_E + C5_2.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/capcersmdcl2/chips/chips.prt + C5_2.2 SIZE 1 + C5_3.2 HARD_LOCATION + C5_3.2 NO_SWAP_GATE_E + C5_3.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/capcersmdcl2/chips/chips.prt + C5_3.2 SIZE 1 + C5_4.2 HARD_LOCATION + C5_4.2 NO_SWAP_GATE_E + C5_4.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/capcersmdcl2/chips/chips.prt + C5_4.2 SIZE 1 + C5_6.2 HARD_LOCATION + C5_6.2 NO_SWAP_GATE_E + C5_6.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/capcersmdcl2/chips/chips.prt + C5_6.2 SIZE 1 + C6_1.2 HARD_LOCATION + C6_1.2 NO_SWAP_GATE_E + C6_1.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/capcersmdcl2/chips/chips.prt + C6_1.2 SIZE 1 + C6_2.2 HARD_LOCATION + C6_2.2 NO_SWAP_GATE_E + C6_2.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/capcersmdcl2/chips/chips.prt + C6_2.2 SIZE 1 + C6_3.2 HARD_LOCATION + C6_3.2 NO_SWAP_GATE_E + C6_3.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/capcersmdcl2/chips/chips.prt + C6_3.2 SIZE 1 + C6_4.2 HARD_LOCATION + C6_4.2 NO_SWAP_GATE_E + C6_4.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/capcersmdcl2/chips/chips.prt + C6_4.2 SIZE 1 +|------------------------------------------------------------------------------| +| ECO REPORT | +| Page 53 | +|------------------------------------------------------------------------------| +| .../Cadence/worklib/fmc_tlu_toplevel_c/physical/fmc_tlu_v1a_66.brd | +| Fri May 20 14:54:08 2016 | +|------------------------------------------------------------------------------| +| SLOT PROPERTIES added to design | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | +| slot_id | x | y | property | value | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | + C6_6.2 HARD_LOCATION + C6_6.2 NO_SWAP_GATE_E + C6_6.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/capcersmdcl2/chips/chips.prt + C6_6.2 SIZE 1 + C7_1.2 HARD_LOCATION + C7_1.2 NO_SWAP_GATE_E + C7_1.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/capcersmdcl2/chips/chips.prt + C7_1.2 SIZE 1 + C7_2.2 HARD_LOCATION + C7_2.2 NO_SWAP_GATE_E + C7_2.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/capcersmdcl2/chips/chips.prt + C7_2.2 SIZE 1 + C7_3.2 HARD_LOCATION + C7_3.2 NO_SWAP_GATE_E + C7_3.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/capcersmdcl2/chips/chips.prt + C7_3.2 SIZE 1 + C7_4.2 HARD_LOCATION + C7_4.2 NO_SWAP_GATE_E + C7_4.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/capcersmdcl2/chips/chips.prt + C7_4.2 SIZE 1 + C7_6.2 HARD_LOCATION + C7_6.2 NO_SWAP_GATE_E + C7_6.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/capcersmdcl2/chips/chips.prt + C7_6.2 SIZE 1 + C8_1.2 HARD_LOCATION + C8_1.2 NO_SWAP_GATE_E + C8_1.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/capcersmdcl2/chips/chips.prt + C8_1.2 SIZE 1 + C8_2.2 HARD_LOCATION + C8_2.2 NO_SWAP_GATE_E + C8_2.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/capcersmdcl2/chips/chips.prt + C8_2.2 SIZE 1 + C8_3.2 HARD_LOCATION + C8_3.2 NO_SWAP_GATE_E + C8_3.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/capcersmdcl2/chips/chips.prt + C8_3.2 SIZE 1 + C8_4.2 HARD_LOCATION + C8_4.2 NO_SWAP_GATE_E + C8_4.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/capcersmdcl2/chips/chips.prt + C8_4.2 SIZE 1 + C8_6.2 HARD_LOCATION + C8_6.2 NO_SWAP_GATE_E + C8_6.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/capcersmdcl2/chips/chips.prt + C8_6.2 SIZE 1 + C9_1.2 HARD_LOCATION +|------------------------------------------------------------------------------| +| ECO REPORT | +| Page 54 | |------------------------------------------------------------------------------| -| .../Cadence/worklib/fmc_tlu_toplevel_b/physical/fmc_tlu_v1a_66_gloss4.brd | -| Tue Feb 11 18:41:15 2014 | +| .../Cadence/worklib/fmc_tlu_toplevel_c/physical/fmc_tlu_v1a_66.brd | +| Fri May 20 14:54:08 2016 | |------------------------------------------------------------------------------| | SLOT PROPERTIES added to design | |- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | | slot_id | x | y | property | value | |- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | - C9_2.2 86.9000 26.9000 NO_SWAP_GATE_E - C9_3.2 105.900 26.9000 HARD_LOCATION - C9_3.2 105.900 26.9000 NO_SWAP_GATE_E - C9_4.2 124.900 26.9000 HARD_LOCATION - C9_4.2 124.900 26.9000 NO_SWAP_GATE_E - C9_6.2 10.3000 34.3000 HARD_LOCATION - C9_6.2 10.3000 34.3000 NO_SWAP_GATE_E - D1.5 35.8100 54.1600 HARD_LOCATION - D1.5 35.8100 54.1600 NO_SWAP_GATE_E - D2.5 18.6329 54.1139 HARD_LOCATION - D2.5 18.6329 54.1139 NO_SWAP_GATE_E + C9_1.2 NO_SWAP_GATE_E + C9_1.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/capcersmdcl2/chips/chips.prt + C9_1.2 SIZE 1 + C9_2.2 HARD_LOCATION + C9_2.2 NO_SWAP_GATE_E + C9_2.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/capcersmdcl2/chips/chips.prt + C9_2.2 SIZE 1 + C9_3.2 HARD_LOCATION + C9_3.2 NO_SWAP_GATE_E + C9_3.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/capcersmdcl2/chips/chips.prt + C9_3.2 SIZE 1 + C9_4.2 HARD_LOCATION + C9_4.2 NO_SWAP_GATE_E + C9_4.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/capcersmdcl2/chips/chips.prt + C9_4.2 SIZE 1 + C9_6.2 HARD_LOCATION + C9_6.2 NO_SWAP_GATE_E + C9_6.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/capcersmdcl2/chips/chips.prt + C9_6.2 SIZE 1 + CN1.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/capn4i/chips/chips.prt + CN1.2 SIZE 1 + CN1.2 TYPE GNM21 + CN1.4 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/capn4i/chips/chips.prt + CN1.4 SIZE 1 + CN1.4 TYPE GNM21 + CN1.6 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/capn4i/chips/chips.prt + CN1.6 SIZE 1 + CN1.6 TYPE GNM21 + CN1.8 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/capn4i/chips/chips.prt + CN1.8 SIZE 1 + CN1.8 TYPE GNM21 + CN2.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/capn4i/chips/chips.prt + CN2.2 SIZE 1 + CN2.2 TYPE GNM21 + D1.5 35.8100 54.1600 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cndiscrete/prtr5v0u4y/chips/chips.prt + D1.5 35.8100 54.1600 TYPE PRTR5V0U4Y + D2.5 18.6329 54.1139 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cndiscrete/prtr5v0u4y/chips/chips.prt + D2.5 18.6329 54.1139 TYPE PRTR5V0U4Y D3.5 128.671 46.6330 HARD_LOCATION D3.5 128.671 46.6330 NO_SWAP_GATE_E - D1_1.2 62.9000 46.1000 HARD_LOCATION - D1_1.2 62.9000 46.1000 NO_SWAP_GATE_E - D1_2.2 81.9000 46.1000 HARD_LOCATION - D1_2.2 81.9000 46.1000 NO_SWAP_GATE_E - D1_3.2 100.900 46.1000 HARD_LOCATION - D1_3.2 100.900 46.1000 NO_SWAP_GATE_E - D1_4.2 119.900 46.1000 HARD_LOCATION - D1_4.2 119.900 46.1000 NO_SWAP_GATE_E - IC1.4 37.0000 58.4650 HARD_LOCATION - IC1.4 37.0000 58.4650 NO_SWAP_GATE_E - IC2.4 20.1000 58.4570 HARD_LOCATION - IC2.4 20.1000 58.4570 NO_SWAP_GATE_E + D4.5 62.9000 46.1000 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cndiscrete/usblc6#2d2/chips/chips.prt + D4.5 62.9000 46.1000 TYPE USBLC6-2SC6 + D1_1.2 CASE SOT23 + D1_1.2 HARD_LOCATION + D1_1.2 NO_SWAP_GATE_E +|------------------------------------------------------------------------------| +| ECO REPORT | +| Page 55 | +|------------------------------------------------------------------------------| +| .../Cadence/worklib/fmc_tlu_toplevel_c/physical/fmc_tlu_v1a_66.brd | +| Fri May 20 14:54:08 2016 | +|------------------------------------------------------------------------------| +| SLOT PROPERTIES added to design | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | +| slot_id | x | y | property | value | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | + D1_1.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cndiscrete/diode_dual_series/chips/chips.prt + D1_1.2 TYPE HBAT-540C + D1_2.2 CASE SOT23 + D1_2.2 HARD_LOCATION + D1_2.2 NO_SWAP_GATE_E + D1_2.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cndiscrete/diode_dual_series/chips/chips.prt + D1_2.2 TYPE HBAT-540C + D1_3.2 CASE SOT23 + D1_3.2 HARD_LOCATION + D1_3.2 NO_SWAP_GATE_E + D1_3.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cndiscrete/diode_dual_series/chips/chips.prt + D1_3.2 TYPE HBAT-540C + D1_4.2 CASE SOT23 + D1_4.2 HARD_LOCATION + D1_4.2 NO_SWAP_GATE_E + D1_4.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cndiscrete/diode_dual_series/chips/chips.prt + D1_4.2 TYPE HBAT-540C + IC1.1 37.0000 58.4650 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cninterface/sn65mlvd040/chips/chips.prt + IC1.1 37.0000 58.4650 SIZE 1 + IC1.1 37.0000 58.4650 TYPE SN65MLVD040RGZ + IC1.5 37.0000 58.4650 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cninterface/sn65mlvd040/chips/chips.prt + IC1.5 37.0000 58.4650 SIZE 1 + IC1.5 37.0000 58.4650 TYPE SN65MLVD040RGZ + IC1.8 37.0000 58.4650 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cninterface/sn65mlvd040/chips/chips.prt + IC1.8 37.0000 58.4650 SIZE 1 + IC1.8 37.0000 58.4650 TYPE SN65MLVD040RGZ + IC1.12 37.0000 58.4650 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cninterface/sn65mlvd040/chips/chips.prt + IC1.12 37.0000 58.4650 SIZE 1 + IC1.12 37.0000 58.4650 TYPE SN65MLVD040RGZ + IC2.1 20.1000 58.4570 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cninterface/sn65mlvd040/chips/chips.prt + IC2.1 20.1000 58.4570 SIZE 1 + IC2.1 20.1000 58.4570 TYPE SN65MLVD040RGZ IC3.1 49.6381 30.4850 HARD_LOCATION IC3.1 49.6381 30.4850 NO_SWAP_GATE_E IC3.7 49.6381 30.4850 HARD_LOCATION @@ -210,88 +2849,199 @@ IC3.8 49.6381 30.4850 NO_SWAP_GATE_E IC3.14 49.6381 30.4850 HARD_LOCATION IC3.14 49.6381 30.4850 NO_SWAP_GATE_E + IC4.7 68.0000 25.1000 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cninterface/ds92001/chips/chips.prt + IC4.7 68.0000 25.1000 TYPE DS92001TLD + IC5.4 87.0000 25.1000 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnlinear/tps786xx/chips/chips.prt + IC5.4 87.0000 25.1000 TYPE TPS78633DCQ IC8.7 52.6329 19.0739 HARD_LOCATION - IC8.7 52.6329 19.0739 NO_SWAP_GATE_E - IC9.4 52.7169 13.4477 HARD_LOCATION - IC9.4 52.7169 13.4477 NO_SWAP_GATE_E - IC2_1.10 68.0000 25.1000 HARD_LOCATION - IC2_1.10 68.0000 25.1000 NO_SWAP_GATE_E - IC2_1.11 68.0000 25.1000 HARD_LOCATION - IC2_1.11 68.0000 25.1000 NO_SWAP_GATE_E - IC2_2.10 87.0000 25.1000 HARD_LOCATION - IC2_2.10 87.0000 25.1000 NO_SWAP_GATE_E - IC2_2.11 87.0000 25.1000 HARD_LOCATION - IC2_2.11 87.0000 25.1000 NO_SWAP_GATE_E |------------------------------------------------------------------------------| | ECO REPORT | -| Page 5 | +| Page 56 | |------------------------------------------------------------------------------| -| .../Cadence/worklib/fmc_tlu_toplevel_b/physical/fmc_tlu_v1a_66_gloss4.brd | -| Tue Feb 11 18:41:15 2014 | +| .../Cadence/worklib/fmc_tlu_toplevel_c/physical/fmc_tlu_v1a_66.brd | +| Fri May 20 14:54:08 2016 | |------------------------------------------------------------------------------| | SLOT PROPERTIES added to design | |- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | | slot_id | x | y | property | value | |- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | - IC2_3.10 106.000 25.1000 HARD_LOCATION - IC2_3.10 106.000 25.1000 NO_SWAP_GATE_E - IC2_3.11 106.000 25.1000 HARD_LOCATION - IC2_3.11 106.000 25.1000 NO_SWAP_GATE_E - IC2_4.10 125.000 25.1000 HARD_LOCATION - IC2_4.10 125.000 25.1000 NO_SWAP_GATE_E - IC2_4.11 125.000 25.1000 HARD_LOCATION - IC2_4.11 125.000 25.1000 NO_SWAP_GATE_E - J1.10 11.7476 58.0700 HARD_LOCATION - J1.10 11.7476 58.0700 NO_SWAP_GATE_E + IC8.7 52.6329 19.0739 NO_SWAP_GATE_E + IC9.4 52.7169 13.4477 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnmemory/24aa025e48/chips/chips.prt + IC9.4 52.7169 13.4477 TYPE 24AA025E48T-I/SN + IC2_1.10 HARD_LOCATION + IC2_1.10 NO_SWAP_GATE_E + IC2_1.10 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnlinear/max9601/chips/chips.prt + IC2_1.10 SIZE 1 + IC2_1.11 HARD_LOCATION + IC2_1.11 NO_SWAP_GATE_E + IC2_1.11 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnlinear/max9601/chips/chips.prt + IC2_1.11 SIZE 1 + IC2_2.10 HARD_LOCATION + IC2_2.10 NO_SWAP_GATE_E + IC2_2.10 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnlinear/max9601/chips/chips.prt + IC2_2.10 SIZE 1 + IC2_2.11 HARD_LOCATION + IC2_2.11 NO_SWAP_GATE_E + IC2_2.11 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnlinear/max9601/chips/chips.prt + IC2_2.11 SIZE 1 + IC2_3.10 HARD_LOCATION + IC2_3.10 NO_SWAP_GATE_E + IC2_3.10 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnlinear/max9601/chips/chips.prt + IC2_3.10 SIZE 1 + IC2_3.11 HARD_LOCATION + IC2_3.11 NO_SWAP_GATE_E + IC2_3.11 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnlinear/max9601/chips/chips.prt + IC2_3.11 SIZE 1 + IC2_4.10 HARD_LOCATION + IC2_4.10 NO_SWAP_GATE_E + IC2_4.10 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnlinear/max9601/chips/chips.prt + IC2_4.10 SIZE 1 + IC2_4.11 HARD_LOCATION + IC2_4.11 NO_SWAP_GATE_E + IC2_4.11 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnlinear/max9601/chips/chips.prt + IC2_4.11 SIZE 1 + J1.10 11.7476 58.0700 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnconnector/con19p/chips/chips.prt + J1.10 11.7476 58.0700 TYPE HDMI-19-01-X-SM J4.D9 101.490 -2.0000 HARD_LOCATION J4.D9 101.490 -2.0000 NO_SWAP_GATE_E J4.H9 101.490 -2.0000 HARD_LOCATION J4.H9 101.490 -2.0000 NO_SWAP_GATE_E - L1_6.2 -0.6000 30.0000 HARD_LOCATION - L1_6.2 -0.6000 30.0000 NO_SWAP_GATE_E - L2_6.2 6.9000 24.3000 HARD_LOCATION - L2_6.2 6.9000 24.3000 NO_SWAP_GATE_E - L3_6.2 10.2000 24.4000 HARD_LOCATION - L3_6.2 10.2000 24.4000 NO_SWAP_GATE_E - PX1_1.1 59.7000 41.2000 HARD_LOCATION - PX1_1.1 59.7000 41.2000 NO_SWAP_GATE_E - PX1_2.1 78.7000 41.2000 HARD_LOCATION - PX1_2.1 78.7000 41.2000 NO_SWAP_GATE_E - PX1_3.1 97.7000 41.2000 HARD_LOCATION - PX1_3.1 97.7000 41.2000 NO_SWAP_GATE_E - PX1_4.1 116.700 41.2000 HARD_LOCATION - PX1_4.1 116.700 41.2000 NO_SWAP_GATE_E - PX2_1.1 71.2000 41.2000 HARD_LOCATION - PX2_1.1 71.2000 41.2000 NO_SWAP_GATE_E - PX2_2.1 90.2000 41.2000 HARD_LOCATION - PX2_2.1 90.2000 41.2000 NO_SWAP_GATE_E - PX2_3.1 109.200 41.2000 HARD_LOCATION - PX2_3.1 109.200 41.2000 NO_SWAP_GATE_E - PX2_4.1 128.200 41.2000 HARD_LOCATION - PX2_4.1 128.200 41.2000 NO_SWAP_GATE_E - R1.2 20.0000 64.0690 HARD_LOCATION - R1.2 20.0000 64.0690 NO_SWAP_GATE_E - R2.2 36.8000 64.0670 HARD_LOCATION - R2.2 36.8000 64.0670 NO_SWAP_GATE_E + L1.1 -0.6000 30.0000 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cndiscrete/common_mode_line_filter/chips/chips.prt + L1.1 -0.6000 30.0000 SIZE 1 + L1.1 -0.6000 30.0000 TYPE 744231091 + L2.1 10.2000 24.4000 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cndiscrete/common_mode_line_filter/chips/chips.prt +|------------------------------------------------------------------------------| +| ECO REPORT | +| Page 57 | +|------------------------------------------------------------------------------| +| .../Cadence/worklib/fmc_tlu_toplevel_c/physical/fmc_tlu_v1a_66.brd | +| Fri May 20 14:54:08 2016 | +|------------------------------------------------------------------------------| +| SLOT PROPERTIES added to design | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | +| slot_id | x | y | property | value | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | + L2.1 10.2000 24.4000 SIZE 1 + L2.1 10.2000 24.4000 TYPE 744231091 + L3.1 6.9000 24.3000 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cndiscrete/common_mode_line_filter/chips/chips.prt + L3.1 6.9000 24.3000 SIZE 1 + L3.1 6.9000 24.3000 TYPE 744231091 + L4.1 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cndiscrete/common_mode_line_filter/chips/chips.prt + L4.1 SIZE 1 + L4.1 TYPE 744231091 + L5.1 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cndiscrete/common_mode_line_filter/chips/chips.prt + L5.1 SIZE 1 + L5.1 TYPE 744231091 + L1_6.2 HARD_LOCATION + L1_6.2 MODEL MURATA + L1_6.2 NO_SWAP_GATE_E + L1_6.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cndiscrete/ferrite/chips/chips.prt + L1_6.2 SIZE 1 + L2_6.2 HARD_LOCATION + L2_6.2 MODEL WURTH + L2_6.2 NO_SWAP_GATE_E + L2_6.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cndiscrete/ferrite/chips/chips.prt + L2_6.2 SIZE 1 + L3_6.2 HARD_LOCATION + L3_6.2 MODEL WURTH + L3_6.2 NO_SWAP_GATE_E + L3_6.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cndiscrete/ferrite/chips/chips.prt + L3_6.2 SIZE 1 + PX1.2 ABBREV PT6911 + PX1.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnconnector/plemo2ci/chips/chips.prt + PX1.2 TYPE EPG.00.302.NLN + PX1_1.1 HARD_LOCATION + PX1_1.1 NO_SWAP_GATE_E + PX1_1.1 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnconnector/pcoaxsmd/chips/chips.prt + PX1_1.1 TYPE UFL_R_SMT + PX1_2.1 HARD_LOCATION + PX1_2.1 NO_SWAP_GATE_E + PX1_2.1 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnconnector/pcoaxsmd/chips/chips.prt + PX1_2.1 TYPE UFL_R_SMT + PX1_3.1 HARD_LOCATION + PX1_3.1 NO_SWAP_GATE_E + PX1_3.1 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnconnector/pcoaxsmd/chips/chips.prt + PX1_3.1 TYPE UFL_R_SMT + PX1_4.1 HARD_LOCATION + PX1_4.1 NO_SWAP_GATE_E + PX1_4.1 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnconnector/pcoaxsmd/chips/chips.prt + PX1_4.1 TYPE UFL_R_SMT +|------------------------------------------------------------------------------| +| ECO REPORT | +| Page 58 | +|------------------------------------------------------------------------------| +| .../Cadence/worklib/fmc_tlu_toplevel_c/physical/fmc_tlu_v1a_66.brd | +| Fri May 20 14:54:08 2016 | +|------------------------------------------------------------------------------| +| SLOT PROPERTIES added to design | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | +| slot_id | x | y | property | value | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | + PX2_1.1 HARD_LOCATION + PX2_1.1 NO_SWAP_GATE_E + PX2_1.1 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnconnector/pcoaxsmd/chips/chips.prt + PX2_1.1 TYPE UFL_R_SMT + PX2_2.1 HARD_LOCATION + PX2_2.1 NO_SWAP_GATE_E + PX2_2.1 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnconnector/pcoaxsmd/chips/chips.prt + PX2_2.1 TYPE UFL_R_SMT + PX2_3.1 HARD_LOCATION + PX2_3.1 NO_SWAP_GATE_E + PX2_3.1 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnconnector/pcoaxsmd/chips/chips.prt + PX2_3.1 TYPE UFL_R_SMT + PX2_4.1 HARD_LOCATION + PX2_4.1 NO_SWAP_GATE_E + PX2_4.1 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnconnector/pcoaxsmd/chips/chips.prt + PX2_4.1 TYPE UFL_R_SMT + R1.2 20.0000 64.0690 DIST FLAT + R1.2 20.0000 64.0690 MAX_TEMP RTMAX + R1.2 20.0000 64.0690 NEGTOL RTOL% + R1.2 20.0000 64.0690 POSTOL RTOL% + R1.2 20.0000 64.0690 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/rsmd0603/chips/chips.prt + R1.2 20.0000 64.0690 SIZE 1 + R1.2 20.0000 64.0690 SLOPE RSMAX + R1.2 20.0000 64.0690 TC1 RTMPL + R1.2 20.0000 64.0690 TC2 RTMPQ + R1.2 20.0000 64.0690 TOL_ON_OFF ON R3.2 47.9000 37.2000 HARD_LOCATION R3.2 47.9000 37.2000 NO_SWAP_GATE_E R4.2 51.4000 37.1500 HARD_LOCATION R4.2 51.4000 37.1500 NO_SWAP_GATE_E R5.2 52.9000 35.4500 HARD_LOCATION + R5.2 52.9000 35.4500 NO_SWAP_GATE_E + R6.2 46.5000 35.4000 HARD_LOCATION + R6.2 46.5000 35.4000 NO_SWAP_GATE_E + R11.2 64.0000 34.0000 DIST FLAT + R11.2 64.0000 34.0000 MAX_TEMP RTMAX + R11.2 64.0000 34.0000 NEGTOL RTOL% + R11.2 64.0000 34.0000 POSTOL RTOL% + R11.2 64.0000 34.0000 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/rsmd0603/chips/chips.prt + R11.2 64.0000 34.0000 SIZE 1 + R11.2 64.0000 34.0000 SLOPE RSMAX + R11.2 64.0000 34.0000 TC1 RTMPL + R11.2 64.0000 34.0000 TC2 RTMPQ + R11.2 64.0000 34.0000 TOL_ON_OFF ON + R12.2 83.0000 34.0000 DIST FLAT |------------------------------------------------------------------------------| | ECO REPORT | -| Page 6 | +| Page 59 | |------------------------------------------------------------------------------| -| .../Cadence/worklib/fmc_tlu_toplevel_b/physical/fmc_tlu_v1a_66_gloss4.brd | -| Tue Feb 11 18:41:15 2014 | +| .../Cadence/worklib/fmc_tlu_toplevel_c/physical/fmc_tlu_v1a_66.brd | +| Fri May 20 14:54:08 2016 | |------------------------------------------------------------------------------| | SLOT PROPERTIES added to design | |- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | | slot_id | x | y | property | value | |- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | - R5.2 52.9000 35.4500 NO_SWAP_GATE_E - R6.2 46.5000 35.4000 HARD_LOCATION - R6.2 46.5000 35.4000 NO_SWAP_GATE_E + R12.2 83.0000 34.0000 MAX_TEMP RTMAX + R12.2 83.0000 34.0000 NEGTOL RTOL% + R12.2 83.0000 34.0000 POSTOL RTOL% + R12.2 83.0000 34.0000 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/rsmd0603/chips/chips.prt + R12.2 83.0000 34.0000 SIZE 1 + R12.2 83.0000 34.0000 SLOPE RSMAX + R12.2 83.0000 34.0000 TC1 RTMPL + R12.2 83.0000 34.0000 TC2 RTMPQ + R12.2 83.0000 34.0000 TOL_ON_OFF ON R40.2 46.3500 25.8000 HARD_LOCATION R40.2 46.3500 25.8000 NO_SWAP_GATE_E R41.2 52.8000 25.7000 HARD_LOCATION @@ -312,293 +3062,1757 @@ R64.2 47.3000 11.2220 NO_SWAP_GATE_E R65.2 47.3000 9.5120 HARD_LOCATION R65.2 47.3000 9.5120 NO_SWAP_GATE_E - R66.2 14.4000 61.8200 HARD_LOCATION - R66.2 14.4000 61.8200 NO_SWAP_GATE_E - R67.2 9.0000 61.8200 HARD_LOCATION - R67.2 9.0000 61.8200 NO_SWAP_GATE_E - R68.2 25.8000 61.7930 HARD_LOCATION - R68.2 25.8000 61.7930 NO_SWAP_GATE_E - R69.2 31.6000 61.7650 HARD_LOCATION - R69.2 31.6000 61.7650 NO_SWAP_GATE_E - R70.2 40.5000 52.9450 HARD_LOCATION - R70.2 40.5000 52.9450 NO_SWAP_GATE_E - R71.2 54.6000 52.3000 HARD_LOCATION - R71.2 54.6000 52.3000 NO_SWAP_GATE_E - R10_1.2 73.8500 25.9000 HARD_LOCATION - R10_1.2 73.8500 25.9000 NO_SWAP_GATE_E - R10_2.2 92.8500 25.9000 HARD_LOCATION - R10_2.2 92.8500 25.9000 NO_SWAP_GATE_E - R10_3.2 111.850 25.9000 HARD_LOCATION - R10_3.2 111.850 25.9000 NO_SWAP_GATE_E - R10_4.2 130.850 25.9000 HARD_LOCATION - R10_4.2 130.850 25.9000 NO_SWAP_GATE_E - R11_1.2 58.6000 25.1000 HARD_LOCATION - R11_1.2 58.6000 25.1000 NO_SWAP_GATE_E + R10_1.2 DIST FLAT + R10_1.2 HARD_LOCATION + R10_1.2 MAX_TEMP RTMAX + R10_1.2 NEGTOL RTOL% + R10_1.2 NO_SWAP_GATE_E + R10_1.2 POSTOL RTOL% + R10_1.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/rsmd0603/chips/chips.prt + R10_1.2 SIZE 1 + R10_1.2 SLOPE RSMAX + R10_1.2 TC1 RTMPL + R10_1.2 TC2 RTMPQ + R10_1.2 TOL_ON_OFF ON + R10_2.2 DIST FLAT + R10_2.2 HARD_LOCATION + R10_2.2 MAX_TEMP RTMAX + R10_2.2 NEGTOL RTOL% |------------------------------------------------------------------------------| | ECO REPORT | -| Page 7 | +| Page 60 | |------------------------------------------------------------------------------| -| .../Cadence/worklib/fmc_tlu_toplevel_b/physical/fmc_tlu_v1a_66_gloss4.brd | -| Tue Feb 11 18:41:15 2014 | +| .../Cadence/worklib/fmc_tlu_toplevel_c/physical/fmc_tlu_v1a_66.brd | +| Fri May 20 14:54:08 2016 | |------------------------------------------------------------------------------| | SLOT PROPERTIES added to design | |- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | | slot_id | x | y | property | value | |- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | - R11_2.2 77.6000 25.1000 HARD_LOCATION - R11_2.2 77.6000 25.1000 NO_SWAP_GATE_E - R11_3.2 96.6000 25.1000 HARD_LOCATION - R11_3.2 96.6000 25.1000 NO_SWAP_GATE_E - R11_4.2 115.600 25.1000 HARD_LOCATION - R11_4.2 115.600 25.1000 NO_SWAP_GATE_E - R12_1.2 63.7000 18.4500 HARD_LOCATION - R12_1.2 63.7000 18.4500 NO_SWAP_GATE_E - R12_2.2 81.5000 20.3000 HARD_LOCATION - R12_2.2 81.5000 20.3000 NO_SWAP_GATE_E - R12_3.2 100.500 20.3000 HARD_LOCATION - R12_3.2 100.500 20.3000 NO_SWAP_GATE_E - R12_4.2 119.500 20.3000 HARD_LOCATION - R12_4.2 119.500 20.3000 NO_SWAP_GATE_E - R13_1.2 59.4000 23.3000 HARD_LOCATION - R13_1.2 59.4000 23.3000 NO_SWAP_GATE_E - R13_2.2 78.4000 23.3000 HARD_LOCATION - R13_2.2 78.4000 23.3000 NO_SWAP_GATE_E - R13_3.2 97.4000 23.3000 HARD_LOCATION - R13_3.2 97.4000 23.3000 NO_SWAP_GATE_E - R13_4.2 116.400 23.3000 HARD_LOCATION - R13_4.2 116.400 23.3000 NO_SWAP_GATE_E - R14_1.2 69.4000 20.5000 HARD_LOCATION - R14_1.2 69.4000 20.5000 NO_SWAP_GATE_E - R14_2.2 88.4000 20.5000 HARD_LOCATION - R14_2.2 88.4000 20.5000 NO_SWAP_GATE_E - R14_3.2 107.400 20.5000 HARD_LOCATION - R14_3.2 107.400 20.5000 NO_SWAP_GATE_E - R14_4.2 126.400 20.5000 HARD_LOCATION - R14_4.2 126.400 20.5000 NO_SWAP_GATE_E - R1_6.2 1.7000 28.3000 HARD_LOCATION - R1_6.2 1.7000 28.3000 NO_SWAP_GATE_E - R2_1.2 64.0000 34.0000 HARD_LOCATION - R2_1.2 64.0000 34.0000 NO_SWAP_GATE_E - R2_2.2 83.0000 34.0000 HARD_LOCATION - R2_2.2 83.0000 34.0000 NO_SWAP_GATE_E - R2_3.2 102.000 34.0000 HARD_LOCATION - R2_3.2 102.000 34.0000 NO_SWAP_GATE_E - R2_4.2 121.000 34.0000 HARD_LOCATION - R2_4.2 121.000 34.0000 NO_SWAP_GATE_E - R2_6.2 8.1000 9.8500 HARD_LOCATION - R2_6.2 8.1000 9.8500 NO_SWAP_GATE_E - R3_1.2 65.8500 32.2000 HARD_LOCATION - R3_1.2 65.8500 32.2000 NO_SWAP_GATE_E - R3_2.2 84.8500 32.2000 HARD_LOCATION + R10_2.2 NO_SWAP_GATE_E + R10_2.2 POSTOL RTOL% + R10_2.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/rsmd0603/chips/chips.prt + R10_2.2 SIZE 1 + R10_2.2 SLOPE RSMAX + R10_2.2 TC1 RTMPL + R10_2.2 TC2 RTMPQ + R10_2.2 TOL_ON_OFF ON + R10_3.2 DIST FLAT + R10_3.2 HARD_LOCATION + R10_3.2 MAX_TEMP RTMAX + R10_3.2 NEGTOL RTOL% + R10_3.2 NO_SWAP_GATE_E + R10_3.2 POSTOL RTOL% + R10_3.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/rsmd0603/chips/chips.prt + R10_3.2 SIZE 1 + R10_3.2 SLOPE RSMAX + R10_3.2 TC1 RTMPL + R10_3.2 TC2 RTMPQ + R10_3.2 TOL_ON_OFF ON + R10_4.2 DIST FLAT + R10_4.2 HARD_LOCATION + R10_4.2 MAX_TEMP RTMAX + R10_4.2 NEGTOL RTOL% + R10_4.2 NO_SWAP_GATE_E + R10_4.2 POSTOL RTOL% + R10_4.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/rsmd0603/chips/chips.prt + R10_4.2 SIZE 1 + R10_4.2 SLOPE RSMAX + R10_4.2 TC1 RTMPL + R10_4.2 TC2 RTMPQ + R10_4.2 TOL_ON_OFF ON + R11_1.2 DIST FLAT + R11_1.2 HARD_LOCATION + R11_1.2 MAX_TEMP RTMAX + R11_1.2 NEGTOL RTOL% + R11_1.2 NO_SWAP_GATE_E + R11_1.2 POSTOL RTOL% + R11_1.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/rsmd0603/chips/chips.prt + R11_1.2 SIZE 1 + R11_1.2 SLOPE RSMAX + R11_1.2 TC1 RTMPL + R11_1.2 TC2 RTMPQ + R11_1.2 TOL_ON_OFF ON + R11_2.2 DIST FLAT |------------------------------------------------------------------------------| | ECO REPORT | -| Page 8 | +| Page 61 | |------------------------------------------------------------------------------| -| .../Cadence/worklib/fmc_tlu_toplevel_b/physical/fmc_tlu_v1a_66_gloss4.brd | -| Tue Feb 11 18:41:15 2014 | +| .../Cadence/worklib/fmc_tlu_toplevel_c/physical/fmc_tlu_v1a_66.brd | +| Fri May 20 14:54:08 2016 | |------------------------------------------------------------------------------| | SLOT PROPERTIES added to design | |- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | | slot_id | x | y | property | value | |- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | - R3_2.2 84.8500 32.2000 NO_SWAP_GATE_E - R3_3.2 103.850 32.2000 HARD_LOCATION - R3_3.2 103.850 32.2000 NO_SWAP_GATE_E - R3_4.2 122.850 32.2000 HARD_LOCATION - R3_4.2 122.850 32.2000 NO_SWAP_GATE_E - R3_6.2 7.2000 2.2000 HARD_LOCATION - R3_6.2 7.2000 2.2000 NO_SWAP_GATE_E - R5_1.2 58.4000 35.0000 HARD_LOCATION - R5_1.2 58.4000 35.0000 NO_SWAP_GATE_E - R5_2.2 77.4000 35.0000 HARD_LOCATION - R5_2.2 77.4000 35.0000 NO_SWAP_GATE_E - R5_3.2 96.4000 35.0000 HARD_LOCATION - R5_3.2 96.4000 35.0000 NO_SWAP_GATE_E - R5_4.2 115.400 35.0000 HARD_LOCATION - R5_4.2 115.400 35.0000 NO_SWAP_GATE_E - R6_1.2 71.5000 30.3000 HARD_LOCATION - R6_1.2 71.5000 30.3000 NO_SWAP_GATE_E - R6_2.2 90.5000 30.3000 HARD_LOCATION - R6_2.2 90.5000 30.3000 NO_SWAP_GATE_E - R6_3.2 109.500 30.3000 HARD_LOCATION - R6_3.2 109.500 30.3000 NO_SWAP_GATE_E - R6_4.2 128.500 30.3000 HARD_LOCATION - R6_4.2 128.500 30.3000 NO_SWAP_GATE_E - R7_1.2 67.3000 33.8000 HARD_LOCATION - R7_1.2 67.3000 33.8000 NO_SWAP_GATE_E - R7_2.2 86.3000 33.8000 HARD_LOCATION - R7_2.2 86.3000 33.8000 NO_SWAP_GATE_E - R7_3.2 105.300 33.8000 HARD_LOCATION - R7_3.2 105.300 33.8000 NO_SWAP_GATE_E - R7_4.2 124.300 33.8000 HARD_LOCATION - R7_4.2 124.300 33.8000 NO_SWAP_GATE_E - R8_1.2 71.5000 28.4000 HARD_LOCATION - R8_1.2 71.5000 28.4000 NO_SWAP_GATE_E - R8_2.2 90.5000 28.4000 HARD_LOCATION - R8_2.2 90.5000 28.4000 NO_SWAP_GATE_E - R8_3.2 109.500 28.4000 HARD_LOCATION - R8_3.2 109.500 28.4000 NO_SWAP_GATE_E - R8_4.2 128.500 28.4000 HARD_LOCATION - R8_4.2 128.500 28.4000 NO_SWAP_GATE_E - R9_1.2 59.3000 29.9000 HARD_LOCATION - R9_1.2 59.3000 29.9000 NO_SWAP_GATE_E - R9_2.2 78.3000 29.9000 HARD_LOCATION - R9_2.2 78.3000 29.9000 NO_SWAP_GATE_E - R9_3.2 97.3000 29.9000 HARD_LOCATION - R9_3.2 97.3000 29.9000 NO_SWAP_GATE_E + R11_2.2 HARD_LOCATION + R11_2.2 MAX_TEMP RTMAX + R11_2.2 NEGTOL RTOL% + R11_2.2 NO_SWAP_GATE_E + R11_2.2 POSTOL RTOL% + R11_2.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/rsmd0603/chips/chips.prt + R11_2.2 SIZE 1 + R11_2.2 SLOPE RSMAX + R11_2.2 TC1 RTMPL + R11_2.2 TC2 RTMPQ + R11_2.2 TOL_ON_OFF ON + R11_3.2 DIST FLAT + R11_3.2 HARD_LOCATION + R11_3.2 MAX_TEMP RTMAX + R11_3.2 NEGTOL RTOL% + R11_3.2 NO_SWAP_GATE_E + R11_3.2 POSTOL RTOL% + R11_3.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/rsmd0603/chips/chips.prt + R11_3.2 SIZE 1 + R11_3.2 SLOPE RSMAX + R11_3.2 TC1 RTMPL + R11_3.2 TC2 RTMPQ + R11_3.2 TOL_ON_OFF ON + R11_4.2 DIST FLAT + R11_4.2 HARD_LOCATION + R11_4.2 MAX_TEMP RTMAX + R11_4.2 NEGTOL RTOL% + R11_4.2 NO_SWAP_GATE_E + R11_4.2 POSTOL RTOL% + R11_4.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/rsmd0603/chips/chips.prt + R11_4.2 SIZE 1 + R11_4.2 SLOPE RSMAX + R11_4.2 TC1 RTMPL + R11_4.2 TC2 RTMPQ + R11_4.2 TOL_ON_OFF ON + R12_1.2 DIST FLAT + R12_1.2 HARD_LOCATION + R12_1.2 MAX_TEMP RTMAX + R12_1.2 NEGTOL RTOL% + R12_1.2 NO_SWAP_GATE_E + R12_1.2 POSTOL RTOL% + R12_1.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/rsmd0603/chips/chips.prt + R12_1.2 SIZE 1 + R12_1.2 SLOPE RSMAX + R12_1.2 TC1 RTMPL |------------------------------------------------------------------------------| | ECO REPORT | -| Page 9 | +| Page 62 | +|------------------------------------------------------------------------------| +| .../Cadence/worklib/fmc_tlu_toplevel_c/physical/fmc_tlu_v1a_66.brd | +| Fri May 20 14:54:08 2016 | +|------------------------------------------------------------------------------| +| SLOT PROPERTIES added to design | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | +| slot_id | x | y | property | value | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | + R12_1.2 TC2 RTMPQ + R12_1.2 TOL_ON_OFF ON + R12_2.2 DIST FLAT + R12_2.2 HARD_LOCATION + R12_2.2 MAX_TEMP RTMAX + R12_2.2 NEGTOL RTOL% + R12_2.2 NO_SWAP_GATE_E + R12_2.2 POSTOL RTOL% + R12_2.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/rsmd0603/chips/chips.prt + R12_2.2 SIZE 1 + R12_2.2 SLOPE RSMAX + R12_2.2 TC1 RTMPL + R12_2.2 TC2 RTMPQ + R12_2.2 TOL_ON_OFF ON + R12_3.2 DIST FLAT + R12_3.2 HARD_LOCATION + R12_3.2 MAX_TEMP RTMAX + R12_3.2 NEGTOL RTOL% + R12_3.2 NO_SWAP_GATE_E + R12_3.2 POSTOL RTOL% + R12_3.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/rsmd0603/chips/chips.prt + R12_3.2 SIZE 1 + R12_3.2 SLOPE RSMAX + R12_3.2 TC1 RTMPL + R12_3.2 TC2 RTMPQ + R12_3.2 TOL_ON_OFF ON + R12_4.2 DIST FLAT + R12_4.2 HARD_LOCATION + R12_4.2 MAX_TEMP RTMAX + R12_4.2 NEGTOL RTOL% + R12_4.2 NO_SWAP_GATE_E + R12_4.2 POSTOL RTOL% + R12_4.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/rsmd0603/chips/chips.prt + R12_4.2 SIZE 1 + R12_4.2 SLOPE RSMAX + R12_4.2 TC1 RTMPL + R12_4.2 TC2 RTMPQ + R12_4.2 TOL_ON_OFF ON + R13_1.2 DIST FLAT + R13_1.2 HARD_LOCATION + R13_1.2 MAX_TEMP RTMAX + R13_1.2 NEGTOL RTOL% + R13_1.2 NO_SWAP_GATE_E + R13_1.2 POSTOL RTOL% + R13_1.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/rsmd0603/chips/chips.prt +|------------------------------------------------------------------------------| +| ECO REPORT | +| Page 63 | +|------------------------------------------------------------------------------| +| .../Cadence/worklib/fmc_tlu_toplevel_c/physical/fmc_tlu_v1a_66.brd | +| Fri May 20 14:54:08 2016 | +|------------------------------------------------------------------------------| +| SLOT PROPERTIES added to design | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | +| slot_id | x | y | property | value | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | + R13_1.2 SIZE 1 + R13_1.2 SLOPE RSMAX + R13_1.2 TC1 RTMPL + R13_1.2 TC2 RTMPQ + R13_1.2 TOL_ON_OFF ON + R13_2.2 DIST FLAT + R13_2.2 HARD_LOCATION + R13_2.2 MAX_TEMP RTMAX + R13_2.2 NEGTOL RTOL% + R13_2.2 NO_SWAP_GATE_E + R13_2.2 POSTOL RTOL% + R13_2.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/rsmd0603/chips/chips.prt + R13_2.2 SIZE 1 + R13_2.2 SLOPE RSMAX + R13_2.2 TC1 RTMPL + R13_2.2 TC2 RTMPQ + R13_2.2 TOL_ON_OFF ON + R13_3.2 DIST FLAT + R13_3.2 HARD_LOCATION + R13_3.2 MAX_TEMP RTMAX + R13_3.2 NEGTOL RTOL% + R13_3.2 NO_SWAP_GATE_E + R13_3.2 POSTOL RTOL% + R13_3.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/rsmd0603/chips/chips.prt + R13_3.2 SIZE 1 + R13_3.2 SLOPE RSMAX + R13_3.2 TC1 RTMPL + R13_3.2 TC2 RTMPQ + R13_3.2 TOL_ON_OFF ON + R13_4.2 DIST FLAT + R13_4.2 HARD_LOCATION + R13_4.2 MAX_TEMP RTMAX + R13_4.2 NEGTOL RTOL% + R13_4.2 NO_SWAP_GATE_E + R13_4.2 POSTOL RTOL% + R13_4.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/rsmd0603/chips/chips.prt + R13_4.2 SIZE 1 + R13_4.2 SLOPE RSMAX + R13_4.2 TC1 RTMPL + R13_4.2 TC2 RTMPQ + R13_4.2 TOL_ON_OFF ON + R14_1.2 DIST FLAT + R14_1.2 HARD_LOCATION + R14_1.2 MAX_TEMP RTMAX + R14_1.2 NEGTOL RTOL% +|------------------------------------------------------------------------------| +| ECO REPORT | +| Page 64 | +|------------------------------------------------------------------------------| +| .../Cadence/worklib/fmc_tlu_toplevel_c/physical/fmc_tlu_v1a_66.brd | +| Fri May 20 14:54:08 2016 | +|------------------------------------------------------------------------------| +| SLOT PROPERTIES added to design | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | +| slot_id | x | y | property | value | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | + R14_1.2 NO_SWAP_GATE_E + R14_1.2 POSTOL RTOL% + R14_1.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/rsmd0603/chips/chips.prt + R14_1.2 SIZE 1 + R14_1.2 SLOPE RSMAX + R14_1.2 TC1 RTMPL + R14_1.2 TC2 RTMPQ + R14_1.2 TOL_ON_OFF ON + R14_2.2 DIST FLAT + R14_2.2 HARD_LOCATION + R14_2.2 MAX_TEMP RTMAX + R14_2.2 NEGTOL RTOL% + R14_2.2 NO_SWAP_GATE_E + R14_2.2 POSTOL RTOL% + R14_2.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/rsmd0603/chips/chips.prt + R14_2.2 SIZE 1 + R14_2.2 SLOPE RSMAX + R14_2.2 TC1 RTMPL + R14_2.2 TC2 RTMPQ + R14_2.2 TOL_ON_OFF ON + R14_3.2 DIST FLAT + R14_3.2 HARD_LOCATION + R14_3.2 MAX_TEMP RTMAX + R14_3.2 NEGTOL RTOL% + R14_3.2 NO_SWAP_GATE_E + R14_3.2 POSTOL RTOL% + R14_3.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/rsmd0603/chips/chips.prt + R14_3.2 SIZE 1 + R14_3.2 SLOPE RSMAX + R14_3.2 TC1 RTMPL + R14_3.2 TC2 RTMPQ + R14_3.2 TOL_ON_OFF ON + R14_4.2 DIST FLAT + R14_4.2 HARD_LOCATION + R14_4.2 MAX_TEMP RTMAX + R14_4.2 NEGTOL RTOL% + R14_4.2 NO_SWAP_GATE_E + R14_4.2 POSTOL RTOL% + R14_4.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/rsmd0603/chips/chips.prt + R14_4.2 SIZE 1 + R14_4.2 SLOPE RSMAX + R14_4.2 TC1 RTMPL + R14_4.2 TC2 RTMPQ + R14_4.2 TOL_ON_OFF ON + R1_6.2 DIST FLAT +|------------------------------------------------------------------------------| +| ECO REPORT | +| Page 65 | +|------------------------------------------------------------------------------| +| .../Cadence/worklib/fmc_tlu_toplevel_c/physical/fmc_tlu_v1a_66.brd | +| Fri May 20 14:54:08 2016 | +|------------------------------------------------------------------------------| +| SLOT PROPERTIES added to design | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | +| slot_id | x | y | property | value | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | + R1_6.2 HARD_LOCATION + R1_6.2 MAX_TEMP RTMAX + R1_6.2 NEGTOL RTOL% + R1_6.2 NO_SWAP_GATE_E + R1_6.2 POSTOL RTOL% + R1_6.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/rsmd0603/chips/chips.prt + R1_6.2 SIZE 1 + R1_6.2 SLOPE RSMAX + R1_6.2 TC1 RTMPL + R1_6.2 TC2 RTMPQ + R1_6.2 TOL_ON_OFF ON + R2_1.2 DIST FLAT + R2_1.2 HARD_LOCATION + R2_1.2 MAX_TEMP RTMAX + R2_1.2 NEGTOL RTOL% + R2_1.2 NO_SWAP_GATE_E + R2_1.2 POSTOL RTOL% + R2_1.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/rsmd0805/chips/chips.prt + R2_1.2 SIZE 1 + R2_1.2 SLOPE RSMAX + R2_1.2 TC1 RTMPL + R2_1.2 TC2 RTMPQ + R2_1.2 TOL_ON_OFF ON + R2_2.2 DIST FLAT + R2_2.2 HARD_LOCATION + R2_2.2 MAX_TEMP RTMAX + R2_2.2 NEGTOL RTOL% + R2_2.2 NO_SWAP_GATE_E + R2_2.2 POSTOL RTOL% + R2_2.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/rsmd0805/chips/chips.prt + R2_2.2 SIZE 1 + R2_2.2 SLOPE RSMAX + R2_2.2 TC1 RTMPL + R2_2.2 TC2 RTMPQ + R2_2.2 TOL_ON_OFF ON + R2_3.2 DIST FLAT + R2_3.2 HARD_LOCATION + R2_3.2 MAX_TEMP RTMAX + R2_3.2 NEGTOL RTOL% + R2_3.2 NO_SWAP_GATE_E + R2_3.2 POSTOL RTOL% + R2_3.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/rsmd0805/chips/chips.prt + R2_3.2 SIZE 1 + R2_3.2 SLOPE RSMAX + R2_3.2 TC1 RTMPL +|------------------------------------------------------------------------------| +| ECO REPORT | +| Page 66 | +|------------------------------------------------------------------------------| +| .../Cadence/worklib/fmc_tlu_toplevel_c/physical/fmc_tlu_v1a_66.brd | +| Fri May 20 14:54:08 2016 | +|------------------------------------------------------------------------------| +| SLOT PROPERTIES added to design | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | +| slot_id | x | y | property | value | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | + R2_3.2 TC2 RTMPQ + R2_3.2 TOL_ON_OFF ON + R2_4.2 DIST FLAT + R2_4.2 HARD_LOCATION + R2_4.2 MAX_TEMP RTMAX + R2_4.2 NEGTOL RTOL% + R2_4.2 NO_SWAP_GATE_E + R2_4.2 POSTOL RTOL% + R2_4.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/rsmd0805/chips/chips.prt + R2_4.2 SIZE 1 + R2_4.2 SLOPE RSMAX + R2_4.2 TC1 RTMPL + R2_4.2 TC2 RTMPQ + R2_4.2 TOL_ON_OFF ON + R2_6.2 DIST FLAT + R2_6.2 HARD_LOCATION + R2_6.2 MAX_TEMP RTMAX + R2_6.2 NEGTOL RTOL% + R2_6.2 NO_SWAP_GATE_E + R2_6.2 POSTOL RTOL% + R2_6.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/rsmd0603/chips/chips.prt + R2_6.2 SIZE 1 + R2_6.2 SLOPE RSMAX + R2_6.2 TC1 RTMPL + R2_6.2 TC2 RTMPQ + R2_6.2 TOL_ON_OFF ON + R3_1.2 DIST FLAT + R3_1.2 HARD_LOCATION + R3_1.2 MAX_TEMP RTMAX + R3_1.2 NEGTOL RTOL% + R3_1.2 NO_SWAP_GATE_E + R3_1.2 POSTOL RTOL% + R3_1.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/rsmd0603/chips/chips.prt + R3_1.2 SIZE 1 + R3_1.2 SLOPE RSMAX + R3_1.2 TC1 RTMPL + R3_1.2 TC2 RTMPQ + R3_1.2 TOL_ON_OFF ON + R3_2.2 DIST FLAT + R3_2.2 HARD_LOCATION + R3_2.2 MAX_TEMP RTMAX + R3_2.2 NEGTOL RTOL% + R3_2.2 NO_SWAP_GATE_E + R3_2.2 POSTOL RTOL% + R3_2.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/rsmd0603/chips/chips.prt +|------------------------------------------------------------------------------| +| ECO REPORT | +| Page 67 | +|------------------------------------------------------------------------------| +| .../Cadence/worklib/fmc_tlu_toplevel_c/physical/fmc_tlu_v1a_66.brd | +| Fri May 20 14:54:08 2016 | +|------------------------------------------------------------------------------| +| SLOT PROPERTIES added to design | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | +| slot_id | x | y | property | value | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | + R3_2.2 SIZE 1 + R3_2.2 SLOPE RSMAX + R3_2.2 TC1 RTMPL + R3_2.2 TC2 RTMPQ + R3_2.2 TOL_ON_OFF ON + R3_3.2 DIST FLAT + R3_3.2 HARD_LOCATION + R3_3.2 MAX_TEMP RTMAX + R3_3.2 NEGTOL RTOL% + R3_3.2 NO_SWAP_GATE_E + R3_3.2 POSTOL RTOL% + R3_3.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/rsmd0603/chips/chips.prt + R3_3.2 SIZE 1 + R3_3.2 SLOPE RSMAX + R3_3.2 TC1 RTMPL + R3_3.2 TC2 RTMPQ + R3_3.2 TOL_ON_OFF ON + R3_4.2 DIST FLAT + R3_4.2 HARD_LOCATION + R3_4.2 MAX_TEMP RTMAX + R3_4.2 NEGTOL RTOL% + R3_4.2 NO_SWAP_GATE_E + R3_4.2 POSTOL RTOL% + R3_4.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/rsmd0603/chips/chips.prt + R3_4.2 SIZE 1 + R3_4.2 SLOPE RSMAX + R3_4.2 TC1 RTMPL + R3_4.2 TC2 RTMPQ + R3_4.2 TOL_ON_OFF ON + R3_6.2 DIST FLAT + R3_6.2 HARD_LOCATION + R3_6.2 MAX_TEMP RTMAX + R3_6.2 NEGTOL RTOL% + R3_6.2 NO_SWAP_GATE_E + R3_6.2 POSTOL RTOL% + R3_6.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/rsmd0603/chips/chips.prt + R3_6.2 SIZE 1 + R3_6.2 SLOPE RSMAX + R3_6.2 TC1 RTMPL + R3_6.2 TC2 RTMPQ + R3_6.2 TOL_ON_OFF ON + R5_1.2 DIST FLAT + R5_1.2 HARD_LOCATION + R5_1.2 MAX_TEMP RTMAX + R5_1.2 NEGTOL RTOL% +|------------------------------------------------------------------------------| +| ECO REPORT | +| Page 68 | +|------------------------------------------------------------------------------| +| .../Cadence/worklib/fmc_tlu_toplevel_c/physical/fmc_tlu_v1a_66.brd | +| Fri May 20 14:54:08 2016 | +|------------------------------------------------------------------------------| +| SLOT PROPERTIES added to design | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | +| slot_id | x | y | property | value | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | + R5_1.2 NO_SWAP_GATE_E + R5_1.2 POSTOL RTOL% + R5_1.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/rsmd0603/chips/chips.prt + R5_1.2 SIZE 1 + R5_1.2 SLOPE RSMAX + R5_1.2 TC1 RTMPL + R5_1.2 TC2 RTMPQ + R5_1.2 TOL_ON_OFF ON + R5_2.2 DIST FLAT + R5_2.2 HARD_LOCATION + R5_2.2 MAX_TEMP RTMAX + R5_2.2 NEGTOL RTOL% + R5_2.2 NO_SWAP_GATE_E + R5_2.2 POSTOL RTOL% + R5_2.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/rsmd0603/chips/chips.prt + R5_2.2 SIZE 1 + R5_2.2 SLOPE RSMAX + R5_2.2 TC1 RTMPL + R5_2.2 TC2 RTMPQ + R5_2.2 TOL_ON_OFF ON + R5_3.2 DIST FLAT + R5_3.2 HARD_LOCATION + R5_3.2 MAX_TEMP RTMAX + R5_3.2 NEGTOL RTOL% + R5_3.2 NO_SWAP_GATE_E + R5_3.2 POSTOL RTOL% + R5_3.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/rsmd0603/chips/chips.prt + R5_3.2 SIZE 1 + R5_3.2 SLOPE RSMAX + R5_3.2 TC1 RTMPL + R5_3.2 TC2 RTMPQ + R5_3.2 TOL_ON_OFF ON + R5_4.2 DIST FLAT + R5_4.2 HARD_LOCATION + R5_4.2 MAX_TEMP RTMAX + R5_4.2 NEGTOL RTOL% + R5_4.2 NO_SWAP_GATE_E + R5_4.2 POSTOL RTOL% + R5_4.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/rsmd0603/chips/chips.prt + R5_4.2 SIZE 1 + R5_4.2 SLOPE RSMAX + R5_4.2 TC1 RTMPL + R5_4.2 TC2 RTMPQ + R5_4.2 TOL_ON_OFF ON + R6_1.2 DIST FLAT +|------------------------------------------------------------------------------| +| ECO REPORT | +| Page 69 | +|------------------------------------------------------------------------------| +| .../Cadence/worklib/fmc_tlu_toplevel_c/physical/fmc_tlu_v1a_66.brd | +| Fri May 20 14:54:08 2016 | +|------------------------------------------------------------------------------| +| SLOT PROPERTIES added to design | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | +| slot_id | x | y | property | value | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | + R6_1.2 HARD_LOCATION + R6_1.2 MAX_TEMP RTMAX + R6_1.2 NEGTOL RTOL% + R6_1.2 NO_SWAP_GATE_E + R6_1.2 POSTOL RTOL% + R6_1.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/rsmd0805/chips/chips.prt + R6_1.2 SIZE 1 + R6_1.2 SLOPE RSMAX + R6_1.2 TC1 RTMPL + R6_1.2 TC2 RTMPQ + R6_1.2 TOL_ON_OFF ON + R6_2.2 DIST FLAT + R6_2.2 HARD_LOCATION + R6_2.2 MAX_TEMP RTMAX + R6_2.2 NEGTOL RTOL% + R6_2.2 NO_SWAP_GATE_E + R6_2.2 POSTOL RTOL% + R6_2.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/rsmd0805/chips/chips.prt + R6_2.2 SIZE 1 + R6_2.2 SLOPE RSMAX + R6_2.2 TC1 RTMPL + R6_2.2 TC2 RTMPQ + R6_2.2 TOL_ON_OFF ON + R6_3.2 DIST FLAT + R6_3.2 HARD_LOCATION + R6_3.2 MAX_TEMP RTMAX + R6_3.2 NEGTOL RTOL% + R6_3.2 NO_SWAP_GATE_E + R6_3.2 POSTOL RTOL% + R6_3.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/rsmd0805/chips/chips.prt + R6_3.2 SIZE 1 + R6_3.2 SLOPE RSMAX + R6_3.2 TC1 RTMPL + R6_3.2 TC2 RTMPQ + R6_3.2 TOL_ON_OFF ON + R6_4.2 DIST FLAT + R6_4.2 HARD_LOCATION + R6_4.2 MAX_TEMP RTMAX + R6_4.2 NEGTOL RTOL% + R6_4.2 NO_SWAP_GATE_E + R6_4.2 POSTOL RTOL% + R6_4.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/rsmd0805/chips/chips.prt + R6_4.2 SIZE 1 + R6_4.2 SLOPE RSMAX + R6_4.2 TC1 RTMPL +|------------------------------------------------------------------------------| +| ECO REPORT | +| Page 70 | +|------------------------------------------------------------------------------| +| .../Cadence/worklib/fmc_tlu_toplevel_c/physical/fmc_tlu_v1a_66.brd | +| Fri May 20 14:54:08 2016 | +|------------------------------------------------------------------------------| +| SLOT PROPERTIES added to design | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | +| slot_id | x | y | property | value | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | + R6_4.2 TC2 RTMPQ + R6_4.2 TOL_ON_OFF ON + R7_1.2 DIST FLAT + R7_1.2 HARD_LOCATION + R7_1.2 MAX_TEMP RTMAX + R7_1.2 NEGTOL RTOL% + R7_1.2 NO_SWAP_GATE_E + R7_1.2 POSTOL RTOL% + R7_1.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/rsmd0603/chips/chips.prt + R7_1.2 SIZE 1 + R7_1.2 SLOPE RSMAX + R7_1.2 TC1 RTMPL + R7_1.2 TC2 RTMPQ + R7_1.2 TOL_ON_OFF ON + R7_2.2 DIST FLAT + R7_2.2 HARD_LOCATION + R7_2.2 MAX_TEMP RTMAX + R7_2.2 NEGTOL RTOL% + R7_2.2 NO_SWAP_GATE_E + R7_2.2 POSTOL RTOL% + R7_2.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/rsmd0603/chips/chips.prt + R7_2.2 SIZE 1 + R7_2.2 SLOPE RSMAX + R7_2.2 TC1 RTMPL + R7_2.2 TC2 RTMPQ + R7_2.2 TOL_ON_OFF ON + R7_3.2 DIST FLAT + R7_3.2 HARD_LOCATION + R7_3.2 MAX_TEMP RTMAX + R7_3.2 NEGTOL RTOL% + R7_3.2 NO_SWAP_GATE_E + R7_3.2 POSTOL RTOL% + R7_3.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/rsmd0603/chips/chips.prt + R7_3.2 SIZE 1 + R7_3.2 SLOPE RSMAX + R7_3.2 TC1 RTMPL + R7_3.2 TC2 RTMPQ + R7_3.2 TOL_ON_OFF ON + R7_4.2 DIST FLAT + R7_4.2 HARD_LOCATION + R7_4.2 MAX_TEMP RTMAX + R7_4.2 NEGTOL RTOL% + R7_4.2 NO_SWAP_GATE_E + R7_4.2 POSTOL RTOL% + R7_4.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/rsmd0603/chips/chips.prt +|------------------------------------------------------------------------------| +| ECO REPORT | +| Page 71 | +|------------------------------------------------------------------------------| +| .../Cadence/worklib/fmc_tlu_toplevel_c/physical/fmc_tlu_v1a_66.brd | +| Fri May 20 14:54:08 2016 | +|------------------------------------------------------------------------------| +| SLOT PROPERTIES added to design | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | +| slot_id | x | y | property | value | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | + R7_4.2 SIZE 1 + R7_4.2 SLOPE RSMAX + R7_4.2 TC1 RTMPL + R7_4.2 TC2 RTMPQ + R7_4.2 TOL_ON_OFF ON + R8_1.2 DIST FLAT + R8_1.2 HARD_LOCATION + R8_1.2 MAX_TEMP RTMAX + R8_1.2 NEGTOL RTOL% + R8_1.2 NO_SWAP_GATE_E + R8_1.2 POSTOL RTOL% + R8_1.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/rsmd0805/chips/chips.prt + R8_1.2 SIZE 1 + R8_1.2 SLOPE RSMAX + R8_1.2 TC1 RTMPL + R8_1.2 TC2 RTMPQ + R8_1.2 TOL_ON_OFF ON + R8_2.2 DIST FLAT + R8_2.2 HARD_LOCATION + R8_2.2 MAX_TEMP RTMAX + R8_2.2 NEGTOL RTOL% + R8_2.2 NO_SWAP_GATE_E + R8_2.2 POSTOL RTOL% + R8_2.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/rsmd0805/chips/chips.prt + R8_2.2 SIZE 1 + R8_2.2 SLOPE RSMAX + R8_2.2 TC1 RTMPL + R8_2.2 TC2 RTMPQ + R8_2.2 TOL_ON_OFF ON + R8_3.2 DIST FLAT + R8_3.2 HARD_LOCATION + R8_3.2 MAX_TEMP RTMAX + R8_3.2 NEGTOL RTOL% + R8_3.2 NO_SWAP_GATE_E + R8_3.2 POSTOL RTOL% + R8_3.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/rsmd0805/chips/chips.prt + R8_3.2 SIZE 1 + R8_3.2 SLOPE RSMAX + R8_3.2 TC1 RTMPL + R8_3.2 TC2 RTMPQ + R8_3.2 TOL_ON_OFF ON + R8_4.2 DIST FLAT + R8_4.2 HARD_LOCATION + R8_4.2 MAX_TEMP RTMAX + R8_4.2 NEGTOL RTOL% +|------------------------------------------------------------------------------| +| ECO REPORT | +| Page 72 | +|------------------------------------------------------------------------------| +| .../Cadence/worklib/fmc_tlu_toplevel_c/physical/fmc_tlu_v1a_66.brd | +| Fri May 20 14:54:08 2016 | +|------------------------------------------------------------------------------| +| SLOT PROPERTIES added to design | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | +| slot_id | x | y | property | value | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | + R8_4.2 NO_SWAP_GATE_E + R8_4.2 POSTOL RTOL% + R8_4.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/rsmd0805/chips/chips.prt + R8_4.2 SIZE 1 + R8_4.2 SLOPE RSMAX + R8_4.2 TC1 RTMPL + R8_4.2 TC2 RTMPQ + R8_4.2 TOL_ON_OFF ON + R9_1.2 DIST FLAT + R9_1.2 HARD_LOCATION + R9_1.2 MAX_TEMP RTMAX + R9_1.2 NEGTOL RTOL% + R9_1.2 NO_SWAP_GATE_E + R9_1.2 POSTOL RTOL% + R9_1.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/rsmd0603/chips/chips.prt + R9_1.2 SIZE 1 + R9_1.2 SLOPE RSMAX + R9_1.2 TC1 RTMPL + R9_1.2 TC2 RTMPQ + R9_1.2 TOL_ON_OFF ON + R9_2.2 DIST FLAT + R9_2.2 HARD_LOCATION + R9_2.2 MAX_TEMP RTMAX + R9_2.2 NEGTOL RTOL% + R9_2.2 NO_SWAP_GATE_E + R9_2.2 POSTOL RTOL% + R9_2.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/rsmd0603/chips/chips.prt + R9_2.2 SIZE 1 + R9_2.2 SLOPE RSMAX + R9_2.2 TC1 RTMPL + R9_2.2 TC2 RTMPQ + R9_2.2 TOL_ON_OFF ON + R9_3.2 DIST FLAT + R9_3.2 HARD_LOCATION + R9_3.2 MAX_TEMP RTMAX + R9_3.2 NEGTOL RTOL% + R9_3.2 NO_SWAP_GATE_E + R9_3.2 POSTOL RTOL% + R9_3.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/rsmd0603/chips/chips.prt + R9_3.2 SIZE 1 + R9_3.2 SLOPE RSMAX + R9_3.2 TC1 RTMPL + R9_3.2 TC2 RTMPQ + R9_3.2 TOL_ON_OFF ON + R9_4.2 DIST FLAT +|------------------------------------------------------------------------------| +| ECO REPORT | +| Page 73 | |------------------------------------------------------------------------------| -| .../Cadence/worklib/fmc_tlu_toplevel_b/physical/fmc_tlu_v1a_66_gloss4.brd | -| Tue Feb 11 18:41:15 2014 | +| .../Cadence/worklib/fmc_tlu_toplevel_c/physical/fmc_tlu_v1a_66.brd | +| Fri May 20 14:54:08 2016 | |------------------------------------------------------------------------------| | SLOT PROPERTIES added to design | |- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | | slot_id | x | y | property | value | |- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | - R9_4.2 116.300 29.9000 HARD_LOCATION - R9_4.2 116.300 29.9000 NO_SWAP_GATE_E - REG1_6.1 14.7000 32.2000 HARD_LOCATION - REG1_6.1 14.7000 32.2000 NO_SWAP_GATE_E - RG1_6.C7 9.1000 14.7000 HARD_LOCATION - RG1_6.C7 9.1000 14.7000 NO_SWAP_GATE_E - RG2_6.C7 11.9000 -2.8000 HARD_LOCATION - RG2_6.C7 11.9000 -2.8000 NO_SWAP_GATE_E + R9_4.2 HARD_LOCATION + R9_4.2 MAX_TEMP RTMAX + R9_4.2 NEGTOL RTOL% + R9_4.2 NO_SWAP_GATE_E + R9_4.2 POSTOL RTOL% + R9_4.2 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/rsmd0603/chips/chips.prt + R9_4.2 SIZE 1 + R9_4.2 SLOPE RSMAX + R9_4.2 TC1 RTMPL + R9_4.2 TC2 RTMPQ + R9_4.2 TOL_ON_OFF ON + REG1_6.1 HARD_LOCATION + REG1_6.1 MANUF LINEAR TECHNOLOGY + REG1_6.1 NO_SWAP_GATE_E + REG1_6.1 PRIM_FILE /projects/HEP_Instrumentation/cad/bris_cdslib/lib_psd14.x/cds_special/lt1175/chips/chips.prt + RG1_6.C7 HARD_LOCATION + RG1_6.C7 NO_SWAP_GATE_E + RG1_6.C7 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnlinear/ltm8047/chips/chips.prt + RG1_6.C7 TYPE LTM8047EY#PBF + RG2_6.C7 HARD_LOCATION + RG2_6.C7 NO_SWAP_GATE_E + RG2_6.C7 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnlinear/ltm8047/chips/chips.prt + RG2_6.C7 TYPE LTM8047EY#PBF + RN1.5 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/res_array_x4/chips/chips.prt + RN1.5 SIZE 1 + RN1.6 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/res_array_x4/chips/chips.prt + RN1.6 SIZE 1 + RN1.7 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/res_array_x4/chips/chips.prt + RN1.7 SIZE 1 + RN1.8 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/res_array_x4/chips/chips.prt + RN1.8 SIZE 1 + RN2.5 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/res_array_x4/chips/chips.prt + RN2.5 SIZE 1 + RN2.6 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/res_array_x4/chips/chips.prt + RN2.6 SIZE 1 + RN2.7 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/res_array_x4/chips/chips.prt + RN2.7 SIZE 1 + RN2.8 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/res_array_x4/chips/chips.prt + RN2.8 SIZE 1 + RN3.5 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/res_array_x4/chips/chips.prt + RN3.5 SIZE 1 + RN3.6 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/res_array_x4/chips/chips.prt + RN3.6 SIZE 1 TP22.1 50.8000 23.3000 HARD_LOCATION TP22.1 50.8000 23.3000 NO_SWAP_GATE_E - TP1_1.1 58.4000 32.1000 HARD_LOCATION - TP1_1.1 58.4000 32.1000 NO_SWAP_GATE_E - TP1_2.1 77.4000 32.1000 HARD_LOCATION - TP1_2.1 77.4000 32.1000 NO_SWAP_GATE_E - TP1_3.1 96.4000 32.1000 HARD_LOCATION - TP1_3.1 96.4000 32.1000 NO_SWAP_GATE_E - TP1_4.1 115.400 32.1000 HARD_LOCATION - TP1_4.1 115.400 32.1000 NO_SWAP_GATE_E - TP2_1.1 61.6000 23.4000 HARD_LOCATION - TP2_1.1 61.6000 23.4000 NO_SWAP_GATE_E - TP2_2.1 80.6000 23.4000 HARD_LOCATION - TP2_2.1 80.6000 23.4000 NO_SWAP_GATE_E - TP2_3.1 99.6000 23.4000 HARD_LOCATION - TP2_3.1 99.6000 23.4000 NO_SWAP_GATE_E - TP2_4.1 118.600 23.4000 HARD_LOCATION - TP2_4.1 118.600 23.4000 NO_SWAP_GATE_E - TP3_1.1 60.7500 21.4500 HARD_LOCATION - TP3_1.1 60.7500 21.4500 NO_SWAP_GATE_E - TP3_2.1 76.8000 20.0000 HARD_LOCATION - TP3_2.1 76.8000 20.0000 NO_SWAP_GATE_E - TP3_3.1 95.8000 20.0000 HARD_LOCATION - TP3_3.1 95.8000 20.0000 NO_SWAP_GATE_E - TP3_4.1 114.800 20.0000 HARD_LOCATION - TP3_4.1 114.800 20.0000 NO_SWAP_GATE_E - TP4_1.1 57.1000 23.2000 HARD_LOCATION - TP4_1.1 57.1000 23.2000 NO_SWAP_GATE_E - TP4_2.1 76.1000 23.2000 HARD_LOCATION - TP4_2.1 76.1000 23.2000 NO_SWAP_GATE_E - TP4_3.1 95.1000 23.2000 HARD_LOCATION - TP4_3.1 95.1000 23.2000 NO_SWAP_GATE_E - TP4_4.1 114.100 23.2000 HARD_LOCATION - TP4_4.1 114.100 23.2000 NO_SWAP_GATE_E - TP5_1.1 67.0500 20.5000 HARD_LOCATION - TP5_1.1 67.0500 20.5000 NO_SWAP_GATE_E - TP5_2.1 86.0500 20.5000 HARD_LOCATION |------------------------------------------------------------------------------| | ECO REPORT | -| Page 10 | +| Page 74 | |------------------------------------------------------------------------------| -| .../Cadence/worklib/fmc_tlu_toplevel_b/physical/fmc_tlu_v1a_66_gloss4.brd | -| Tue Feb 11 18:41:15 2014 | +| .../Cadence/worklib/fmc_tlu_toplevel_c/physical/fmc_tlu_v1a_66.brd | +| Fri May 20 14:54:08 2016 | |------------------------------------------------------------------------------| | SLOT PROPERTIES added to design | |- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | | slot_id | x | y | property | value | |- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | - TP5_2.1 86.0500 20.5000 NO_SWAP_GATE_E - TP5_3.1 105.050 20.5000 HARD_LOCATION - TP5_3.1 105.050 20.5000 NO_SWAP_GATE_E - TP5_4.1 124.050 20.5000 HARD_LOCATION - TP5_4.1 124.050 20.5000 NO_SWAP_GATE_E - TP6_1.1 64.5500 20.5000 HARD_LOCATION - TP6_1.1 64.5500 20.5000 NO_SWAP_GATE_E - TP6_2.1 83.5500 20.5000 HARD_LOCATION - TP6_2.1 83.5500 20.5000 NO_SWAP_GATE_E - TP6_3.1 102.550 20.5000 HARD_LOCATION - TP6_3.1 102.550 20.5000 NO_SWAP_GATE_E - TP6_4.1 121.550 20.5000 HARD_LOCATION - TP6_4.1 121.550 20.5000 NO_SWAP_GATE_E - TP7_1.1 65.8500 17.9000 HARD_LOCATION - TP7_1.1 65.8500 17.9000 NO_SWAP_GATE_E - TP7_2.1 84.8500 17.9000 HARD_LOCATION - TP7_2.1 84.8500 17.9000 NO_SWAP_GATE_E - TP7_3.1 103.850 17.9000 HARD_LOCATION - TP7_3.1 103.850 17.9000 NO_SWAP_GATE_E - TP7_4.1 122.850 17.9000 HARD_LOCATION - TP7_4.1 122.850 17.9000 NO_SWAP_GATE_E - U1.1 26.5000 54.3000 HARD_LOCATION - U1.1 26.5000 54.3000 NO_SWAP_GATE_E - U1.2 26.5000 54.3000 HARD_LOCATION - U1.2 26.5000 54.3000 NO_SWAP_GATE_E - U1.4 26.5000 54.3000 HARD_LOCATION - U1.4 26.5000 54.3000 NO_SWAP_GATE_E - U1.5 26.5000 54.3000 HARD_LOCATION - U1.5 26.5000 54.3000 NO_SWAP_GATE_E - U1.6 26.5000 54.3000 HARD_LOCATION - U1.6 26.5000 54.3000 NO_SWAP_GATE_E - U1.7 26.5000 54.3000 HARD_LOCATION - U1.7 26.5000 54.3000 NO_SWAP_GATE_E - U1.9 26.5000 54.3000 HARD_LOCATION - U1.9 26.5000 54.3000 NO_SWAP_GATE_E - U1.10 26.5000 54.3000 HARD_LOCATION - U1.10 26.5000 54.3000 NO_SWAP_GATE_E - U2.1 10.2900 54.1100 HARD_LOCATION - U2.1 10.2900 54.1100 NO_SWAP_GATE_E - U2.2 10.2900 54.1100 HARD_LOCATION - U2.2 10.2900 54.1100 NO_SWAP_GATE_E - U2.4 10.2900 54.1100 HARD_LOCATION - U2.4 10.2900 54.1100 NO_SWAP_GATE_E - U2.5 10.2900 54.1100 HARD_LOCATION - U2.5 10.2900 54.1100 NO_SWAP_GATE_E + TP1_1.1 HARD_LOCATION + TP1_1.1 NO_SWAP_GATE_E + TP1_1.1 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/tp/chips/chips.prt + TP1_1.1 SIZE 1 + TP1_1.1 SYMMAP TRUE + TP1_2.1 HARD_LOCATION + TP1_2.1 NO_SWAP_GATE_E + TP1_2.1 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/tp/chips/chips.prt + TP1_2.1 SIZE 1 + TP1_2.1 SYMMAP TRUE + TP1_3.1 HARD_LOCATION + TP1_3.1 NO_SWAP_GATE_E + TP1_3.1 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/tp/chips/chips.prt + TP1_3.1 SIZE 1 + TP1_3.1 SYMMAP TRUE + TP1_4.1 HARD_LOCATION + TP1_4.1 NO_SWAP_GATE_E + TP1_4.1 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/tp/chips/chips.prt + TP1_4.1 SIZE 1 + TP1_4.1 SYMMAP TRUE + TP2_1.1 HARD_LOCATION + TP2_1.1 NO_SWAP_GATE_E + TP2_1.1 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/tp/chips/chips.prt + TP2_1.1 SIZE 1 + TP2_1.1 SYMMAP TRUE + TP2_2.1 HARD_LOCATION + TP2_2.1 NO_SWAP_GATE_E + TP2_2.1 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/tp/chips/chips.prt + TP2_2.1 SIZE 1 + TP2_2.1 SYMMAP TRUE + TP2_3.1 HARD_LOCATION + TP2_3.1 NO_SWAP_GATE_E + TP2_3.1 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/tp/chips/chips.prt + TP2_3.1 SIZE 1 + TP2_3.1 SYMMAP TRUE + TP2_4.1 HARD_LOCATION + TP2_4.1 NO_SWAP_GATE_E + TP2_4.1 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/tp/chips/chips.prt + TP2_4.1 SIZE 1 + TP2_4.1 SYMMAP TRUE + TP3_1.1 HARD_LOCATION + TP3_1.1 NO_SWAP_GATE_E + TP3_1.1 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/tp/chips/chips.prt + TP3_1.1 SIZE 1 + TP3_1.1 SYMMAP TRUE |------------------------------------------------------------------------------| | ECO REPORT | -| Page 11 | +| Page 75 | +|------------------------------------------------------------------------------| +| .../Cadence/worklib/fmc_tlu_toplevel_c/physical/fmc_tlu_v1a_66.brd | +| Fri May 20 14:54:08 2016 | +|------------------------------------------------------------------------------| +| SLOT PROPERTIES added to design | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | +| slot_id | x | y | property | value | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | + TP3_2.1 HARD_LOCATION + TP3_2.1 NO_SWAP_GATE_E + TP3_2.1 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/tp/chips/chips.prt + TP3_2.1 SIZE 1 + TP3_2.1 SYMMAP TRUE + TP3_3.1 HARD_LOCATION + TP3_3.1 NO_SWAP_GATE_E + TP3_3.1 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/tp/chips/chips.prt + TP3_3.1 SIZE 1 + TP3_3.1 SYMMAP TRUE + TP3_4.1 HARD_LOCATION + TP3_4.1 NO_SWAP_GATE_E + TP3_4.1 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/tp/chips/chips.prt + TP3_4.1 SIZE 1 + TP3_4.1 SYMMAP TRUE + TP4_1.1 HARD_LOCATION + TP4_1.1 NO_SWAP_GATE_E + TP4_1.1 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/tp/chips/chips.prt + TP4_1.1 SIZE 1 + TP4_1.1 SYMMAP TRUE + TP4_2.1 HARD_LOCATION + TP4_2.1 NO_SWAP_GATE_E + TP4_2.1 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/tp/chips/chips.prt + TP4_2.1 SIZE 1 + TP4_2.1 SYMMAP TRUE + TP4_3.1 HARD_LOCATION + TP4_3.1 NO_SWAP_GATE_E + TP4_3.1 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/tp/chips/chips.prt + TP4_3.1 SIZE 1 + TP4_3.1 SYMMAP TRUE + TP4_4.1 HARD_LOCATION + TP4_4.1 NO_SWAP_GATE_E + TP4_4.1 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/tp/chips/chips.prt + TP4_4.1 SIZE 1 + TP4_4.1 SYMMAP TRUE + TP5_1.1 HARD_LOCATION + TP5_1.1 NO_SWAP_GATE_E + TP5_1.1 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/tp/chips/chips.prt + TP5_1.1 SIZE 1 + TP5_1.1 SYMMAP TRUE + TP5_2.1 HARD_LOCATION + TP5_2.1 NO_SWAP_GATE_E + TP5_2.1 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/tp/chips/chips.prt + TP5_2.1 SIZE 1 + TP5_2.1 SYMMAP TRUE +|------------------------------------------------------------------------------| +| ECO REPORT | +| Page 76 | +|------------------------------------------------------------------------------| +| .../Cadence/worklib/fmc_tlu_toplevel_c/physical/fmc_tlu_v1a_66.brd | +| Fri May 20 14:54:08 2016 | +|------------------------------------------------------------------------------| +| SLOT PROPERTIES added to design | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | +| slot_id | x | y | property | value | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | + TP5_3.1 HARD_LOCATION + TP5_3.1 NO_SWAP_GATE_E + TP5_3.1 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/tp/chips/chips.prt + TP5_3.1 SIZE 1 + TP5_3.1 SYMMAP TRUE + TP5_4.1 HARD_LOCATION + TP5_4.1 NO_SWAP_GATE_E + TP5_4.1 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/tp/chips/chips.prt + TP5_4.1 SIZE 1 + TP5_4.1 SYMMAP TRUE + TP6_1.1 HARD_LOCATION + TP6_1.1 NO_SWAP_GATE_E + TP6_1.1 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/tp/chips/chips.prt + TP6_1.1 SIZE 1 + TP6_1.1 SYMMAP TRUE + TP6_2.1 HARD_LOCATION + TP6_2.1 NO_SWAP_GATE_E + TP6_2.1 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/tp/chips/chips.prt + TP6_2.1 SIZE 1 + TP6_2.1 SYMMAP TRUE + TP6_3.1 HARD_LOCATION + TP6_3.1 NO_SWAP_GATE_E + TP6_3.1 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/tp/chips/chips.prt + TP6_3.1 SIZE 1 + TP6_3.1 SYMMAP TRUE + TP6_4.1 HARD_LOCATION + TP6_4.1 NO_SWAP_GATE_E + TP6_4.1 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/tp/chips/chips.prt + TP6_4.1 SIZE 1 + TP6_4.1 SYMMAP TRUE + TP7_1.1 HARD_LOCATION + TP7_1.1 NO_SWAP_GATE_E + TP7_1.1 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/tp/chips/chips.prt + TP7_1.1 SIZE 1 + TP7_1.1 SYMMAP TRUE + TP7_2.1 HARD_LOCATION + TP7_2.1 NO_SWAP_GATE_E + TP7_2.1 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/tp/chips/chips.prt + TP7_2.1 SIZE 1 + TP7_2.1 SYMMAP TRUE + TP7_3.1 HARD_LOCATION + TP7_3.1 NO_SWAP_GATE_E + TP7_3.1 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/tp/chips/chips.prt + TP7_3.1 SIZE 1 + TP7_3.1 SYMMAP TRUE +|------------------------------------------------------------------------------| +| ECO REPORT | +| Page 77 | |------------------------------------------------------------------------------| -| .../Cadence/worklib/fmc_tlu_toplevel_b/physical/fmc_tlu_v1a_66_gloss4.brd | -| Tue Feb 11 18:41:15 2014 | +| .../Cadence/worklib/fmc_tlu_toplevel_c/physical/fmc_tlu_v1a_66.brd | +| Fri May 20 14:54:08 2016 | |------------------------------------------------------------------------------| | SLOT PROPERTIES added to design | |- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | | slot_id | x | y | property | value | |- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | - U2.6 10.2900 54.1100 HARD_LOCATION - U2.6 10.2900 54.1100 NO_SWAP_GATE_E - U2.7 10.2900 54.1100 HARD_LOCATION - U2.7 10.2900 54.1100 NO_SWAP_GATE_E - U2.9 10.2900 54.1100 HARD_LOCATION - U2.9 10.2900 54.1100 NO_SWAP_GATE_E - U2.10 10.2900 54.1100 HARD_LOCATION - U2.10 10.2900 54.1100 NO_SWAP_GATE_E - U3.1 47.7000 44.2000 HARD_LOCATION - U3.1 47.7000 44.2000 NO_SWAP_GATE_E - U3.2 47.7000 44.2000 HARD_LOCATION - U3.2 47.7000 44.2000 NO_SWAP_GATE_E - U3.4 47.7000 44.2000 HARD_LOCATION - U3.4 47.7000 44.2000 NO_SWAP_GATE_E - U3.5 47.7000 44.2000 HARD_LOCATION - U3.5 47.7000 44.2000 NO_SWAP_GATE_E - U3.6 47.7000 44.2000 HARD_LOCATION - U3.6 47.7000 44.2000 NO_SWAP_GATE_E - U3.7 47.7000 44.2000 HARD_LOCATION - U3.7 47.7000 44.2000 NO_SWAP_GATE_E - U3.9 47.7000 44.2000 HARD_LOCATION - U3.9 47.7000 44.2000 NO_SWAP_GATE_E - U3.10 47.7000 44.2000 HARD_LOCATION - U3.10 47.7000 44.2000 NO_SWAP_GATE_E - VR1_6.3 5.9000 31.6000 HARD_LOCATION - VR1_6.3 5.9000 31.6000 NO_SWAP_GATE_E - Z1.C 29.2000 50.4500 HARD_LOCATION - Z1.C 29.2000 50.4500 NO_SWAP_GATE_E - Z4.C 132.500 35.4000 HARD_LOCATION - Z4.C 132.500 35.4000 NO_SWAP_GATE_E -|------------------------------------------------------------------------------| -| total ECO changes reported 480 | + TP7_4.1 HARD_LOCATION + TP7_4.1 NO_SWAP_GATE_E + TP7_4.1 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cnpassive/tp/chips/chips.prt + TP7_4.1 SIZE 1 + TP7_4.1 SYMMAP TRUE + VR1_6.3 HARD_LOCATION + VR1_6.3 KL_COMMENTS - + VR1_6.3 MANUF LINEAR + VR1_6.3 NO_SWAP_GATE_E + VR1_6.3 OL_COMMENTS - + VR1_6.3 PL_COMMENTS - + VR1_6.3 PRIM_FILE /projects/HEP_Instrumentation/cad/bris_cdslib/lib_psd14.x/cds_analogue/lt1129cst#2d5/chips/chips.prt + VR1_6.3 SIZE 1 + Z4.C 132.500 35.4000 PRIM_FILE /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/concept_libs/pe16/pe_cern_lib/cndiscrete/zener/chips/chips.prt + Z4.C 132.500 35.4000 SIZE 1 + Z4.C 132.500 35.4000 TYPE BZT52-C3V6 +|------------------------------------------------------------------------------| +| ECO REPORT | +| Page 78 | +|------------------------------------------------------------------------------| +| .../Cadence/worklib/fmc_tlu_toplevel_c/physical/fmc_tlu_v1a_66.brd | +| Fri May 20 14:54:08 2016 | +|------------------------------------------------------------------------------| +| PIN PROPERTIES added to design | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | +| pin_id | x | y | property | value | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | + C7.1 12.5500 37.5000 CDS_PINID A(0) + C7.2 14.0500 37.5000 CDS_PINID B(0) + C8.1 4.3500 37.4000 CDS_PINID A(0) + C8.2 2.8500 37.4000 CDS_PINID B(0) + C9.1 -0.6000 35.1500 CDS_PINID A(0) + C9.2 -0.6000 33.6500 CDS_PINID B(0) + C10.1 10.3000 33.5500 CDS_PINID A(0) + C10.2 10.3000 35.0500 CDS_PINID B(0) + C12.1 79.5500 33.7000 CDS_PINID A(0) + C12.2 79.5500 31.9000 CDS_PINID B(0) + C13.1 98.5500 33.7000 CDS_PINID A(0) + C13.2 98.5500 31.9000 CDS_PINID B(0) + C10_1.1 CDS_PINID A(0) + C10_1.2 CDS_PINID B(0) + C10_2.1 CDS_PINID A(0) + C10_2.2 CDS_PINID B(0) + C10_3.1 CDS_PINID A(0) + C10_3.2 CDS_PINID B(0) + C10_4.1 CDS_PINID A(0) + C10_4.2 CDS_PINID B(0) + C10_6.1 CDS_PINID A(0) + C10_6.2 CDS_PINID B(0) + C11_6.1 CDS_PINID A(0) + C11_6.2 CDS_PINID B(0) + C12_6.1 CDS_PINID A(0) + C12_6.2 CDS_PINID B(0) + C1_1.1 CDS_PINID A(0) + C1_1.2 CDS_PINID B(0) + C1_2.1 CDS_PINID A(0) + C1_2.2 CDS_PINID B(0) + C1_3.1 CDS_PINID A(0) + C1_3.2 CDS_PINID B(0) + C1_4.1 CDS_PINID A(0) + C1_4.2 CDS_PINID B(0) + C1_6.1 CDS_PINID A(0) + C1_6.2 CDS_PINID B(0) + C2_1.1 CDS_PINID A(0) + C2_1.2 CDS_PINID B(0) + C2_2.1 CDS_PINID A(0) + C2_2.2 CDS_PINID B(0) + C2_3.1 CDS_PINID A(0) + C2_3.2 CDS_PINID B(0) + C2_4.1 CDS_PINID A(0) + C2_4.2 CDS_PINID B(0) + C2_6.1 CDS_PINID A(0) +|------------------------------------------------------------------------------| +| ECO REPORT | +| Page 79 | +|------------------------------------------------------------------------------| +| .../Cadence/worklib/fmc_tlu_toplevel_c/physical/fmc_tlu_v1a_66.brd | +| Fri May 20 14:54:08 2016 | +|------------------------------------------------------------------------------| +| PIN PROPERTIES added to design | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | +| pin_id | x | y | property | value | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | + C2_6.2 CDS_PINID B(0) + C3_1.1 CDS_PINID A(0) + C3_1.2 CDS_PINID B(0) + C3_2.1 CDS_PINID A(0) + C3_2.2 CDS_PINID B(0) + C3_3.1 CDS_PINID A(0) + C3_3.2 CDS_PINID B(0) + C3_4.1 CDS_PINID A(0) + C3_4.2 CDS_PINID B(0) + C3_6.1 CDS_PINID A(0) + C3_6.2 CDS_PINID B(0) + C4_1.1 CDS_PINID A(0) + C4_1.2 CDS_PINID B(0) + C4_2.1 CDS_PINID A(0) + C4_2.2 CDS_PINID B(0) + C4_3.1 CDS_PINID A(0) + C4_3.2 CDS_PINID B(0) + C4_4.1 CDS_PINID A(0) + C4_4.2 CDS_PINID B(0) + C4_6.1 CDS_PINID A(0) + C4_6.2 CDS_PINID B(0) + C5_1.1 CDS_PINID A(0) + C5_1.2 CDS_PINID B(0) + C5_2.1 CDS_PINID A(0) + C5_2.2 CDS_PINID B(0) + C5_3.1 CDS_PINID A(0) + C5_3.2 CDS_PINID B(0) + C5_4.1 CDS_PINID A(0) + C5_4.2 CDS_PINID B(0) + C5_6.1 CDS_PINID A(0) + C5_6.2 CDS_PINID B(0) + C6_1.1 CDS_PINID A(0) + C6_1.2 CDS_PINID B(0) + C6_2.1 CDS_PINID A(0) + C6_2.2 CDS_PINID B(0) + C6_3.1 CDS_PINID A(0) + C6_3.2 CDS_PINID B(0) + C6_4.1 CDS_PINID A(0) + C6_4.2 CDS_PINID B(0) + C6_6.1 CDS_PINID A(0) + C6_6.2 CDS_PINID B(0) + C7_1.1 CDS_PINID A(0) + C7_1.2 CDS_PINID B(0) + C7_2.1 CDS_PINID A(0) + C7_2.2 CDS_PINID B(0) +|------------------------------------------------------------------------------| +| ECO REPORT | +| Page 80 | +|------------------------------------------------------------------------------| +| .../Cadence/worklib/fmc_tlu_toplevel_c/physical/fmc_tlu_v1a_66.brd | +| Fri May 20 14:54:08 2016 | +|------------------------------------------------------------------------------| +| PIN PROPERTIES added to design | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | +| pin_id | x | y | property | value | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | + C7_3.1 CDS_PINID A(0) + C7_3.2 CDS_PINID B(0) + C7_4.1 CDS_PINID A(0) + C7_4.2 CDS_PINID B(0) + C7_6.1 CDS_PINID A(0) + C7_6.2 CDS_PINID B(0) + C8_1.1 CDS_PINID A(0) + C8_1.2 CDS_PINID B(0) + C8_2.1 CDS_PINID A(0) + C8_2.2 CDS_PINID B(0) + C8_3.1 CDS_PINID A(0) + C8_3.2 CDS_PINID B(0) + C8_4.1 CDS_PINID A(0) + C8_4.2 CDS_PINID B(0) + C8_6.1 CDS_PINID A(0) + C8_6.2 CDS_PINID B(0) + C9_1.1 CDS_PINID A(0) + C9_1.2 CDS_PINID B(0) + C9_2.1 CDS_PINID A(0) + C9_2.2 CDS_PINID B(0) + C9_3.1 CDS_PINID A(0) + C9_3.2 CDS_PINID B(0) + C9_4.1 CDS_PINID A(0) + C9_4.2 CDS_PINID B(0) + C9_6.1 CDS_PINID A(0) + C9_6.2 CDS_PINID B(0) + CN1.1 CDS_PINID A(0) + CN1.2 CDS_PINID B(0) + CN1.3 CDS_PINID A(0) + CN1.4 CDS_PINID B(0) + CN1.5 CDS_PINID A(0) + CN1.6 CDS_PINID B(0) + CN1.7 CDS_PINID A(0) + CN1.8 CDS_PINID B(0) + CN2.1 CDS_PINID A(0) + CN2.2 CDS_PINID B(0) + D1.1 36.4600 55.2600 CDS_PINID ESD1 + D1.2 35.8100 55.2600 CDS_PINID GND + D1.3 35.1600 55.2600 CDS_PINID ESD2 + D1.4 35.1600 53.0600 CDS_PINID ESD3 + D1.5 35.8100 53.0600 CDS_PINID VCC + D1.6 36.4600 53.0600 CDS_PINID ESD4 + D2.1 19.2829 55.2139 CDS_PINID ESD1 + D2.2 18.6329 55.2139 CDS_PINID GND + D2.3 17.9829 55.2139 CDS_PINID ESD2 +|------------------------------------------------------------------------------| +| ECO REPORT | +| Page 81 | +|------------------------------------------------------------------------------| +| .../Cadence/worklib/fmc_tlu_toplevel_c/physical/fmc_tlu_v1a_66.brd | +| Fri May 20 14:54:08 2016 | +|------------------------------------------------------------------------------| +| PIN PROPERTIES added to design | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | +| pin_id | x | y | property | value | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | + D2.4 17.9829 53.0139 CDS_PINID ESD3 + D2.5 18.6329 53.0139 CDS_PINID VCC + D2.6 19.2829 53.0139 CDS_PINID ESD4 + D4.1 64.2000 45.1500 CDS_PINID \i/o1\(0) + D4.2 64.2000 46.1000 CDS_PINID GND + D4.3 64.2000 47.0500 CDS_PINID \i/o2\(0) + D4.4 61.6000 47.0500 CDS_PINID \i/o2\(1) + D4.5 61.6000 46.1000 CDS_PINID VBUS + D4.6 61.6000 45.1500 CDS_PINID \i/o1\(1) + D1_1.1 CDS_PINID A + D1_1.2 CDS_PINID C + D1_1.3 CDS_PINID AC + D1_2.1 CDS_PINID A + D1_2.2 CDS_PINID C + D1_2.3 CDS_PINID AC + D1_3.1 CDS_PINID A + D1_3.2 CDS_PINID C + D1_3.3 CDS_PINID AC + D1_4.1 CDS_PINID A + D1_4.2 CDS_PINID C + D1_4.3 CDS_PINID AC + IC1.1 34.2500 54.9650 CDS_PINID DE(0) + IC1.3 35.2500 54.9650 CDS_PINID A(0) + IC1.4 35.7500 54.9650 CDS_PINID \b*\(0) + IC1.5 36.2500 54.9650 CDS_PINID DE(0) + IC1.8 37.7500 54.9650 CDS_PINID DE(0) + IC1.9 38.2500 54.9650 CDS_PINID A(0) + IC1.10 38.7500 54.9650 CDS_PINID \b*\(0) + IC1.12 39.7500 54.9650 CDS_PINID DE(0) + IC1.13 40.5000 55.7150 CDS_PINID A(0) + IC1.14 40.5000 56.2150 CDS_PINID \b*\(0) + IC1.19 40.5000 58.7150 CDS_PINID \re*\(0) + IC1.20 40.5000 59.2150 CDS_PINID FSEN(0) + IC1.21 40.5000 59.7150 CDS_PINID \re*\(0) + IC1.22 40.5000 60.2150 CDS_PINID FSEN(0) + IC1.25 39.7500 61.9650 CDS_PINID D(0) + IC1.26 39.2500 61.9650 CDS_PINID R(0) + IC1.28 38.2500 61.9650 CDS_PINID D(0) + IC1.29 37.7500 61.9650 CDS_PINID R(0) + IC1.30 37.2500 61.9650 CDS_PINID PDN + IC1.32 36.2500 61.9650 CDS_PINID D(0) + IC1.33 35.7500 61.9650 CDS_PINID R(0) + IC1.35 34.7500 61.9650 CDS_PINID D(0) + IC1.36 34.2500 61.9650 CDS_PINID R(0) + IC1.39 33.5000 60.2150 CDS_PINID FSEN(0) +|------------------------------------------------------------------------------| +| ECO REPORT | +| Page 82 | +|------------------------------------------------------------------------------| +| .../Cadence/worklib/fmc_tlu_toplevel_c/physical/fmc_tlu_v1a_66.brd | +| Fri May 20 14:54:08 2016 | +|------------------------------------------------------------------------------| +| PIN PROPERTIES added to design | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | +| pin_id | x | y | property | value | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | + IC1.40 33.5000 59.7150 CDS_PINID \re*\(0) + IC1.41 33.5000 59.2150 CDS_PINID FSEN(0) + IC1.42 33.5000 58.7150 CDS_PINID \re*\(0) + IC1.47 33.5000 56.2150 CDS_PINID A(0) + IC1.48 33.5000 55.7150 CDS_PINID \b*\(0) + IC2.1 17.3500 54.9570 CDS_PINID DE(0) + IC2.30 20.3500 61.9570 CDS_PINID PDN + IC2.35 17.8500 61.9570 CDS_PINID D(0) + IC2.36 17.3500 61.9570 CDS_PINID R(0) + IC2.39 16.6000 60.2070 CDS_PINID FSEN(0) + IC2.40 16.6000 59.7070 CDS_PINID \re*\(0) + IC2.47 16.6000 56.2070 CDS_PINID A(0) + IC2.48 16.6000 55.7070 CDS_PINID \b*\(0) + IC4.2 67.7500 23.9000 CDS_PINID \in-\ + IC4.3 68.2500 23.9000 CDS_PINID \in+\ + IC4.6 68.2500 26.3000 CDS_PINID \out+\ + IC4.7 67.7500 26.3000 CDS_PINID \out-\ + IC4.8 67.2500 26.3000 CDS_PINID EN + IC5.1 87.0000 25.1000 CDS_PINID EN + IC5.2 88.2700 25.1000 CDS_PINID \in\ + IC5.3 89.5400 25.1000 CDS_PINID GND(0) + IC5.4 90.8100 25.1000 CDS_PINID \out\ + IC5.5 92.0800 25.1000 CDS_PINID FB + IC5.6 89.5400 30.9000 CDS_PINID GND(1) + IC2_1.1 CDS_PINID Q(0) + IC2_1.2 CDS_PINID \q*\(0) + IC2_1.3 CDS_PINID \vcco_\(0) + IC2_1.4 CDS_PINID LE(0) + IC2_1.5 CDS_PINID \le*\(0) + IC2_1.6 CDS_PINID VEE(0) + IC2_1.7 CDS_PINID VCC(0) + IC2_1.8 CDS_PINID HYS(0) + IC2_1.9 CDS_PINID \in-\(0) + IC2_1.10 CDS_PINID \in+\(0) + IC2_1.11 CDS_PINID \in+\(0) + IC2_1.12 CDS_PINID \in-\(0) + IC2_1.13 CDS_PINID HYS(0) + IC2_1.14 CDS_PINID VCC(1) + IC2_1.15 CDS_PINID VEE(1) + IC2_1.16 CDS_PINID \le*\(0) + IC2_1.17 CDS_PINID LE(0) + IC2_1.18 CDS_PINID \vcco_\(1) + IC2_1.19 CDS_PINID \q*\(0) + IC2_1.20 CDS_PINID Q(0) + IC2_2.1 CDS_PINID Q(0) +|------------------------------------------------------------------------------| +| ECO REPORT | +| Page 83 | +|------------------------------------------------------------------------------| +| .../Cadence/worklib/fmc_tlu_toplevel_c/physical/fmc_tlu_v1a_66.brd | +| Fri May 20 14:54:08 2016 | +|------------------------------------------------------------------------------| +| PIN PROPERTIES added to design | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | +| pin_id | x | y | property | value | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | + IC2_2.2 CDS_PINID \q*\(0) + IC2_2.3 CDS_PINID \vcco_\(0) + IC2_2.4 CDS_PINID LE(0) + IC2_2.5 CDS_PINID \le*\(0) + IC2_2.6 CDS_PINID VEE(0) + IC2_2.7 CDS_PINID VCC(0) + IC2_2.8 CDS_PINID HYS(0) + IC2_2.9 CDS_PINID \in-\(0) + IC2_2.10 CDS_PINID \in+\(0) + IC2_2.11 CDS_PINID \in+\(0) + IC2_2.12 CDS_PINID \in-\(0) + IC2_2.13 CDS_PINID HYS(0) + IC2_2.14 CDS_PINID VCC(1) + IC2_2.15 CDS_PINID VEE(1) + IC2_2.16 CDS_PINID \le*\(0) + IC2_2.17 CDS_PINID LE(0) + IC2_2.18 CDS_PINID \vcco_\(1) + IC2_2.19 CDS_PINID \q*\(0) + IC2_2.20 CDS_PINID Q(0) + IC2_3.1 CDS_PINID Q(0) + IC2_3.2 CDS_PINID \q*\(0) + IC2_3.3 CDS_PINID \vcco_\(0) + IC2_3.4 CDS_PINID LE(0) + IC2_3.5 CDS_PINID \le*\(0) + IC2_3.6 CDS_PINID VEE(0) + IC2_3.7 CDS_PINID VCC(0) + IC2_3.8 CDS_PINID HYS(0) + IC2_3.9 CDS_PINID \in-\(0) + IC2_3.10 CDS_PINID \in+\(0) + IC2_3.11 CDS_PINID \in+\(0) + IC2_3.12 CDS_PINID \in-\(0) + IC2_3.13 CDS_PINID HYS(0) + IC2_3.14 CDS_PINID VCC(1) + IC2_3.15 CDS_PINID VEE(1) + IC2_3.16 CDS_PINID \le*\(0) + IC2_3.17 CDS_PINID LE(0) + IC2_3.18 CDS_PINID \vcco_\(1) + IC2_3.19 CDS_PINID \q*\(0) + IC2_3.20 CDS_PINID Q(0) + IC2_4.1 CDS_PINID Q(0) + IC2_4.2 CDS_PINID \q*\(0) + IC2_4.3 CDS_PINID \vcco_\(0) + IC2_4.4 CDS_PINID LE(0) + IC2_4.5 CDS_PINID \le*\(0) + IC2_4.6 CDS_PINID VEE(0) +|------------------------------------------------------------------------------| +| ECO REPORT | +| Page 84 | +|------------------------------------------------------------------------------| +| .../Cadence/worklib/fmc_tlu_toplevel_c/physical/fmc_tlu_v1a_66.brd | +| Fri May 20 14:54:08 2016 | +|------------------------------------------------------------------------------| +| PIN PROPERTIES added to design | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | +| pin_id | x | y | property | value | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | + IC2_4.7 CDS_PINID VCC(0) + IC2_4.8 CDS_PINID HYS(0) + IC2_4.9 CDS_PINID \in-\(0) + IC2_4.10 CDS_PINID \in+\(0) + IC2_4.11 CDS_PINID \in+\(0) + IC2_4.12 CDS_PINID \in-\(0) + IC2_4.13 CDS_PINID HYS(0) + IC2_4.14 CDS_PINID VCC(1) + IC2_4.15 CDS_PINID VEE(1) + IC2_4.16 CDS_PINID \le*\(0) + IC2_4.17 CDS_PINID LE(0) + IC2_4.18 CDS_PINID \vcco_\(1) + IC2_4.19 CDS_PINID \q*\(0) + IC2_4.20 CDS_PINID Q(0) + J1.1 16.2476 58.0700 CDS_PINID A(0) + J1.2 15.7476 58.0700 CDS_PINID A(1) + J1.3 15.2476 58.0700 CDS_PINID A(2) + J1.4 14.7476 58.0700 CDS_PINID A(3) + J1.5 14.2476 58.0700 CDS_PINID A(4) + J1.6 13.7476 58.0700 CDS_PINID A(5) + J1.7 13.2476 58.0700 CDS_PINID A(6) + J1.8 12.7476 58.0700 CDS_PINID A(7) + J1.9 12.2476 58.0700 CDS_PINID A(8) + J1.10 11.7476 58.0700 CDS_PINID A(9) + J1.11 11.2476 58.0700 CDS_PINID A(10) + J1.12 10.7476 58.0700 CDS_PINID A(11) + J1.13 10.2476 58.0700 CDS_PINID A(12) + J1.14 9.7476 58.0700 CDS_PINID A(13) + J1.15 9.2476 58.0700 CDS_PINID A(14) + J1.16 8.7476 58.0700 CDS_PINID A(15) + J1.17 8.2476 58.0700 CDS_PINID A(16) + J1.18 7.7476 58.0700 CDS_PINID A(17) + J1.19 7.2476 58.0700 CDS_PINID A(18) + L1.1 -0.2000 30.8500 CDS_PINID \2dot\(0) + L1.2 -0.2000 29.1500 CDS_PINID \2\(0) + L1.3 -1.0000 29.1500 CDS_PINID \1\(0) + L1.4 -1.0000 30.8500 CDS_PINID \1dot\(0) + L2.1 9.8000 23.5500 CDS_PINID \2dot\(0) + L2.2 9.8000 25.2500 CDS_PINID \2\(0) + L2.3 10.6000 25.2500 CDS_PINID \1\(0) + L2.4 10.6000 23.5500 CDS_PINID \1dot\(0) + L3.1 6.5000 23.4500 CDS_PINID \2dot\(0) + L3.2 6.5000 25.1500 CDS_PINID \2\(0) + L3.3 7.3000 25.1500 CDS_PINID \1\(0) + L3.4 7.3000 23.4500 CDS_PINID \1dot\(0) +|------------------------------------------------------------------------------| +| ECO REPORT | +| Page 85 | +|------------------------------------------------------------------------------| +| .../Cadence/worklib/fmc_tlu_toplevel_c/physical/fmc_tlu_v1a_66.brd | +| Fri May 20 14:54:08 2016 | +|------------------------------------------------------------------------------| +| PIN PROPERTIES added to design | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | +| pin_id | x | y | property | value | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | + L4.1 CDS_PINID \2dot\(0) + L4.2 CDS_PINID \2\(0) + L4.3 CDS_PINID \1\(0) + L4.4 CDS_PINID \1dot\(0) + L5.1 CDS_PINID \2dot\(0) + L5.2 CDS_PINID \2\(0) + L5.3 CDS_PINID \1\(0) + L5.4 CDS_PINID \1dot\(0) + L1_6.1 CDS_PINID A(0) + L1_6.2 CDS_PINID B(0) + L2_6.1 CDS_PINID A(0) + L2_6.2 CDS_PINID B(0) + L3_6.1 CDS_PINID A(0) + L3_6.2 CDS_PINID B(0) + PX1.1 CDS_PINID A + PX1.2 CDS_PINID B + PX1_1.1 CDS_PINID A + PX1_2.1 CDS_PINID A + PX1_3.1 CDS_PINID A + PX1_4.1 CDS_PINID A + PX2_1.1 CDS_PINID A + PX2_2.1 CDS_PINID A + PX2_3.1 CDS_PINID A + PX2_4.1 CDS_PINID A + R11.1 64.7500 34.0000 CDS_PINID A(0) + R11.2 63.2500 34.0000 CDS_PINID B(0) + R12.1 83.7500 34.0000 CDS_PINID A(0) + R12.2 82.2500 34.0000 CDS_PINID B(0) + R10_1.1 CDS_PINID A(0) + R10_1.2 CDS_PINID B(0) + R10_2.1 CDS_PINID A(0) + R10_2.2 CDS_PINID B(0) + R10_3.1 CDS_PINID A(0) + R10_3.2 CDS_PINID B(0) + R10_4.1 CDS_PINID A(0) + R10_4.2 CDS_PINID B(0) + R11_1.1 CDS_PINID A(0) + R11_1.2 CDS_PINID B(0) + R11_2.1 CDS_PINID A(0) + R11_2.2 CDS_PINID B(0) + R11_3.1 CDS_PINID A(0) + R11_3.2 CDS_PINID B(0) + R11_4.1 CDS_PINID A(0) + R11_4.2 CDS_PINID B(0) + R12_1.1 CDS_PINID A(0) +|------------------------------------------------------------------------------| +| ECO REPORT | +| Page 86 | +|------------------------------------------------------------------------------| +| .../Cadence/worklib/fmc_tlu_toplevel_c/physical/fmc_tlu_v1a_66.brd | +| Fri May 20 14:54:08 2016 | +|------------------------------------------------------------------------------| +| PIN PROPERTIES added to design | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | +| pin_id | x | y | property | value | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | + R12_1.2 CDS_PINID B(0) + R12_2.1 CDS_PINID A(0) + R12_2.2 CDS_PINID B(0) + R12_3.1 CDS_PINID A(0) + R12_3.2 CDS_PINID B(0) + R12_4.1 CDS_PINID A(0) + R12_4.2 CDS_PINID B(0) + R13_1.1 CDS_PINID A(0) + R13_1.2 CDS_PINID B(0) + R13_2.1 CDS_PINID A(0) + R13_2.2 CDS_PINID B(0) + R13_3.1 CDS_PINID A(0) + R13_3.2 CDS_PINID B(0) + R13_4.1 CDS_PINID A(0) + R13_4.2 CDS_PINID B(0) + R14_1.1 CDS_PINID A(0) + R14_1.2 CDS_PINID B(0) + R14_2.1 CDS_PINID A(0) + R14_2.2 CDS_PINID B(0) + R14_3.1 CDS_PINID A(0) + R14_3.2 CDS_PINID B(0) + R14_4.1 CDS_PINID A(0) + R14_4.2 CDS_PINID B(0) + R1_6.1 CDS_PINID A(0) + R1_6.2 CDS_PINID B(0) + R2_1.1 CDS_PINID A(0) + R2_1.2 CDS_PINID B(0) + R2_2.1 CDS_PINID A(0) + R2_2.2 CDS_PINID B(0) + R2_3.1 CDS_PINID A(0) + R2_3.2 CDS_PINID B(0) + R2_4.1 CDS_PINID A(0) + R2_4.2 CDS_PINID B(0) + R2_6.1 CDS_PINID A(0) + R2_6.2 CDS_PINID B(0) + R3_1.1 CDS_PINID A(0) + R3_1.2 CDS_PINID B(0) + R3_2.1 CDS_PINID A(0) + R3_2.2 CDS_PINID B(0) + R3_3.1 CDS_PINID A(0) + R3_3.2 CDS_PINID B(0) + R3_4.1 CDS_PINID A(0) + R3_4.2 CDS_PINID B(0) + R3_6.1 CDS_PINID A(0) + R3_6.2 CDS_PINID B(0) +|------------------------------------------------------------------------------| +| ECO REPORT | +| Page 87 | +|------------------------------------------------------------------------------| +| .../Cadence/worklib/fmc_tlu_toplevel_c/physical/fmc_tlu_v1a_66.brd | +| Fri May 20 14:54:08 2016 | +|------------------------------------------------------------------------------| +| PIN PROPERTIES added to design | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | +| pin_id | x | y | property | value | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | + R5_1.1 CDS_PINID A(0) + R5_1.2 CDS_PINID B(0) + R5_2.1 CDS_PINID A(0) + R5_2.2 CDS_PINID B(0) + R5_3.1 CDS_PINID A(0) + R5_3.2 CDS_PINID B(0) + R5_4.1 CDS_PINID A(0) + R5_4.2 CDS_PINID B(0) + R6_1.1 CDS_PINID A(0) + R6_1.2 CDS_PINID B(0) + R6_2.1 CDS_PINID A(0) + R6_2.2 CDS_PINID B(0) + R6_3.1 CDS_PINID A(0) + R6_3.2 CDS_PINID B(0) + R6_4.1 CDS_PINID A(0) + R6_4.2 CDS_PINID B(0) + R7_1.1 CDS_PINID A(0) + R7_1.2 CDS_PINID B(0) + R7_2.1 CDS_PINID A(0) + R7_2.2 CDS_PINID B(0) + R7_3.1 CDS_PINID A(0) + R7_3.2 CDS_PINID B(0) + R7_4.1 CDS_PINID A(0) + R7_4.2 CDS_PINID B(0) + R8_1.1 CDS_PINID A(0) + R8_1.2 CDS_PINID B(0) + R8_2.1 CDS_PINID A(0) + R8_2.2 CDS_PINID B(0) + R8_3.1 CDS_PINID A(0) + R8_3.2 CDS_PINID B(0) + R8_4.1 CDS_PINID A(0) + R8_4.2 CDS_PINID B(0) + R9_1.1 CDS_PINID A(0) + R9_1.2 CDS_PINID B(0) + R9_2.1 CDS_PINID A(0) + R9_2.2 CDS_PINID B(0) + R9_3.1 CDS_PINID A(0) + R9_3.2 CDS_PINID B(0) + R9_4.1 CDS_PINID A(0) + R9_4.2 CDS_PINID B(0) + REG1_6.1 CDS_PINID V_OUT + REG1_6.2 CDS_PINID V_IN0 + REG1_6.3 CDS_PINID GND + REG1_6.4 CDS_PINID V_IN1 + RG1_6.A1 CDS_PINID \vout-\(0) +|------------------------------------------------------------------------------| +| ECO REPORT | +| Page 88 | +|------------------------------------------------------------------------------| +| .../Cadence/worklib/fmc_tlu_toplevel_c/physical/fmc_tlu_v1a_66.brd | +| Fri May 20 14:54:08 2016 | +|------------------------------------------------------------------------------| +| PIN PROPERTIES added to design | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | +| pin_id | x | y | property | value | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | + RG1_6.A2 CDS_PINID \vout-\(1) + RG1_6.A3 CDS_PINID \vout-\(2) + RG1_6.A4 CDS_PINID \vout-\(3) + RG1_6.A5 CDS_PINID \vout-\(4) + RG1_6.A6 CDS_PINID VOUT(0) + RG1_6.A7 CDS_PINID VOUT(1) + RG1_6.B1 CDS_PINID \vout-\(5) + RG1_6.B2 CDS_PINID \vout-\(6) + RG1_6.B3 CDS_PINID \vout-\(7) + RG1_6.B4 CDS_PINID \vout-\(8) + RG1_6.B5 CDS_PINID \vout-\(9) + RG1_6.B6 CDS_PINID VOUT(2) + RG1_6.B7 CDS_PINID VOUT(3) + RG1_6.C1 CDS_PINID \vout-\(10) + RG1_6.C2 CDS_PINID \vout-\(11) + RG1_6.C3 CDS_PINID \vout-\(12) + RG1_6.C4 CDS_PINID \vout-\(13) + RG1_6.C5 CDS_PINID \vout-\(14) + RG1_6.C6 CDS_PINID VOUT(4) + RG1_6.C7 CDS_PINID VOUT(5) + RG1_6.E1 CDS_PINID GND(0) + RG1_6.E2 CDS_PINID GND(1) + RG1_6.E3 CDS_PINID GND(2) + RG1_6.E4 CDS_PINID GND(3) + RG1_6.E5 CDS_PINID GND(4) + RG1_6.E6 CDS_PINID GND(5) + RG1_6.E7 CDS_PINID GND(6) + RG1_6.F3 CDS_PINID RUN + RG1_6.F4 CDS_PINID GND(7) + RG1_6.F5 CDS_PINID GND(8) + RG1_6.F6 CDS_PINID GND(9) + RG1_6.F7 CDS_PINID GND(10) + RG1_6.G1 CDS_PINID VIN(2) + RG1_6.G2 CDS_PINID VIN(3) + RG1_6.G4 CDS_PINID GND(11) + RG1_6.G5 CDS_PINID GND(12) + RG1_6.G6 CDS_PINID GND(13) + RG1_6.G7 CDS_PINID ADJ + RG1_6.H1 CDS_PINID VIN(0) + RG1_6.H2 CDS_PINID VIN(1) + RG1_6.H4 CDS_PINID GND(14) + RG1_6.H5 CDS_PINID BIAS + RG1_6.H6 CDS_PINID SS + RG1_6.H7 CDS_PINID GND(15) + RG2_6.A1 CDS_PINID \vout-\(0) +|------------------------------------------------------------------------------| +| ECO REPORT | +| Page 89 | +|------------------------------------------------------------------------------| +| .../Cadence/worklib/fmc_tlu_toplevel_c/physical/fmc_tlu_v1a_66.brd | +| Fri May 20 14:54:08 2016 | +|------------------------------------------------------------------------------| +| PIN PROPERTIES added to design | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | +| pin_id | x | y | property | value | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | + RG2_6.A2 CDS_PINID \vout-\(1) + RG2_6.A3 CDS_PINID \vout-\(2) + RG2_6.A4 CDS_PINID \vout-\(3) + RG2_6.A5 CDS_PINID \vout-\(4) + RG2_6.A6 CDS_PINID VOUT(0) + RG2_6.A7 CDS_PINID VOUT(1) + RG2_6.B1 CDS_PINID \vout-\(5) + RG2_6.B2 CDS_PINID \vout-\(6) + RG2_6.B3 CDS_PINID \vout-\(7) + RG2_6.B4 CDS_PINID \vout-\(8) + RG2_6.B5 CDS_PINID \vout-\(9) + RG2_6.B6 CDS_PINID VOUT(2) + RG2_6.B7 CDS_PINID VOUT(3) + RG2_6.C1 CDS_PINID \vout-\(10) + RG2_6.C2 CDS_PINID \vout-\(11) + RG2_6.C3 CDS_PINID \vout-\(12) + RG2_6.C4 CDS_PINID \vout-\(13) + RG2_6.C5 CDS_PINID \vout-\(14) + RG2_6.C6 CDS_PINID VOUT(4) + RG2_6.C7 CDS_PINID VOUT(5) + RG2_6.E1 CDS_PINID GND(0) + RG2_6.E2 CDS_PINID GND(1) + RG2_6.E3 CDS_PINID GND(2) + RG2_6.E4 CDS_PINID GND(3) + RG2_6.E5 CDS_PINID GND(4) + RG2_6.E6 CDS_PINID GND(5) + RG2_6.E7 CDS_PINID GND(6) + RG2_6.F3 CDS_PINID RUN + RG2_6.F4 CDS_PINID GND(7) + RG2_6.F5 CDS_PINID GND(8) + RG2_6.F6 CDS_PINID GND(9) + RG2_6.F7 CDS_PINID GND(10) + RG2_6.G1 CDS_PINID VIN(2) + RG2_6.G2 CDS_PINID VIN(3) + RG2_6.G4 CDS_PINID GND(11) + RG2_6.G5 CDS_PINID GND(12) + RG2_6.G6 CDS_PINID GND(13) + RG2_6.G7 CDS_PINID ADJ + RG2_6.H1 CDS_PINID VIN(0) + RG2_6.H2 CDS_PINID VIN(1) + RG2_6.H4 CDS_PINID GND(14) + RG2_6.H5 CDS_PINID BIAS + RG2_6.H6 CDS_PINID SS + RG2_6.H7 CDS_PINID GND(15) + RN1.1 CDS_PINID A(0) +|------------------------------------------------------------------------------| +| ECO REPORT | +| Page 90 | +|------------------------------------------------------------------------------| +| .../Cadence/worklib/fmc_tlu_toplevel_c/physical/fmc_tlu_v1a_66.brd | +| Fri May 20 14:54:08 2016 | +|------------------------------------------------------------------------------| +| PIN PROPERTIES added to design | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | +| pin_id | x | y | property | value | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | + RN1.2 CDS_PINID A(0) + RN1.3 CDS_PINID A(0) + RN1.4 CDS_PINID A(0) + RN1.5 CDS_PINID B(0) + RN1.6 CDS_PINID B(0) + RN1.7 CDS_PINID B(0) + RN1.8 CDS_PINID B(0) + RN2.1 CDS_PINID A(0) + RN2.2 CDS_PINID A(0) + RN2.3 CDS_PINID A(0) + RN2.4 CDS_PINID A(0) + RN2.5 CDS_PINID B(0) + RN2.6 CDS_PINID B(0) + RN2.7 CDS_PINID B(0) + RN2.8 CDS_PINID B(0) + RN3.3 CDS_PINID A(0) + RN3.4 CDS_PINID A(0) + RN3.5 CDS_PINID B(0) + RN3.6 CDS_PINID B(0) + TP1_1.1 CDS_PINID A(0) + TP1_2.1 CDS_PINID A(0) + TP1_3.1 CDS_PINID A(0) + TP1_4.1 CDS_PINID A(0) + TP2_1.1 CDS_PINID A(0) + TP2_2.1 CDS_PINID A(0) + TP2_3.1 CDS_PINID A(0) + TP2_4.1 CDS_PINID A(0) + TP3_1.1 CDS_PINID A(0) + TP3_2.1 CDS_PINID A(0) + TP3_3.1 CDS_PINID A(0) + TP3_4.1 CDS_PINID A(0) + TP4_1.1 CDS_PINID A(0) + TP4_2.1 CDS_PINID A(0) + TP4_3.1 CDS_PINID A(0) + TP4_4.1 CDS_PINID A(0) + TP5_1.1 CDS_PINID A(0) + TP5_2.1 CDS_PINID A(0) + TP5_3.1 CDS_PINID A(0) + TP5_4.1 CDS_PINID A(0) + TP6_1.1 CDS_PINID A(0) + TP6_2.1 CDS_PINID A(0) + TP6_3.1 CDS_PINID A(0) + TP6_4.1 CDS_PINID A(0) + TP7_1.1 CDS_PINID A(0) + TP7_2.1 CDS_PINID A(0) +|------------------------------------------------------------------------------| +| ECO REPORT | +| Page 91 | +|------------------------------------------------------------------------------| +| .../Cadence/worklib/fmc_tlu_toplevel_c/physical/fmc_tlu_v1a_66.brd | +| Fri May 20 14:54:08 2016 | +|------------------------------------------------------------------------------| +| PIN PROPERTIES added to design | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | +| pin_id | x | y | property | value | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | + TP7_3.1 CDS_PINID A(0) + TP7_4.1 CDS_PINID A(0) + VR1_6.1 CDS_PINID VIN + VR1_6.2 CDS_PINID GND + VR1_6.3 CDS_PINID VOUT + VR1_6.4 CDS_PINID TAB +|------------------------------------------------------------------------------| +| Nets changed : 643 | +| Net property added : 10 | +| Comp definitions added: 13 | +| Components added : 161 | +| Components deleted : 160 | +| Components changed : 27 | +| Comp property added : 462 | +| Comp property deleted : 66 | +| Pin property added : 591 | +| Slot property added : 1276 | +| | +| Total ECO changes reported: 3409 | |------------------------------------------------------------------------------| diff --git a/circuit_board/Cadence/worklib/fmc_tlu_toplevel_c/physical/eco.txt,1 b/circuit_board/Cadence/worklib/fmc_tlu_toplevel_c/physical/eco.txt,1 index 0898393735e843522c9461d3e72686961d2e2856..85d2097d334d1189b82e9c2abd5f43087ad1fb43 100644 --- a/circuit_board/Cadence/worklib/fmc_tlu_toplevel_c/physical/eco.txt,1 +++ b/circuit_board/Cadence/worklib/fmc_tlu_toplevel_c/physical/eco.txt,1 @@ -1,20 +1,604 @@ -|------------------------------------------------------------------------------| -| ECO REPORT | -| Page 1 | -|------------------------------------------------------------------------------| -| X:.../Cadence/worklib/fmc_tlu_toplevel/physical/fmc_tlu_v1_44.brd | -| Thu Dec 06 10:13:00 2012 | -|------------------------------------------------------------------------------| -| NET CHANGES | -|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | -| net name | type of change | pin_id | x | y | to net | -|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | - DUMMY8 pins MOVED FROM this net (to net name listed on right) - J4.G30 89.4241 -0.8565 - FMC_LA<29> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - FMC_LA<29> pins ADDED TO this new net - PL1.6 21.7560 4.8870 -|------------------------------------------------------------------------------| -| total ECO changes reported 2 | -|------------------------------------------------------------------------------| +|------------------------------------------------------------------------------| +| ECO REPORT | +| Page 1 | +|------------------------------------------------------------------------------| +| .../Cadence/worklib/fmc_tlu_toplevel_b/physical/fmc_tlu_v1a_66_gloss4.brd | +| Tue Feb 11 18:41:15 2014 | +|------------------------------------------------------------------------------| +| SLOT PROPERTIES added to design | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | +| slot_id | x | y | property | value | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | + C1.2 128.601 49.4930 HARD_LOCATION + C1.2 128.601 49.4930 NO_SWAP_GATE_E + C6.2 41.8000 44.9000 HARD_LOCATION + C6.2 41.8000 44.9000 NO_SWAP_GATE_E + C29.2 43.3500 23.7000 HARD_LOCATION + C29.2 43.3500 23.7000 NO_SWAP_GATE_E + C40.2 43.9000 16.2500 HARD_LOCATION + C40.2 43.9000 16.2500 NO_SWAP_GATE_E + C42.2 44.0000 11.4000 HARD_LOCATION + C42.2 44.0000 11.4000 NO_SWAP_GATE_E + C46.2 31.6000 63.3430 HARD_LOCATION + C46.2 31.6000 63.3430 NO_SWAP_GATE_E + C47.2 25.8000 63.3430 HARD_LOCATION + C47.2 25.8000 63.3430 NO_SWAP_GATE_E + C48.2 14.4000 63.3430 HARD_LOCATION + C48.2 14.4000 63.3430 NO_SWAP_GATE_E + C49.2 9.0000 63.3430 HARD_LOCATION + C49.2 9.0000 63.3430 NO_SWAP_GATE_E + C50.2 20.9000 59.5090 HARD_LOCATION + C50.2 20.9000 59.5090 NO_SWAP_GATE_E + C51.2 37.4000 59.5040 HARD_LOCATION + C51.2 37.4000 59.5040 NO_SWAP_GATE_E + C52.2 40.5000 54.7240 HARD_LOCATION + C52.2 40.5000 54.7240 NO_SWAP_GATE_E + C53.2 53.9000 54.5000 HARD_LOCATION + C53.2 53.9000 54.5000 NO_SWAP_GATE_E + C54.2 26.4600 53.2400 HARD_LOCATION + C54.2 26.4600 53.2400 NO_SWAP_GATE_E + C55.2 10.3100 53.0200 HARD_LOCATION + C55.2 10.3100 53.0200 NO_SWAP_GATE_E + C56.2 47.8100 43.2700 HARD_LOCATION + C56.2 47.8100 43.2700 NO_SWAP_GATE_E + C65.2 49.7000 30.0000 HARD_LOCATION + C65.2 49.7000 30.0000 NO_SWAP_GATE_E + C70.2 50.3000 19.6300 HARD_LOCATION + C70.2 50.3000 19.6300 NO_SWAP_GATE_E + C71.2 47.3000 17.8040 HARD_LOCATION + C71.2 47.3000 17.8040 NO_SWAP_GATE_E + C10_1.2 62.2500 30.2000 HARD_LOCATION + C10_1.2 62.2500 30.2000 NO_SWAP_GATE_E + C10_2.2 81.2500 30.2000 HARD_LOCATION + C10_2.2 81.2500 30.2000 NO_SWAP_GATE_E + C10_3.2 100.250 30.2000 HARD_LOCATION + C10_3.2 100.250 30.2000 NO_SWAP_GATE_E + C10_4.2 119.250 30.2000 HARD_LOCATION +|------------------------------------------------------------------------------| +| ECO REPORT | +| Page 2 | +|------------------------------------------------------------------------------| +| .../Cadence/worklib/fmc_tlu_toplevel_b/physical/fmc_tlu_v1a_66_gloss4.brd | +| Tue Feb 11 18:41:15 2014 | +|------------------------------------------------------------------------------| +| SLOT PROPERTIES added to design | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | +| slot_id | x | y | property | value | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | + C10_4.2 119.250 30.2000 NO_SWAP_GATE_E + C10_6.2 13.8000 26.3000 HARD_LOCATION + C10_6.2 13.8000 26.3000 NO_SWAP_GATE_E + C11_6.2 13.3000 37.5000 HARD_LOCATION + C11_6.2 13.3000 37.5000 NO_SWAP_GATE_E + C12_6.2 3.6000 37.4000 HARD_LOCATION + C12_6.2 3.6000 37.4000 NO_SWAP_GATE_E + C1_1.2 65.7000 46.1000 HARD_LOCATION + C1_1.2 65.7000 46.1000 NO_SWAP_GATE_E + C1_2.2 84.7000 46.1000 HARD_LOCATION + C1_2.2 84.7000 46.1000 NO_SWAP_GATE_E + C1_3.2 103.700 46.1000 HARD_LOCATION + C1_3.2 103.700 46.1000 NO_SWAP_GATE_E + C1_4.2 122.700 46.1000 HARD_LOCATION + C1_4.2 122.700 46.1000 NO_SWAP_GATE_E + C1_6.2 -0.6000 34.4000 HARD_LOCATION + C1_6.2 -0.6000 34.4000 NO_SWAP_GATE_E + C2_1.2 60.5500 32.8000 HARD_LOCATION + C2_1.2 60.5500 32.8000 NO_SWAP_GATE_E + C2_2.2 79.5500 32.8000 HARD_LOCATION + C2_2.2 79.5500 32.8000 NO_SWAP_GATE_E + C2_3.2 98.5500 32.8000 HARD_LOCATION + C2_3.2 98.5500 32.8000 NO_SWAP_GATE_E + C2_4.2 117.550 32.8000 HARD_LOCATION + C2_4.2 117.550 32.8000 NO_SWAP_GATE_E + C2_6.2 3.1000 24.2000 HARD_LOCATION + C2_6.2 3.1000 24.2000 NO_SWAP_GATE_E + C3_1.2 74.0500 18.4000 HARD_LOCATION + C3_1.2 74.0500 18.4000 NO_SWAP_GATE_E + C3_2.2 93.0500 18.4000 HARD_LOCATION + C3_2.2 93.0500 18.4000 NO_SWAP_GATE_E + C3_3.2 112.050 18.4000 HARD_LOCATION + C3_3.2 112.050 18.4000 NO_SWAP_GATE_E + C3_4.2 131.050 18.4000 HARD_LOCATION + C3_4.2 131.050 18.4000 NO_SWAP_GATE_E + C3_6.2 -0.4000 11.9000 HARD_LOCATION + C3_6.2 -0.4000 11.9000 NO_SWAP_GATE_E + C4_1.2 72.6500 22.7000 HARD_LOCATION + C4_1.2 72.6500 22.7000 NO_SWAP_GATE_E + C4_2.2 91.6500 22.7000 HARD_LOCATION + C4_2.2 91.6500 22.7000 NO_SWAP_GATE_E + C4_3.2 110.650 22.7000 HARD_LOCATION + C4_3.2 110.650 22.7000 NO_SWAP_GATE_E + C4_4.2 129.650 22.7000 HARD_LOCATION + C4_4.2 129.650 22.7000 NO_SWAP_GATE_E +|------------------------------------------------------------------------------| +| ECO REPORT | +| Page 3 | +|------------------------------------------------------------------------------| +| .../Cadence/worklib/fmc_tlu_toplevel_b/physical/fmc_tlu_v1a_66_gloss4.brd | +| Tue Feb 11 18:41:15 2014 | +|------------------------------------------------------------------------------| +| SLOT PROPERTIES added to design | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | +| slot_id | x | y | property | value | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | + C4_6.2 15.2000 6.5000 HARD_LOCATION + C4_6.2 15.2000 6.5000 NO_SWAP_GATE_E + C5_1.2 71.5000 26.3000 HARD_LOCATION + C5_1.2 71.5000 26.3000 NO_SWAP_GATE_E + C5_2.2 90.5000 26.3000 HARD_LOCATION + C5_2.2 90.5000 26.3000 NO_SWAP_GATE_E + C5_3.2 109.500 26.3000 HARD_LOCATION + C5_3.2 109.500 26.3000 NO_SWAP_GATE_E + C5_4.2 128.500 26.3000 HARD_LOCATION + C5_4.2 128.500 26.3000 NO_SWAP_GATE_E + C5_6.2 -0.6000 19.1000 HARD_LOCATION + C5_6.2 -0.6000 19.1000 NO_SWAP_GATE_E + C6_1.2 59.6000 28.4000 HARD_LOCATION + C6_1.2 59.6000 28.4000 NO_SWAP_GATE_E + C6_2.2 78.6000 28.4000 HARD_LOCATION + C6_2.2 78.6000 28.4000 NO_SWAP_GATE_E + C6_3.2 97.6000 28.4000 HARD_LOCATION + C6_3.2 97.6000 28.4000 NO_SWAP_GATE_E + C6_4.2 116.600 28.4000 HARD_LOCATION + C6_4.2 116.600 28.4000 NO_SWAP_GATE_E + C6_6.2 9.2000 6.5000 HARD_LOCATION + C6_6.2 9.2000 6.5000 NO_SWAP_GATE_E + C7_1.2 67.9000 30.2000 HARD_LOCATION + C7_1.2 67.9000 30.2000 NO_SWAP_GATE_E + C7_2.2 86.9000 30.2000 HARD_LOCATION + C7_2.2 86.9000 30.2000 NO_SWAP_GATE_E + C7_3.2 105.900 30.2000 HARD_LOCATION + C7_3.2 105.900 30.2000 NO_SWAP_GATE_E + C7_4.2 124.900 30.2000 HARD_LOCATION + C7_4.2 124.900 30.2000 NO_SWAP_GATE_E + C7_6.2 2.4000 -8.4000 HARD_LOCATION + C7_6.2 2.4000 -8.4000 NO_SWAP_GATE_E + C8_1.2 59.3000 26.7000 HARD_LOCATION + C8_1.2 59.3000 26.7000 NO_SWAP_GATE_E + C8_2.2 78.3000 26.7000 HARD_LOCATION + C8_2.2 78.3000 26.7000 NO_SWAP_GATE_E + C8_3.2 97.3000 26.7000 HARD_LOCATION + C8_3.2 97.3000 26.7000 NO_SWAP_GATE_E + C8_4.2 116.300 26.7000 HARD_LOCATION + C8_4.2 116.300 26.7000 NO_SWAP_GATE_E + C8_6.2 18.7000 18.9000 HARD_LOCATION + C8_6.2 18.7000 18.9000 NO_SWAP_GATE_E + C9_1.2 67.9000 26.9000 HARD_LOCATION + C9_1.2 67.9000 26.9000 NO_SWAP_GATE_E + C9_2.2 86.9000 26.9000 HARD_LOCATION +|------------------------------------------------------------------------------| +| ECO REPORT | +| Page 4 | +|------------------------------------------------------------------------------| +| .../Cadence/worklib/fmc_tlu_toplevel_b/physical/fmc_tlu_v1a_66_gloss4.brd | +| Tue Feb 11 18:41:15 2014 | +|------------------------------------------------------------------------------| +| SLOT PROPERTIES added to design | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | +| slot_id | x | y | property | value | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | + C9_2.2 86.9000 26.9000 NO_SWAP_GATE_E + C9_3.2 105.900 26.9000 HARD_LOCATION + C9_3.2 105.900 26.9000 NO_SWAP_GATE_E + C9_4.2 124.900 26.9000 HARD_LOCATION + C9_4.2 124.900 26.9000 NO_SWAP_GATE_E + C9_6.2 10.3000 34.3000 HARD_LOCATION + C9_6.2 10.3000 34.3000 NO_SWAP_GATE_E + D1.5 35.8100 54.1600 HARD_LOCATION + D1.5 35.8100 54.1600 NO_SWAP_GATE_E + D2.5 18.6329 54.1139 HARD_LOCATION + D2.5 18.6329 54.1139 NO_SWAP_GATE_E + D3.5 128.671 46.6330 HARD_LOCATION + D3.5 128.671 46.6330 NO_SWAP_GATE_E + D1_1.2 62.9000 46.1000 HARD_LOCATION + D1_1.2 62.9000 46.1000 NO_SWAP_GATE_E + D1_2.2 81.9000 46.1000 HARD_LOCATION + D1_2.2 81.9000 46.1000 NO_SWAP_GATE_E + D1_3.2 100.900 46.1000 HARD_LOCATION + D1_3.2 100.900 46.1000 NO_SWAP_GATE_E + D1_4.2 119.900 46.1000 HARD_LOCATION + D1_4.2 119.900 46.1000 NO_SWAP_GATE_E + IC1.4 37.0000 58.4650 HARD_LOCATION + IC1.4 37.0000 58.4650 NO_SWAP_GATE_E + IC2.4 20.1000 58.4570 HARD_LOCATION + IC2.4 20.1000 58.4570 NO_SWAP_GATE_E + IC3.1 49.6381 30.4850 HARD_LOCATION + IC3.1 49.6381 30.4850 NO_SWAP_GATE_E + IC3.7 49.6381 30.4850 HARD_LOCATION + IC3.7 49.6381 30.4850 NO_SWAP_GATE_E + IC3.8 49.6381 30.4850 HARD_LOCATION + IC3.8 49.6381 30.4850 NO_SWAP_GATE_E + IC3.14 49.6381 30.4850 HARD_LOCATION + IC3.14 49.6381 30.4850 NO_SWAP_GATE_E + IC8.7 52.6329 19.0739 HARD_LOCATION + IC8.7 52.6329 19.0739 NO_SWAP_GATE_E + IC9.4 52.7169 13.4477 HARD_LOCATION + IC9.4 52.7169 13.4477 NO_SWAP_GATE_E + IC2_1.10 68.0000 25.1000 HARD_LOCATION + IC2_1.10 68.0000 25.1000 NO_SWAP_GATE_E + IC2_1.11 68.0000 25.1000 HARD_LOCATION + IC2_1.11 68.0000 25.1000 NO_SWAP_GATE_E + IC2_2.10 87.0000 25.1000 HARD_LOCATION + IC2_2.10 87.0000 25.1000 NO_SWAP_GATE_E + IC2_2.11 87.0000 25.1000 HARD_LOCATION + IC2_2.11 87.0000 25.1000 NO_SWAP_GATE_E +|------------------------------------------------------------------------------| +| ECO REPORT | +| Page 5 | +|------------------------------------------------------------------------------| +| .../Cadence/worklib/fmc_tlu_toplevel_b/physical/fmc_tlu_v1a_66_gloss4.brd | +| Tue Feb 11 18:41:15 2014 | +|------------------------------------------------------------------------------| +| SLOT PROPERTIES added to design | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | +| slot_id | x | y | property | value | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | + IC2_3.10 106.000 25.1000 HARD_LOCATION + IC2_3.10 106.000 25.1000 NO_SWAP_GATE_E + IC2_3.11 106.000 25.1000 HARD_LOCATION + IC2_3.11 106.000 25.1000 NO_SWAP_GATE_E + IC2_4.10 125.000 25.1000 HARD_LOCATION + IC2_4.10 125.000 25.1000 NO_SWAP_GATE_E + IC2_4.11 125.000 25.1000 HARD_LOCATION + IC2_4.11 125.000 25.1000 NO_SWAP_GATE_E + J1.10 11.7476 58.0700 HARD_LOCATION + J1.10 11.7476 58.0700 NO_SWAP_GATE_E + J4.D9 101.490 -2.0000 HARD_LOCATION + J4.D9 101.490 -2.0000 NO_SWAP_GATE_E + J4.H9 101.490 -2.0000 HARD_LOCATION + J4.H9 101.490 -2.0000 NO_SWAP_GATE_E + L1_6.2 -0.6000 30.0000 HARD_LOCATION + L1_6.2 -0.6000 30.0000 NO_SWAP_GATE_E + L2_6.2 6.9000 24.3000 HARD_LOCATION + L2_6.2 6.9000 24.3000 NO_SWAP_GATE_E + L3_6.2 10.2000 24.4000 HARD_LOCATION + L3_6.2 10.2000 24.4000 NO_SWAP_GATE_E + PX1_1.1 59.7000 41.2000 HARD_LOCATION + PX1_1.1 59.7000 41.2000 NO_SWAP_GATE_E + PX1_2.1 78.7000 41.2000 HARD_LOCATION + PX1_2.1 78.7000 41.2000 NO_SWAP_GATE_E + PX1_3.1 97.7000 41.2000 HARD_LOCATION + PX1_3.1 97.7000 41.2000 NO_SWAP_GATE_E + PX1_4.1 116.700 41.2000 HARD_LOCATION + PX1_4.1 116.700 41.2000 NO_SWAP_GATE_E + PX2_1.1 71.2000 41.2000 HARD_LOCATION + PX2_1.1 71.2000 41.2000 NO_SWAP_GATE_E + PX2_2.1 90.2000 41.2000 HARD_LOCATION + PX2_2.1 90.2000 41.2000 NO_SWAP_GATE_E + PX2_3.1 109.200 41.2000 HARD_LOCATION + PX2_3.1 109.200 41.2000 NO_SWAP_GATE_E + PX2_4.1 128.200 41.2000 HARD_LOCATION + PX2_4.1 128.200 41.2000 NO_SWAP_GATE_E + R1.2 20.0000 64.0690 HARD_LOCATION + R1.2 20.0000 64.0690 NO_SWAP_GATE_E + R2.2 36.8000 64.0670 HARD_LOCATION + R2.2 36.8000 64.0670 NO_SWAP_GATE_E + R3.2 47.9000 37.2000 HARD_LOCATION + R3.2 47.9000 37.2000 NO_SWAP_GATE_E + R4.2 51.4000 37.1500 HARD_LOCATION + R4.2 51.4000 37.1500 NO_SWAP_GATE_E + R5.2 52.9000 35.4500 HARD_LOCATION +|------------------------------------------------------------------------------| +| ECO REPORT | +| Page 6 | +|------------------------------------------------------------------------------| +| .../Cadence/worklib/fmc_tlu_toplevel_b/physical/fmc_tlu_v1a_66_gloss4.brd | +| Tue Feb 11 18:41:15 2014 | +|------------------------------------------------------------------------------| +| SLOT PROPERTIES added to design | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | +| slot_id | x | y | property | value | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | + R5.2 52.9000 35.4500 NO_SWAP_GATE_E + R6.2 46.5000 35.4000 HARD_LOCATION + R6.2 46.5000 35.4000 NO_SWAP_GATE_E + R40.2 46.3500 25.8000 HARD_LOCATION + R40.2 46.3500 25.8000 NO_SWAP_GATE_E + R41.2 52.8000 25.7000 HARD_LOCATION + R41.2 52.8000 25.7000 NO_SWAP_GATE_E + R46.2 47.1000 23.4500 HARD_LOCATION + R46.2 47.1000 23.4500 NO_SWAP_GATE_E + R47.2 52.9500 23.4000 HARD_LOCATION + R47.2 52.9500 23.4000 NO_SWAP_GATE_E + R60.2 47.3000 17.8250 HARD_LOCATION + R60.2 47.3000 17.8250 NO_SWAP_GATE_E + R61.2 47.3000 16.2450 HARD_LOCATION + R61.2 47.3000 16.2450 NO_SWAP_GATE_E + R62.2 47.3000 14.6000 HARD_LOCATION + R62.2 47.3000 14.6000 NO_SWAP_GATE_E + R63.2 47.3000 12.9110 HARD_LOCATION + R63.2 47.3000 12.9110 NO_SWAP_GATE_E + R64.2 47.3000 11.2220 HARD_LOCATION + R64.2 47.3000 11.2220 NO_SWAP_GATE_E + R65.2 47.3000 9.5120 HARD_LOCATION + R65.2 47.3000 9.5120 NO_SWAP_GATE_E + R66.2 14.4000 61.8200 HARD_LOCATION + R66.2 14.4000 61.8200 NO_SWAP_GATE_E + R67.2 9.0000 61.8200 HARD_LOCATION + R67.2 9.0000 61.8200 NO_SWAP_GATE_E + R68.2 25.8000 61.7930 HARD_LOCATION + R68.2 25.8000 61.7930 NO_SWAP_GATE_E + R69.2 31.6000 61.7650 HARD_LOCATION + R69.2 31.6000 61.7650 NO_SWAP_GATE_E + R70.2 40.5000 52.9450 HARD_LOCATION + R70.2 40.5000 52.9450 NO_SWAP_GATE_E + R71.2 54.6000 52.3000 HARD_LOCATION + R71.2 54.6000 52.3000 NO_SWAP_GATE_E + R10_1.2 73.8500 25.9000 HARD_LOCATION + R10_1.2 73.8500 25.9000 NO_SWAP_GATE_E + R10_2.2 92.8500 25.9000 HARD_LOCATION + R10_2.2 92.8500 25.9000 NO_SWAP_GATE_E + R10_3.2 111.850 25.9000 HARD_LOCATION + R10_3.2 111.850 25.9000 NO_SWAP_GATE_E + R10_4.2 130.850 25.9000 HARD_LOCATION + R10_4.2 130.850 25.9000 NO_SWAP_GATE_E + R11_1.2 58.6000 25.1000 HARD_LOCATION + R11_1.2 58.6000 25.1000 NO_SWAP_GATE_E +|------------------------------------------------------------------------------| +| ECO REPORT | +| Page 7 | +|------------------------------------------------------------------------------| +| .../Cadence/worklib/fmc_tlu_toplevel_b/physical/fmc_tlu_v1a_66_gloss4.brd | +| Tue Feb 11 18:41:15 2014 | +|------------------------------------------------------------------------------| +| SLOT PROPERTIES added to design | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | +| slot_id | x | y | property | value | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | + R11_2.2 77.6000 25.1000 HARD_LOCATION + R11_2.2 77.6000 25.1000 NO_SWAP_GATE_E + R11_3.2 96.6000 25.1000 HARD_LOCATION + R11_3.2 96.6000 25.1000 NO_SWAP_GATE_E + R11_4.2 115.600 25.1000 HARD_LOCATION + R11_4.2 115.600 25.1000 NO_SWAP_GATE_E + R12_1.2 63.7000 18.4500 HARD_LOCATION + R12_1.2 63.7000 18.4500 NO_SWAP_GATE_E + R12_2.2 81.5000 20.3000 HARD_LOCATION + R12_2.2 81.5000 20.3000 NO_SWAP_GATE_E + R12_3.2 100.500 20.3000 HARD_LOCATION + R12_3.2 100.500 20.3000 NO_SWAP_GATE_E + R12_4.2 119.500 20.3000 HARD_LOCATION + R12_4.2 119.500 20.3000 NO_SWAP_GATE_E + R13_1.2 59.4000 23.3000 HARD_LOCATION + R13_1.2 59.4000 23.3000 NO_SWAP_GATE_E + R13_2.2 78.4000 23.3000 HARD_LOCATION + R13_2.2 78.4000 23.3000 NO_SWAP_GATE_E + R13_3.2 97.4000 23.3000 HARD_LOCATION + R13_3.2 97.4000 23.3000 NO_SWAP_GATE_E + R13_4.2 116.400 23.3000 HARD_LOCATION + R13_4.2 116.400 23.3000 NO_SWAP_GATE_E + R14_1.2 69.4000 20.5000 HARD_LOCATION + R14_1.2 69.4000 20.5000 NO_SWAP_GATE_E + R14_2.2 88.4000 20.5000 HARD_LOCATION + R14_2.2 88.4000 20.5000 NO_SWAP_GATE_E + R14_3.2 107.400 20.5000 HARD_LOCATION + R14_3.2 107.400 20.5000 NO_SWAP_GATE_E + R14_4.2 126.400 20.5000 HARD_LOCATION + R14_4.2 126.400 20.5000 NO_SWAP_GATE_E + R1_6.2 1.7000 28.3000 HARD_LOCATION + R1_6.2 1.7000 28.3000 NO_SWAP_GATE_E + R2_1.2 64.0000 34.0000 HARD_LOCATION + R2_1.2 64.0000 34.0000 NO_SWAP_GATE_E + R2_2.2 83.0000 34.0000 HARD_LOCATION + R2_2.2 83.0000 34.0000 NO_SWAP_GATE_E + R2_3.2 102.000 34.0000 HARD_LOCATION + R2_3.2 102.000 34.0000 NO_SWAP_GATE_E + R2_4.2 121.000 34.0000 HARD_LOCATION + R2_4.2 121.000 34.0000 NO_SWAP_GATE_E + R2_6.2 8.1000 9.8500 HARD_LOCATION + R2_6.2 8.1000 9.8500 NO_SWAP_GATE_E + R3_1.2 65.8500 32.2000 HARD_LOCATION + R3_1.2 65.8500 32.2000 NO_SWAP_GATE_E + R3_2.2 84.8500 32.2000 HARD_LOCATION +|------------------------------------------------------------------------------| +| ECO REPORT | +| Page 8 | +|------------------------------------------------------------------------------| +| .../Cadence/worklib/fmc_tlu_toplevel_b/physical/fmc_tlu_v1a_66_gloss4.brd | +| Tue Feb 11 18:41:15 2014 | +|------------------------------------------------------------------------------| +| SLOT PROPERTIES added to design | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | +| slot_id | x | y | property | value | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | + R3_2.2 84.8500 32.2000 NO_SWAP_GATE_E + R3_3.2 103.850 32.2000 HARD_LOCATION + R3_3.2 103.850 32.2000 NO_SWAP_GATE_E + R3_4.2 122.850 32.2000 HARD_LOCATION + R3_4.2 122.850 32.2000 NO_SWAP_GATE_E + R3_6.2 7.2000 2.2000 HARD_LOCATION + R3_6.2 7.2000 2.2000 NO_SWAP_GATE_E + R5_1.2 58.4000 35.0000 HARD_LOCATION + R5_1.2 58.4000 35.0000 NO_SWAP_GATE_E + R5_2.2 77.4000 35.0000 HARD_LOCATION + R5_2.2 77.4000 35.0000 NO_SWAP_GATE_E + R5_3.2 96.4000 35.0000 HARD_LOCATION + R5_3.2 96.4000 35.0000 NO_SWAP_GATE_E + R5_4.2 115.400 35.0000 HARD_LOCATION + R5_4.2 115.400 35.0000 NO_SWAP_GATE_E + R6_1.2 71.5000 30.3000 HARD_LOCATION + R6_1.2 71.5000 30.3000 NO_SWAP_GATE_E + R6_2.2 90.5000 30.3000 HARD_LOCATION + R6_2.2 90.5000 30.3000 NO_SWAP_GATE_E + R6_3.2 109.500 30.3000 HARD_LOCATION + R6_3.2 109.500 30.3000 NO_SWAP_GATE_E + R6_4.2 128.500 30.3000 HARD_LOCATION + R6_4.2 128.500 30.3000 NO_SWAP_GATE_E + R7_1.2 67.3000 33.8000 HARD_LOCATION + R7_1.2 67.3000 33.8000 NO_SWAP_GATE_E + R7_2.2 86.3000 33.8000 HARD_LOCATION + R7_2.2 86.3000 33.8000 NO_SWAP_GATE_E + R7_3.2 105.300 33.8000 HARD_LOCATION + R7_3.2 105.300 33.8000 NO_SWAP_GATE_E + R7_4.2 124.300 33.8000 HARD_LOCATION + R7_4.2 124.300 33.8000 NO_SWAP_GATE_E + R8_1.2 71.5000 28.4000 HARD_LOCATION + R8_1.2 71.5000 28.4000 NO_SWAP_GATE_E + R8_2.2 90.5000 28.4000 HARD_LOCATION + R8_2.2 90.5000 28.4000 NO_SWAP_GATE_E + R8_3.2 109.500 28.4000 HARD_LOCATION + R8_3.2 109.500 28.4000 NO_SWAP_GATE_E + R8_4.2 128.500 28.4000 HARD_LOCATION + R8_4.2 128.500 28.4000 NO_SWAP_GATE_E + R9_1.2 59.3000 29.9000 HARD_LOCATION + R9_1.2 59.3000 29.9000 NO_SWAP_GATE_E + R9_2.2 78.3000 29.9000 HARD_LOCATION + R9_2.2 78.3000 29.9000 NO_SWAP_GATE_E + R9_3.2 97.3000 29.9000 HARD_LOCATION + R9_3.2 97.3000 29.9000 NO_SWAP_GATE_E +|------------------------------------------------------------------------------| +| ECO REPORT | +| Page 9 | +|------------------------------------------------------------------------------| +| .../Cadence/worklib/fmc_tlu_toplevel_b/physical/fmc_tlu_v1a_66_gloss4.brd | +| Tue Feb 11 18:41:15 2014 | +|------------------------------------------------------------------------------| +| SLOT PROPERTIES added to design | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | +| slot_id | x | y | property | value | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | + R9_4.2 116.300 29.9000 HARD_LOCATION + R9_4.2 116.300 29.9000 NO_SWAP_GATE_E + REG1_6.1 14.7000 32.2000 HARD_LOCATION + REG1_6.1 14.7000 32.2000 NO_SWAP_GATE_E + RG1_6.C7 9.1000 14.7000 HARD_LOCATION + RG1_6.C7 9.1000 14.7000 NO_SWAP_GATE_E + RG2_6.C7 11.9000 -2.8000 HARD_LOCATION + RG2_6.C7 11.9000 -2.8000 NO_SWAP_GATE_E + TP22.1 50.8000 23.3000 HARD_LOCATION + TP22.1 50.8000 23.3000 NO_SWAP_GATE_E + TP1_1.1 58.4000 32.1000 HARD_LOCATION + TP1_1.1 58.4000 32.1000 NO_SWAP_GATE_E + TP1_2.1 77.4000 32.1000 HARD_LOCATION + TP1_2.1 77.4000 32.1000 NO_SWAP_GATE_E + TP1_3.1 96.4000 32.1000 HARD_LOCATION + TP1_3.1 96.4000 32.1000 NO_SWAP_GATE_E + TP1_4.1 115.400 32.1000 HARD_LOCATION + TP1_4.1 115.400 32.1000 NO_SWAP_GATE_E + TP2_1.1 61.6000 23.4000 HARD_LOCATION + TP2_1.1 61.6000 23.4000 NO_SWAP_GATE_E + TP2_2.1 80.6000 23.4000 HARD_LOCATION + TP2_2.1 80.6000 23.4000 NO_SWAP_GATE_E + TP2_3.1 99.6000 23.4000 HARD_LOCATION + TP2_3.1 99.6000 23.4000 NO_SWAP_GATE_E + TP2_4.1 118.600 23.4000 HARD_LOCATION + TP2_4.1 118.600 23.4000 NO_SWAP_GATE_E + TP3_1.1 60.7500 21.4500 HARD_LOCATION + TP3_1.1 60.7500 21.4500 NO_SWAP_GATE_E + TP3_2.1 76.8000 20.0000 HARD_LOCATION + TP3_2.1 76.8000 20.0000 NO_SWAP_GATE_E + TP3_3.1 95.8000 20.0000 HARD_LOCATION + TP3_3.1 95.8000 20.0000 NO_SWAP_GATE_E + TP3_4.1 114.800 20.0000 HARD_LOCATION + TP3_4.1 114.800 20.0000 NO_SWAP_GATE_E + TP4_1.1 57.1000 23.2000 HARD_LOCATION + TP4_1.1 57.1000 23.2000 NO_SWAP_GATE_E + TP4_2.1 76.1000 23.2000 HARD_LOCATION + TP4_2.1 76.1000 23.2000 NO_SWAP_GATE_E + TP4_3.1 95.1000 23.2000 HARD_LOCATION + TP4_3.1 95.1000 23.2000 NO_SWAP_GATE_E + TP4_4.1 114.100 23.2000 HARD_LOCATION + TP4_4.1 114.100 23.2000 NO_SWAP_GATE_E + TP5_1.1 67.0500 20.5000 HARD_LOCATION + TP5_1.1 67.0500 20.5000 NO_SWAP_GATE_E + TP5_2.1 86.0500 20.5000 HARD_LOCATION +|------------------------------------------------------------------------------| +| ECO REPORT | +| Page 10 | +|------------------------------------------------------------------------------| +| .../Cadence/worklib/fmc_tlu_toplevel_b/physical/fmc_tlu_v1a_66_gloss4.brd | +| Tue Feb 11 18:41:15 2014 | +|------------------------------------------------------------------------------| +| SLOT PROPERTIES added to design | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | +| slot_id | x | y | property | value | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | + TP5_2.1 86.0500 20.5000 NO_SWAP_GATE_E + TP5_3.1 105.050 20.5000 HARD_LOCATION + TP5_3.1 105.050 20.5000 NO_SWAP_GATE_E + TP5_4.1 124.050 20.5000 HARD_LOCATION + TP5_4.1 124.050 20.5000 NO_SWAP_GATE_E + TP6_1.1 64.5500 20.5000 HARD_LOCATION + TP6_1.1 64.5500 20.5000 NO_SWAP_GATE_E + TP6_2.1 83.5500 20.5000 HARD_LOCATION + TP6_2.1 83.5500 20.5000 NO_SWAP_GATE_E + TP6_3.1 102.550 20.5000 HARD_LOCATION + TP6_3.1 102.550 20.5000 NO_SWAP_GATE_E + TP6_4.1 121.550 20.5000 HARD_LOCATION + TP6_4.1 121.550 20.5000 NO_SWAP_GATE_E + TP7_1.1 65.8500 17.9000 HARD_LOCATION + TP7_1.1 65.8500 17.9000 NO_SWAP_GATE_E + TP7_2.1 84.8500 17.9000 HARD_LOCATION + TP7_2.1 84.8500 17.9000 NO_SWAP_GATE_E + TP7_3.1 103.850 17.9000 HARD_LOCATION + TP7_3.1 103.850 17.9000 NO_SWAP_GATE_E + TP7_4.1 122.850 17.9000 HARD_LOCATION + TP7_4.1 122.850 17.9000 NO_SWAP_GATE_E + U1.1 26.5000 54.3000 HARD_LOCATION + U1.1 26.5000 54.3000 NO_SWAP_GATE_E + U1.2 26.5000 54.3000 HARD_LOCATION + U1.2 26.5000 54.3000 NO_SWAP_GATE_E + U1.4 26.5000 54.3000 HARD_LOCATION + U1.4 26.5000 54.3000 NO_SWAP_GATE_E + U1.5 26.5000 54.3000 HARD_LOCATION + U1.5 26.5000 54.3000 NO_SWAP_GATE_E + U1.6 26.5000 54.3000 HARD_LOCATION + U1.6 26.5000 54.3000 NO_SWAP_GATE_E + U1.7 26.5000 54.3000 HARD_LOCATION + U1.7 26.5000 54.3000 NO_SWAP_GATE_E + U1.9 26.5000 54.3000 HARD_LOCATION + U1.9 26.5000 54.3000 NO_SWAP_GATE_E + U1.10 26.5000 54.3000 HARD_LOCATION + U1.10 26.5000 54.3000 NO_SWAP_GATE_E + U2.1 10.2900 54.1100 HARD_LOCATION + U2.1 10.2900 54.1100 NO_SWAP_GATE_E + U2.2 10.2900 54.1100 HARD_LOCATION + U2.2 10.2900 54.1100 NO_SWAP_GATE_E + U2.4 10.2900 54.1100 HARD_LOCATION + U2.4 10.2900 54.1100 NO_SWAP_GATE_E + U2.5 10.2900 54.1100 HARD_LOCATION + U2.5 10.2900 54.1100 NO_SWAP_GATE_E +|------------------------------------------------------------------------------| +| ECO REPORT | +| Page 11 | +|------------------------------------------------------------------------------| +| .../Cadence/worklib/fmc_tlu_toplevel_b/physical/fmc_tlu_v1a_66_gloss4.brd | +| Tue Feb 11 18:41:15 2014 | +|------------------------------------------------------------------------------| +| SLOT PROPERTIES added to design | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | +| slot_id | x | y | property | value | +|- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | + U2.6 10.2900 54.1100 HARD_LOCATION + U2.6 10.2900 54.1100 NO_SWAP_GATE_E + U2.7 10.2900 54.1100 HARD_LOCATION + U2.7 10.2900 54.1100 NO_SWAP_GATE_E + U2.9 10.2900 54.1100 HARD_LOCATION + U2.9 10.2900 54.1100 NO_SWAP_GATE_E + U2.10 10.2900 54.1100 HARD_LOCATION + U2.10 10.2900 54.1100 NO_SWAP_GATE_E + U3.1 47.7000 44.2000 HARD_LOCATION + U3.1 47.7000 44.2000 NO_SWAP_GATE_E + U3.2 47.7000 44.2000 HARD_LOCATION + U3.2 47.7000 44.2000 NO_SWAP_GATE_E + U3.4 47.7000 44.2000 HARD_LOCATION + U3.4 47.7000 44.2000 NO_SWAP_GATE_E + U3.5 47.7000 44.2000 HARD_LOCATION + U3.5 47.7000 44.2000 NO_SWAP_GATE_E + U3.6 47.7000 44.2000 HARD_LOCATION + U3.6 47.7000 44.2000 NO_SWAP_GATE_E + U3.7 47.7000 44.2000 HARD_LOCATION + U3.7 47.7000 44.2000 NO_SWAP_GATE_E + U3.9 47.7000 44.2000 HARD_LOCATION + U3.9 47.7000 44.2000 NO_SWAP_GATE_E + U3.10 47.7000 44.2000 HARD_LOCATION + U3.10 47.7000 44.2000 NO_SWAP_GATE_E + VR1_6.3 5.9000 31.6000 HARD_LOCATION + VR1_6.3 5.9000 31.6000 NO_SWAP_GATE_E + Z1.C 29.2000 50.4500 HARD_LOCATION + Z1.C 29.2000 50.4500 NO_SWAP_GATE_E + Z4.C 132.500 35.4000 HARD_LOCATION + Z4.C 132.500 35.4000 NO_SWAP_GATE_E +|------------------------------------------------------------------------------| +| total ECO changes reported 480 | +|------------------------------------------------------------------------------| diff --git a/circuit_board/Cadence/worklib/fmc_tlu_toplevel_c/physical/master.tag b/circuit_board/Cadence/worklib/fmc_tlu_toplevel_c/physical/master.tag index ab2c9070a404818b23be52e0fef7cd5325f8dae4..9340414e8ad3366b6831fa319e2b8a2577d60499 100755 --- a/circuit_board/Cadence/worklib/fmc_tlu_toplevel_c/physical/master.tag +++ b/circuit_board/Cadence/worklib/fmc_tlu_toplevel_c/physical/master.tag @@ -1 +1 @@ -fmc_tlu_v1a_66_gloss4b.brd +fmc_tlu_v1c_67.brd diff --git a/circuit_board/Cadence/worklib/fmc_tlu_toplevel_c/physical/netrev.lst b/circuit_board/Cadence/worklib/fmc_tlu_toplevel_c/physical/netrev.lst index f71d5b97b6492aef80afffba972ffb54d83f80a3..83052aac94d937230bca38925e20d7615ede91fa 100755 --- a/circuit_board/Cadence/worklib/fmc_tlu_toplevel_c/physical/netrev.lst +++ b/circuit_board/Cadence/worklib/fmc_tlu_toplevel_c/physical/netrev.lst @@ -2,59 +2,73 @@ ( ) ( Allegro Netrev Import Logic ) ( ) -( Drawing : fmc_tlu_v1a_66_gloss4.brd ) -( Software Version : 16.6S014 ) -( Date/Time : Tue Feb 11 18:41:14 2014 ) +( Drawing : fmc_tlu_v1a_66.brd ) +( Software Version : 16.6-2015S055 ) +( Date/Time : Fri May 20 14:54:08 2016 ) ( ) (---------------------------------------------------------------------) ------- Directives ------ +------ Directives ------------ -RIPUP_ETCH FALSE; -RIPUP_DELETE_FIRST_SEGMENT FALSE; -RIPUP_RETAIN_BONDWIRE FALSE; -RIPUP_SYMBOLS ALWAYS; -Missing symbol has error FALSE; -SCHEMATIC_DIRECTORY '/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/circuit_board/Cadence/worklib/fmc_tlu_toplevel_b/packaged'; -BOARD_DIRECTORY ''; -OLD_BOARD_NAME '/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/circuit_board/Cadence/worklib/fmc_tlu_toplevel_b/physical/fmc_tlu_v1a_66_gloss4.brd'; -NEW_BOARD_NAME 'fmc_tlu_v1a_66_gloss4a.brd'; +Ripup etch: No +Ripup delete first segment: No +Ripup retain bondwire: No +Ripup symbols: Always +Missing symbol has error: No +DRC update: Yes +Schematic directory: '/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/circuit_board/Cadence/worklib/fmc_tlu_toplevel_c/packaged' +Design Directory: '.' +Old design name: '/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/circuit_board/Cadence/worklib/fmc_tlu_toplevel_c/physical/fmc_tlu_v1a_66.brd' +New design name: 'fmc_tlu_v1c_67.brd' -CmdLine: netrev -proj /projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/circuit_board/Cadence/fmc_tlu_v1a.cpm -y 1 -O /projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/circuit_board/Cadence/worklib/fmc_tlu_toplevel_b/physical/fmc_tlu_v1a_66_gloss4.brd /projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/circuit_board/Cadence/worklib/fmc_tlu_toplevel_b/physical/fmc_tlu_v1a_66_gloss4a.brd -$ +CmdLine: netrev -proj /projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/circuit_board/Cadence/fmc_tlu_v1c.cpm -y 1 -Oo /projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/circuit_board/Cadence/worklib/fmc_tlu_toplevel_c/physical/fmc_tlu_v1a_66.brd /projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/circuit_board/Cadence/worklib/fmc_tlu_toplevel_c/physical/fmc_tlu_v1c_67.brd -q /projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/circuit_board/Cadence/temp/constraints_difference_report.xml -$ ------ Preparing to read pst files ------ -Starting to read /projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/circuit_board/Cadence/worklib/fmc_tlu_toplevel_b/packaged/pstchip.dat - Finished reading /projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/circuit_board/Cadence/worklib/fmc_tlu_toplevel_b/packaged/pstchip.dat (00:00:00.21) -Starting to read /projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/circuit_board/Cadence/worklib/fmc_tlu_toplevel_b/packaged/pstxprt.dat - Finished reading /projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/circuit_board/Cadence/worklib/fmc_tlu_toplevel_b/packaged/pstxprt.dat (00:00:00.01) -Starting to read /projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/circuit_board/Cadence/worklib/fmc_tlu_toplevel_b/packaged/pstxnet.dat - Finished reading /projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/circuit_board/Cadence/worklib/fmc_tlu_toplevel_b/packaged/pstxnet.dat (00:00:00.00) +Starting to read /projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/circuit_board/Cadence/worklib/fmc_tlu_toplevel_c/packaged/pstchip.dat + Finished reading /projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/circuit_board/Cadence/worklib/fmc_tlu_toplevel_c/packaged/pstchip.dat (00:00:00.15) +Starting to read /projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/circuit_board/Cadence/worklib/fmc_tlu_toplevel_c/packaged/pstxprt.dat + Finished reading /projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/circuit_board/Cadence/worklib/fmc_tlu_toplevel_c/packaged/pstxprt.dat (00:00:00.01) +Starting to read /projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/circuit_board/Cadence/worklib/fmc_tlu_toplevel_c/packaged/pstxnet.dat + Finished reading /projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/circuit_board/Cadence/worklib/fmc_tlu_toplevel_c/packaged/pstxnet.dat (00:00:00.00) ------ Oversights/Warnings/Errors ------ +#1 WARNING(SPMHNI-192): Device/Symbol check warning detected. [help] + +WARNING(SPMHNI-337): Unable to load symbol 'LEMO_EPG-00-302-NLN' used by RefDes PX1 for device 'PLEMO2CI-EPG.00.302.NLN-GND=GNA': WARNING(SPMHUT-127): Could not find padstack C130H60O-15. + due to ERROR(SPMHDB-274): Unable to load flash symbol THR_160X120X4X17 (Check PSMPATH setting for this symbo + + Could not find padstack C130H60O-15. + =========================================================== -Start Constraint Diff3 Import - Constraint File: /projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/circuit_board/Cadence/worklib/fmc_tlu_toplevel_b/packaged/pstcmdb.dat - Allegro Baseline: /tmp/#Taaaaad01896.tmp - Schematic Baseline: /projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/circuit_board/Cadence/worklib/fmc_tlu_toplevel_b/packaged/pstcmbc.dat - Start time: Tue Feb 11 18:41:15 2014 +Start Constraint Override Import + Constraint File: /projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/circuit_board/Cadence/worklib/fmc_tlu_toplevel_c/packaged/pstcmdb.dat + Start time: Fri May 20 14:54:08 2016 =========================================================== + + +The constraint difference report file can be viewed using the following command: +/software/CAD/Cadence/2015-16/RHELx86/SPB_16.60.055/tools/firefox/bin/firefox -app /software/CAD/Cadence/2015-16/RHELx86/SPB_16.60.055/share/pcb/consmgr/VDD/diff3rptViewer/diff3rptViewer.ini -file file:///projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/circuit_board/Cadence/temp/constraints_difference_report.xml + =========================================================== -Finished Constraint Update Time: Tue Feb 11 18:41:16 2014 +Finished Constraint Update Time: Fri May 20 14:54:09 2016 =========================================================== ------ Library Paths ------ MODULEPATH = . /cadence/psd15.1/share/local/pcb/modules ../../../modules - ../../fmc_tlu_cfd/physical - ../../../pc042a_lib/pc042b_vsupply5v/physical - ../../fmc_tlu_vsupply5v/physical + ../../ltm9007_input_filter/physical + ../../ltm9007_8chan/physical + ../../trenz_te0712/physical + ../../pc052a_single_amplifier/physical + ../../pc052b_resistive_splitter/physical + ../../pc052c_splitter_array/physical PSMPATH = . /projects/HEP_Instrumentation/cad/bris_cdslib/lib_psd14.x/symbols @@ -71,14 +85,16 @@ PSMPATH = . /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/allegro_libs/pe_allegro_lib/symbols/led /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/allegro_libs/pe_allegro_lib/symbols/rel /projects/HEP_Instrumentation/cad/ral_cdslib/lib_psd15.x/symbols + /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/allegro_libs/pe_allegro_lib/symbols/sw + /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/allegro_libs/pe_allegro_lib/symbols/mec PADPATH = . symbols .. ../symbols - /software/CAD/Cadence/SPB16.60.000/share/local/pcb/padstacks - /software/CAD/Cadence/SPB16.60.000/share/pcb/pcb_lib/symbols - /software/CAD/Cadence/SPB16.60.000/share/pcb/allegrolib/symbols + /software/CAD/Cadence/2015-16/RHELx86/SPB_16.60.055/share/local/pcb/padstacks + /software/CAD/Cadence/2015-16/RHELx86/SPB_16.60.055/share/pcb/pcb_lib/symbols + /software/CAD/Cadence/2015-16/RHELx86/SPB_16.60.055/share/pcb/allegrolib/symbols /projects/HEP_Instrumentation/cad/bris_cdslib/lib_psd14.x/pads /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/allegro_libs/pe_allegro_lib/padstacks/padstack_smd /projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd16.x/allegro_libs/pe_allegro_lib/padstacks/padstack3 @@ -89,9 +105,9 @@ PADPATH = . ------ Summary Statistics ------ -netrev run on Feb 11 18:41:14 2014 - DESIGN NAME : 'FMC_TLU_TOPLEVEL_B' - PACKAGING ON 11-Feb-2014 AT 18:41:05 +netrev run on May 20 14:54:08 2016 + DESIGN NAME : 'FMC_TLU_TOPLEVEL_C' + PACKAGING ON 20-May-2016 AT 14:54:05 COMPILE 'logic' CHECK_PIN_NAMES OFF @@ -121,8 +137,8 @@ netrev run on Feb 11 18:41:14 2014 No error detected No oversight detected - No warning detected + 1 warnings detected -cpu time 0:00:01 -elapsed time 0:00:02 +cpu time 0:00:02 +elapsed time 0:00:01 diff --git a/circuit_board/Cadence/worklib/fmc_tlu_toplevel_c/physical/pstcmdb2.dat b/circuit_board/Cadence/worklib/fmc_tlu_toplevel_c/physical/pstcmdb2.dat index 0babe45ce64df22f1af59cea26c80e3de1635be0..748d1a395df683196be18f56ea6ddf0f6a25213e 100755 --- a/circuit_board/Cadence/worklib/fmc_tlu_toplevel_c/physical/pstcmdb2.dat +++ b/circuit_board/Cadence/worklib/fmc_tlu_toplevel_c/physical/pstcmdb2.dat @@ -1,4 +1,4 @@ -( ConstraintFile "fmc_tlu_v1a_66_gloss4" +( ConstraintFile "fmc_tlu_v1a_66" ( constraintHeader ( objectKey ( physical ) @@ -7,7 +7,7 @@ ( 16.6 ) ) ( revisionNumber - ( logicalViewRevNum 167 ) + ( logicalViewRevNum 183 ) ( physicalViewRevNum 6 ) ( otherViewRevNum 0 ) ) @@ -73,6 +73,7 @@ ( Origin gBackEnd ) ) ( attribute "CDS_LAYER_THICKNESS" "0.0000" + ( Units "uLayerThickness" "mm" 1.000000) ( Status sBlockFlattened ) ( Origin gBackEnd ) ) @@ -110,7 +111,8 @@ ( attribute "CDS_LAYER_MATERIAL" "FR-4" ( Origin gBackEnd ) ) - ( attribute "CDS_LAYER_THICKNESS" "0.0250" + ( attribute "CDS_LAYER_THICKNESS" "0.025" + ( Units "uLayerThickness" "mm" 1.000000) ( Origin gBackEnd ) ) ( attribute "CDS_LAYER_ELECTRICAL_CONDUCTIVITY" "0.0000" @@ -144,6 +146,7 @@ ( Origin gBackEnd ) ) ( attribute "CDS_LAYER_THICKNESS" "0.0305" + ( Units "uLayerThickness" "mm" 1.000000) ( Status sBlockFlattened ) ( Origin gBackEnd ) ) @@ -196,6 +199,7 @@ ( Origin gBackEnd ) ) ( attribute "CDS_LAYER_THICKNESS" "0.2032" + ( Units "uLayerThickness" "mm" 1.000000) ( Status sBlockFlattened ) ( Origin gBackEnd ) ) @@ -236,6 +240,7 @@ ( Origin gBackEnd ) ) ( attribute "CDS_LAYER_THICKNESS" "0.0305" + ( Units "uLayerThickness" "mm" 1.000000) ( Status sBlockFlattened ) ( Origin gBackEnd ) ) @@ -288,6 +293,7 @@ ( Origin gBackEnd ) ) ( attribute "CDS_LAYER_THICKNESS" "0.4032" + ( Units "uLayerThickness" "mm" 1.000000) ( Status sBlockFlattened ) ( Origin gBackEnd ) ) @@ -328,6 +334,7 @@ ( Origin gBackEnd ) ) ( attribute "CDS_LAYER_THICKNESS" "0.0305" + ( Units "uLayerThickness" "mm" 1.000000) ( Status sBlockFlattened ) ( Origin gBackEnd ) ) @@ -380,6 +387,7 @@ ( Origin gBackEnd ) ) ( attribute "CDS_LAYER_THICKNESS" "0.2032" + ( Units "uLayerThickness" "mm" 1.000000) ( Status sBlockFlattened ) ( Origin gBackEnd ) ) @@ -420,6 +428,7 @@ ( Origin gBackEnd ) ) ( attribute "CDS_LAYER_THICKNESS" "0.0305" + ( Units "uLayerThickness" "mm" 1.000000) ( Status sBlockFlattened ) ( Origin gBackEnd ) ) @@ -472,6 +481,7 @@ ( Origin gBackEnd ) ) ( attribute "CDS_LAYER_THICKNESS" "0.4032" + ( Units "uLayerThickness" "mm" 1.000000) ( Status sBlockFlattened ) ( Origin gBackEnd ) ) @@ -512,6 +522,7 @@ ( Origin gBackEnd ) ) ( attribute "CDS_LAYER_THICKNESS" "0.0305" + ( Units "uLayerThickness" "mm" 1.000000) ( Status sBlockFlattened ) ( Origin gBackEnd ) ) @@ -525,7 +536,8 @@ ( Status sBlockFlattened ) ( Origin gBackEnd ) ) - ( attribute "CDS_LAYER_DIELECTRIC_CONSTANT" "1.000" + ( attribute "CDS_LAYER_DIELECTRIC_CONSTANT" "4.500" + ( Status sBlockFlattened ) ( Origin gBackEnd ) ) ( attribute "CDS_LAYER_LOSS_TANGENT" "0.03500" @@ -563,6 +575,7 @@ ( Origin gBackEnd ) ) ( attribute "CDS_LAYER_THICKNESS" "0.2032" + ( Units "uLayerThickness" "mm" 1.000000) ( Status sBlockFlattened ) ( Origin gBackEnd ) ) @@ -603,6 +616,7 @@ ( Origin gBackEnd ) ) ( attribute "CDS_LAYER_THICKNESS" "0.0305" + ( Units "uLayerThickness" "mm" 1.000000) ( Status sBlockFlattened ) ( Origin gBackEnd ) ) @@ -652,7 +666,8 @@ ( attribute "CDS_LAYER_MATERIAL" "FR-4" ( Origin gBackEnd ) ) - ( attribute "CDS_LAYER_THICKNESS" "0.0250" + ( attribute "CDS_LAYER_THICKNESS" "0.025" + ( Units "uLayerThickness" "mm" 1.000000) ( Origin gBackEnd ) ) ( attribute "CDS_LAYER_ELECTRICAL_CONDUCTIVITY" "0.0000" @@ -686,6 +701,7 @@ ( Origin gBackEnd ) ) ( attribute "CDS_LAYER_THICKNESS" "0.0000" + ( Units "uLayerThickness" "mm" 1.000000) ( Status sBlockFlattened ) ( Origin gBackEnd ) ) @@ -720,10 +736,12 @@ ( designConstraints ( ruleChanges ( allRules ) - ( design "fmc_tlu_v1a_66_gloss4" + ( design "fmc_tlu_v1a_66" ( physicalCSetRef "DEFAULT" ) ( spacingCSetRef "DEFAULT" ) ( sameNetSpacingCSetRef "DEFAULT" ) + ( objectFlag fObjectReadOnly ) + ( objectStatus "fmc_tlu_toplevel_c" ) ) ( physicalCSet "DEFAULT" ( attribute "DIFFP_PRIMARY_GAP" "0.3000,0.3000,0.3000,0.3000,0.3000,0.3000" @@ -771,7 +789,7 @@ ( attribute "PAD_PAD_DIRECT_CONNECT" "ALL_ALLOWED,ALL_ALLOWED,ALL_ALLOWED,ALL_ALLOWED,ALL_ALLOWED,ALL_ALLOWED" ( Origin gBackEnd ) ) - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):\DEFAULT\" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\DEFAULT\" ) ) ( spacingCSet "DEFAULT" ( attribute "THRUPIN_TO_THRUPIN_SPACING" "0.1600,0.1600,0.1600,0.1600,0.1600,0.1600" @@ -960,7 +978,7 @@ ( attribute "BONDPAD_TO_BONDPAD_DIFFP_SPC" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Origin gBackEnd ) ) - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):\DEFAULT\" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\DEFAULT\" ) ) ( sameNetSpacingCSet "DEFAULT" ( attribute "SAME_NET" "FALSE,FALSE,FALSE,FALSE,FALSE,FALSE" @@ -1146,1369 +1164,1399 @@ ( attribute "SN_BONDPAD_TO_MVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Origin gBackEnd ) ) - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):\DEFAULT\" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\DEFAULT\" ) ) ( signal "GND_SIGNAL" ( attribute "WEIGHT" "0" ( Status sBlockFlattened ) ( Origin gBackEnd ) ) - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):gnd_signal" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):gnd_signal" ) ) - ( signal "BUSY2" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):busy2" ) + ( signal "FMC_LA<12>" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(12)" ) ) ( signal "FMC_LA<23>" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):fmc_la(23)" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(23)" ) ) ( signal "FMC_LA<10>" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):fmc_la(10)" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(10)" ) + ) + ( signal "FMC_LA<7>" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(7)" ) ) ( signal "P2V5" ( attribute "WEIGHT" "0" ( Origin gBackEnd ) ) - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):p2v5" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):p2v5" ) ) ( signal "P3V3" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):p3v3" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):p3v3" ) ) ( signal "SCL" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):scl" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):scl" ) ) ( signal "SDA" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):sda" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):sda" ) ) ( signal "DP0_C2M" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):dp0_c2m" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):dp0_c2m" ) ) ( signal "DP0_C2M*" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\dp0_c2m*\" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\dp0_c2m*\" ) ) ( signal "DP0_M2C" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):dp0_m2c" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):dp0_m2c" ) ) ( signal "DP0_M2C*" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\dp0_m2c*\" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\dp0_m2c*\" ) ) ( signal "FMC_PRSNT_M2C_L" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_prsnt_m2c_l" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_prsnt_m2c_l" ) ) ( signal "FMC_PWR_GOOD_FLASH_RST_B" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_pwr_good_flash_rst_b" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_pwr_good_flash_rst_b" ) ) ( signal "FMC_TCK_BUF" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_tck_buf" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_tck_buf" ) ) ( signal "FMC_TDO" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_tdo" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_tdo" ) ) ( signal "FMC_TMS_BUF" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_tms_buf" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_tms_buf" ) ) ( signal "FPGA_TDO" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fpga_tdo" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fpga_tdo" ) ) ( signal "GA0" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):ga0" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):ga0" ) ) ( signal "GA1" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):ga1" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):ga1" ) ) ( signal "GBTCLK0_M2C" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):gbtclk0_m2c" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):gbtclk0_m2c" ) ) ( signal "GBTCLK0_M2C*" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\gbtclk0_m2c*\" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\gbtclk0_m2c*\" ) ) ( signal "TRST_L" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):trst_l" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):trst_l" ) ) ( signal "VREF_A_M2C" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):vref_a_m2c" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):vref_a_m2c" ) ) ( signal "BEAM_TRIGGER<0>" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):beam_trigger(0)" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):beam_trigger(0)" ) + ) + ( signal "FMC_LA<2>_1" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(2)" ) ) ( signal "FMC_LA<1>" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):fmc_la(1)" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(1)" ) ) ( signal "BEAM_TRIGGER<1>" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):beam_trigger(1)" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):beam_trigger(1)" ) ) ( signal "FMC_LA<13>" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):fmc_la(13)" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(13)" ) ) ( signal "BEAM_TRIGGER<2>" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):beam_trigger(2)" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):beam_trigger(2)" ) + ) + ( signal "FMC_LA<11>" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(11)" ) + ) + ( signal "FMC_LA<8>" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(8)" ) ) ( signal "FMC_LA<5>" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):fmc_la(5)" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(5)" ) ) ( signal "BEAM_TRIGGER<3>" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):beam_trigger(3)" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):beam_trigger(3)" ) + ) + ( signal "FMC_LA<16>" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(16)" ) ) ( signal "BEAM_TRIGGER*<0>" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):\beam_trigger*\(0)" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\beam_trigger*\(0)" ) + ) + ( signal "FMC_LA*<2>_1" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(2)" ) ) ( signal "FMC_LA*<1>" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):\fmc_la*\(1)" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(1)" ) ) ( signal "BEAM_TRIGGER*<1>" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):\beam_trigger*\(1)" ) - ) - ( signal "FMC_LA*<4>" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):\fmc_la*\(4)" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\beam_trigger*\(1)" ) ) ( signal "FMC_LA*<13>" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):\fmc_la*\(13)" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(13)" ) ) ( signal "BEAM_TRIGGER*<2>" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):\beam_trigger*\(2)" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\beam_trigger*\(2)" ) + ) + ( signal "FMC_LA*<11>" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(11)" ) + ) + ( signal "FMC_LA*<8>" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(8)" ) + ) + ( signal "FMC_LA*<12>" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(12)" ) ) ( signal "FMC_LA*<23>" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):\fmc_la*\(23)" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(23)" ) ) ( signal "FMC_LA*<5>" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):\fmc_la*\(5)" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(5)" ) ) ( signal "BEAM_TRIGGER*<3>" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):\beam_trigger*\(3)" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\beam_trigger*\(3)" ) + ) + ( signal "FMC_LA*<7>" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(7)" ) + ) + ( signal "FMC_LA*<16>" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(16)" ) ) ( signal "BEAM_TRIGGER_CFD*<3>" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):\beam_trigger_cfd*\(3)" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\beam_trigger_cfd*\(3)" ) + ) + ( signal "FMC_LA*<27>" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(27)" ) + ) + ( signal "FMC_LA<27>" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(27)" ) ) ( signal "FMC_LA*<6>" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):\fmc_la*\(6)" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(6)" ) ) ( signal "BEAM_TRIGGER_CFD*<2>" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):\beam_trigger_cfd*\(2)" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\beam_trigger_cfd*\(2)" ) + ) + ( signal "FMC_LA*<18>" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(18)" ) + ) + ( signal "FMC_LA<18>" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(18)" ) ) ( signal "BEAM_TRIGGER_CFD<3>" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):beam_trigger_cfd(3)" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):beam_trigger_cfd(3)" ) ) ( signal "FMC_LA<6>" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):fmc_la(6)" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(6)" ) ) ( signal "BEAM_TRIGGER_CFD*<1>" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):\beam_trigger_cfd*\(1)" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\beam_trigger_cfd*\(1)" ) + ) + ( signal "FMC_LA*<14>" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(14)" ) + ) + ( signal "FMC_LA<14>" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(14)" ) ) ( signal "BEAM_TRIGGER_CFD<2>" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):beam_trigger_cfd(2)" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):beam_trigger_cfd(2)" ) ) ( signal "BEAM_TRIGGER_CFD*<0>" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):\beam_trigger_cfd*\(0)" ) - ) - ( signal "FMC_LA*<0>" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):\fmc_la*\(0)" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\beam_trigger_cfd*\(0)" ) ) ( signal "BEAM_TRIGGER_CFD<1>" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):beam_trigger_cfd(1)" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):beam_trigger_cfd(1)" ) ) ( signal "BEAM_TRIGGER_CFD<0>" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):beam_trigger_cfd(0)" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):beam_trigger_cfd(0)" ) ) ( signal "VTHRESH<0>" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):vthresh(0)" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):vthresh(0)" ) ) ( signal "VTHRESH<1>" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):vthresh(1)" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):vthresh(1)" ) ) ( signal "VTHRESH<2>" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):vthresh(2)" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):vthresh(2)" ) ) ( signal "VTHRESH<3>" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):vthresh(3)" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):vthresh(3)" ) ) ( signal "M5V" ( attribute "WEIGHT" "0" ( Status sBlockFlattened ) ( Origin gBackEnd ) ) - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):m5v" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):m5v" ) ) ( signal "P5V" ( attribute "WEIGHT" "0" ( Status sBlockFlattened ) ( Origin gBackEnd ) ) - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):p5v" ) - ) - ( signal "UNNAMED_4_CAPCERSMDCL2_I9_B" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):unnamed_4_capcersmdcl2_i9_b" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):p5v" ) ) ( signal "UNNAMED_1_AD5665R_I63_VOUTB" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):unnamed_1_ad5665r_i63_voutb" ) - ) - ( signal "UNNAMED_4_CAPCERSMDCL2_I8_B" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):unnamed_4_capcersmdcl2_i8_b" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):unnamed_1_ad5665r_i63_voutb" ) ) ( signal "UNNAMED_1_AD5665R_I63_VOUTC" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):unnamed_1_ad5665r_i63_voutc" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):unnamed_1_ad5665r_i63_voutc" ) ) ( signal "VREF" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):vref" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):vref" ) ) ( signal "UNNAMED_1_OPA4277_I22_MIN" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i29@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):unnamed_1_opa4277_i22_min" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i29@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):unnamed_1_opa4277_i22_min" ) ) ( signal "UNNAMED_1_OPA4277_I22_MIN_1" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i30@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):unnamed_1_opa4277_i22_min" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i30@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):unnamed_1_opa4277_i22_min" ) ) ( signal "IN<1>" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):\in\(1)" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\in\(1)" ) ) ( signal "UNNAMED_1_MAX9601_I1_IN_1_4" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):unnamed_1_max9601_i1_in_1" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):unnamed_1_max9601_i1_in_1" ) ) ( signal "IN<0>" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):\in\(0)" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\in\(0)" ) ) ( signal "UNNAMED_1_MAX9601_I71_HYS_4" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):unnamed_1_max9601_i71_hys" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):unnamed_1_max9601_i71_hys" ) ) ( signal "UNNAMED_1_CAPCERSMDCL2_I78_B_4" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):unnamed_1_capcersmdcl2_i78_b" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):unnamed_1_capcersmdcl2_i78_b" ) ) ( signal "UNNAMED_1_OPA4277_I22_MIN_2" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i31@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):unnamed_1_opa4277_i22_min" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i31@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):unnamed_1_opa4277_i22_min" ) ) ( signal "UNNAMED_1_OPA4277_I22_MIN_3" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i32@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):unnamed_1_opa4277_i22_min" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i32@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):unnamed_1_opa4277_i22_min" ) ) ( signal "UNNAMED_1_MAX9601_I1_IN_1" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):unnamed_1_max9601_i1_in" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):unnamed_1_max9601_i1_in" ) ) ( signal "UNNAMED_1_CAPCERSMDCL2_I78_B_1" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):unnamed_1_capcersmdcl2_i78_b" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):unnamed_1_capcersmdcl2_i78_b" ) ) ( signal "UNNAMED_1_MAX9601_I1_HYS_1" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):unnamed_1_max9601_i1_hys" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):unnamed_1_max9601_i1_hys" ) ) ( signal "UNNAMED_1_MAX9601_I1_IN_1_1" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):unnamed_1_max9601_i1_in_1" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):unnamed_1_max9601_i1_in_1" ) ) ( signal "UNNAMED_1_MAX9601_I71_HYS_1" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):unnamed_1_max9601_i71_hys" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):unnamed_1_max9601_i71_hys" ) ) ( signal "UNNAMED_1_MAX9601_I1_IN_2" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):unnamed_1_max9601_i1_in" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):unnamed_1_max9601_i1_in" ) ) ( signal "UNNAMED_1_CAPCERSMDCL2_I78_B_2" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):unnamed_1_capcersmdcl2_i78_b" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):unnamed_1_capcersmdcl2_i78_b" ) ) ( signal "UNNAMED_1_MAX9601_I1_HYS_2" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):unnamed_1_max9601_i1_hys" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):unnamed_1_max9601_i1_hys" ) ) ( signal "UNNAMED_1_MAX9601_I1_IN_1_2" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):unnamed_1_max9601_i1_in_1" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):unnamed_1_max9601_i1_in_1" ) ) ( signal "UNNAMED_1_MAX9601_I71_HYS_2" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):unnamed_1_max9601_i71_hys" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):unnamed_1_max9601_i71_hys" ) ) ( signal "UNNAMED_1_MAX9601_I1_IN_3" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):unnamed_1_max9601_i1_in" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):unnamed_1_max9601_i1_in" ) ) ( signal "UNNAMED_1_CAPCERSMDCL2_I78_B_3" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):unnamed_1_capcersmdcl2_i78_b" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):unnamed_1_capcersmdcl2_i78_b" ) ) ( signal "UNNAMED_1_MAX9601_I1_HYS_3" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):unnamed_1_max9601_i1_hys" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):unnamed_1_max9601_i1_hys" ) ) ( signal "UNNAMED_1_MAX9601_I1_IN_1_3" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):unnamed_1_max9601_i1_in_1" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):unnamed_1_max9601_i1_in_1" ) ) ( signal "UNNAMED_1_MAX9601_I71_HYS_3" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):unnamed_1_max9601_i71_hys" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):unnamed_1_max9601_i71_hys" ) ) ( signal "UNNAMED_1_MAX9601_I1_IN_4" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):unnamed_1_max9601_i1_in" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):unnamed_1_max9601_i1_in" ) ) ( signal "UNNAMED_1_MAX9601_I1_HYS_4" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):unnamed_1_max9601_i1_hys" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):unnamed_1_max9601_i1_hys" ) ) ( signal "IN<2>" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):\in\(2)" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\in\(2)" ) ) ( signal "IN<3>" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):\in\(3)" ) - ) - ( signal "FRAME" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):frame" ) - ) - ( signal "GND_HDMI1" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):gnd_hdmi1" ) - ) - ( signal "GND_HDMI2" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):gnd_hdmi2" ) - ) - ( signal "UNNAMED_4_CAPCERSMDCL2_I19_B" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):unnamed_4_capcersmdcl2_i19_b" ) - ) - ( signal "UNNAMED_4_CAPCERSMDCL2_I22_B" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):unnamed_4_capcersmdcl2_i22_b" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\in\(3)" ) ) ( signal "UNNAMED_1_AD5665R_I63_VOUTD" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):unnamed_1_ad5665r_i63_voutd" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):unnamed_1_ad5665r_i63_voutd" ) ) ( signal "UNNAMED_1_AD5665R_I63_VOUTA" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):unnamed_1_ad5665r_i63_vouta" ) - ) - ( signal "CONT0*" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):\cont0*\" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):unnamed_1_ad5665r_i63_vouta" ) ) - ( signal "TRIG1*" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):\trig1*\" ) + ( signal "FMC_LA*<22>" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(22)" ) ) ( signal "FMC_LA*<26>" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):\fmc_la*\(26)" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(26)" ) ) ( signal "FMC_LA<26>" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):fmc_la(26)" ) - ) - ( signal "CONT0" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):cont0" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(26)" ) ) - ( signal "TRIG1" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):trig1" ) - ) - ( signal "CLK1*" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):\clk1*\" ) + ( signal "FMC_LA<22>" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(22)" ) ) ( signal "FMC_LA*<17>" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):\fmc_la*\(17)" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(17)" ) ) - ( signal "CLK1" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):clk1" ) + ( signal "FMC_LA*<21>" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(21)" ) ) - ( signal "FMC_LA<17>" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):fmc_la(17)" ) + ( signal "FMC_LA<21>" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(21)" ) ) - ( signal "BUSY1*" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):\busy1*\" ) + ( signal "FMC_LA<17>" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(17)" ) ) ( signal "FMC_LA*<10>" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):\fmc_la*\(10)" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(10)" ) ) - ( signal "BUSY1" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):busy1" ) + ( signal "FMC_LA*<15>" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(15)" ) ) ( signal "FMC_LA<15>" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):fmc_la(15)" ) - ) - ( signal "BUSY0*" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):\busy0*\" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(15)" ) ) - ( signal "BUSY0" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):busy0" ) + ( signal "FMC_LA*<19>" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(19)" ) ) - ( signal "SPARE1*" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):\spare1*\" ) + ( signal "FMC_LA<19>" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(19)" ) ) ( signal "FMC_LA*<9>" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):\fmc_la*\(9)" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(9)" ) ) - ( signal "SPARE1" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):spare1" ) + ( signal "FMC_LA*<20>" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(20)" ) ) ( signal "FMC_LA<9>" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):fmc_la(9)" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(9)" ) ) - ( signal "UNNAMED_4_CON19P_I2_A" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):unnamed_4_con19p_i2_a" ) + ( signal "FMC_LA<20>" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(20)" ) ) - ( signal "CONT1" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):cont1" ) + ( signal "page4_vbus" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_vbus" ) ) - ( signal "CONT1*" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):\cont1*\" ) + ( signal "UNNAMED_1_24AA025E48_I8_A0" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):unnamed_1_24aa025e48_i8_a0" ) ) - ( signal "DUT_CLK0" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):dut_clk0" ) + ( signal "UNNAMED_1_24AA025E48_I8_A1" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):unnamed_1_24aa025e48_i8_a1" ) ) - ( signal "DUT_CLK0*" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):\dut_clk0*\" ) + ( signal "UNNAMED_1_24AA025E48_I8_A2" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):unnamed_1_24aa025e48_i8_a2" ) ) - ( signal "TRIG0" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):trig0" ) + ( signal "FRONT_PANEL_CLK" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):front_panel_clk" ) ) - ( signal "TRIG0*" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):\trig0*\" ) + ( signal "FRONT_PANEL_CLK*" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\front_panel_clk*\" ) ) - ( signal "HDMI_POWER_ENABLE1" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):hdmi_power_enable1" ) + ( signal "UNNAMED_1_PLEMO2CI_I7_A" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):unnamed_1_plemo2ci_i7_a" ) ) - ( signal "UNNAMED_4_74LVC1G07_I28_Y" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):unnamed_4_74lvc1g07_i28_y" ) + ( signal "UNNAMED_1_PLEMO2CI_I7_B" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):unnamed_1_plemo2ci_i7_b" ) ) - ( signal "BUSY2*" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):\busy2*\" ) + ( signal "FMC_LA<2>" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):clk_io_1" ) ) - ( signal "CLK2" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):clk2" ) + ( signal "FMC_LA*<2>" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):clk_io_2" ) ) - ( signal "CLK2*" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):\clk2*\" ) + ( signal "FMC_LA<29>" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):gpio_clk" ) ) - ( signal "CONT2" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):cont2" ) + ( signal "UNNAMED_1_PCOAXSMD_I168_A_1" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):unnamed_1_pcoaxsmd_i168_a" ) ) - ( signal "CONT2*" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):\cont2*\" ) + ( signal "UNNAMED_1_PCOAXSMD_I168_A_2" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):unnamed_1_pcoaxsmd_i168_a" ) ) - ( signal "HDMI_POWER_ENABLE2" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):hdmi_power_enable2" ) + ( signal "UNNAMED_1_PCOAXSMD_I168_A_3" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):unnamed_1_pcoaxsmd_i168_a" ) ) - ( signal "SPARE2" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):spare2" ) + ( signal "UNNAMED_1_PCOAXSMD_I168_A_4" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):unnamed_1_pcoaxsmd_i168_a" ) ) - ( signal "SPARE2*" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):\spare2*\" ) + ( signal "P12V" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):p12v" ) ) - ( signal "TRIG2" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):trig2" ) + ( signal "VM2<0>_6" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(0)" ) ) - ( signal "TRIG2*" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):\trig2*\" ) + ( signal "VP1<0>_6" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(0)" ) ) - ( signal "UNNAMED_4_74LVC1G07_I40_Y" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):unnamed_4_74lvc1g07_i40_y" ) + ( signal "VIN_FILTERED_6" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vin_filtered" ) ) - ( signal "UNNAMED_4_CAPCERSMDCL2_I41_B" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):unnamed_4_capcersmdcl2_i41_b" ) + ( signal "M5V7_6" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):m5v7" ) ) - ( signal "UNNAMED_4_CAPCERSMDCL2_I42_B" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):unnamed_4_capcersmdcl2_i42_b" ) + ( signal "P5V7_6" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):p5v7" ) ) - ( signal "UNNAMED_4_CON19P_I3_A" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):unnamed_4_con19p_i3_a" ) + ( signal "UNNAMED_1_LTM8047_I70_ADJ_6" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):unnamed_1_ltm8047_i70_adj" ) ) - ( signal "page4_vbus" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page4_vbus" ) + ( signal "UNNAMED_1_LTM8047_I82_ADJ_6" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):unnamed_1_ltm8047_i82_adj" ) ) - ( signal "UNNAMED_1_24AA025E48_I8_A0" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):unnamed_1_24aa025e48_i8_a0" ) + ( signal "UNNAMED_1_CAPCERSMDCL2_I81_A_6" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):unnamed_1_capcersmdcl2_i81_a" ) ) - ( signal "UNNAMED_1_24AA025E48_I8_A1" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):unnamed_1_24aa025e48_i8_a1" ) + ( signal "UNNAMED_1_COMMONMODELINEFILTE_1" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_commonmodelinefilter_i80_2" ) ) - ( signal "UNNAMED_1_24AA025E48_I8_A2" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):unnamed_1_24aa025e48_i8_a2" ) + ( signal "UNNAMED_1_COMMONMODELINEFILTER_" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_commonmodelinefilter_i80_1" ) ) - ( signal "CTRIG1" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):ctrig1" ) + ( signal "UNNAMED_1_CAPCERSMDCL2_I8_B" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_capcersmdcl2_i8_b" ) ) - ( signal "CTRIG1*" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):\ctrig1*\" ) + ( signal "UNNAMED_1_CAPCERSMDCL2_I9_B" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_capcersmdcl2_i9_b" ) ) - ( signal "CTRIG2" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):ctrig2" ) + ( signal "UNNAMED_1_CAPN4I_I83_A" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_capn4i_i83_a" ) ) - ( signal "CTRIG2*" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):\ctrig2*\" ) + ( signal "BUSY" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):busy" ) ) - ( signal "FRONT_PANEL_CLK" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):front_panel_clk" ) + ( signal "BUSY*" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):\busy*\" ) ) - ( signal "FRONT_PANEL_CLK*" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):\front_panel_clk*\" ) + ( signal "CLK" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):clk" ) ) - ( signal "UNNAMED_1_PLEMO2CI_I7_A" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):unnamed_1_plemo2ci_i7_a" ) + ( signal "CLK*" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):\clk*\" ) ) - ( signal "UNNAMED_1_PLEMO2CI_I7_B" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):unnamed_1_plemo2ci_i7_b" ) + ( signal "CONT" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):cont" ) ) - ( signal "FMC_LA<2>" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):clk_io_1" ) + ( signal "CONT*" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):\cont*\" ) ) - ( signal "FMC_LA*<2>" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):clk_io_2" ) + ( signal "SPARE" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):spare" ) ) - ( signal "FMC_LA<29>" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):gpio_clk" ) + ( signal "SPARE*" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):\spare*\" ) ) - ( signal "UNNAMED_1_PCOAXSMD_I168_A_1" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):unnamed_1_pcoaxsmd_i168_a" ) + ( signal "TRIG" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):trig" ) ) - ( signal "UNNAMED_1_PCOAXSMD_I168_A_2" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):unnamed_1_pcoaxsmd_i168_a" ) + ( signal "TRIG*" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):\trig*\" ) ) - ( signal "UNNAMED_1_PCOAXSMD_I168_A_3" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):unnamed_1_pcoaxsmd_i168_a" ) + ( signal "HDMI_POWER" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):hdmi_power" ) ) - ( signal "UNNAMED_1_PCOAXSMD_I168_A_4" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):unnamed_1_pcoaxsmd_i168_a" ) + ( signal "UNNAMED_1_CAPCERSMDCL2_I88_A" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_capcersmdcl2_i88_a" ) ) - ( signal "P12V" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):p12v" ) + ( signal "GND_HDMI" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):gnd_hdmi" ) ) - ( signal "VM2<0>_6" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(0)" ) + ( signal "UNNAMED_1_CAPN4I_I112_A" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_capn4i_i112_a" ) ) - ( signal "VP1<0>_6" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(0)" ) + ( signal "UNNAMED_1_CAPN4I_I124_A" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_capn4i_i124_a" ) ) - ( signal "VIN_FILTERED_6" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vin_filtered" ) + ( signal "UNNAMED_1_CAPN4I_I136_A" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_capn4i_i136_a" ) ) - ( signal "M5V7_6" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):m5v7" ) + ( signal "UNNAMED_1_CAPN4I_I148_A" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_capn4i_i148_a" ) ) - ( signal "P5V7_6" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):p5v7" ) + ( signal "UNNAMED_1_COMMONMODELINEFILTE_2" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_commonmodelinefilter_i109_1" ) ) - ( signal "UNNAMED_1_LTM8047_I70_ADJ_6" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):unnamed_1_ltm8047_i70_adj" ) + ( signal "UNNAMED_1_COMMONMODELINEFILTE_3" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_commonmodelinefilter_i109_2" ) ) - ( signal "UNNAMED_1_LTM8047_I82_ADJ_6" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):unnamed_1_ltm8047_i82_adj" ) + ( signal "UNNAMED_1_COMMONMODELINEFILTE_4" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_commonmodelinefilter_i121_1" ) ) - ( signal "UNNAMED_1_CAPCERSMDCL2_I81_A_6" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):unnamed_1_capcersmdcl2_i81_a" ) + ( signal "UNNAMED_1_COMMONMODELINEFILTE_5" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_commonmodelinefilter_i121_2" ) + ) + ( signal "UNNAMED_1_COMMONMODELINEFILTE_6" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_commonmodelinefilter_i133_1" ) + ) + ( signal "UNNAMED_1_COMMONMODELINEFILTE_7" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_commonmodelinefilter_i133_2" ) + ) + ( signal "BUSY_FROM_FPGA<0>" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):busy_from_fpga(0)" ) + ) + ( signal "BUSY_TO_FPGA<0>" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):busy_to_fpga(0)" ) + ) + ( signal "CLK_FROM_FPGA<0>" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):clk_from_fpga(0)" ) + ) + ( signal "CLK_TO_DUT<0>" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):clk_to_dut(0)" ) + ) + ( signal "CLK_TO_DUT*<0>" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\clk_to_dut*\(0)" ) + ) + ( signal "CLK_TO_FPGA<0>" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):clk_to_fpga(0)" ) + ) + ( signal "CONT_TO_FPGA<0>" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):cont_to_fpga(0)" ) + ) + ( signal "ENABLE_BUSY_FROM_FPGA<0>" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):enable_busy_from_fpga(0)" ) + ) + ( signal "ENABLE_CLK_FROM_FPGA<0>" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):enable_clk_from_fpga(0)" ) + ) + ( signal "ENABLE_CLK_TO_DUT<0>" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):enable_clk_to_dut(0)" ) + ) + ( signal "ENABLE_CONT_FROM_FPGA<0>" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):enable_cont_from_fpga(0)" ) + ) + ( signal "ENABLE_SPARE_FROM_FPGA<0>" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):enable_spare_from_fpga(0)" ) + ) + ( signal "ENABLE_TRIG_FROM_FPGA<0>" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):enable_trig_from_fpga(0)" ) + ) + ( signal "HDMI_CLK<0>" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):hdmi_clk(0)" ) + ) + ( signal "HDMI_CLK*<0>" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\hdmi_clk*\(0)" ) ) ( gate "J4" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):page1_i1" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):page1_i1" ) ) ( gate "IC3" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i29@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):page1_i22" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i29@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):page1_i22" ) ) ( gate "R40" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i29@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):page1_i23" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i29@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):page1_i23" ) ) ( gate "R46" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i29@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):page1_i24" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i29@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):page1_i24" ) ) ( gate "R41" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i30@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):page1_i23" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i30@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):page1_i23" ) ) ( gate "R47" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i30@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):page1_i24" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i30@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):page1_i24" ) ) ( gate "R5" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i31@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):page1_i23" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i31@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):page1_i23" ) ) ( gate "R4" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i31@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):page1_i24" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i31@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):page1_i24" ) ) ( gate "R6" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i32@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):page1_i23" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i32@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):page1_i23" ) ) ( gate "R3" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i32@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):page1_i24" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i32@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):page1_i24" ) ) ( gate "C40" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i50" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i50" ) ) ( gate "C6" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i51" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i51" ) ) ( gate "C65" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i52" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i52" ) ) ( gate "C42" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i53" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i53" ) ) ( gate "C8_2" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i151" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i151" ) ) ( gate "R14_2" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i152" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i152" ) ) ( gate "C5_2" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i150" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i150" ) ) ( gate "IC2_1" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i1" ) - ) - ( gate "J1" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page4_i2" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i1" ) ) ( gate "PX1" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page1_i7" ) - ) - ( gate "J3" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page4_i78" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i7" ) ) ( gate "R12_2" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i153" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i153" ) ) ( gate "C1_1" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i123" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i123" ) ) ( gate "C4_1" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i39" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i39" ) ) ( gate "C7_1" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i40" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i40" ) ) ( gate "C10_1" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i41" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i41" ) ) ( gate "C9_1" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i43" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i43" ) ) ( gate "C6_1" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i44" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i44" ) ) ( gate "C3_1" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i47" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i47" ) ) ( gate "R9_1" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i73" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i73" ) ) ( gate "C2_1" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i78" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i78" ) ) ( gate "R5_1" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i79" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i79" ) ) ( gate "R10_1" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i81" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i81" ) ) ( gate "R6_1" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i148" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i148" ) ) ( gate "R7_1" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i92" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i92" ) ) ( gate "R3_1" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i93" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i93" ) ) ( gate "R2_1" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i94" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i94" ) ) ( gate "R13_1" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i111" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i111" ) ) ( gate "R11_1" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i113" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i113" ) ) ( gate "R8_1" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i147" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i147" ) ) ( gate "IC2_2" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i1" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i1" ) ) ( gate "C5_3" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i150" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i150" ) ) ( gate "R14_3" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i152" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i152" ) ) ( gate "C8_3" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i151" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i151" ) ) ( gate "R12_3" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i153" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i153" ) ) ( gate "C1_2" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i123" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i123" ) ) ( gate "C4_2" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i39" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i39" ) ) ( gate "C7_2" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i40" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i40" ) ) ( gate "C10_2" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i41" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i41" ) ) ( gate "C9_2" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i43" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i43" ) ) ( gate "C6_2" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i44" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i44" ) ) ( gate "C3_2" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i47" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i47" ) ) ( gate "R9_2" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i73" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i73" ) ) ( gate "C2_2" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i78" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i78" ) ) ( gate "R5_2" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i79" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i79" ) ) ( gate "R10_2" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i81" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i81" ) ) ( gate "R6_2" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i148" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i148" ) ) ( gate "R7_2" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i92" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i92" ) ) ( gate "R3_2" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i93" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i93" ) ) ( gate "R2_2" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i94" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i94" ) ) ( gate "R13_2" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i111" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i111" ) ) ( gate "R11_2" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i113" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i113" ) ) ( gate "R8_2" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i147" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i147" ) ) ( gate "IC2_3" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i1" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i1" ) ) ( gate "C5_4" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i150" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i150" ) ) ( gate "R14_4" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i152" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i152" ) ) ( gate "C8_4" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i151" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i151" ) ) ( gate "R12_4" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i153" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i153" ) ) ( gate "C1_3" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i123" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i123" ) ) ( gate "C4_3" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i39" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i39" ) ) ( gate "C7_3" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i40" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i40" ) ) ( gate "C10_3" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i41" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i41" ) ) ( gate "C9_3" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i43" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i43" ) ) ( gate "C6_3" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i44" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i44" ) ) ( gate "C3_3" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i47" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i47" ) ) ( gate "R9_3" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i73" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i73" ) ) ( gate "C2_3" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i78" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i78" ) ) ( gate "R5_3" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i79" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i79" ) ) ( gate "R10_3" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i81" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i81" ) ) ( gate "R6_3" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i148" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i148" ) ) ( gate "R7_3" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i92" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i92" ) ) ( gate "R3_3" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i93" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i93" ) ) ( gate "R2_3" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i94" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i94" ) ) ( gate "R13_3" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i111" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i111" ) ) ( gate "R11_3" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i113" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i113" ) ) ( gate "R8_3" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i147" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i147" ) ) ( gate "IC2_4" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i1" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i1" ) ) ( gate "C1_4" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i123" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i123" ) ) ( gate "C4_4" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i39" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i39" ) ) ( gate "C7_4" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i40" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i40" ) ) ( gate "C10_4" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i41" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i41" ) ) ( gate "C9_4" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i43" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i43" ) ) ( gate "C6_4" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i44" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i44" ) ) ( gate "C3_4" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i47" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i47" ) ) ( gate "R9_4" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i73" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i73" ) ) ( gate "C2_4" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i78" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i78" ) ) ( gate "R5_4" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i79" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i79" ) ) ( gate "R10_4" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i81" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i81" ) ) ( gate "R6_4" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i148" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i148" ) ) ( gate "R7_4" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i92" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i92" ) ) ( gate "R3_4" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i93" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i93" ) ) ( gate "R2_4" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i94" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i94" ) ) ( gate "R13_4" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i111" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i111" ) ) ( gate "R11_4" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i113" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i113" ) ) ( gate "PX2" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i54" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i54" ) ) ( gate "R8_4" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i147" ) - ) - ( gate "J2" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page4_i3" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i147" ) ) ( gate "C5_1" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i150" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i150" ) ) ( gate "C8_1" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i151" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i151" ) ) ( gate "R14_1" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i152" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i152" ) ) ( gate "R12_1" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i153" ) - ) - ( gate "C49" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page4_i8" ) - ) - ( gate "C48" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page4_i9" ) - ) - ( gate "R66" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page4_i10" ) - ) - ( gate "R67" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page4_i11" ) - ) - ( gate "C50" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page4_i60" ) - ) - ( gate "C51" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page4_i56" ) - ) - ( gate "R70" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page4_i18" ) - ) - ( gate "C52" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page4_i19" ) - ) - ( gate "R71" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page4_i21" ) - ) - ( gate "C53" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page4_i22" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i153" ) ) ( gate "IC8" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i63" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i63" ) ) ( gate "C71" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i66" ) - ) - ( gate "T1" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page4_i26" ) - ) - ( gate "IC2" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page4_i28" ) - ) - ( gate "R1" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page4_i29" ) - ) - ( gate "D2" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page4_i33" ) - ) - ( gate "R68" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page4_i38" ) - ) - ( gate "R69" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page4_i39" ) - ) - ( gate "IC1" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page4_i40" ) - ) - ( gate "C47" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page4_i41" ) - ) - ( gate "C46" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page4_i42" ) - ) - ( gate "R2" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page4_i44" ) - ) - ( gate "T2" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page4_i45" ) - ) - ( gate "D1" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page4_i49" ) - ) - ( gate "C55" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i9" ) - ) - ( gate "U2" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i7" ) - ) - ( gate "C56" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i9" ) - ) - ( gate "U3" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i7" ) - ) - ( gate "C54" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i9" ) - ) - ( gate "U1" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i7" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i66" ) ) ( gate "TP2_1" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i159" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i159" ) ) ( gate "TP3_1" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i160" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i160" ) ) ( gate "TP4_1" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i161" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i161" ) ) ( gate "TP1_1" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i162" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i162" ) ) ( gate "TP5_1" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i163" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i163" ) ) ( gate "TP6_1" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i164" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i164" ) ) ( gate "TP7_1" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i165" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i165" ) ) ( gate "TP2_2" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i159" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i159" ) ) ( gate "TP3_2" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i160" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i160" ) ) ( gate "TP4_2" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i161" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i161" ) ) ( gate "TP1_2" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i162" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i162" ) ) ( gate "TP5_2" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i163" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i163" ) ) ( gate "TP6_2" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i164" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i164" ) ) ( gate "TP7_2" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i165" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i165" ) ) ( gate "TP2_3" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i159" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i159" ) ) ( gate "TP3_3" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i160" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i160" ) ) ( gate "TP4_3" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i161" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i161" ) ) ( gate "TP1_3" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i162" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i162" ) ) ( gate "TP5_3" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i163" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i163" ) ) ( gate "TP6_3" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i164" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i164" ) ) ( gate "TP7_3" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i165" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i165" ) ) ( gate "TP2_4" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i159" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i159" ) ) ( gate "TP3_4" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i160" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i160" ) ) ( gate "TP4_4" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i161" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i161" ) ) ( gate "TP1_4" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i162" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i162" ) ) ( gate "TP5_4" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i163" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i163" ) ) ( gate "TP6_4" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i164" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i164" ) ) ( gate "TP7_4" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i165" ) - ) - ( gate "TP11" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page4_i65" ) - ) - ( gate "TP12" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page4_i66" ) - ) - ( gate "TP4" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page4_i67" ) - ) - ( gate "TP9" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page4_i68" ) - ) - ( gate "TP10" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page4_i69" ) - ) - ( gate "TP3" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page4_i70" ) - ) - ( gate "TP7" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page4_i71" ) - ) - ( gate "TP8" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page4_i72" ) - ) - ( gate "TP5" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page4_i73" ) - ) - ( gate "TP6" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page4_i74" ) - ) - ( gate "TP1" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page4_i75" ) - ) - ( gate "TP2" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page4_i76" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i165" ) ) ( gate "TP13" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i68" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i68" ) ) ( gate "TP22" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i69" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i69" ) ) ( gate "IC9" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page1_i8" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i8" ) ) ( gate "C70" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page1_i11" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i11" ) ) ( gate "R61" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page1_i12" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i12" ) ) ( gate "R63" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page1_i13" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i13" ) ) ( gate "R65" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page1_i14" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i14" ) ) ( gate "R60" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page1_i15" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i15" ) ) ( gate "R62" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page1_i16" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i16" ) ) ( gate "R64" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page1_i17" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i17" ) ) ( gate "PX5" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i51" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i51" ) ) ( gate "PX4" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i52" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i52" ) ) ( gate "PX3" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i53" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i53" ) ) ( gate "D1_1" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i120" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i120" ) ) ( gate "D1_2" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i120" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i120" ) ) ( gate "D1_3" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i120" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i120" ) ) ( gate "D1_4" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i120" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i120" ) ) ( gate "C1" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page1_i90" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i90" ) ) ( gate "D3" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page1_i92" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i92" ) ) ( gate "PL1" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page1_i93" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i93" ) ) ( gate "PX1_1" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i168" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i168" ) ) ( gate "PX1_2" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i168" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i168" ) ) ( gate "PX1_3" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i168" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i168" ) ) ( gate "PX1_4" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i168" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i168" ) ) ( gate "PX2_1" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i169" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i169" ) ) ( gate "PX2_2" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i169" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i169" ) ) ( gate "PX2_3" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i169" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i169" ) ) ( gate "PX2_4" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i169" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i169" ) ) ( gate "Z4" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page1_i98" ) - ) - ( gate "Z2" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i51" ) - ) - ( gate "Z3" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i51" ) - ) - ( gate "Z1" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i51" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i98" ) ) ( gate "U4" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i70" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i70" ) ) ( gate "C29" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i73" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i73" ) ) ( gate "REG1_6" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i40" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i40" ) ) ( gate "L2_6" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i42" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i42" ) ) ( gate "L3_6" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i44" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i44" ) ) ( gate "VR1_6" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i50" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i50" ) ) ( gate "C9_6" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i52" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i52" ) ) ( gate "C10_6" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i54" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i54" ) ) ( gate "C12_6" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i56" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i56" ) ) ( gate "C11_6" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i58" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i58" ) ) ( gate "L1_6" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i66" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i66" ) ) ( gate "RG1_6" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i70" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i70" ) ) ( gate "C5_6" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i72" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i72" ) ) ( gate "R2_6" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i74" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i74" ) ) ( gate "C1_6" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i79" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i79" ) ) ( gate "C2_6" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i81" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i81" ) ) ( gate "RG2_6" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i82" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i82" ) ) ( gate "C6_6" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i83" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i83" ) ) ( gate "R3_6" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i85" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i85" ) ) ( gate "C8_6" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i88" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i88" ) ) ( gate "C7_6" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i89" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i89" ) ) ( gate "C3_6" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i142" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i142" ) ) ( gate "C4_6" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i144" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i144" ) ) ( gate "R1_6" - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i146" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i146" ) + ) + ( gate "J1" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i2" ) + ) + ( gate "C9" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i8" ) + ) + ( gate "C8" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i9" ) + ) + ( gate "R11" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i10" ) + ) + ( gate "R12" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i11" ) + ) + ( gate "C11" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i88" ) + ) + ( gate "IC4" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i87" ) + ) + ( gate "IC5" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i85" ) + ) + ( gate "CN1" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i83" ) + ) + ( gate "RN2" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i82" ) + ) + ( gate "RN1" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i81" ) + ) + ( gate "R1" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i106" ) + ) + ( gate "L1" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i80" ) + ) + ( gate "IC1" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i79" ) + ) + ( gate "C16" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):page1_i67" ) + ) + ( gate "D4" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):page1_i70" ) + ) + ( gate "C15" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):page1_i58" ) + ) + ( gate "D2" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):page1_i56" ) + ) + ( gate "D1" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):page1_i55" ) + ) + ( gate "TP11" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i65" ) + ) + ( gate "TP12" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i66" ) + ) + ( gate "TP4" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i67" ) + ) + ( gate "TP9" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i68" ) + ) + ( gate "TP10" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i69" ) + ) + ( gate "TP3" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i70" ) + ) + ( gate "TP7" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i71" ) + ) + ( gate "TP8" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i72" ) + ) + ( gate "TP5" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i73" ) + ) + ( gate "TP6" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i74" ) + ) + ( gate "TP2" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i75" ) + ) + ( gate "TP1" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i76" ) + ) + ( gate "C14" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):page1_i9" ) + ) + ( gate "C13" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i90" ) + ) + ( gate "C12" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i91" ) + ) + ( gate "L5" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i109" ) + ) + ( gate "RN3" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i111" ) + ) + ( gate "CN2" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i112" ) + ) + ( gate "IC2" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i116" ) + ) + ( gate "L4" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i121" ) + ) + ( gate "L3" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i133" ) + ) + ( gate "L2" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i145" ) + ) + ( gate "C10" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i157" ) + ) + ( gate "C2" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i160" ) + ) + ( gate "C7" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i161" ) + ) + ( gate "C5" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i162" ) + ) + ( gate "C4" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i163" ) + ) + ( gate "C3" + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i164" ) ) ( differentialPair "BEAM_TRIGGER0" ( memberType ( signal ) ) ( member ( signalRef "BEAM_TRIGGER*<0>") ) ( member ( signalRef "BEAM_TRIGGER<0>") ) - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):\BEAM_TRIGGER0\" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\BEAM_TRIGGER0\" ) ) ( differentialPair "BEAM_TRIGGER1" ( memberType ( signal ) ) ( member ( signalRef "BEAM_TRIGGER*<1>") ) ( member ( signalRef "BEAM_TRIGGER<1>") ) - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):\BEAM_TRIGGER1\" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\BEAM_TRIGGER1\" ) ) ( differentialPair "BEAM_TRIGGER2" ( memberType ( signal ) ) ( member ( signalRef "BEAM_TRIGGER*<2>") ) ( member ( signalRef "BEAM_TRIGGER<2>") ) - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):\BEAM_TRIGGER2\" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\BEAM_TRIGGER2\" ) ) ( differentialPair "BEAM_TRIGGER3" ( memberType ( signal ) ) ( member ( signalRef "BEAM_TRIGGER*<3>") ) ( member ( signalRef "BEAM_TRIGGER<3>") ) - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):\BEAM_TRIGGER3\" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\BEAM_TRIGGER3\" ) + ) + ( differentialPair "FMC_LA10" + ( memberType ( signal ) ) + ( member ( signalRef "FMC_LA*<10>") ) + ( member ( signalRef "FMC_LA<10>") ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA10\" ) ) ( differentialPair "CONT2" ( memberType ( signal ) ) - ( member ( signalRef "SPARE1") ) - ( member ( signalRef "SPARE1*") ) - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):\CONT2\" ) + ( member ( signalRef "FMC_LA*<8>") ) + ( member ( signalRef "FMC_LA<8>") ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\CONT2\" ) + ) + ( differentialPair "FMC_LA17" + ( memberType ( signal ) ) + ( member ( signalRef "FMC_LA*<17>") ) + ( member ( signalRef "FMC_LA<17>") ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA17\" ) ) ( differentialPair "BEAM_TRIGGER_CFD0" ( memberType ( signal ) ) ( member ( signalRef "BEAM_TRIGGER_CFD*<0>") ) ( member ( signalRef "BEAM_TRIGGER_CFD<0>") ) - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):\BEAM_TRIGGER_CFD0\" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\BEAM_TRIGGER_CFD0\" ) + ) + ( differentialPair "BEAM_TRIGGER_CFD1" + ( memberType ( signal ) ) + ( member ( signalRef "FMC_LA*<2>_1") ) + ( member ( signalRef "FMC_LA<2>_1") ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\BEAM_TRIGGER_CFD1\" ) ) ( differentialPair "BEAM_TRIGGER_CFD2" ( memberType ( signal ) ) ( member ( signalRef "BEAM_TRIGGER_CFD*<2>") ) ( member ( signalRef "BEAM_TRIGGER_CFD<2>") ) - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):\BEAM_TRIGGER_CFD2\" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\BEAM_TRIGGER_CFD2\" ) ) ( differentialPair "BEAM_TRIGGER_CFD3" ( memberType ( signal ) ) ( member ( signalRef "BEAM_TRIGGER_CFD*<3>") ) ( member ( signalRef "BEAM_TRIGGER_CFD<3>") ) - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):\BEAM_TRIGGER_CFD3\" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\BEAM_TRIGGER_CFD3\" ) ) ( differentialPair "BUSY0" ( memberType ( signal ) ) - ( member ( signalRef "TRIG1") ) - ( member ( signalRef "TRIG1*") ) - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):\BUSY0\" ) + ( member ( signalRef "BUSY_FROM_FPGA<0>") ) + ( member ( signalRef "BUSY_TO_FPGA<0>") ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\BUSY0\" ) ) - ( differentialPair "DUT_CLK0" + ( differentialPair "TRIG1" ( memberType ( signal ) ) - ( member ( signalRef "DUT_CLK0") ) - ( member ( signalRef "DUT_CLK0*") ) - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):\DUT_CLK0\" ) - ) - ( differentialPair "BUSY1" - ( memberType ( signal ) ) - ( member ( signalRef "BUSY1") ) - ( member ( signalRef "BUSY1*") ) - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):\BUSY1\" ) - ) - ( differentialPair "BUSY2" - ( memberType ( signal ) ) - ( member ( signalRef "BUSY2") ) - ( member ( signalRef "BUSY2*") ) - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):\BUSY2\" ) - ) - ( differentialPair "TRIG2" - ( memberType ( signal ) ) - ( member ( signalRef "TRIG2") ) - ( member ( signalRef "TRIG2*") ) - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):\TRIG2\" ) - ) - ( differentialPair "CLK2" - ( memberType ( signal ) ) - ( member ( signalRef "CLK2") ) - ( member ( signalRef "CLK2*") ) - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):\CLK2\" ) - ) - ( differentialPair "CONT0" - ( memberType ( signal ) ) - ( member ( signalRef "CONT0") ) - ( member ( signalRef "CONT0*") ) - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):\CONT0\" ) - ) - ( differentialPair "CONT1" - ( memberType ( signal ) ) - ( member ( signalRef "CONT1") ) - ( member ( signalRef "CONT1*") ) - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):\CONT1\" ) + ( member ( signalRef "FMC_LA*<19>") ) + ( member ( signalRef "FMC_LA<19>") ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\TRIG1\" ) ) ( differentialPair "SPARE1" ( memberType ( signal ) ) - ( member ( signalRef "TRIG0") ) - ( member ( signalRef "TRIG0*") ) - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):\SPARE1\" ) - ) - ( differentialPair "SPARE2" - ( memberType ( signal ) ) - ( member ( signalRef "SPARE2") ) - ( member ( signalRef "SPARE2*") ) - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):\SPARE2\" ) + ( member ( signalRef "FMC_LA*<20>") ) + ( member ( signalRef "FMC_LA<20>") ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\SPARE1\" ) ) ( differentialPair "TRIG0" ( memberType ( signal ) ) ( member ( signalRef "BEAM_TRIGGER_CFD*<1>") ) ( member ( signalRef "BEAM_TRIGGER_CFD<1>") ) - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):\TRIG0\" ) - ) - ( differentialPair "CTRIG1" - ( memberType ( signal ) ) - ( member ( signalRef "CTRIG1") ) - ( member ( signalRef "CTRIG1*") ) - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):\CTRIG1\" ) - ) - ( differentialPair "CTRIG2" - ( memberType ( signal ) ) - ( member ( signalRef "CTRIG2") ) - ( member ( signalRef "CTRIG2*") ) - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):\CTRIG2\" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\TRIG0\" ) ) ( differentialPair "FRONT_PANEL_CLK" ( memberType ( signal ) ) ( member ( signalRef "FRONT_PANEL_CLK") ) ( member ( signalRef "FRONT_PANEL_CLK*") ) - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):\FRONT_PANEL_CLK\" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FRONT_PANEL_CLK\" ) ) ( bus "VTHRESH" ( memberType ( signal ) ) @@ -2516,7 +2564,7 @@ ( member ( signalRef "VTHRESH<1>") ) ( member ( signalRef "VTHRESH<2>") ) ( member ( signalRef "VTHRESH<3>") ) - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):vthresh" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):vthresh" ) ) ( bus "IN" ( memberType ( signal ) ) @@ -2524,36 +2572,33 @@ ( member ( signalRef "IN<1>") ) ( member ( signalRef "IN<2>") ) ( member ( signalRef "IN<3>") ) - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):\in\" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\in\" ) ) ( bus "FMC_LA" ( memberType ( signal ) ) ( member ( signalRef "FMC_LA<1>") ) + ( member ( signalRef "FMC_LA<2>_1") ) ( member ( signalRef "FMC_LA<5>") ) ( member ( signalRef "FMC_LA<6>") ) + ( member ( signalRef "FMC_LA<7>") ) + ( member ( signalRef "FMC_LA<8>") ) ( member ( signalRef "FMC_LA<9>") ) ( member ( signalRef "FMC_LA<10>") ) + ( member ( signalRef "FMC_LA<11>") ) + ( member ( signalRef "FMC_LA<12>") ) ( member ( signalRef "FMC_LA<13>") ) + ( member ( signalRef "FMC_LA<14>") ) ( member ( signalRef "FMC_LA<15>") ) + ( member ( signalRef "FMC_LA<16>") ) ( member ( signalRef "FMC_LA<17>") ) + ( member ( signalRef "FMC_LA<18>") ) + ( member ( signalRef "FMC_LA<19>") ) + ( member ( signalRef "FMC_LA<20>") ) + ( member ( signalRef "FMC_LA<21>") ) + ( member ( signalRef "FMC_LA<22>") ) ( member ( signalRef "FMC_LA<23>") ) ( member ( signalRef "FMC_LA<26>") ) - ( member ( signalRef "BUSY2") ) - ( member ( signalRef "BUSY0") ) - ( member ( signalRef "CONT2") ) - ( member ( signalRef "TRIG2") ) - ( member ( signalRef "TRIG0") ) - ( member ( signalRef "HDMI_POWER_ENABLE1") ) - ( member ( signalRef "SPARE2") ) - ( member ( signalRef "CONT1") ) - ( member ( signalRef "FMC_LA<29>") ) - ( member ( signalRef "DUT_CLK0") ) - ( member ( signalRef "TRIG1") ) - ( member ( signalRef "CONT0") ) - ( member ( signalRef "CLK2") ) - ( member ( signalRef "CLK1") ) - ( member ( signalRef "BUSY1") ) - ( member ( signalRef "SPARE1") ) + ( member ( signalRef "FMC_LA<27>") ) ( member ( signalRef "BEAM_TRIGGER<0>") ) ( member ( signalRef "BEAM_TRIGGER<1>") ) ( member ( signalRef "BEAM_TRIGGER<3>") ) @@ -2562,36 +2607,33 @@ ( member ( signalRef "BEAM_TRIGGER_CFD<2>") ) ( member ( signalRef "BEAM_TRIGGER_CFD<3>") ) ( member ( signalRef "BEAM_TRIGGER<2>") ) - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):fmc_la" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la" ) ) ( bus "FMC_LA*" ( memberType ( signal ) ) - ( member ( signalRef "FMC_LA*<0>") ) ( member ( signalRef "FMC_LA*<1>") ) - ( member ( signalRef "FMC_LA*<4>") ) + ( member ( signalRef "FMC_LA*<2>_1") ) ( member ( signalRef "FMC_LA*<5>") ) ( member ( signalRef "FMC_LA*<6>") ) + ( member ( signalRef "FMC_LA*<7>") ) + ( member ( signalRef "FMC_LA*<8>") ) ( member ( signalRef "FMC_LA*<9>") ) ( member ( signalRef "FMC_LA*<10>") ) + ( member ( signalRef "FMC_LA*<11>") ) + ( member ( signalRef "FMC_LA*<12>") ) ( member ( signalRef "FMC_LA*<13>") ) + ( member ( signalRef "FMC_LA*<14>") ) + ( member ( signalRef "FMC_LA*<15>") ) + ( member ( signalRef "FMC_LA*<16>") ) ( member ( signalRef "FMC_LA*<17>") ) + ( member ( signalRef "FMC_LA*<18>") ) + ( member ( signalRef "FMC_LA*<19>") ) + ( member ( signalRef "FMC_LA*<20>") ) + ( member ( signalRef "FMC_LA*<21>") ) + ( member ( signalRef "FMC_LA*<22>") ) ( member ( signalRef "FMC_LA*<23>") ) ( member ( signalRef "FMC_LA*<26>") ) - ( member ( signalRef "TRIG1*") ) - ( member ( signalRef "DUT_CLK0*") ) - ( member ( signalRef "CONT1*") ) - ( member ( signalRef "SPARE2*") ) - ( member ( signalRef "HDMI_POWER_ENABLE2") ) - ( member ( signalRef "TRIG0*") ) - ( member ( signalRef "TRIG2*") ) - ( member ( signalRef "CONT2*") ) - ( member ( signalRef "BUSY2*") ) - ( member ( signalRef "BUSY0*") ) - ( member ( signalRef "CONT0*") ) - ( member ( signalRef "SPARE1*") ) - ( member ( signalRef "BUSY1*") ) - ( member ( signalRef "CLK1*") ) - ( member ( signalRef "CLK2*") ) + ( member ( signalRef "FMC_LA*<27>") ) ( member ( signalRef "BEAM_TRIGGER*<0>") ) ( member ( signalRef "BEAM_TRIGGER*<1>") ) ( member ( signalRef "BEAM_TRIGGER*<2>") ) @@ -2600,27 +2642,111 @@ ( member ( signalRef "BEAM_TRIGGER_CFD*<1>") ) ( member ( signalRef "BEAM_TRIGGER_CFD*<2>") ) ( member ( signalRef "BEAM_TRIGGER_CFD*<3>") ) - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):\fmc_la*\" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\" ) ) ( bus "VM1" ( memberType ( signal ) ) ( member ( signalRef "GND_SIGNAL") ) - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1" ) ) ( bus "VM2" ( memberType ( signal ) ) ( member ( signalRef "VM2<0>_6") ) - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2" ) ) ( bus "VP1" ( memberType ( signal ) ) ( member ( signalRef "VP1<0>_6") ) - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1" ) + ) + ( bus "VP2" + ( memberType ( signal ) ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2" ) ) ( bus "VI" ( memberType ( signal ) ) ( member ( signalRef "VIN_FILTERED_6") ) - ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_b(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vi" ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vi" ) + ) + ( bus "BUSY_FROM_FPGA" + ( memberType ( signal ) ) + ( member ( signalRef "BUSY_FROM_FPGA<0>") ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):busy_from_fpga" ) + ) + ( bus "BUSY_TO_FPGA" + ( memberType ( signal ) ) + ( member ( signalRef "BUSY_TO_FPGA<0>") ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):busy_to_fpga" ) + ) + ( bus "CLK_FROM_FPGA" + ( memberType ( signal ) ) + ( member ( signalRef "CLK_FROM_FPGA<0>") ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):clk_from_fpga" ) + ) + ( bus "CLK_TO_DUT" + ( memberType ( signal ) ) + ( member ( signalRef "CLK_TO_DUT<0>") ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):clk_to_dut" ) + ) + ( bus "CLK_TO_DUT*" + ( memberType ( signal ) ) + ( member ( signalRef "CLK_TO_DUT*<0>") ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\clk_to_dut*\" ) + ) + ( bus "CLK_TO_FPGA" + ( memberType ( signal ) ) + ( member ( signalRef "CLK_TO_FPGA<0>") ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):clk_to_fpga" ) + ) + ( bus "CONT_FROM_FPGA" + ( memberType ( signal ) ) + ( member ( signalRef "FMC_LA<29>") ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):cont_from_fpga" ) + ) + ( bus "CONT_TO_FPGA" + ( memberType ( signal ) ) + ( member ( signalRef "CONT_TO_FPGA<0>") ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):cont_to_fpga" ) + ) + ( bus "ENABLE_BUSY_FROM_FPGA" + ( memberType ( signal ) ) + ( member ( signalRef "ENABLE_BUSY_FROM_FPGA<0>") ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):enable_busy_from_fpga" ) + ) + ( bus "ENABLE_CLK_FROM_FPGA" + ( memberType ( signal ) ) + ( member ( signalRef "ENABLE_CLK_FROM_FPGA<0>") ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):enable_clk_from_fpga" ) + ) + ( bus "ENABLE_CLK_TO_DUT" + ( memberType ( signal ) ) + ( member ( signalRef "ENABLE_CLK_TO_DUT<0>") ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):enable_clk_to_dut" ) + ) + ( bus "ENABLE_CONT_FROM_FPGA" + ( memberType ( signal ) ) + ( member ( signalRef "ENABLE_CONT_FROM_FPGA<0>") ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):enable_cont_from_fpga" ) + ) + ( bus "ENABLE_SPARE_FROM_FPGA" + ( memberType ( signal ) ) + ( member ( signalRef "ENABLE_SPARE_FROM_FPGA<0>") ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):enable_spare_from_fpga" ) + ) + ( bus "ENABLE_TRIG_FROM_FPGA" + ( memberType ( signal ) ) + ( member ( signalRef "ENABLE_TRIG_FROM_FPGA<0>") ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):enable_trig_from_fpga" ) + ) + ( bus "HDMI_CLK" + ( memberType ( signal ) ) + ( member ( signalRef "HDMI_CLK<0>") ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):hdmi_clk" ) + ) + ( bus "HDMI_CLK*" + ( memberType ( signal ) ) + ( member ( signalRef "HDMI_CLK*<0>") ) + ( objectStatus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\hdmi_clk*\" ) ) ) ) diff --git a/circuit_board/Cadence/worklib/fmc_tlu_toplevel_c/sch_1/fmc_tlu_toplevel_c.dcf b/circuit_board/Cadence/worklib/fmc_tlu_toplevel_c/sch_1/fmc_tlu_toplevel_c.dcf index 59e8333c0d48c61f71f47d20e59cf487aae6d4ba..9a985c59869a10e0ffce42ee87060be698ec7834 100755 --- a/circuit_board/Cadence/worklib/fmc_tlu_toplevel_c/sch_1/fmc_tlu_toplevel_c.dcf +++ b/circuit_board/Cadence/worklib/fmc_tlu_toplevel_c/sch_1/fmc_tlu_toplevel_c.dcf @@ -7,7 +7,7 @@ ( 16.6 ) ) ( revisionNumber - ( logicalViewRevNum 173 ) + ( logicalViewRevNum 183 ) ( physicalViewRevNum 6 ) ( otherViewRevNum 0 ) ) @@ -541,6 +541,22 @@ ( Analysis ) ) + ( Attribute + ( Name "DIELECTRIC" ) + ( Description " " ) + ( Value + ( DataType ( dString ) ) + ( Status sProperty sPackage ) + ) + ( Objects + ( ValidObjects oGate oGateDefn oBlock oPart oDesign oSystem oPartDefn ) + ( NoInherit + ( oGate oPin ) + ) + ) + ( Analysis + ) + ) ( WorkbookCustomizations ( GridConfigurationVersion ( 16.5 ) @@ -1297,6 +1313,11 @@ ( attribute "SAME_NET_SPACING_CONSTRAINT_SET" "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\DEFAULT\" ( Origin gFrontEnd ) ) + ( attribute "CDS_EXPORT_CONTENTS_MASK" "37567" + ) + ( attribute "CDS_EDIT_PNS_STATUS" "1" + ( Origin gFrontEnd ) + ) ) ( physicalCSet "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\DEFAULT\" ( attribute "DIFFP_PRIMARY_GAP" "0.3000,0.3000,0.3000,0.3000,0.3000,0.3000" @@ -1724,7 +1745,6 @@ ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):gnd_signal" ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_gnd_signal") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_gnd_signal") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_gnd_signal") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):a0") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):a1") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):gnd_signal") ) @@ -1733,10 +1753,29 @@ ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):gnd_signal") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):gnd") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):gnd_signal") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):gnd_signal") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):gnd_signal") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):gnd_signal") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):gnd_signal") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):gnd_signal") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(0)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(1)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(2)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(3)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(4)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(5)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(6)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(7)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(8)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(9)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(10)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(11)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(12)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(13)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(14)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2(0)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2(1)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2(2)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2(3)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2(4)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2(5)") ) ( attribute "WEIGHT" "0" ( Status sBlockFlattened ) ( Origin gBackEnd ) @@ -1759,10 +1798,6 @@ ( objectFlag fObjectAlias ) ( objectStatus "page2_gnd_signal" ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_gnd_signal" - ( objectFlag fObjectAlias ) - ( objectStatus "page4_gnd_signal" ) - ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):a0" ( objectFlag fObjectAlias ) ( objectStatus "a0" ) @@ -1797,60 +1832,30 @@ ( objectFlag fObjectAlias ) ( objectStatus "gnd_signal" ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):gnd_signal" - ( objectFlag fObjectAlias ) - ( objectStatus "gnd_signal" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):gnd_signal" + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):gnd_signal" ( objectFlag fObjectAlias ) - ( objectStatus "gnd_signal" ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):gnd_signal" + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):gnd_signal" ( objectFlag fObjectAlias ) - ( objectStatus "gnd_signal" ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):gnd_signal" + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(12)" ( objectFlag fObjectAlias ) + ( objectStatus "page1_fmc_la<12>" ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):busy2" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig5") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_busy2") ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(12)" ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(12)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(12)") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(12)") ) - ( attribute "CDS_PHYS_NET_NAME" "BUSY2" - ( Origin gPackager ) + ( attribute "DIFFERENTIAL_PAIR" "BUSY2" + ( Status sResolvedConflict ) + ( Origin gFrontEnd ) ) - ( attribute "PNN" "FMC_LA<7>" + ( attribute "PNN" "FMC_LA<12>" ( Status sAliasFlattened ) - ( Status sAliasConflict ) ( Origin gPackager ) ) - ( attribute "PHYS_NET_NAME" "BUSY2<10>" + ( attribute "CDS_PHYS_NET_NAME" "FMC_LA<12>" ( Origin gPackager ) ) - ( attribute "DIFFERENTIAL_PAIR" "CONT1" - ( Origin gFrontEnd ) - ) - ( objectStatus "BUSY2" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig5" - ( objectFlag fObjectAlias ) - ( objectStatus "sig5" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_busy2" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_busy2" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(12)" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_fmc_la<12>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(12)" - ( attribute "BUS_NAME" "FMC_LA" - ( Origin gFrontEnd ) - ) - ( objectFlag fObjectAlias ) ( objectStatus "FMC_LA<12>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(12)" @@ -1899,7 +1904,7 @@ ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(10)" ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(10)") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(10)") ) - ( attribute "DIFFERENTIAL_PAIR" "TRIG2" + ( attribute "DIFFERENTIAL_PAIR" "FMC_LA10" ( Origin gFrontEnd ) ) ( attribute "PNN" "FMC_LA<10>" @@ -1915,12 +1920,6 @@ ( objectStatus "FMC_LA<10>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(10)" - ( attribute "PNN" "FMC_LA<10>" - ( Origin gPackager ) - ) - ( attribute "DIFFERENTIAL_PAIR" "TRIG2" - ( Origin gPackager ) - ) ( objectFlag fObjectAlias ) ( objectStatus "FMC_LA<10>" ) ) @@ -1929,19 +1928,24 @@ ( objectStatus "page1_fmc_la<7>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(7)" - ( attribute "BUS_NAME" "FMC_LA" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(7)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(7)") ) + ( attribute "DIFFERENTIAL_PAIR" "FMC_LA7" ( Origin gFrontEnd ) ) - ( objectFlag fObjectAlias ) + ( attribute "PNN" "FMC_LA<7>" + ( Status sAliasFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PHYS_NET_NAME" "FMC_LA<7>" + ( Origin gPackager ) + ) ( objectStatus "FMC_LA<7>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(7)" ( attribute "PNN" "FMC_LA<7>" ( Origin gPackager ) ) - ( attribute "DIFFERENTIAL_PAIR" "BEAM_TRIGGER3" - ( Origin gPackager ) - ) ( objectFlag fObjectAlias ) ( objectStatus "FMC_LA<7>" ) ) @@ -1952,7 +1956,6 @@ ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):p2v5") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):p2v5") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):p2v5") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_p2v5") ) ( attribute "CDS_PHYS_NET_NAME" "P2V5" ( Origin gPackager ) ) @@ -2001,15 +2004,11 @@ ( objectFlag fObjectAlias ) ( objectStatus "p2v5" ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_p2v5" - ( objectFlag fObjectAlias ) - ( objectStatus "page4_p2v5" ) - ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):p3v3" ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_p3v3") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):p3v3") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):p3v3") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_p3v3") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):p3v3") ) ( attribute "CDS_PHYS_NET_NAME" "P3V3" ( Origin gPackager ) ) @@ -2017,6 +2016,11 @@ ( Status sAliasFlattened ) ( Origin gPackager ) ) + ( attribute "PHYS_NET_NAME" "P3V3" + ( Status sBlockFlattened ) + ( Status sAliasFlattened ) + ( Origin gPackager ) + ) ( objectStatus "P3V3" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_p3v3" @@ -2031,9 +2035,8 @@ ( objectFlag fObjectAlias ) ( objectStatus "p3v3" ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_p3v3" + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):p3v3" ( objectFlag fObjectAlias ) - ( objectStatus "page4_p3v3" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):scl" ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):iic_scl_main") ) @@ -2087,9 +2090,6 @@ ( attribute "PNN" "FMC_LA<0>" ( Origin gPackager ) ) - ( attribute "DIFFERENTIAL_PAIR" "BEAM_TRIGGER_CFD0" - ( Origin gPackager ) - ) ( objectFlag fObjectAlias ) ( objectStatus "FMC_LA<0>" ) ) @@ -2097,9 +2097,6 @@ ( attribute "PNN" "FMC_LA<1>" ( Origin gPackager ) ) - ( attribute "DIFFERENTIAL_PAIR" "BEAM_TRIGGER0" - ( Origin gPackager ) - ) ( objectFlag fObjectAlias ) ( objectStatus "FMC_LA<1>" ) ) @@ -2127,9 +2124,6 @@ ( attribute "PNN" "FMC_LA<4>" ( Origin gPackager ) ) - ( attribute "DIFFERENTIAL_PAIR" "BEAM_TRIGGER_CFD2" - ( Origin gPackager ) - ) ( objectFlag fObjectAlias ) ( objectStatus "FMC_LA<4>" ) ) @@ -2137,9 +2131,6 @@ ( attribute "PNN" "FMC_LA<5>" ( Origin gPackager ) ) - ( attribute "DIFFERENTIAL_PAIR" "BEAM_TRIGGER2" - ( Origin gPackager ) - ) ( objectFlag fObjectAlias ) ( objectStatus "FMC_LA<5>" ) ) @@ -2206,12 +2197,6 @@ ( objectStatus "FMC_LA<16>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(17)" - ( attribute "PNN" "FMC_LA<17>" - ( Origin gPackager ) - ) - ( attribute "DIFFERENTIAL_PAIR" "SPARE2" - ( Origin gPackager ) - ) ( objectFlag fObjectAlias ) ( objectStatus "FMC_LA<17>" ) ) @@ -2339,9 +2324,6 @@ ( attribute "PNN" "FMC_LA*<0>" ( Origin gPackager ) ) - ( attribute "DIFFERENTIAL_PAIR" "BEAM_TRIGGER_CFD0" - ( Origin gPackager ) - ) ( objectFlag fObjectAlias ) ( objectStatus "FMC_LA*<0>" ) ) @@ -2349,9 +2331,6 @@ ( attribute "PNN" "FMC_LA*<1>" ( Origin gPackager ) ) - ( attribute "DIFFERENTIAL_PAIR" "BEAM_TRIGGER0" - ( Origin gPackager ) - ) ( objectFlag fObjectAlias ) ( objectStatus "FMC_LA*<1>" ) ) @@ -2379,9 +2358,6 @@ ( attribute "PNN" "FMC_LA*<4>" ( Origin gPackager ) ) - ( attribute "DIFFERENTIAL_PAIR" "BEAM_TRIGGER_CFD2" - ( Origin gPackager ) - ) ( objectFlag fObjectAlias ) ( objectStatus "FMC_LA*<4>" ) ) @@ -2409,9 +2385,6 @@ ( attribute "PNN" "FMC_LA*<7>" ( Origin gPackager ) ) - ( attribute "DIFFERENTIAL_PAIR" "BEAM_TRIGGER3" - ( Origin gPackager ) - ) ( objectFlag fObjectAlias ) ( objectStatus "FMC_LA*<7>" ) ) @@ -2433,12 +2406,6 @@ ( objectStatus "FMC_LA*<9>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(10)" - ( attribute "PNN" "FMC_LA*<10>" - ( Origin gPackager ) - ) - ( attribute "DIFFERENTIAL_PAIR" "TRIG2" - ( Origin gPackager ) - ) ( objectFlag fObjectAlias ) ( objectStatus "FMC_LA*<10>" ) ) @@ -2450,9 +2417,6 @@ ( attribute "PNN" "FMC_LA*<12>" ( Origin gPackager ) ) - ( attribute "DIFFERENTIAL_PAIR" "FMC_LA16" - ( Origin gPackager ) - ) ( objectFlag fObjectAlias ) ( objectStatus "FMC_LA*<12>" ) ) @@ -2474,9 +2438,6 @@ ( attribute "PNN" "FMC_LA*<15>" ( Origin gPackager ) ) - ( attribute "DIFFERENTIAL_PAIR" "BUSY1" - ( Origin gPackager ) - ) ( objectFlag fObjectAlias ) ( objectStatus "FMC_LA*<15>" ) ) @@ -2488,12 +2449,6 @@ ( objectStatus "FMC_LA*<16>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(17)" - ( attribute "PNN" "FMC_LA*<17>" - ( Origin gPackager ) - ) - ( attribute "DIFFERENTIAL_PAIR" "SPARE2" - ( Origin gPackager ) - ) ( objectFlag fObjectAlias ) ( objectStatus "FMC_LA*<17>" ) ) @@ -2791,11 +2746,33 @@ ( objectStatus "page1_fmc_la<2>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(2)" - ( attribute "BUS_NAME" "FMC_LA" - ( Origin gFrontEnd ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(2)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(2)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_trig_from_fpga(0)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):trig_from_fpga(0)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):trig_from_fpga") ) + ( attribute "PNN" "FMC_LA<2>_1" + ( Origin gPackager ) ) + ( attribute "DIFFERENTIAL_PAIR" "BEAM_TRIGGER_CFD1" + ( Status sAliasFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PHYS_NET_NAME" "FMC_LA<2>_1" + ( Origin gPackager ) + ) + ( objectStatus "FMC_LA<2>_1" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_trig_from_fpga(0)" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_trig_from_fpga<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):trig_from_fpga(0)" + ( objectFlag fObjectAlias ) + ( objectStatus "trig_from_fpga<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):trig_from_fpga" ( objectFlag fObjectAlias ) - ( objectStatus "fmc_la<2>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(1)" ( objectFlag fObjectAlias ) @@ -2804,21 +2781,35 @@ ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(1)" ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(1)") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(1)") ) - ( attribute "DIFFERENTIAL_PAIR" "BEAM_TRIGGER0" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_spare_from_fpga(0)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):spare_from_fpga(0)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):spare_from_fpga") ) + ( attribute "DIFFERENTIAL_PAIR" "FMC_LA1" ( Origin gFrontEnd ) ) - ( attribute "PNN" "FMC_LA<1>" - ( Status sAliasFlattened ) - ( Origin gPackager ) - ) ( attribute "PHYS_NET_NAME" "FMC_LA<1>" ( Origin gPackager ) ) ( attribute "CDS_PHYS_NET_NAME" "FMC_LA<1>" ( Origin gPackager ) ) + ( attribute "PNN" "FMC_LA<1>" + ( Status sAliasFlattened ) + ( Origin gPackager ) + ) ( objectStatus "FMC_LA<1>" ) ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_spare_from_fpga(0)" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_spare_from_fpga<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):spare_from_fpga(0)" + ( objectFlag fObjectAlias ) + ( objectStatus "spare_from_fpga<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):spare_from_fpga" + ( objectFlag fObjectAlias ) + ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):beam_trigger(1)" ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):leading_edge_out") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_beam_trigger(1)") ) @@ -2940,13 +2931,14 @@ ( objectStatus "page1_fmc_la<11>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(11)" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(11)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(11)") ) ( attribute "PNN" "FMC_LA<11>" ( Origin gPackager ) ) - ( attribute "BUS_NAME" "FMC_LA" - ( Origin gFrontEnd ) + ( attribute "CDS_PHYS_NET_NAME" "FMC_LA<11>" + ( Origin gPackager ) ) - ( objectFlag fObjectAlias ) ( objectStatus "FMC_LA<11>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(8)" @@ -2954,10 +2946,18 @@ ( objectStatus "page1_fmc_la<8>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(8)" - ( attribute "BUS_NAME" "FMC_LA" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(8)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(8)") ) + ( attribute "DIFFERENTIAL_PAIR" "CONT2" ( Origin gFrontEnd ) ) - ( objectFlag fObjectAlias ) + ( attribute "PNN" "FMC_LA<8>" + ( Status sAliasFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PHYS_NET_NAME" "FMC_LA<8>" + ( Origin gPackager ) + ) ( objectStatus "FMC_LA<8>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(5)" @@ -2967,21 +2967,35 @@ ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(5)" ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(5)") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(5)") ) - ( attribute "DIFFERENTIAL_PAIR" "BEAM_TRIGGER2" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_hdmi_power_enable(0)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):hdmi_power_enable(0)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):hdmi_power_enable") ) + ( attribute "DIFFERENTIAL_PAIR" "FMC_LA5" ( Origin gFrontEnd ) ) - ( attribute "PNN" "FMC_LA<5>" - ( Status sAliasFlattened ) - ( Origin gPackager ) - ) ( attribute "PHYS_NET_NAME" "FMC_LA<5>" ( Origin gPackager ) ) ( attribute "CDS_PHYS_NET_NAME" "FMC_LA<5>" ( Origin gPackager ) ) + ( attribute "PNN" "FMC_LA<5>" + ( Status sAliasFlattened ) + ( Origin gPackager ) + ) ( objectStatus "FMC_LA<5>" ) ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_hdmi_power_enable(0)" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_hdmi_power_enable<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):hdmi_power_enable(0)" + ( objectFlag fObjectAlias ) + ( objectStatus "hdmi_power_enable<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):hdmi_power_enable" + ( objectFlag fObjectAlias ) + ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):beam_trigger(3)" ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):leading_edge_out") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_beam_trigger(3)") ) @@ -3027,10 +3041,15 @@ ( objectStatus "page1_fmc_la<16>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(16)" - ( attribute "BUS_NAME" "FMC_LA" - ( Origin gFrontEnd ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(16)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(16)") ) + ( attribute "PNN" "FMC_LA<16>" + ( Status sAliasFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PHYS_NET_NAME" "FMC_LA<16>" + ( Origin gPackager ) ) - ( objectFlag fObjectAlias ) ( objectStatus "FMC_LA<16>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\beam_trigger*\(0)" @@ -3089,11 +3108,33 @@ ( objectStatus "page1_fmc_la*<2>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(2)" - ( attribute "BUS_NAME" "FMC_LA*" - ( Origin gFrontEnd ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(2)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(2)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_trig_to_fpga(0)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):trig_to_fpga(0)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):trig_to_fpga") ) + ( attribute "PNN" "FMC_LA*<2>_1" + ( Origin gPackager ) ) + ( attribute "DIFFERENTIAL_PAIR" "BEAM_TRIGGER_CFD1" + ( Status sAliasFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PHYS_NET_NAME" "FMC_LA*<2>_1" + ( Origin gPackager ) + ) + ( objectStatus "FMC_LA*<2>_1" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_trig_to_fpga(0)" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_trig_to_fpga<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):trig_to_fpga(0)" + ( objectFlag fObjectAlias ) + ( objectStatus "trig_to_fpga<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):trig_to_fpga" ( objectFlag fObjectAlias ) - ( objectStatus "fmc_la*<2>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(1)" ( objectFlag fObjectAlias ) @@ -3102,22 +3143,36 @@ ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(1)" ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(1)") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(1)") ) - ( attribute "DIFFERENTIAL_PAIR" "BEAM_TRIGGER0" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_spare_to_fpga(0)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):spare_to_fpga(0)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):spare_to_fpga") ) + ( attribute "DIFFERENTIAL_PAIR" "FMC_LA1" ( Status sResolvedConflict ) ( Origin gFrontEnd ) ) - ( attribute "PNN" "FMC_LA*<1>" - ( Status sAliasFlattened ) - ( Origin gPackager ) - ) ( attribute "PHYS_NET_NAME" "FMC_LA*<1>" ( Origin gPackager ) ) ( attribute "CDS_PHYS_NET_NAME" "FMC_LA*<1>" ( Origin gPackager ) ) + ( attribute "PNN" "FMC_LA*<1>" + ( Status sAliasFlattened ) + ( Origin gPackager ) + ) ( objectStatus "FMC_LA*<1>" ) ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_spare_to_fpga(0)" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_spare_to_fpga<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):spare_to_fpga(0)" + ( objectFlag fObjectAlias ) + ( objectStatus "spare_to_fpga<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):spare_to_fpga" + ( objectFlag fObjectAlias ) + ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\beam_trigger*\(1)" ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):\leading_edge_out*\") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_beam_trigger*\(1)") ) @@ -3152,21 +3207,13 @@ ( objectStatus "page1_fmc_la*<4>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(4)" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(4)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(4)") ) - ( attribute "DIFFERENTIAL_PAIR" "BEAM_TRIGGER_CFD2" - ( Origin gFrontEnd ) - ) - ( attribute "PNN" "FMC_LA*<4>" - ( Status sAliasFlattened ) - ( Origin gPackager ) - ) ( attribute "PHYS_NET_NAME" "FMC_LA*<4>" ( Origin gPackager ) ) - ( attribute "CDS_PHYS_NET_NAME" "FMC_LA*<4>" - ( Origin gPackager ) + ( attribute "BUS_NAME" "FMC_LA*" + ( Origin gFrontEnd ) ) + ( objectFlag fObjectAlias ) ( objectStatus "FMC_LA*<4>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(3)" @@ -3247,13 +3294,14 @@ ( objectStatus "page1_fmc_la*<11>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(11)" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(11)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(11)") ) ( attribute "PNN" "FMC_LA*<11>" ( Origin gPackager ) ) - ( attribute "BUS_NAME" "FMC_LA*" - ( Origin gFrontEnd ) + ( attribute "CDS_PHYS_NET_NAME" "FMC_LA*<11>" + ( Origin gPackager ) ) - ( objectFlag fObjectAlias ) ( objectStatus "FMC_LA*<11>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(8)" @@ -3261,10 +3309,18 @@ ( objectStatus "page1_fmc_la*<8>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(8)" - ( attribute "BUS_NAME" "FMC_LA*" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(8)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(8)") ) + ( attribute "DIFFERENTIAL_PAIR" "CONT2" ( Origin gFrontEnd ) ) - ( objectFlag fObjectAlias ) + ( attribute "PNN" "FMC_LA*<8>" + ( Status sAliasFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PHYS_NET_NAME" "FMC_LA*<8>" + ( Origin gPackager ) + ) ( objectStatus "FMC_LA*<8>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(12)" @@ -3272,10 +3328,18 @@ ( objectStatus "page1_fmc_la*<12>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(12)" - ( attribute "BUS_NAME" "FMC_LA*" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(12)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(12)") ) + ( attribute "DIFFERENTIAL_PAIR" "FMC_LA12" ( Origin gFrontEnd ) ) - ( objectFlag fObjectAlias ) + ( attribute "PNN" "FMC_LA*<12>" + ( Status sAliasFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PHYS_NET_NAME" "FMC_LA*<12>" + ( Origin gPackager ) + ) ( objectStatus "FMC_LA*<12>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(23)" @@ -3367,10 +3431,18 @@ ( objectStatus "page1_fmc_la*<7>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(7)" - ( attribute "BUS_NAME" "FMC_LA*" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(7)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(7)") ) + ( attribute "DIFFERENTIAL_PAIR" "FMC_LA7" ( Origin gFrontEnd ) ) - ( objectFlag fObjectAlias ) + ( attribute "PNN" "FMC_LA*<7>" + ( Status sAliasFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PHYS_NET_NAME" "FMC_LA*<7>" + ( Origin gPackager ) + ) ( objectStatus "FMC_LA*<7>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(16)" @@ -3378,10 +3450,18 @@ ( objectStatus "page1_fmc_la*<16>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(16)" - ( attribute "BUS_NAME" "FMC_LA*" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(16)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(16)") ) + ( attribute "DIFFERENTIAL_PAIR" "TRIG2" ( Origin gFrontEnd ) ) - ( objectFlag fObjectAlias ) + ( attribute "PNN" "FMC_LA*<16>" + ( Status sAliasFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PHYS_NET_NAME" "FMC_LA*<16>" + ( Origin gPackager ) + ) ( objectStatus "FMC_LA*<16>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\beam_trigger_cfd*\(3)" @@ -3433,10 +3513,19 @@ ( objectStatus "page1_fmc_la*<27>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(27)" - ( attribute "BUS_NAME" "FMC_LA*" - ( Origin gFrontEnd ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(27)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(27)") ) + ( attribute "PNN" "FMC_LA*<27>" + ( Status sAliasFlattened ) + ( Origin gPackager ) + ) + ( attribute "DIFFERENTIAL_PAIR" "FMC_LA32" + ( Status sAliasFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PHYS_NET_NAME" "FMC_LA*<27>" + ( Origin gPackager ) ) - ( objectFlag fObjectAlias ) ( objectStatus "FMC_LA*<27>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(27)" @@ -3444,10 +3533,19 @@ ( objectStatus "page1_fmc_la<27>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(27)" - ( attribute "BUS_NAME" "FMC_LA" - ( Origin gFrontEnd ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(27)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(27)") ) + ( attribute "PNN" "FMC_LA<27>" + ( Status sAliasFlattened ) + ( Origin gPackager ) + ) + ( attribute "DIFFERENTIAL_PAIR" "FMC_LA32" + ( Status sAliasFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PHYS_NET_NAME" "FMC_LA<27>" + ( Origin gPackager ) ) - ( objectFlag fObjectAlias ) ( objectStatus "FMC_LA<27>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(6)" @@ -3517,22 +3615,32 @@ ( objectStatus "page1_fmc_la*<18>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(18)" - ( attribute "BUS_NAME" "FMC_LA*" - ( Origin gFrontEnd ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(18)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(18)") ) + ( attribute "PNN" "FMC_LA*<18>" + ( Status sAliasFlattened ) + ( Origin gPackager ) ) - ( objectFlag fObjectAlias ) - ( objectStatus "fmc_la*<18>" ) + ( attribute "CDS_PHYS_NET_NAME" "FMC_LA*<18>" + ( Origin gPackager ) + ) + ( objectStatus "FMC_LA*<18>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(18)" ( objectFlag fObjectAlias ) ( objectStatus "page1_fmc_la<18>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(18)" - ( attribute "BUS_NAME" "FMC_LA" - ( Origin gFrontEnd ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(18)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(18)") ) + ( attribute "PNN" "FMC_LA<18>" + ( Status sAliasFlattened ) + ( Origin gPackager ) ) - ( objectFlag fObjectAlias ) - ( objectStatus "fmc_la<18>" ) + ( attribute "CDS_PHYS_NET_NAME" "FMC_LA<18>" + ( Origin gPackager ) + ) + ( objectStatus "FMC_LA<18>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):beam_trigger_cfd(3)" ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_beam_trigger_cfd(3)") ) @@ -3653,22 +3761,32 @@ ( objectStatus "page1_fmc_la*<14>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(14)" - ( attribute "BUS_NAME" "FMC_LA*" - ( Origin gFrontEnd ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(14)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(14)") ) + ( attribute "PNN" "FMC_LA*<14>" + ( Status sAliasFlattened ) + ( Origin gPackager ) ) - ( objectFlag fObjectAlias ) - ( objectStatus "fmc_la*<14>" ) + ( attribute "CDS_PHYS_NET_NAME" "FMC_LA*<14>" + ( Origin gPackager ) + ) + ( objectStatus "FMC_LA*<14>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(14)" ( objectFlag fObjectAlias ) ( objectStatus "page1_fmc_la<14>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(14)" - ( attribute "BUS_NAME" "FMC_LA" - ( Origin gFrontEnd ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(14)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(14)") ) + ( attribute "PNN" "FMC_LA<14>" + ( Status sAliasFlattened ) + ( Origin gPackager ) ) - ( objectFlag fObjectAlias ) - ( objectStatus "fmc_la<14>" ) + ( attribute "CDS_PHYS_NET_NAME" "FMC_LA<14>" + ( Origin gPackager ) + ) + ( objectStatus "FMC_LA<14>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):beam_trigger_cfd(2)" ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):cfd_out") ) @@ -3741,22 +3859,13 @@ ( objectStatus "page1_fmc_la*<0>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(0)" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(0)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(0)") ) - ( attribute "PNN" "FMC_LA*<0>" - ( Status sAliasFlattened ) - ( Origin gPackager ) - ) - ( attribute "DIFFERENTIAL_PAIR" "BEAM_TRIGGER_CFD0" - ( Status sAliasFlattened ) - ( Origin gPackager ) - ) ( attribute "PHYS_NET_NAME" "FMC_LA*<0>" ( Origin gPackager ) ) - ( attribute "CDS_PHYS_NET_NAME" "FMC_LA*<0>" - ( Origin gPackager ) + ( attribute "BUS_NAME" "FMC_LA*" + ( Origin gFrontEnd ) ) + ( objectFlag fObjectAlias ) ( objectStatus "FMC_LA*<0>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):beam_trigger_cfd(1)" @@ -4060,10 +4169,10 @@ ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):p5v") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):p5v") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):p5v") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_p5v") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_p5v") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_p5v") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):\+5v_out\") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):p5v") ) ( attribute "CDS_PHYS_NET_NAME" "P5V" ( Origin gPackager ) ) @@ -4115,10 +4224,6 @@ ( objectFlag fObjectAlias ) ( objectStatus "p5v" ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_p5v" - ( objectFlag fObjectAlias ) - ( objectStatus "page4_p5v" ) - ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_p5v" ( objectFlag fObjectAlias ) ( objectStatus "page2_p5v" ) @@ -4130,11 +4235,8 @@ ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):\+5v_out\" ( objectFlag fObjectAlias ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):unnamed_4_capcersmdcl2_i9_b" - ( attribute "CDS_PHYS_NET_NAME" "UNNAMED_4_CAPCERSMDCL2_I9_B" - ( Origin gPackager ) - ) - ( objectStatus "UNNAMED_4_CAPCERSMDCL2_I9_B" ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):p5v" + ( objectFlag fObjectAlias ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):unnamed_1_ad5665r_i63_voutb" ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i32@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):\vin+\") ) @@ -4147,12 +4249,6 @@ ( objectFlag fObjectAlias ) ( objectStatus "vin+" ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):unnamed_4_capcersmdcl2_i8_b" - ( attribute "CDS_PHYS_NET_NAME" "UNNAMED_4_CAPCERSMDCL2_I8_B" - ( Origin gPackager ) - ) - ( objectStatus "UNNAMED_4_CAPCERSMDCL2_I8_B" ) - ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):unnamed_1_ad5665r_i63_voutc" ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i31@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):\vin+\") ) ( attribute "CDS_PHYS_NET_NAME" "UNNAMED_1_AD5665R_I63_VOUTC" @@ -4443,41 +4539,6 @@ ) ( objectStatus "IN<3>" ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):frame" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_frame") ) - ( attribute "CDS_PHYS_NET_NAME" "FRAME" - ( Origin gPackager ) - ) - ( objectStatus "FRAME" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_frame" - ( objectFlag fObjectAlias ) - ( objectStatus "page4_frame" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):gnd_hdmi1" - ( attribute "CDS_PHYS_NET_NAME" "GND_HDMI1" - ( Origin gPackager ) - ) - ( objectStatus "GND_HDMI1" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):gnd_hdmi2" - ( attribute "CDS_PHYS_NET_NAME" "GND_HDMI2" - ( Origin gPackager ) - ) - ( objectStatus "GND_HDMI2" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):unnamed_4_capcersmdcl2_i19_b" - ( attribute "CDS_PHYS_NET_NAME" "UNNAMED_4_CAPCERSMDCL2_I19_B" - ( Origin gPackager ) - ) - ( objectStatus "UNNAMED_4_CAPCERSMDCL2_I19_B" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):unnamed_4_capcersmdcl2_i22_b" - ( attribute "CDS_PHYS_NET_NAME" "UNNAMED_4_CAPCERSMDCL2_I22_B" - ( Origin gPackager ) - ) - ( objectStatus "UNNAMED_4_CAPCERSMDCL2_I22_B" ) - ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):unnamed_1_ad5665r_i63_voutd" ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i30@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):\vin+\") ) ( attribute "CDS_PHYS_NET_NAME" "UNNAMED_1_AD5665R_I63_VOUTD" @@ -4496,70 +4557,21 @@ ) ( objectStatus "UNNAMED_1_AD5665R_I63_VOUTA" ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\cont0*\" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig4") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_cont0*\") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(22)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(22)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(22)") ) - ( attribute "CDS_PHYS_NET_NAME" "CONT0*" - ( Origin gPackager ) - ) - ( attribute "PNN" "FMC_LA*<33>" - ( Status sAliasFlattened ) - ( Status sAliasConflict ) - ( Origin gPackager ) - ) - ( attribute "PHYS_NET_NAME" "CONT0*<32>" - ( Origin gPackager ) - ) - ( attribute "DIFFERENTIAL_PAIR" "FMC_LA22" - ( Origin gFrontEnd ) - ) - ( objectStatus "CONT0*" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig4" - ( objectFlag fObjectAlias ) - ( objectStatus "sig4" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_cont0*\" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_cont0*" ) - ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(22)" ( objectFlag fObjectAlias ) ( objectStatus "page1_fmc_la*<22>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(22)" - ( attribute "BUS_NAME" "FMC_LA*" - ( Origin gFrontEnd ) - ) - ( objectFlag fObjectAlias ) - ( objectStatus "FMC_LA*<22>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_trig1*\" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_trig1*" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\trig1*\" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_trig1*\") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(3)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(3)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(3)") ) - ( attribute "PNN" "FMC_LA*<32>" - ( Status sResolvedConflict ) - ( Origin gPackager ) - ) - ( attribute "PHYS_NET_NAME" "TRIG1*" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(22)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(22)") ) + ( attribute "PNN" "FMC_LA*<22>" + ( Status sAliasFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PHYS_NET_NAME" "TRIG1*" + ( attribute "CDS_PHYS_NET_NAME" "FMC_LA*<22>" ( Origin gPackager ) ) - ( attribute "DIFFERENTIAL_PAIR" "BEAM_TRIGGER1" - ( Origin gFrontEnd ) - ) - ( objectStatus "TRIG1*" ) + ( objectStatus "FMC_LA*<22>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(26)" ( objectFlag fObjectAlias ) @@ -4605,100 +4617,21 @@ ) ( objectStatus "FMC_LA<26>" ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):cont0" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig3") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_cont0") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(22)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(22)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(22)") ) - ( attribute "CDS_PHYS_NET_NAME" "CONT0" - ( Origin gPackager ) - ) - ( attribute "PNN" "FMC_LA<33>" - ( Status sAliasFlattened ) - ( Status sAliasConflict ) - ( Origin gPackager ) - ) - ( attribute "PHYS_NET_NAME" "CONT0<32>" - ( Origin gPackager ) - ) - ( attribute "DIFFERENTIAL_PAIR" "FMC_LA22" - ( Origin gFrontEnd ) - ) - ( objectStatus "CONT0" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig3" - ( objectFlag fObjectAlias ) - ( objectStatus "sig3" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_cont0" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_cont0" ) - ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(22)" ( objectFlag fObjectAlias ) ( objectStatus "page1_fmc_la<22>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(22)" - ( attribute "BUS_NAME" "FMC_LA" - ( Origin gFrontEnd ) - ) - ( objectFlag fObjectAlias ) - ( objectStatus "FMC_LA<22>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_trig1" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_trig1" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):trig1" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_trig1") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(3)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(3)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(3)") ) - ( attribute "PNN" "FMC_LA<32>" - ( Status sResolvedConflict ) - ( Origin gPackager ) - ) - ( attribute "PHYS_NET_NAME" "TRIG1" - ( Origin gPackager ) - ) - ( attribute "CDS_PHYS_NET_NAME" "TRIG1" - ( Origin gPackager ) - ) - ( attribute "DIFFERENTIAL_PAIR" "BEAM_TRIGGER1" - ( Origin gFrontEnd ) - ) - ( objectStatus "TRIG1" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\clk1*\" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig3") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_clk1*\") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(27)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(27)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(27)") ) - ( attribute "CDS_PHYS_NET_NAME" "CLK1*" - ( Origin gPackager ) - ) - ( attribute "PNN" "FMC_LA*<21>" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(22)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(22)") ) + ( attribute "PNN" "FMC_LA<22>" ( Status sAliasFlattened ) - ( Status sAliasConflict ) ( Origin gPackager ) ) - ( attribute "PHYS_NET_NAME" "CLK1*<17>" + ( attribute "CDS_PHYS_NET_NAME" "FMC_LA<22>" ( Origin gPackager ) ) - ( attribute "DIFFERENTIAL_PAIR" "FMC_LA32" - ( Origin gFrontEnd ) - ) - ( objectStatus "CLK1*" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig3" - ( objectFlag fObjectAlias ) - ( objectStatus "sig3" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_clk1*\" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_clk1*" ) + ( objectStatus "FMC_LA<22>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(17)" ( objectFlag fObjectAlias ) @@ -4707,7 +4640,7 @@ ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(17)" ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(17)") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(17)") ) - ( attribute "DIFFERENTIAL_PAIR" "SPARE2" + ( attribute "DIFFERENTIAL_PAIR" "FMC_LA17" ( Origin gFrontEnd ) ) ( attribute "PNN" "FMC_LA*<17>" @@ -4727,10 +4660,15 @@ ( objectStatus "page1_fmc_la*<21>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(21)" - ( attribute "BUS_NAME" "FMC_LA*" - ( Origin gFrontEnd ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(21)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(21)") ) + ( attribute "PNN" "FMC_LA*<21>" + ( Status sAliasFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PHYS_NET_NAME" "FMC_LA*<21>" + ( Origin gPackager ) ) - ( objectFlag fObjectAlias ) ( objectStatus "FMC_LA*<21>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(21)" @@ -4738,41 +4676,16 @@ ( objectStatus "page1_fmc_la<21>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(21)" - ( attribute "BUS_NAME" "FMC_LA" - ( Origin gFrontEnd ) - ) - ( objectFlag fObjectAlias ) - ( objectStatus "FMC_LA<21>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):clk1" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig2") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_clk1") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(27)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(27)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(27)") ) - ( attribute "CDS_PHYS_NET_NAME" "CLK1" - ( Origin gPackager ) - ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(21)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(21)") ) ( attribute "PNN" "FMC_LA<21>" ( Status sAliasFlattened ) - ( Status sAliasConflict ) ( Origin gPackager ) ) - ( attribute "PHYS_NET_NAME" "CLK1<17>" + ( attribute "CDS_PHYS_NET_NAME" "FMC_LA<21>" ( Origin gPackager ) ) - ( attribute "DIFFERENTIAL_PAIR" "FMC_LA32" - ( Origin gFrontEnd ) - ) - ( objectStatus "CLK1" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig2" - ( objectFlag fObjectAlias ) - ( objectStatus "sig2" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_clk1" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_clk1" ) + ( objectStatus "FMC_LA<21>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(17)" ( objectFlag fObjectAlias ) @@ -4781,7 +4694,7 @@ ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(17)" ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(17)") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(17)") ) - ( attribute "DIFFERENTIAL_PAIR" "SPARE2" + ( attribute "DIFFERENTIAL_PAIR" "FMC_LA17" ( Origin gFrontEnd ) ) ( attribute "PNN" "FMC_LA<17>" @@ -4796,36 +4709,6 @@ ) ( objectStatus "FMC_LA<17>" ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\busy1*\" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig4") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_busy1*\") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(14)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(14)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(14)") ) - ( attribute "CDS_PHYS_NET_NAME" "BUSY1*" - ( Origin gPackager ) - ) - ( attribute "PNN" "FMC_LA*<28>" - ( Status sAliasFlattened ) - ( Status sAliasConflict ) - ( Origin gPackager ) - ) - ( attribute "PHYS_NET_NAME" "BUSY1*<23>" - ( Origin gPackager ) - ) - ( attribute "DIFFERENTIAL_PAIR" "FMC_LA14" - ( Origin gFrontEnd ) - ) - ( objectStatus "BUSY1*" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig4" - ( objectFlag fObjectAlias ) - ( objectStatus "sig4" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_busy1*\" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_busy1*" ) - ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(10)" ( objectFlag fObjectAlias ) ( objectStatus "page1_fmc_la*<10>" ) @@ -4833,7 +4716,7 @@ ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(10)" ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(10)") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(10)") ) - ( attribute "DIFFERENTIAL_PAIR" "TRIG2" + ( attribute "DIFFERENTIAL_PAIR" "FMC_LA10" ( Origin gFrontEnd ) ) ( attribute "PNN" "FMC_LA*<10>" @@ -4853,41 +4736,19 @@ ( objectStatus "page1_fmc_la*<15>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(15)" - ( attribute "BUS_NAME" "FMC_LA*" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(15)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(15)") ) + ( attribute "DIFFERENTIAL_PAIR" "FMC_LA15" ( Origin gFrontEnd ) ) - ( objectFlag fObjectAlias ) - ( objectStatus "FMC_LA*<15>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):busy1" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig5") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_busy1") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(14)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(14)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(14)") ) - ( attribute "CDS_PHYS_NET_NAME" "BUSY1" - ( Origin gPackager ) - ) - ( attribute "PNN" "FMC_LA<28>" + ( attribute "PNN" "FMC_LA*<15>" ( Status sAliasFlattened ) - ( Status sAliasConflict ) ( Origin gPackager ) ) - ( attribute "PHYS_NET_NAME" "BUSY1<23>" + ( attribute "CDS_PHYS_NET_NAME" "FMC_LA*<15>" ( Origin gPackager ) ) - ( attribute "DIFFERENTIAL_PAIR" "FMC_LA14" - ( Origin gFrontEnd ) - ) - ( objectStatus "BUSY1" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig5" - ( objectFlag fObjectAlias ) - ( objectStatus "sig5" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_busy1" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_busy1" ) + ( objectStatus "FMC_LA*<15>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(15)" ( objectFlag fObjectAlias ) @@ -4911,121 +4772,45 @@ ) ( objectStatus "FMC_LA<15>" ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\busy0*\" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig5") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_busy0*\") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(19)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(19)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(19)") ) - ( attribute "CDS_PHYS_NET_NAME" "BUSY0*" - ( Origin gPackager ) - ) - ( attribute "PNN" "FMC_LA*<6>" - ( Status sAliasFlattened ) - ( Status sAliasConflict ) - ( Origin gPackager ) - ) - ( attribute "DIFFERENTIAL_PAIR" "BEAM_TRIGGER_CFD3" - ( Status sAliasFlattened ) - ( Status sAliasConflict ) - ( Origin gPackager ) - ) - ( attribute "PHYS_NET_NAME" "BUSY0*<31>" - ( Origin gPackager ) - ) - ( objectStatus "BUSY0*" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig5" - ( objectFlag fObjectAlias ) - ( objectStatus "sig5" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_busy0*\" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_busy0*" ) - ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(19)" ( objectFlag fObjectAlias ) ( objectStatus "page1_fmc_la*<19>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(19)" - ( attribute "BUS_NAME" "FMC_LA*" - ( Origin gFrontEnd ) - ) - ( objectFlag fObjectAlias ) - ( objectStatus "FMC_LA*<19>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):busy0" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig2") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_busy0") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(19)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(19)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(19)") ) - ( attribute "CDS_PHYS_NET_NAME" "BUSY0" - ( Origin gPackager ) - ) - ( attribute "PNN" "FMC_LA<6>" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(19)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(19)") ) + ( attribute "PNN" "FMC_LA*<19>" ( Status sAliasFlattened ) - ( Status sAliasConflict ) ( Origin gPackager ) ) - ( attribute "DIFFERENTIAL_PAIR" "BEAM_TRIGGER_CFD3" + ( attribute "DIFFERENTIAL_PAIR" "TRIG1" ( Status sAliasFlattened ) - ( Status sAliasConflict ) ( Origin gPackager ) ) - ( attribute "PHYS_NET_NAME" "BUSY0<31>" + ( attribute "CDS_PHYS_NET_NAME" "FMC_LA*<19>" ( Origin gPackager ) ) - ( objectStatus "BUSY0" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig2" - ( objectFlag fObjectAlias ) - ( objectStatus "sig2" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_busy0" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_busy0" ) + ( objectStatus "FMC_LA*<19>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(19)" ( objectFlag fObjectAlias ) ( objectStatus "page1_fmc_la<19>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(19)" - ( attribute "BUS_NAME" "FMC_LA" - ( Origin gFrontEnd ) - ) - ( objectFlag fObjectAlias ) - ( objectStatus "FMC_LA<19>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\spare1*\" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig1") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_spare1*\") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(8)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(8)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(8)") ) - ( attribute "CDS_PHYS_NET_NAME" "SPARE1*" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(19)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(19)") ) + ( attribute "PNN" "FMC_LA<19>" + ( Status sAliasFlattened ) ( Origin gPackager ) ) - ( attribute "PNN" "FMC_LA*<30>" + ( attribute "DIFFERENTIAL_PAIR" "TRIG1" ( Status sAliasFlattened ) - ( Status sAliasConflict ) ( Origin gPackager ) ) - ( attribute "PHYS_NET_NAME" "SPARE1*<26>" + ( attribute "CDS_PHYS_NET_NAME" "FMC_LA<19>" ( Origin gPackager ) ) - ( attribute "DIFFERENTIAL_PAIR" "CONT2" - ( Origin gFrontEnd ) - ) - ( objectStatus "SPARE1*" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig1" - ( objectFlag fObjectAlias ) - ( objectStatus "sig1" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_spare1*\" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_spare1*" ) + ( objectStatus "FMC_LA<19>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(9)" ( objectFlag fObjectAlias ) @@ -5054,41 +4839,19 @@ ( objectStatus "page1_fmc_la*<20>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(20)" - ( attribute "BUS_NAME" "FMC_LA*" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(20)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(20)") ) + ( attribute "DIFFERENTIAL_PAIR" "SPARE1" ( Origin gFrontEnd ) ) - ( objectFlag fObjectAlias ) - ( objectStatus "FMC_LA*<20>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):spare1" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig0") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_spare1") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(8)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(8)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(8)") ) - ( attribute "CDS_PHYS_NET_NAME" "SPARE1" - ( Origin gPackager ) - ) - ( attribute "PNN" "FMC_LA<30>" + ( attribute "PNN" "FMC_LA*<20>" ( Status sAliasFlattened ) - ( Status sAliasConflict ) ( Origin gPackager ) ) - ( attribute "PHYS_NET_NAME" "SPARE1<26>" + ( attribute "CDS_PHYS_NET_NAME" "FMC_LA*<20>" ( Origin gPackager ) ) - ( attribute "DIFFERENTIAL_PAIR" "CONT2" - ( Origin gFrontEnd ) - ) - ( objectStatus "SPARE1" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig0" - ( objectFlag fObjectAlias ) - ( objectStatus "sig0" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_spare1" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_spare1" ) + ( objectStatus "FMC_LA*<20>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(9)" ( objectFlag fObjectAlias ) @@ -5117,535 +4880,19 @@ ( objectStatus "page1_fmc_la<20>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(20)" - ( attribute "BUS_NAME" "FMC_LA" - ( Origin gFrontEnd ) - ) - ( objectFlag fObjectAlias ) - ( objectStatus "FMC_LA<20>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):unnamed_4_con19p_i2_a" - ( attribute "CDS_PHYS_NET_NAME" "UNNAMED_4_CON19P_I2_A" - ( Origin gPackager ) - ) - ( objectStatus "UNNAMED_4_CON19P_I2_A" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):cont1" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig6") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_cont1") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(18)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(18)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(18)") ) - ( attribute "CDS_PHYS_NET_NAME" "CONT1" - ( Origin gPackager ) - ) - ( attribute "PNN" "FMC_LA<24>" - ( Status sAliasFlattened ) - ( Status sAliasConflict ) - ( Origin gPackager ) - ) - ( attribute "PHYS_NET_NAME" "CONT1<18>" - ( Origin gPackager ) - ) - ( attribute "DIFFERENTIAL_PAIR" "FMC_LA18" - ( Origin gFrontEnd ) - ) - ( objectStatus "CONT1" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig6" - ( objectFlag fObjectAlias ) - ( objectStatus "sig6" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_cont1" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_cont1" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\cont1*\" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig7") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_cont1*\") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(18)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(18)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(18)") ) - ( attribute "CDS_PHYS_NET_NAME" "CONT1*" - ( Origin gPackager ) - ) - ( attribute "PNN" "FMC_LA*<16>" - ( Status sAliasFlattened ) - ( Status sAliasConflict ) - ( Origin gPackager ) - ) - ( attribute "PHYS_NET_NAME" "CONT1*<18>" - ( Origin gPackager ) - ) - ( attribute "DIFFERENTIAL_PAIR" "FMC_LA18" - ( Origin gFrontEnd ) - ) - ( objectStatus "CONT1*" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig7" - ( objectFlag fObjectAlias ) - ( objectStatus "sig7" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_cont1*\" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_cont1*" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):dut_clk0" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig6") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_dut_clk0") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(21)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(21)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(21)") ) - ( attribute "CDS_PHYS_NET_NAME" "DUT_CLK0" - ( Origin gPackager ) - ) - ( attribute "PNN" "FMC_LA<31>" - ( Status sAliasFlattened ) - ( Status sAliasConflict ) - ( Origin gPackager ) - ) - ( attribute "PHYS_NET_NAME" "DUT_CLK0<33>" - ( Origin gPackager ) - ) - ( attribute "DIFFERENTIAL_PAIR" "FMC_LA21" - ( Origin gFrontEnd ) - ) - ( objectStatus "DUT_CLK0" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig6" - ( objectFlag fObjectAlias ) - ( objectStatus "sig6" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_dut_clk0" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_dut_clk0" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\dut_clk0*\" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig7") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_dut_clk0*\") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(21)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(21)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(21)") ) - ( attribute "CDS_PHYS_NET_NAME" "DUT_CLK0*" - ( Origin gPackager ) - ) - ( attribute "PNN" "FMC_LA*<31>" - ( Status sAliasFlattened ) - ( Status sAliasConflict ) - ( Origin gPackager ) - ) - ( attribute "PHYS_NET_NAME" "DUT_CLK0*<33>" - ( Origin gPackager ) - ) - ( attribute "DIFFERENTIAL_PAIR" "FMC_LA21" - ( Origin gFrontEnd ) - ) - ( objectStatus "DUT_CLK0*" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig7" - ( objectFlag fObjectAlias ) - ( objectStatus "sig7" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_dut_clk0*\" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_dut_clk0*" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):trig0" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig0") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_trig0") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(20)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(20)") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(20)") ) - ( attribute "CDS_PHYS_NET_NAME" "TRIG0" - ( Origin gPackager ) - ) - ( attribute "PNN" "FMC_LA<10>" - ( Status sAliasFlattened ) - ( Status sAliasConflict ) - ( Origin gPackager ) - ) - ( attribute "PHYS_NET_NAME" "TRIG0<30>" - ( Origin gPackager ) - ) - ( attribute "DIFFERENTIAL_PAIR" "SPARE1" - ( Origin gFrontEnd ) - ) - ( objectStatus "TRIG0" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig0" - ( objectFlag fObjectAlias ) - ( objectStatus "sig0" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_trig0" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_trig0" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\trig0*\" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig1") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_trig0*\") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(20)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(20)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(20)") ) - ( attribute "CDS_PHYS_NET_NAME" "TRIG0*" - ( Origin gPackager ) - ) - ( attribute "PNN" "FMC_LA*<10>" - ( Status sAliasFlattened ) - ( Status sAliasConflict ) - ( Origin gPackager ) - ) - ( attribute "PHYS_NET_NAME" "TRIG0*<30>" - ( Origin gPackager ) - ) ( attribute "DIFFERENTIAL_PAIR" "SPARE1" ( Origin gFrontEnd ) ) - ( objectStatus "TRIG0*" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig1" - ( objectFlag fObjectAlias ) - ( objectStatus "sig1" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_trig0*\" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_trig0*" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):hdmi_power_enable1" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_hdmi_power_enable1") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(4)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(4)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(4)") ) - ( attribute "CDS_PHYS_NET_NAME" "HDMI_POWER_ENABLE1" - ( Origin gPackager ) - ) - ( attribute "PHYS_NET_NAME" "HDMI_POWER_ENABLE1<11>" - ( Origin gPackager ) - ) - ( attribute "PNN" "FMC_LA<11>" - ( Status sAliasFlattened ) - ( Status sAliasConflict ) - ( Origin gPackager ) - ) - ( attribute "DIFFERENTIAL_PAIR" "BEAM_TRIGGER_CFD2" - ( Origin gFrontEnd ) - ) - ( objectStatus "HDMI_POWER_ENABLE1" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_hdmi_power_enable1" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_hdmi_power_enable1" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):unnamed_4_74lvc1g07_i28_y" - ( attribute "CDS_PHYS_NET_NAME" "UNNAMED_4_74LVC1G07_I28_Y" - ( Origin gPackager ) - ) - ( objectStatus "UNNAMED_4_74LVC1G07_I28_Y" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\busy2*\" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig4") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_busy2*\") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(12)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(12)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(12)") ) - ( attribute "CDS_PHYS_NET_NAME" "BUSY2*" - ( Origin gPackager ) - ) - ( attribute "PNN" "FMC_LA*<7>" - ( Status sAliasFlattened ) - ( Status sAliasConflict ) - ( Origin gPackager ) - ) - ( attribute "PHYS_NET_NAME" "BUSY2*<10>" - ( Origin gPackager ) - ) - ( attribute "DIFFERENTIAL_PAIR" "CONT1" - ( Status sResolvedConflict ) - ( Origin gFrontEnd ) - ) - ( objectStatus "BUSY2*" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig4" - ( objectFlag fObjectAlias ) - ( objectStatus "sig4" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_busy2*\" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_busy2*" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):clk2" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig2") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_clk2") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(2)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(2)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(2)") ) - ( attribute "CDS_PHYS_NET_NAME" "CLK2" - ( Origin gPackager ) - ) - ( attribute "PNN" "FMC_LA<4>" - ( Status sAliasFlattened ) - ( Status sAliasConflict ) - ( Origin gPackager ) - ) - ( attribute "DIFFERENTIAL_PAIR" "BEAM_TRIGGER_CFD2" - ( Status sAliasFlattened ) - ( Status sAliasConflict ) - ( Origin gPackager ) - ) - ( attribute "PHYS_NET_NAME" "CLK2<6>" - ( Origin gPackager ) - ) - ( objectStatus "CLK2" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig2" - ( objectFlag fObjectAlias ) - ( objectStatus "sig2" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_clk2" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_clk2" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\clk2*\" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig3") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_clk2*\") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(2)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(2)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(2)") ) - ( attribute "CDS_PHYS_NET_NAME" "CLK2*" - ( Origin gPackager ) - ) - ( attribute "PNN" "FMC_LA*<4>" - ( Status sAliasFlattened ) - ( Status sAliasConflict ) - ( Origin gPackager ) - ) - ( attribute "DIFFERENTIAL_PAIR" "BEAM_TRIGGER_CFD2" - ( Status sAliasFlattened ) - ( Status sAliasConflict ) - ( Origin gPackager ) - ) - ( attribute "PHYS_NET_NAME" "CLK2*<6>" - ( Origin gPackager ) - ) - ( objectStatus "CLK2*" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig3" - ( objectFlag fObjectAlias ) - ( objectStatus "sig3" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_clk2*\" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_clk2*" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):cont2" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig6") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_cont2") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(7)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(7)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(7)") ) - ( attribute "CDS_PHYS_NET_NAME" "CONT2" - ( Origin gPackager ) - ) - ( attribute "PNN" "FMC_LA<8>" - ( Status sAliasFlattened ) - ( Status sAliasConflict ) - ( Origin gPackager ) - ) - ( attribute "PHYS_NET_NAME" "CONT2<9>" - ( Origin gPackager ) - ) - ( attribute "DIFFERENTIAL_PAIR" "BEAM_TRIGGER3" - ( Origin gFrontEnd ) - ) - ( objectStatus "CONT2" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig6" - ( objectFlag fObjectAlias ) - ( objectStatus "sig6" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_cont2" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_cont2" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\cont2*\" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig7") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_cont2*\") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(7)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(7)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(7)") ) - ( attribute "CDS_PHYS_NET_NAME" "CONT2*" - ( Origin gPackager ) - ) - ( attribute "PNN" "FMC_LA*<8>" - ( Status sAliasFlattened ) - ( Status sAliasConflict ) - ( Origin gPackager ) - ) - ( attribute "PHYS_NET_NAME" "CONT2*<9>" - ( Origin gPackager ) - ) - ( attribute "DIFFERENTIAL_PAIR" "BEAM_TRIGGER3" - ( Origin gFrontEnd ) - ) - ( objectStatus "CONT2*" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig7" - ( objectFlag fObjectAlias ) - ( objectStatus "sig7" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_cont2*\" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_cont2*" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):hdmi_power_enable2" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_hdmi_power_enable2") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(15)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(15)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(15)") ) - ( attribute "CDS_PHYS_NET_NAME" "HDMI_POWER_ENABLE2" - ( Origin gPackager ) - ) - ( attribute "PHYS_NET_NAME" "HDMI_POWER_ENABLE2<11>" - ( Origin gPackager ) - ) - ( attribute "DIFFERENTIAL_PAIR" "BUSY1" - ( Origin gFrontEnd ) - ) - ( attribute "PNN" "FMC_LA*<15>" - ( Status sAliasFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "HDMI_POWER_ENABLE2" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_hdmi_power_enable2" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_hdmi_power_enable2" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):spare2" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig0") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_spare2") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(11)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(11)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(11)") ) - ( attribute "CDS_PHYS_NET_NAME" "SPARE2" - ( Origin gPackager ) - ) - ( attribute "PNN" "FMC_LA<17>" - ( Status sAliasFlattened ) - ( Status sAliasConflict ) - ( Origin gPackager ) - ) - ( attribute "PHYS_NET_NAME" "SPARE2<13>" - ( Origin gPackager ) - ) - ( attribute "DIFFERENTIAL_PAIR" "FMC_LA11" - ( Origin gFrontEnd ) - ) - ( objectStatus "SPARE2" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig0" - ( objectFlag fObjectAlias ) - ( objectStatus "sig0" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_spare2" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_spare2" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\spare2*\" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig1") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_spare2*\") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(11)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(11)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(11)") ) - ( attribute "CDS_PHYS_NET_NAME" "SPARE2*" - ( Origin gPackager ) - ) - ( attribute "PNN" "FMC_LA*<17>" - ( Status sAliasFlattened ) - ( Status sAliasConflict ) - ( Origin gPackager ) - ) - ( attribute "PHYS_NET_NAME" "SPARE2*<13>" - ( Origin gPackager ) - ) - ( objectStatus "SPARE2*" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig1" - ( objectFlag fObjectAlias ) - ( objectStatus "sig1" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_spare2*\" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_spare2*" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):trig2" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_trig2") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(16)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(16)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(16)") ) - ( attribute "CDS_PHYS_NET_NAME" "TRIG2" - ( Origin gPackager ) - ) - ( attribute "PNN" "FMC_LA<9>" - ( Status sAliasFlattened ) - ( Status sAliasConflict ) - ( Origin gPackager ) - ) - ( attribute "PHYS_NET_NAME" "TRIG2<14>" - ( Origin gPackager ) - ) - ( attribute "DIFFERENTIAL_PAIR" "FMC_LA16" - ( Origin gFrontEnd ) - ) - ( objectStatus "TRIG2" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_trig2" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_trig2" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\trig2*\" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_trig2*\") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(16)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(16)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(16)") ) - ( attribute "CDS_PHYS_NET_NAME" "TRIG2*" - ( Origin gPackager ) - ) - ( attribute "PNN" "FMC_LA*<9>" + ( attribute "PNN" "FMC_LA<20>" ( Status sAliasFlattened ) - ( Status sAliasConflict ) - ( Origin gPackager ) - ) - ( attribute "PHYS_NET_NAME" "TRIG2*<14>" - ( Origin gPackager ) - ) - ( objectStatus "TRIG2*" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_trig2*\" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_trig2*" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):unnamed_4_74lvc1g07_i40_y" - ( attribute "CDS_PHYS_NET_NAME" "UNNAMED_4_74LVC1G07_I40_Y" ( Origin gPackager ) ) - ( objectStatus "UNNAMED_4_74LVC1G07_I40_Y" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):unnamed_4_capcersmdcl2_i41_b" - ( attribute "CDS_PHYS_NET_NAME" "UNNAMED_4_CAPCERSMDCL2_I41_B" - ( Origin gPackager ) - ) - ( objectStatus "UNNAMED_4_CAPCERSMDCL2_I41_B" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):unnamed_4_capcersmdcl2_i42_b" - ( attribute "CDS_PHYS_NET_NAME" "UNNAMED_4_CAPCERSMDCL2_I42_B" + ( attribute "CDS_PHYS_NET_NAME" "FMC_LA<20>" ( Origin gPackager ) ) - ( objectStatus "UNNAMED_4_CAPCERSMDCL2_I42_B" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):unnamed_4_con19p_i3_a" - ( attribute "CDS_PHYS_NET_NAME" "UNNAMED_4_CON19P_I3_A" - ( Origin gPackager ) - ) - ( objectStatus "UNNAMED_4_CON19P_I3_A" ) + ( objectStatus "FMC_LA<20>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_vbus" ( objectStatus "page4_vbus" ) @@ -5668,30 +4915,6 @@ ) ( objectStatus "UNNAMED_1_24AA025E48_I8_A2" ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):ctrig1" - ( attribute "CDS_PHYS_NET_NAME" "CTRIG1" - ( Origin gPackager ) - ) - ( objectStatus "CTRIG1" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\ctrig1*\" - ( attribute "CDS_PHYS_NET_NAME" "CTRIG1*" - ( Origin gPackager ) - ) - ( objectStatus "CTRIG1*" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):ctrig2" - ( attribute "CDS_PHYS_NET_NAME" "CTRIG2" - ( Origin gPackager ) - ) - ( objectStatus "CTRIG2" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\ctrig2*\" - ( attribute "CDS_PHYS_NET_NAME" "CTRIG2*" - ( Origin gPackager ) - ) - ( objectStatus "CTRIG2*" ) - ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):front_panel_clk" ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_clk0_m2c") ) ( attribute "CDS_PHYS_NET_NAME" "FRONT_PANEL_CLK" @@ -5749,6 +4972,9 @@ ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(0)") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(0)") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(0)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_cont_from_fpga(0)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):cont_from_fpga(0)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):cont_from_fpga") ) ( attribute "PHYS_NET_NAME" "GPIO_CLK<29>" ( Origin gPackager ) ) @@ -5759,7 +4985,7 @@ ( attribute "CDS_PHYS_NET_NAME" "FMC_LA<29>" ( Origin gPackager ) ) - ( attribute "DIFFERENTIAL_PAIR" "BEAM_TRIGGER_CFD0" + ( attribute "DIFFERENTIAL_PAIR" "FMC_LA0" ( Origin gFrontEnd ) ) ( objectStatus "FMC_LA<29>" ) @@ -5768,6 +4994,17 @@ ( objectFlag fObjectAlias ) ( objectStatus "page1_gpio_clk" ) ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_cont_from_fpga(0)" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_cont_from_fpga<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):cont_from_fpga(0)" + ( objectFlag fObjectAlias ) + ( objectStatus "cont_from_fpga<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):cont_from_fpga" + ( objectFlag fObjectAlias ) + ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):unnamed_1_pcoaxsmd_i168_a" ( attribute "CDS_PHYS_NET_NAME" "UNNAMED_1_PCOAXSMD_I168_A_1" ( Origin gPackager ) @@ -5830,6 +5067,20 @@ ( objectFlag fObjectAlias ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(0)" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(1)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(2)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(3)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(4)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(5)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(6)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(7)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(8)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(9)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(10)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(11)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(12)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(13)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(14)") ) ( attribute "PHYS_NET_NAME" "VM2<0>" ( Status sBlockFlattened ) ( Origin gPackager ) @@ -5843,6 +5094,11 @@ ( objectStatus "VM2<0>_6" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(0)" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(1)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(2)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(3)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(4)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(5)") ) ( attribute "PHYS_NET_NAME" "VP1<0>" ( Status sBlockFlattened ) ( Origin gPackager ) @@ -5856,6 +5112,10 @@ ( objectStatus "VP1<0>_6" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vin_filtered" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vi(0)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vi(1)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vi(2)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vi(3)") ) ( attribute "PHYS_NET_NAME" "VIN_FILTERED" ( Status sBlockFlattened ) ( Origin gPackager ) @@ -5913,63 +5173,679 @@ ) ( objectStatus "UNNAMED_1_CAPCERSMDCL2_I81_A_6" ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):vclamp" + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):busy_from_fpga" + ( objectFlag fObjectAlias ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):vclamp" + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):clk_from_fpga" + ( objectFlag fObjectAlias ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):vclamp" + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):enable_spare_from_fpga" + ( objectFlag fObjectAlias ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):page1_i1" - ( attribute "CDS_LIB" "cnconnector" - ( Status sBlockFlattened ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_commonmodelinefilter_i80_2" + ( attribute "CDS_PHYS_NET_NAME" "UNNAMED_1_COMMONMODELINEFILTE_1" ( Origin gPackager ) ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-150,1000,150,-1050" - ( Status sBlockFlattened ) + ( attribute "PNN" "UNNAMED_1_COMMONMODELINEFILTE_1" ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "<< NULL >>" + ( objectStatus "UNNAMED_1_COMMONMODELINEFILTE_1" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_commonmodelinefilter_i80_1" + ( attribute "CDS_PHYS_NET_NAME" "UNNAMED_1_COMMONMODELINEFILTER_" + ( Origin gPackager ) + ) + ( attribute "PNN" "UNNAMED_1_COMMONMODELINEFILTER_" + ( Origin gPackager ) + ) + ( objectStatus "UNNAMED_1_COMMONMODELINEFILTER_" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_capcersmdcl2_i8_b" + ( attribute "CDS_PHYS_NET_NAME" "UNNAMED_1_CAPCERSMDCL2_I8_B" + ( Origin gPackager ) + ) + ( objectStatus "UNNAMED_1_CAPCERSMDCL2_I8_B" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_capcersmdcl2_i9_b" + ( attribute "CDS_PHYS_NET_NAME" "UNNAMED_1_CAPCERSMDCL2_I9_B" + ( Origin gPackager ) + ) + ( objectStatus "UNNAMED_1_CAPCERSMDCL2_I9_B" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):enable_clk_to_dut" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_capn4i_i83_a" + ( attribute "CDS_PHYS_NET_NAME" "UNNAMED_1_CAPN4I_I83_A" + ( Origin gPackager ) + ) + ( objectStatus "UNNAMED_1_CAPN4I_I83_A" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):busy" + ( attribute "PHYS_NET_NAME" "BUSY" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) - ( attribute "PHYS_PAGE" "1" + ( attribute "CDS_PHYS_NET_NAME" "BUSY" + ( Origin gPackager ) + ) + ( objectStatus "BUSY" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):\busy*\" + ( attribute "PHYS_NET_NAME" "BUSY*" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) - ( attribute "ROT" "0" + ( attribute "CDS_PHYS_NET_NAME" "BUSY*" + ( Origin gPackager ) + ) + ( objectStatus "BUSY*" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):clk" + ( attribute "PHYS_NET_NAME" "CLK" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) - ( attribute "TYPE" "ASP-134606-01" + ( attribute "CDS_PHYS_NET_NAME" "CLK" + ( Origin gPackager ) + ) + ( objectStatus "CLK" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):\clk*\" + ( attribute "PHYS_NET_NAME" "CLK*" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) - ( attribute "VER" "2" + ( attribute "CDS_PHYS_NET_NAME" "CLK*" + ( Origin gPackager ) + ) + ( objectStatus "CLK*" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):cont" + ( attribute "PHYS_NET_NAME" "CONT" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) - ( attribute "XY" "(1525,3625)" + ( attribute "CDS_PHYS_NET_NAME" "CONT" + ( Origin gPackager ) + ) + ( objectStatus "CONT" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):\cont*\" + ( attribute "PHYS_NET_NAME" "CONT*" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) - ( attribute "CHIPS_PART_NAME" "CON160P_40CDGH" + ( attribute "CDS_PHYS_NET_NAME" "CONT*" + ( Origin gPackager ) + ) + ( objectStatus "CONT*" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):spare" + ( attribute "PHYS_NET_NAME" "SPARE" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "ASP-134606-01" + ( attribute "CDS_PHYS_NET_NAME" "SPARE" ( Origin gPackager ) ) - ( attribute "CDS_SEC" "2" + ( objectStatus "SPARE" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):\spare*\" + ( attribute "PHYS_NET_NAME" "SPARE*" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "LOCATION" "J4" - ( Origin gFrontEnd ) + ( attribute "CDS_PHYS_NET_NAME" "SPARE*" + ( Origin gPackager ) ) - ( attribute "SEC" "2" + ( objectStatus "SPARE*" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):trig" + ( attribute "PHYS_NET_NAME" "TRIG" ( Status sBlockFlattened ) ( Origin gPackager ) ) + ( attribute "CDS_PHYS_NET_NAME" "TRIG" + ( Origin gPackager ) + ) + ( objectStatus "TRIG" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):\trig*\" + ( attribute "PHYS_NET_NAME" "TRIG*" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PHYS_NET_NAME" "TRIG*" + ( Origin gPackager ) + ) + ( objectStatus "TRIG*" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):clk_to_dut" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):\clk_to_dut*\" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):hdmi_power" + ( attribute "CDS_PHYS_NET_NAME" "HDMI_POWER" + ( Origin gPackager ) + ) + ( objectStatus "HDMI_POWER" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):busy_to_fpga" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_capcersmdcl2_i88_a" + ( attribute "CDS_PHYS_NET_NAME" "UNNAMED_1_CAPCERSMDCL2_I88_A" + ( Origin gPackager ) + ) + ( objectStatus "UNNAMED_1_CAPCERSMDCL2_I88_A" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):clk_to_fpga" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):gnd_hdmi" + ( attribute "CDS_PHYS_NET_NAME" "GND_HDMI" + ( Origin gPackager ) + ) + ( objectStatus "GND_HDMI" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):enable_busy_from_fpga" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):enable_clk_from_fpga" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):enable_cont_from_fpga" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):enable_trig_from_fpga" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):hdmi_clk" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):\hdmi_clk*\" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):cont_to_fpga" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_capn4i_i112_a" + ( attribute "CDS_PHYS_NET_NAME" "UNNAMED_1_CAPN4I_I112_A" + ( Origin gPackager ) + ) + ( objectStatus "UNNAMED_1_CAPN4I_I112_A" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_capn4i_i124_a" + ( attribute "CDS_PHYS_NET_NAME" "UNNAMED_1_CAPN4I_I124_A" + ( Origin gPackager ) + ) + ( objectStatus "UNNAMED_1_CAPN4I_I124_A" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_capn4i_i136_a" + ( attribute "CDS_PHYS_NET_NAME" "UNNAMED_1_CAPN4I_I136_A" + ( Origin gPackager ) + ) + ( objectStatus "UNNAMED_1_CAPN4I_I136_A" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_capn4i_i148_a" + ( attribute "CDS_PHYS_NET_NAME" "UNNAMED_1_CAPN4I_I148_A" + ( Origin gPackager ) + ) + ( objectStatus "UNNAMED_1_CAPN4I_I148_A" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_commonmodelinefilter_i109_1" + ( attribute "CDS_PHYS_NET_NAME" "UNNAMED_1_COMMONMODELINEFILTE_2" + ( Origin gPackager ) + ) + ( attribute "PNN" "UNNAMED_1_COMMONMODELINEFILTE_2" + ( Origin gPackager ) + ) + ( objectStatus "UNNAMED_1_COMMONMODELINEFILTE_2" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_commonmodelinefilter_i109_2" + ( attribute "CDS_PHYS_NET_NAME" "UNNAMED_1_COMMONMODELINEFILTE_3" + ( Origin gPackager ) + ) + ( attribute "PNN" "UNNAMED_1_COMMONMODELINEFILTE_3" + ( Origin gPackager ) + ) + ( objectStatus "UNNAMED_1_COMMONMODELINEFILTE_3" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_commonmodelinefilter_i121_1" + ( attribute "CDS_PHYS_NET_NAME" "UNNAMED_1_COMMONMODELINEFILTE_4" + ( Origin gPackager ) + ) + ( attribute "PNN" "UNNAMED_1_COMMONMODELINEFILTE_4" + ( Origin gPackager ) + ) + ( objectStatus "UNNAMED_1_COMMONMODELINEFILTE_4" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_commonmodelinefilter_i121_2" + ( attribute "CDS_PHYS_NET_NAME" "UNNAMED_1_COMMONMODELINEFILTE_5" + ( Origin gPackager ) + ) + ( attribute "PNN" "UNNAMED_1_COMMONMODELINEFILTE_5" + ( Origin gPackager ) + ) + ( objectStatus "UNNAMED_1_COMMONMODELINEFILTE_5" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_commonmodelinefilter_i133_1" + ( attribute "CDS_PHYS_NET_NAME" "UNNAMED_1_COMMONMODELINEFILTE_6" + ( Origin gPackager ) + ) + ( attribute "PNN" "UNNAMED_1_COMMONMODELINEFILTE_6" + ( Origin gPackager ) + ) + ( objectStatus "UNNAMED_1_COMMONMODELINEFILTE_6" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_commonmodelinefilter_i133_2" + ( attribute "CDS_PHYS_NET_NAME" "UNNAMED_1_COMMONMODELINEFILTE_7" + ( Origin gPackager ) + ) + ( attribute "PNN" "UNNAMED_1_COMMONMODELINEFILTE_7" + ( Origin gPackager ) + ) + ( objectStatus "UNNAMED_1_COMMONMODELINEFILTE_7" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):busy_from_fpga(0)" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):busy_from_fpga") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_busy_from_fpga(0)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(3)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(3)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(3)") ) + ( attribute "DIFFERENTIAL_PAIR" "BUSY0" + ( Status sResolvedConflict ) + ( Origin gFrontEnd ) + ) + ( attribute "PNN" "BUSY_FROM_FPGA<0>" + ( Origin gPackager ) + ) + ( attribute "CDS_PHYS_NET_NAME" "BUSY_FROM_FPGA<0>" + ( Origin gPackager ) + ) + ( objectStatus "BUSY_FROM_FPGA<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_busy_from_fpga(0)" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_busy_from_fpga<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):busy_to_fpga(0)" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):busy_to_fpga") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_busy_to_fpga(0)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(3)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(3)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(3)") ) + ( attribute "DIFFERENTIAL_PAIR" "BUSY0" + ( Status sResolvedConflict ) + ( Origin gFrontEnd ) + ) + ( attribute "PNN" "BUSY_TO_FPGA<0>" + ( Origin gPackager ) + ) + ( attribute "CDS_PHYS_NET_NAME" "BUSY_TO_FPGA<0>" + ( Origin gPackager ) + ) + ( objectStatus "BUSY_TO_FPGA<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_busy_to_fpga(0)" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_busy_to_fpga<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):clk_from_fpga(0)" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):clk_from_fpga") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_clk_from_fpga(0)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(4)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(4)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(4)") ) + ( attribute "DIFFERENTIAL_PAIR" "FMC_LA4" + ( Origin gFrontEnd ) + ) + ( attribute "PNN" "CLK_FROM_FPGA<0>" + ( Origin gPackager ) + ) + ( attribute "CDS_PHYS_NET_NAME" "CLK_FROM_FPGA<0>" + ( Origin gPackager ) + ) + ( objectStatus "CLK_FROM_FPGA<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_clk_from_fpga(0)" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_clk_from_fpga<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):clk_to_dut(0)" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):clk_to_dut") ) + ( attribute "CDS_PHYS_NET_NAME" "CLK_TO_DUT<0>" + ( Origin gPackager ) + ) + ( attribute "PNN" "CLK_TO_DUT<0>" + ( Origin gPackager ) + ) + ( objectStatus "CLK_TO_DUT<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\clk_to_dut*\(0)" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):\clk_to_dut*\") ) + ( attribute "CDS_PHYS_NET_NAME" "CLK_TO_DUT*<0>" + ( Origin gPackager ) + ) + ( attribute "PNN" "CLK_TO_DUT*<0>" + ( Origin gPackager ) + ) + ( objectStatus "CLK_TO_DUT*<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):clk_to_fpga(0)" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):clk_to_fpga") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_clk_to_fpga(0)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(4)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(4)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(4)") ) + ( attribute "DIFFERENTIAL_PAIR" "FMC_LA4" + ( Origin gFrontEnd ) + ) + ( attribute "PNN" "CLK_TO_FPGA<0>" + ( Origin gPackager ) + ) + ( attribute "CDS_PHYS_NET_NAME" "CLK_TO_FPGA<0>" + ( Origin gPackager ) + ) + ( objectStatus "CLK_TO_FPGA<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_clk_to_fpga(0)" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_clk_to_fpga<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):cont_to_fpga(0)" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):cont_to_fpga") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_cont_to_fpga(0)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(0)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(0)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(0)") ) + ( attribute "DIFFERENTIAL_PAIR" "FMC_LA0" + ( Origin gFrontEnd ) + ) + ( attribute "PNN" "CONT_TO_FPGA<0>" + ( Origin gPackager ) + ) + ( attribute "CDS_PHYS_NET_NAME" "CONT_TO_FPGA<0>" + ( Origin gPackager ) + ) + ( objectStatus "CONT_TO_FPGA<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_cont_to_fpga(0)" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_cont_to_fpga<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):enable_busy_from_fpga(0)" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):enable_busy_from_fpga") ) + ( attribute "CDS_PHYS_NET_NAME" "ENABLE_BUSY_FROM_FPGA<0>" + ( Origin gPackager ) + ) + ( attribute "PNN" "ENABLE_BUSY_FROM_FPGA<0>" + ( Origin gPackager ) + ) + ( objectStatus "ENABLE_BUSY_FROM_FPGA<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):enable_clk_from_fpga(0)" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):enable_clk_from_fpga") ) + ( attribute "CDS_PHYS_NET_NAME" "ENABLE_CLK_FROM_FPGA<0>" + ( Origin gPackager ) + ) + ( attribute "PNN" "ENABLE_CLK_FROM_FPGA<0>" + ( Origin gPackager ) + ) + ( objectStatus "ENABLE_CLK_FROM_FPGA<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):enable_clk_to_dut(0)" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):enable_clk_to_dut") ) + ( attribute "CDS_PHYS_NET_NAME" "ENABLE_CLK_TO_DUT<0>" + ( Origin gPackager ) + ) + ( attribute "PNN" "ENABLE_CLK_TO_DUT<0>" + ( Origin gPackager ) + ) + ( objectStatus "ENABLE_CLK_TO_DUT<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):enable_cont_from_fpga(0)" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):enable_cont_from_fpga") ) + ( attribute "CDS_PHYS_NET_NAME" "ENABLE_CONT_FROM_FPGA<0>" + ( Origin gPackager ) + ) + ( attribute "PNN" "ENABLE_CONT_FROM_FPGA<0>" + ( Origin gPackager ) + ) + ( objectStatus "ENABLE_CONT_FROM_FPGA<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):enable_spare_from_fpga(0)" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):enable_spare_from_fpga") ) + ( attribute "CDS_PHYS_NET_NAME" "ENABLE_SPARE_FROM_FPGA<0>" + ( Origin gPackager ) + ) + ( attribute "PNN" "ENABLE_SPARE_FROM_FPGA<0>" + ( Origin gPackager ) + ) + ( objectStatus "ENABLE_SPARE_FROM_FPGA<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):enable_trig_from_fpga(0)" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):enable_trig_from_fpga") ) + ( attribute "CDS_PHYS_NET_NAME" "ENABLE_TRIG_FROM_FPGA<0>" + ( Origin gPackager ) + ) + ( attribute "PNN" "ENABLE_TRIG_FROM_FPGA<0>" + ( Origin gPackager ) + ) + ( objectStatus "ENABLE_TRIG_FROM_FPGA<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):hdmi_clk(0)" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):hdmi_clk") ) + ( attribute "CDS_PHYS_NET_NAME" "HDMI_CLK<0>" + ( Origin gPackager ) + ) + ( attribute "PNN" "HDMI_CLK<0>" + ( Origin gPackager ) + ) + ( objectStatus "HDMI_CLK<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\hdmi_clk*\(0)" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):\hdmi_clk*\") ) + ( attribute "CDS_PHYS_NET_NAME" "HDMI_CLK*<0>" + ( Origin gPackager ) + ) + ( attribute "PNN" "HDMI_CLK*<0>" + ( Origin gPackager ) + ) + ( objectStatus "HDMI_CLK*<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\@fmc_tlu_v1_lib.fmc_tlu_toplevel_c\(sch_1):hdmi_power_enable(0)" + ( objectStatus "hdmi_power_enable" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(0)" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(1)" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(2)" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(3)" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(4)" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(5)" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(6)" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(7)" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(8)" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(9)" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(10)" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(11)" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(12)" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(13)" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(14)" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(1)" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(2)" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(3)" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(4)" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(5)" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(6)" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(7)" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(8)" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(9)" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(10)" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(11)" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(12)" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(13)" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(14)" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(1)" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(2)" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(3)" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(4)" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(5)" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2(0)" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2(1)" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2(2)" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2(3)" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2(4)" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2(5)" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vi(0)" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vi(1)" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vi(2)" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vi(3)" + ( objectFlag fObjectAlias ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):page1_i1" + ( attribute "CDS_LIB" "cnconnector" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_LMAN_SYM_OUTLINE" "-150,1000,150,-1050" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "<< NULL >>" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "TYPE" "ASP-134606-01" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VER" "2" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(1525,3625)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CON160P_40CDGH" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "ASP-134606-01" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "LOCATION" "J4" + ( Origin gFrontEnd ) + ) + ( attribute "SEC" "2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PHYS_PART_NAME" "ASP-134606-01" + ( Origin gPackager ) + ) ( objectStatus "J4" ) ( pin "g(1)" ( attribute "PN" "G1" @@ -6583,6 +6459,9 @@ ( Status sBlockFlattened ) ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "ASP-134606-01" + ( Origin gPackager ) + ) ( objectStatus "J4" ) ( pin "c(1)" ( attribute "PN" "C1" @@ -7197,6 +7076,9 @@ ( attribute "CDS_LOCATION" "IC3" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "OPA4277UA" + ( Origin gPackager ) + ) ( objectStatus "IC3" ) ( pin "min(0)" ( attribute "PN" "2" @@ -7326,6 +7208,9 @@ ( attribute "CDS_LOCATION" "R40" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_1/10W-10K,1%" + ( Origin gPackager ) + ) ( objectStatus "R40" ) ( pin "a(0)" ( attribute "PN" "1" @@ -7437,6 +7322,9 @@ ( attribute "CDS_LOCATION" "R46" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_1/10W-10K,1%" + ( Origin gPackager ) + ) ( objectStatus "R46" ) ( pin "a(0)" ( attribute "PN" "1" @@ -7503,6 +7391,9 @@ ( attribute "CDS_LOCATION" "IC3" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "OPA4277UA" + ( Origin gPackager ) + ) ( objectStatus "IC3" ) ( pin "min(0)" ( attribute "PN" "6" @@ -7632,6 +7523,9 @@ ( attribute "CDS_LOCATION" "R41" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_1/10W-10K,1%" + ( Origin gPackager ) + ) ( objectStatus "R41" ) ( pin "a(0)" ( attribute "PN" "1" @@ -7743,6 +7637,9 @@ ( attribute "CDS_LOCATION" "R47" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_1/10W-10K,1%" + ( Origin gPackager ) + ) ( objectStatus "R47" ) ( pin "a(0)" ( attribute "PN" "1" @@ -7809,6 +7706,9 @@ ( attribute "CDS_LOCATION" "IC3" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "OPA4277UA" + ( Origin gPackager ) + ) ( objectStatus "IC3" ) ( pin "min(0)" ( attribute "PN" "9" @@ -7938,6 +7838,9 @@ ( attribute "CDS_LOCATION" "R5" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_1/10W-10K,1%" + ( Origin gPackager ) + ) ( objectStatus "R5" ) ( pin "a(0)" ( attribute "PN" "1" @@ -8049,6 +7952,9 @@ ( attribute "CDS_LOCATION" "R4" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_1/10W-10K,1%" + ( Origin gPackager ) + ) ( objectStatus "R4" ) ( pin "a(0)" ( attribute "PN" "1" @@ -8115,6 +8021,9 @@ ( attribute "CDS_LOCATION" "IC3" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "OPA4277UA" + ( Origin gPackager ) + ) ( objectStatus "IC3" ) ( pin "min(0)" ( attribute "PN" "13" @@ -8244,6 +8153,9 @@ ( attribute "CDS_LOCATION" "R6" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_1/10W-10K,1%" + ( Origin gPackager ) + ) ( objectStatus "R6" ) ( pin "a(0)" ( attribute "PN" "1" @@ -8355,6 +8267,9 @@ ( attribute "CDS_LOCATION" "R3" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_1/10W-10K,1%" + ( Origin gPackager ) + ) ( objectStatus "R3" ) ( pin "a(0)" ( attribute "PN" "1" @@ -8428,6 +8343,9 @@ ( attribute "CDS_LOCATION" "C40" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-1UF,16V" + ( Origin gPackager ) + ) ( objectStatus "C40" ) ( pin "a(0)" ( attribute "PN" "1" @@ -8503,6 +8421,9 @@ ( attribute "CDS_LOCATION" "C6" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-1UF,16V" + ( Origin gPackager ) + ) ( objectStatus "C6" ) ( pin "a(0)" ( attribute "PN" "1" @@ -8578,6 +8499,9 @@ ( attribute "CDS_LOCATION" "C65" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-1UF,16V" + ( Origin gPackager ) + ) ( objectStatus "C65" ) ( pin "a(0)" ( attribute "PN" "1" @@ -8653,6 +8577,9 @@ ( attribute "CDS_LOCATION" "C42" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( Origin gPackager ) + ) ( objectStatus "C42" ) ( pin "a(0)" ( attribute "PN" "1" @@ -8723,6 +8650,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C8_2" + ( Origin gPackager ) + ) ( objectStatus "C8_2" ) ( pin "a(0)" ( attribute "PN" "1" @@ -8833,6 +8766,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_1/10W-75,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R14_2" + ( Origin gPackager ) + ) ( objectStatus "R14_2" ) ( pin "a(0)" ( attribute "PN" "1" @@ -8901,6 +8840,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C5_2" + ( Origin gPackager ) + ) ( objectStatus "C5_2" ) ( pin "a(0)" ( attribute "PN" "1" @@ -8962,6 +8907,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "MAX9601_TSSOP" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "IC2_1" + ( Origin gPackager ) + ) ( objectStatus "IC2_1" ) ( pin "hys(0)" ( attribute "PN" "8" @@ -9042,159 +8993,6 @@ ( objectStatus "IC2_1.15" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i2" - ( attribute "CDS_LIB" "cnconnector" - ( Origin gPackager ) - ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "0,25,150,-475" - ( Origin gPackager ) - ) - ( attribute "PHYS_PAGE" "2" - ( Origin gFrontEnd ) - ) - ( attribute "ROT" "0" - ( Origin gFrontEnd ) - ) - ( attribute "TYPE" "MHDMI-19-02-H-TH-L-TR" - ( Origin gFrontEnd ) - ) - ( attribute "VER" "1" - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(-250,250)" - ( Origin gFrontEnd ) - ) - ( attribute "CHIPS_PART_NAME" "CON19P" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "CON19P-MHDMI-19-02-H-TH-L-TR-GA" - ( Origin gPackager ) - ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "J1" - ( Origin gPackager ) - ) - ( attribute "CDS_LOCATION" "J1" - ( Origin gPackager ) - ) - ( objectStatus "J1" ) - ( pin "a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) - ) - ( objectStatus "J1.1" ) - ) - ( pin "a(1)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "J1.2" ) - ) - ( pin "a(2)" - ( attribute "PN" "3" - ( Origin gPackager ) - ) - ( objectStatus "J1.3" ) - ) - ( pin "a(3)" - ( attribute "PN" "4" - ( Origin gPackager ) - ) - ( objectStatus "J1.4" ) - ) - ( pin "a(4)" - ( attribute "PN" "5" - ( Origin gPackager ) - ) - ( objectStatus "J1.5" ) - ) - ( pin "a(5)" - ( attribute "PN" "6" - ( Origin gPackager ) - ) - ( objectStatus "J1.6" ) - ) - ( pin "a(6)" - ( attribute "PN" "7" - ( Origin gPackager ) - ) - ( objectStatus "J1.7" ) - ) - ( pin "a(7)" - ( attribute "PN" "8" - ( Origin gPackager ) - ) - ( objectStatus "J1.8" ) - ) - ( pin "a(8)" - ( attribute "PN" "9" - ( Origin gPackager ) - ) - ( objectStatus "J1.9" ) - ) - ( pin "a(9)" - ( attribute "PN" "10" - ( Origin gPackager ) - ) - ( objectStatus "J1.10" ) - ) - ( pin "a(10)" - ( attribute "PN" "11" - ( Origin gPackager ) - ) - ( objectStatus "J1.11" ) - ) - ( pin "a(11)" - ( attribute "PN" "12" - ( Origin gPackager ) - ) - ( objectStatus "J1.12" ) - ) - ( pin "a(12)" - ( attribute "PN" "13" - ( Origin gPackager ) - ) - ( objectStatus "J1.13" ) - ) - ( pin "a(13)" - ( attribute "PN" "14" - ( Origin gPackager ) - ) - ( objectStatus "J1.14" ) - ) - ( pin "a(14)" - ( attribute "PN" "15" - ( Origin gPackager ) - ) - ( objectStatus "J1.15" ) - ) - ( pin "a(15)" - ( attribute "PN" "16" - ( Origin gPackager ) - ) - ( objectStatus "J1.16" ) - ) - ( pin "a(16)" - ( attribute "PN" "17" - ( Origin gPackager ) - ) - ( objectStatus "J1.17" ) - ) - ( pin "a(17)" - ( attribute "PN" "18" - ( Origin gPackager ) - ) - ( objectStatus "J1.18" ) - ) - ( pin "a(18)" - ( attribute "PN" "19" - ( Origin gPackager ) - ) - ( objectStatus "J1.19" ) - ) - ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i7" ( attribute "CDS_LIB" "cnconnector" ( Origin gPackager ) @@ -9208,7 +9006,7 @@ ( attribute "ROT" "2" ( Origin gFrontEnd ) ) - ( attribute "TYPE" "PLEMO2-00B" + ( attribute "TYPE" "EPG.00.302.NLN" ( Origin gFrontEnd ) ) ( attribute "VER" "1" @@ -9220,7 +9018,7 @@ ( attribute "CHIPS_PART_NAME" "PLEMO2CI" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "PLEMO2CI-PLEMO2-00B-GND=GND_SIA" + ( attribute "CDS_PART_NAME" "PLEMO2CI-EPG.00.302.NLN-GND=GNA" ( Origin gPackager ) ) ( attribute "CDS_LOCATION" "PX1" @@ -9232,12 +9030,15 @@ ( attribute "LOCATION" "PX1" ( Origin gPackager ) ) - ( attribute "SEC" "1" - ( Origin gPackager ) - ) ( attribute "ABBREV" "PT6911" ( Origin gFrontEnd ) ) + ( attribute "CDS_PHYS_PART_NAME" "PLEMO2CI-EPG.00.302.NLN-GND=GNA" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) ( objectStatus "PX1" ) ( pin "a" ( attribute "PN" "1" @@ -9252,41 +9053,13 @@ ( objectStatus "PX1.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i78" - ( attribute "CDS_LIB" "cnconnector" - ( Origin gPackager ) - ) - ( attribute "CDS_LOCATION" "J3" - ( Origin gPackager ) - ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) - ) - ( attribute "PHYS_PAGE" "2" - ( Origin gFrontEnd ) - ) - ( attribute "ROT" "0" - ( Origin gFrontEnd ) - ) - ( attribute "TYPE" "44661-1011" - ( Origin gFrontEnd ) - ) - ( attribute "VER" "1" - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(150,-2800)" - ( Origin gFrontEnd ) - ) - ( attribute "CHIPS_PART_NAME" "CON8P" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "CON8P-44661-1011-GND=FRAME" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i2" + ( attribute "CHIPS_PART_NAME" "CON19P" ( Origin gPackager ) ) - ( attribute "LOCATION" "J3" + ( attribute "CDS_PART_NAME" "CON19P" ( Origin gPackager ) ) - ( objectStatus "J3" ) ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i153" ( attribute "CDS_LIB" "cnpassive" @@ -9382,6 +9155,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_1/10W-75,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R12_2" + ( Origin gPackager ) + ) ( objectStatus "R12_2" ) ( pin "a(0)" ( attribute "PN" "1" @@ -9450,6 +9229,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C1_1" + ( Origin gPackager ) + ) ( objectStatus "C1_1" ) ( pin "a(0)" ( attribute "PN" "1" @@ -9518,6 +9303,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-1.0UF,6.3V" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C4_1" + ( Origin gPackager ) + ) ( objectStatus "C4_1" ) ( pin "a(0)" ( attribute "PN" "1" @@ -9586,6 +9377,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C7_1" + ( Origin gPackager ) + ) ( objectStatus "C7_1" ) ( pin "a(0)" ( attribute "PN" "1" @@ -9654,6 +9451,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C10_1" + ( Origin gPackager ) + ) ( objectStatus "C10_1" ) ( pin "a(0)" ( attribute "PN" "1" @@ -9722,6 +9525,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C9_1" + ( Origin gPackager ) + ) ( objectStatus "C9_1" ) ( pin "a(0)" ( attribute "PN" "1" @@ -9790,6 +9599,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-1.0UF,6.3V" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C6_1" + ( Origin gPackager ) + ) ( objectStatus "C6_1" ) ( pin "a(0)" ( attribute "PN" "1" @@ -9858,6 +9673,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-1.0UF,6.3V" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C3_1" + ( Origin gPackager ) + ) ( objectStatus "C3_1" ) ( pin "a(0)" ( attribute "PN" "1" @@ -9917,6 +9738,12 @@ ( attribute "SEC" "2" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "MAX9601_TSSOP" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "IC2_1" + ( Origin gPackager ) + ) ( objectStatus "IC2_1" ) ( pin "hys(0)" ( attribute "PN" "13" @@ -10091,6 +9918,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_1/10W-XX,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R9_1" + ( Origin gPackager ) + ) ( objectStatus "R9_1" ) ( pin "a(0)" ( attribute "PN" "1" @@ -10159,6 +9992,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-10NF,50V" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C2_1" + ( Origin gPackager ) + ) ( objectStatus "C2_1" ) ( pin "a(0)" ( attribute "PN" "1" @@ -10267,6 +10106,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_1/10W-100,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R5_1" + ( Origin gPackager ) + ) ( objectStatus "R5_1" ) ( pin "a(0)" ( attribute "PN" "1" @@ -10375,6 +10220,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_1/10W-XX,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R10_1" + ( Origin gPackager ) + ) ( objectStatus "R10_1" ) ( pin "a(0)" ( attribute "PN" "1" @@ -10483,6 +10334,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0805_125MW-100,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R6_1" + ( Origin gPackager ) + ) ( objectStatus "R6_1" ) ( pin "a(0)" ( attribute "PN" "1" @@ -10591,6 +10448,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_1/10W-100,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R7_1" + ( Origin gPackager ) + ) ( objectStatus "R7_1" ) ( pin "a(0)" ( attribute "PN" "1" @@ -10699,6 +10562,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_1/10W-51,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R3_1" + ( Origin gPackager ) + ) ( objectStatus "R3_1" ) ( pin "a(0)" ( attribute "PN" "1" @@ -10807,6 +10676,12 @@ ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0805_125MW-12,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R2_1" + ( Origin gPackager ) + ) ( objectStatus "R2_1" ) ( pin "a(0)" ( attribute "PN" "1" @@ -10915,6 +10790,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_1/10W-75,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R13_1" + ( Origin gPackager ) + ) ( objectStatus "R13_1" ) ( pin "a(0)" ( attribute "PN" "1" @@ -11023,6 +10904,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_1/10W-75,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R11_1" + ( Origin gPackager ) + ) ( objectStatus "R11_1" ) ( pin "a(0)" ( attribute "PN" "1" @@ -11131,6 +11018,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0805_125MW-100,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R8_1" + ( Origin gPackager ) + ) ( objectStatus "R8_1" ) ( pin "a(0)" ( attribute "PN" "1" @@ -11190,6 +11083,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "MAX9601_TSSOP" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "IC2_2" + ( Origin gPackager ) + ) ( objectStatus "IC2_2" ) ( pin "hys(0)" ( attribute "PN" "8" @@ -11324,6 +11223,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C5_3" + ( Origin gPackager ) + ) ( objectStatus "C5_3" ) ( pin "a(0)" ( attribute "PN" "1" @@ -11434,6 +11339,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_1/10W-75,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R14_3" + ( Origin gPackager ) + ) ( objectStatus "R14_3" ) ( pin "a(0)" ( attribute "PN" "1" @@ -11502,6 +11413,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C8_3" + ( Origin gPackager ) + ) ( objectStatus "C8_3" ) ( pin "a(0)" ( attribute "PN" "1" @@ -11612,6 +11529,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_1/10W-75,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R12_3" + ( Origin gPackager ) + ) ( objectStatus "R12_3" ) ( pin "a(0)" ( attribute "PN" "1" @@ -11680,6 +11603,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C1_2" + ( Origin gPackager ) + ) ( objectStatus "C1_2" ) ( pin "a(0)" ( attribute "PN" "1" @@ -11748,6 +11677,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-1.0UF,6.3V" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C4_2" + ( Origin gPackager ) + ) ( objectStatus "C4_2" ) ( pin "a(0)" ( attribute "PN" "1" @@ -11816,6 +11751,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C7_2" + ( Origin gPackager ) + ) ( objectStatus "C7_2" ) ( pin "a(0)" ( attribute "PN" "1" @@ -11884,6 +11825,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C10_2" + ( Origin gPackager ) + ) ( objectStatus "C10_2" ) ( pin "a(0)" ( attribute "PN" "1" @@ -11952,6 +11899,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C9_2" + ( Origin gPackager ) + ) ( objectStatus "C9_2" ) ( pin "a(0)" ( attribute "PN" "1" @@ -12020,6 +11973,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-1.0UF,6.3V" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C6_2" + ( Origin gPackager ) + ) ( objectStatus "C6_2" ) ( pin "a(0)" ( attribute "PN" "1" @@ -12088,6 +12047,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-1.0UF,6.3V" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C3_2" + ( Origin gPackager ) + ) ( objectStatus "C3_2" ) ( pin "a(0)" ( attribute "PN" "1" @@ -12147,6 +12112,12 @@ ( attribute "SEC" "2" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "MAX9601_TSSOP" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "IC2_2" + ( Origin gPackager ) + ) ( objectStatus "IC2_2" ) ( pin "hys(0)" ( attribute "PN" "13" @@ -12321,6 +12292,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_1/10W-XX,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R9_2" + ( Origin gPackager ) + ) ( objectStatus "R9_2" ) ( pin "a(0)" ( attribute "PN" "1" @@ -12389,6 +12366,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-10NF,50V" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C2_2" + ( Origin gPackager ) + ) ( objectStatus "C2_2" ) ( pin "a(0)" ( attribute "PN" "1" @@ -12497,6 +12480,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_1/10W-100,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R5_2" + ( Origin gPackager ) + ) ( objectStatus "R5_2" ) ( pin "a(0)" ( attribute "PN" "1" @@ -12605,6 +12594,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_1/10W-XX,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R10_2" + ( Origin gPackager ) + ) ( objectStatus "R10_2" ) ( pin "a(0)" ( attribute "PN" "1" @@ -12713,6 +12708,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0805_125MW-100,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R6_2" + ( Origin gPackager ) + ) ( objectStatus "R6_2" ) ( pin "a(0)" ( attribute "PN" "1" @@ -12821,6 +12822,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_1/10W-100,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R7_2" + ( Origin gPackager ) + ) ( objectStatus "R7_2" ) ( pin "a(0)" ( attribute "PN" "1" @@ -12929,6 +12936,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_1/10W-51,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R3_2" + ( Origin gPackager ) + ) ( objectStatus "R3_2" ) ( pin "a(0)" ( attribute "PN" "1" @@ -13039,6 +13052,12 @@ ( Status sBlockFlattened ) ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0805_125MW-12,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R2_2" + ( Origin gPackager ) + ) ( objectStatus "R2_2" ) ( pin "a(0)" ( attribute "PN" "1" @@ -13147,6 +13166,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_1/10W-75,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R13_2" + ( Origin gPackager ) + ) ( objectStatus "R13_2" ) ( pin "a(0)" ( attribute "PN" "1" @@ -13255,6 +13280,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_1/10W-75,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R11_2" + ( Origin gPackager ) + ) ( objectStatus "R11_2" ) ( pin "a(0)" ( attribute "PN" "1" @@ -13363,6 +13394,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0805_125MW-100,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R8_2" + ( Origin gPackager ) + ) ( objectStatus "R8_2" ) ( pin "a(0)" ( attribute "PN" "1" @@ -13422,6 +13459,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "MAX9601_TSSOP" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "IC2_3" + ( Origin gPackager ) + ) ( objectStatus "IC2_3" ) ( pin "hys(0)" ( attribute "PN" "8" @@ -13556,6 +13599,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C5_4" + ( Origin gPackager ) + ) ( objectStatus "C5_4" ) ( pin "a(0)" ( attribute "PN" "1" @@ -13666,6 +13715,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_1/10W-75,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R14_4" + ( Origin gPackager ) + ) ( objectStatus "R14_4" ) ( pin "a(0)" ( attribute "PN" "1" @@ -13734,6 +13789,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C8_4" + ( Origin gPackager ) + ) ( objectStatus "C8_4" ) ( pin "a(0)" ( attribute "PN" "1" @@ -13844,6 +13905,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_1/10W-75,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R12_4" + ( Origin gPackager ) + ) ( objectStatus "R12_4" ) ( pin "a(0)" ( attribute "PN" "1" @@ -13912,6 +13979,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C1_3" + ( Origin gPackager ) + ) ( objectStatus "C1_3" ) ( pin "a(0)" ( attribute "PN" "1" @@ -13980,6 +14053,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-1.0UF,6.3V" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C4_3" + ( Origin gPackager ) + ) ( objectStatus "C4_3" ) ( pin "a(0)" ( attribute "PN" "1" @@ -14048,6 +14127,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C7_3" + ( Origin gPackager ) + ) ( objectStatus "C7_3" ) ( pin "a(0)" ( attribute "PN" "1" @@ -14116,6 +14201,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C10_3" + ( Origin gPackager ) + ) ( objectStatus "C10_3" ) ( pin "a(0)" ( attribute "PN" "1" @@ -14184,6 +14275,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C9_3" + ( Origin gPackager ) + ) ( objectStatus "C9_3" ) ( pin "a(0)" ( attribute "PN" "1" @@ -14252,6 +14349,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-1.0UF,6.3V" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C6_3" + ( Origin gPackager ) + ) ( objectStatus "C6_3" ) ( pin "a(0)" ( attribute "PN" "1" @@ -14320,6 +14423,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-1.0UF,6.3V" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C3_3" + ( Origin gPackager ) + ) ( objectStatus "C3_3" ) ( pin "a(0)" ( attribute "PN" "1" @@ -14379,6 +14488,12 @@ ( attribute "SEC" "2" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "MAX9601_TSSOP" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "IC2_3" + ( Origin gPackager ) + ) ( objectStatus "IC2_3" ) ( pin "hys(0)" ( attribute "PN" "13" @@ -14553,6 +14668,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_1/10W-XX,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R9_3" + ( Origin gPackager ) + ) ( objectStatus "R9_3" ) ( pin "a(0)" ( attribute "PN" "1" @@ -14621,6 +14742,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-10NF,50V" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C2_3" + ( Origin gPackager ) + ) ( objectStatus "C2_3" ) ( pin "a(0)" ( attribute "PN" "1" @@ -14729,6 +14856,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_1/10W-100,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R5_3" + ( Origin gPackager ) + ) ( objectStatus "R5_3" ) ( pin "a(0)" ( attribute "PN" "1" @@ -14837,6 +14970,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_1/10W-XX,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R10_3" + ( Origin gPackager ) + ) ( objectStatus "R10_3" ) ( pin "a(0)" ( attribute "PN" "1" @@ -14945,6 +15084,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0805_125MW-100,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R6_3" + ( Origin gPackager ) + ) ( objectStatus "R6_3" ) ( pin "a(0)" ( attribute "PN" "1" @@ -15053,6 +15198,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_1/10W-100,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R7_3" + ( Origin gPackager ) + ) ( objectStatus "R7_3" ) ( pin "a(0)" ( attribute "PN" "1" @@ -15161,6 +15312,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_1/10W-51,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R3_3" + ( Origin gPackager ) + ) ( objectStatus "R3_3" ) ( pin "a(0)" ( attribute "PN" "1" @@ -15271,6 +15428,12 @@ ( Status sBlockFlattened ) ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0805_125MW-12,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R2_3" + ( Origin gPackager ) + ) ( objectStatus "R2_3" ) ( pin "a(0)" ( attribute "PN" "1" @@ -15379,6 +15542,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_1/10W-75,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R13_3" + ( Origin gPackager ) + ) ( objectStatus "R13_3" ) ( pin "a(0)" ( attribute "PN" "1" @@ -15487,6 +15656,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_1/10W-75,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R11_3" + ( Origin gPackager ) + ) ( objectStatus "R11_3" ) ( pin "a(0)" ( attribute "PN" "1" @@ -15595,6 +15770,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0805_125MW-100,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R8_3" + ( Origin gPackager ) + ) ( objectStatus "R8_3" ) ( pin "a(0)" ( attribute "PN" "1" @@ -15654,6 +15835,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "MAX9601_TSSOP" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "IC2_4" + ( Origin gPackager ) + ) ( objectStatus "IC2_4" ) ( pin "hys(0)" ( attribute "PN" "8" @@ -15788,6 +15975,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C1_4" + ( Origin gPackager ) + ) ( objectStatus "C1_4" ) ( pin "a(0)" ( attribute "PN" "1" @@ -15856,6 +16049,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-1.0UF,6.3V" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C4_4" + ( Origin gPackager ) + ) ( objectStatus "C4_4" ) ( pin "a(0)" ( attribute "PN" "1" @@ -15924,6 +16123,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C7_4" + ( Origin gPackager ) + ) ( objectStatus "C7_4" ) ( pin "a(0)" ( attribute "PN" "1" @@ -15992,6 +16197,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C10_4" + ( Origin gPackager ) + ) ( objectStatus "C10_4" ) ( pin "a(0)" ( attribute "PN" "1" @@ -16060,6 +16271,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C9_4" + ( Origin gPackager ) + ) ( objectStatus "C9_4" ) ( pin "a(0)" ( attribute "PN" "1" @@ -16128,6 +16345,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-1.0UF,6.3V" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C6_4" + ( Origin gPackager ) + ) ( objectStatus "C6_4" ) ( pin "a(0)" ( attribute "PN" "1" @@ -16196,6 +16419,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-1.0UF,6.3V" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C3_4" + ( Origin gPackager ) + ) ( objectStatus "C3_4" ) ( pin "a(0)" ( attribute "PN" "1" @@ -16255,6 +16484,12 @@ ( attribute "SEC" "2" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "MAX9601_TSSOP" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "IC2_4" + ( Origin gPackager ) + ) ( objectStatus "IC2_4" ) ( pin "hys(0)" ( attribute "PN" "13" @@ -16429,6 +16664,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_1/10W-XX,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R9_4" + ( Origin gPackager ) + ) ( objectStatus "R9_4" ) ( pin "a(0)" ( attribute "PN" "1" @@ -16497,6 +16738,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-10NF,50V" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C2_4" + ( Origin gPackager ) + ) ( objectStatus "C2_4" ) ( pin "a(0)" ( attribute "PN" "1" @@ -16605,6 +16852,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_1/10W-100,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R5_4" + ( Origin gPackager ) + ) ( objectStatus "R5_4" ) ( pin "a(0)" ( attribute "PN" "1" @@ -16713,6 +16966,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_1/10W-XX,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R10_4" + ( Origin gPackager ) + ) ( objectStatus "R10_4" ) ( pin "a(0)" ( attribute "PN" "1" @@ -16821,6 +17080,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0805_125MW-100,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R6_4" + ( Origin gPackager ) + ) ( objectStatus "R6_4" ) ( pin "a(0)" ( attribute "PN" "1" @@ -16929,6 +17194,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_1/10W-100,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R7_4" + ( Origin gPackager ) + ) ( objectStatus "R7_4" ) ( pin "a(0)" ( attribute "PN" "1" @@ -17037,6 +17308,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_1/10W-51,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R3_4" + ( Origin gPackager ) + ) ( objectStatus "R3_4" ) ( pin "a(0)" ( attribute "PN" "1" @@ -17147,6 +17424,12 @@ ( Status sBlockFlattened ) ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0805_125MW-12,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R2_4" + ( Origin gPackager ) + ) ( objectStatus "R2_4" ) ( pin "a(0)" ( attribute "PN" "1" @@ -17255,6 +17538,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_1/10W-75,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R13_4" + ( Origin gPackager ) + ) ( objectStatus "R13_4" ) ( pin "a(0)" ( attribute "PN" "1" @@ -17363,6 +17652,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_1/10W-75,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R11_4" + ( Origin gPackager ) + ) ( objectStatus "R11_4" ) ( pin "a(0)" ( attribute "PN" "1" @@ -17420,6 +17715,9 @@ ( attribute "LOCATION" "PX2" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "PCOAX-PLEMO00C-GND=GND_SIGNAL" + ( Origin gPackager ) + ) ( objectStatus "PX2" ) ( pin "a" ( attribute "PN" "1" @@ -17522,6 +17820,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0805_125MW-100,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R8_4" + ( Origin gPackager ) + ) ( objectStatus "R8_4" ) ( pin "a(0)" ( attribute "PN" "1" @@ -17536,160 +17840,83 @@ ( objectStatus "R8_4.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i3" - ( attribute "CDS_LIB" "cnconnector" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i150" + ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "0,25,150,-475" - ( Origin gPackager ) + ( attribute "PACK_TYPE" "0603" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "2" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "ROT" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TYPE" "MHDMI-19-02-H-TH-L-TR" + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "100NF" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(3300,250)" + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CON19P" - ( Origin gPackager ) + ( attribute "XY" "(5750,600)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( attribute "CDS_PART_NAME" "CON19P-MHDMI-19-02-H-TH-L-TR-GB" + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "J2" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" ( Origin gPackager ) ) + ( attribute "LOCATION" "C5_1" + ( Origin gFrontEnd ) + ) ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( attribute "LOCATION" "J2" + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C5_1" ( Origin gPackager ) ) - ( objectStatus "J2" ) + ( objectStatus "C5_1" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "J2.1" ) + ( objectStatus "C5_1.1" ) ) - ( pin "a(1)" + ( pin "b(0)" ( attribute "PN" "2" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "J2.2" ) - ) - ( pin "a(2)" - ( attribute "PN" "3" - ( Origin gPackager ) - ) - ( objectStatus "J2.3" ) - ) - ( pin "a(3)" - ( attribute "PN" "4" - ( Origin gPackager ) - ) - ( objectStatus "J2.4" ) - ) - ( pin "a(4)" - ( attribute "PN" "5" - ( Origin gPackager ) - ) - ( objectStatus "J2.5" ) - ) - ( pin "a(5)" - ( attribute "PN" "6" - ( Origin gPackager ) - ) - ( objectStatus "J2.6" ) - ) - ( pin "a(6)" - ( attribute "PN" "7" - ( Origin gPackager ) - ) - ( objectStatus "J2.7" ) - ) - ( pin "a(7)" - ( attribute "PN" "8" - ( Origin gPackager ) - ) - ( objectStatus "J2.8" ) - ) - ( pin "a(8)" - ( attribute "PN" "9" - ( Origin gPackager ) - ) - ( objectStatus "J2.9" ) - ) - ( pin "a(9)" - ( attribute "PN" "10" - ( Origin gPackager ) - ) - ( objectStatus "J2.10" ) - ) - ( pin "a(10)" - ( attribute "PN" "11" - ( Origin gPackager ) - ) - ( objectStatus "J2.11" ) - ) - ( pin "a(11)" - ( attribute "PN" "12" - ( Origin gPackager ) - ) - ( objectStatus "J2.12" ) - ) - ( pin "a(12)" - ( attribute "PN" "13" - ( Origin gPackager ) - ) - ( objectStatus "J2.13" ) - ) - ( pin "a(13)" - ( attribute "PN" "14" - ( Origin gPackager ) - ) - ( objectStatus "J2.14" ) - ) - ( pin "a(14)" - ( attribute "PN" "15" - ( Origin gPackager ) - ) - ( objectStatus "J2.15" ) - ) - ( pin "a(15)" - ( attribute "PN" "16" - ( Origin gPackager ) - ) - ( objectStatus "J2.16" ) - ) - ( pin "a(16)" - ( attribute "PN" "17" - ( Origin gPackager ) - ) - ( objectStatus "J2.17" ) - ) - ( pin "a(17)" - ( attribute "PN" "18" - ( Origin gPackager ) - ) - ( objectStatus "J2.18" ) - ) - ( pin "a(18)" - ( attribute "PN" "19" - ( Origin gPackager ) - ) - ( objectStatus "J2.19" ) + ( objectStatus "C5_1.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i150" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i151" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) @@ -17723,7 +17950,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(5750,600)" + ( attribute "XY" "(6050,600)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -17734,7 +17961,7 @@ ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" ( Origin gPackager ) ) - ( attribute "LOCATION" "C5_1" + ( attribute "LOCATION" "C8_1" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" @@ -17743,28 +17970,49 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "C5_1" ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C8_1" + ( Origin gPackager ) + ) + ( objectStatus "C8_1" ) ( pin "a(0)" ( attribute "PN" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C5_1.1" ) + ( objectStatus "C8_1.1" ) ) ( pin "b(0)" ( attribute "PN" "2" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C5_1.2" ) + ( objectStatus "C8_1.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i151" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i152" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "0603" + ( attribute "DIST" "FLAT" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "LOCATION" "R14_1" + ( Origin gFrontEnd ) + ) + ( attribute "MAX_TEMP" "RTMAX" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "NEGTOL" "RTOL%" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PACK_TYPE" "1/10W" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -17772,6 +18020,14 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) + ( attribute "POSTOL" "RTOL%" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "POWER" "RMAX" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) ( attribute "ROT" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) @@ -17780,56 +18036,77 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "100NF" + ( attribute "SLOPE" "RSMAX" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "TC1" "RTMPL" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "16V" + ( attribute "TC2" "RTMPQ" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "TOL" "1%" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "TOL_ON_OFF" "ON" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "75" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VER" "2" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "RVMAX" ( Units "uVoltage" "V" 1.000000) ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(6050,600)" + ( attribute "XY" "(2450,-1750)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( attribute "CHIPS_PART_NAME" "RSMD0603" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-75,1%" ( Origin gPackager ) ) - ( attribute "LOCATION" "C8_1" - ( Origin gFrontEnd ) - ) ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "C8_1" ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_1/10W-75,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R14_1" + ( Origin gPackager ) + ) + ( objectStatus "R14_1" ) ( pin "a(0)" ( attribute "PN" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C8_1.1" ) + ( objectStatus "R14_1.1" ) ) ( pin "b(0)" ( attribute "PN" "2" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C8_1.2" ) + ( objectStatus "R14_1.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i152" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i153" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) @@ -17838,7 +18115,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "LOCATION" "R14_1" + ( attribute "LOCATION" "R12_1" ( Origin gFrontEnd ) ) ( attribute "MAX_TEMP" "RTMAX" @@ -17906,7 +18183,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2450,-1750)" + ( attribute "XY" "(2150,-1750)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -17923,871 +18200,972 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "R14_1" ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_1/10W-75,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R12_1" + ( Origin gPackager ) + ) + ( objectStatus "R12_1" ) ( pin "a(0)" ( attribute "PN" "1" ( Origin gPackager ) ) - ( objectStatus "R14_1.1" ) + ( objectStatus "R12_1.1" ) ) ( pin "b(0)" ( attribute "PN" "2" ( Origin gPackager ) ) - ( objectStatus "R14_1.2" ) + ( objectStatus "R12_1.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i153" - ( attribute "CDS_LIB" "cnpassive" - ( Status sBlockFlattened ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i63" + ( attribute "CHIPS_PART_NAME" "AD5665R" ( Origin gPackager ) ) - ( attribute "DIST" "FLAT" + ( attribute "CDS_LIB" "cnlinear" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) - ( attribute "LOCATION" "R12_1" - ( Origin gFrontEnd ) + ( attribute "CDS_LMAN_SYM_OUTLINE" "-275,175,275,-175" + ( Status sBlockFlattened ) + ( Origin gPackager ) ) - ( attribute "MAX_TEMP" "RTMAX" + ( attribute "PACK_TYPE" "TSSOP" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "NEGTOL" "RTOL%" + ( attribute "PHYS_PAGE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "1/10W" + ( attribute "ROT" "0" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" + ( attribute "TYPE" "AD5665RBRUZ-1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POSTOL" "RTOL%" + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POWER" "RMAX" + ( attribute "XY" "(-1450,1250)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "CDS_PART_NAME" "AD5665RBRUZ-1-GND=GND_SIGNAL;VA" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "LOCATION" "IC8" ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" + ( attribute "SEC" "1" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) - ( attribute "SLOPE" "RSMAX" + ( attribute "CDS_LOCATION" "IC8" + ( Origin gPackager ) + ) + ( attribute "CDS_PHYS_PART_NAME" "AD5665RBRUZ-1-GND=GND_SIGNAL,VA" + ( Origin gPackager ) + ) + ( objectStatus "IC8" ) + ( pin "addr1" + ( attribute "PN" "2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "IC8.2" ) + ) + ( pin "addr2" + ( attribute "PN" "8" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "IC8.8" ) + ) + ( pin "\clr*\" + ( attribute "PN" "9" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "IC8.9" ) + ) + ( pin "\ldac*\" + ( attribute "PN" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "IC8.1" ) + ) + ( pin "por" + ( attribute "PN" "6" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "IC8.6" ) + ) + ( pin "scl" + ( attribute "PN" "14" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "IC8.14" ) + ) + ( pin "sda" + ( attribute "PN" "13" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "IC8.13" ) + ) + ( pin "vouta" + ( attribute "PN" "4" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "IC8.4" ) + ) + ( pin "voutb" + ( attribute "PN" "11" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "IC8.11" ) + ) + ( pin "voutc" + ( attribute "PN" "5" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "IC8.5" ) + ) + ( pin "voutd" + ( attribute "PN" "10" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "IC8.10" ) + ) + ( pin "\vrefin/vrefout\" + ( attribute "PN" "7" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "IC8.7" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i66" + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Origin gPackager ) + ) + ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) - ( attribute "TC1" "RTMPL" + ( attribute "PACK_TYPE" "0603" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TC2" "RTMPQ" + ( attribute "PHYS_PAGE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TOL" "1%" + ( attribute "ROT" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TOL_ON_OFF" "ON" + ( attribute "SIZE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "75" + ( attribute "VALUE" "1UF" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "2" + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "RVMAX" + ( attribute "VOLTAGE" "16V" ( Units "uVoltage" "V" 1.000000) ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2150,-1750)" + ( attribute "XY" "(-2250,0)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-1UF,16V" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-75,1%" + ( attribute "LOCATION" "C71" + ( Origin gFrontEnd ) + ) + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" + ( attribute "CDS_LOCATION" "C71" ( Origin gPackager ) ) - ( attribute "SEC" "1" + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-1UF,16V" ( Origin gPackager ) ) - ( objectStatus "R12_1" ) + ( objectStatus "C71" ) ( pin "a(0)" ( attribute "PN" "1" ( Origin gPackager ) ) - ( objectStatus "R12_1.1" ) + ( objectStatus "C71.1" ) ) ( pin "b(0)" ( attribute "PN" "2" ( Origin gPackager ) ) - ( objectStatus "R12_1.2" ) + ( objectStatus "C71.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i8" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i159" ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "0603" + ( attribute "PACK_TYPE" "HOLE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "2" + ( attribute "PART_NAME" "TP" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "100NF" + ( attribute "SYMMAP" "TRUE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VALUE" "0.8MM" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "16V" - ( Units "uVoltage" "V" 1.000000) + ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(1450,150)" + ( attribute "XY" "(2750,400)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( attribute "CHIPS_PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) + ( attribute "LOCATION" "TP2_1" + ( Origin gFrontEnd ) + ) ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( attribute "LOCATION" "C9" + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "SEC" "1" + ( attribute "CDS_PHYS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "C9" + ( attribute "CDS_LOCATION" "TP2_1" ( Origin gPackager ) ) - ( objectStatus "C49" ) + ( objectStatus "TP2_1" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C49.1" ) - ) - ( pin "b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "C49.2" ) + ( objectStatus "TP2_1.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i9" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i160" ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "0603" + ( attribute "PACK_TYPE" "HOLE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "2" + ( attribute "PART_NAME" "TP" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "100NF" + ( attribute "SYMMAP" "TRUE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VALUE" "0.8MM" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "16V" - ( Units "uVoltage" "V" 1.000000) + ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(1450,350)" + ( attribute "XY" "(2750,200)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( attribute "CHIPS_PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) + ( attribute "LOCATION" "TP3_1" + ( Origin gFrontEnd ) + ) ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( attribute "LOCATION" "C8" + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "SEC" "1" + ( attribute "CDS_PHYS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "C8" + ( attribute "CDS_LOCATION" "TP3_1" ( Origin gPackager ) ) - ( objectStatus "C48" ) + ( objectStatus "TP3_1" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C48.1" ) - ) - ( pin "b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "C48.2" ) + ( objectStatus "TP3_1.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i10" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i161" ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "DIST" "FLAT" - ( Origin gFrontEnd ) - ) - ( attribute "MAX_TEMP" "RTMAX" - ( Origin gFrontEnd ) - ) - ( attribute "NEGTOL" "RTOL%" - ( Origin gFrontEnd ) - ) - ( attribute "PACK_TYPE" "1/10W" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "2" + ( attribute "PACK_TYPE" "HOLE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POSTOL" "RTOL%" + ( attribute "PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POWER" "RMAX" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SLOPE" "RSMAX" - ( Origin gFrontEnd ) - ) - ( attribute "TC1" "RTMPL" - ( Origin gFrontEnd ) - ) - ( attribute "TC2" "RTMPQ" - ( Origin gFrontEnd ) - ) - ( attribute "TOL" "1%" - ( Origin gFrontEnd ) - ) - ( attribute "TOL_ON_OFF" "ON" - ( Origin gFrontEnd ) - ) - ( attribute "VALUE" "51" + ( attribute "SYMMAP" "TRUE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "2" + ( attribute "VALUE" "0.8MM" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "RVMAX" - ( Units "uVoltage" "V" 1.000000) + ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(1750,350)" + ( attribute "XY" "(2750,0)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( attribute "CHIPS_PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-51,1%" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) + ( attribute "LOCATION" "TP4_1" + ( Origin gFrontEnd ) + ) ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( attribute "LOCATION" "R11" + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "SEC" "1" + ( attribute "CDS_PHYS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "R11" + ( attribute "CDS_LOCATION" "TP4_1" ( Origin gPackager ) ) - ( objectStatus "R66" ) + ( objectStatus "TP4_1" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "R66.1" ) - ) - ( pin "b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "R66.2" ) + ( objectStatus "TP4_1.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i11" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i162" ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "DIST" "FLAT" - ( Origin gFrontEnd ) - ) - ( attribute "MAX_TEMP" "RTMAX" - ( Origin gFrontEnd ) - ) - ( attribute "NEGTOL" "RTOL%" - ( Origin gFrontEnd ) - ) - ( attribute "PACK_TYPE" "1/10W" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "2" + ( attribute "PACK_TYPE" "HOLE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POSTOL" "RTOL%" + ( attribute "PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POWER" "RMAX" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SLOPE" "RSMAX" - ( Origin gFrontEnd ) - ) - ( attribute "TC1" "RTMPL" - ( Origin gFrontEnd ) - ) - ( attribute "TC2" "RTMPQ" - ( Origin gFrontEnd ) - ) - ( attribute "TOL" "1%" - ( Origin gFrontEnd ) - ) - ( attribute "TOL_ON_OFF" "ON" - ( Origin gFrontEnd ) - ) - ( attribute "VALUE" "51" + ( attribute "SYMMAP" "TRUE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "2" + ( attribute "VALUE" "0.8MM" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "RVMAX" - ( Units "uVoltage" "V" 1.000000) + ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(1750,150)" + ( attribute "XY" "(1000,800)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( attribute "CHIPS_PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-51,1%" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) + ( attribute "LOCATION" "TP1_1" + ( Origin gFrontEnd ) + ) ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( attribute "LOCATION" "R12" + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "SEC" "1" + ( attribute "CDS_PHYS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "R12" + ( attribute "CDS_LOCATION" "TP1_1" ( Origin gPackager ) ) - ( objectStatus "R67" ) + ( objectStatus "TP1_1" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "R67.1" ) - ) - ( pin "b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "R67.2" ) + ( objectStatus "TP1_1.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i60" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i163" ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "C13" - ( Origin gPackager ) + ( attribute "PACK_TYPE" "HOLE" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "0603" + ( attribute "PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "2" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SEC" "1" - ( Origin gPackager ) - ) ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "100NF" + ( attribute "SYMMAP" "TRUE" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "0.8MM" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "16V" - ( Units "uVoltage" "V" 1.000000) + ( attribute "XY" "(2750,-1250)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(5400,1050)" + ( attribute "CHIPS_PART_NAME" "TP" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "TP5_1" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "C13" + ( attribute "CDS_PHYS_PART_NAME" "TP_HOLE-0.8MM" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "TP5_1" ( Origin gPackager ) ) - ( objectStatus "C50" ) + ( objectStatus "TP5_1" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C50.1" ) - ) - ( pin "b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "C50.2" ) + ( objectStatus "TP5_1.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i56" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i164" ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "C1" - ( Origin gPackager ) + ( attribute "PACK_TYPE" "HOLE" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "0603" + ( attribute "PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "2" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SEC" "1" - ( Origin gPackager ) - ) ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "100NF" + ( attribute "SYMMAP" "TRUE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VALUE" "0.8MM" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "16V" - ( Units "uVoltage" "V" 1.000000) + ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(1900,1050)" + ( attribute "XY" "(2750,-1550)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( attribute "CHIPS_PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "C1" + ( attribute "LOCATION" "TP6_1" + ( Origin gFrontEnd ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( attribute "CDS_PHYS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( objectStatus "C51" ) + ( attribute "CDS_LOCATION" "TP6_1" + ( Origin gPackager ) + ) + ( objectStatus "TP6_1" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C51.1" ) - ) - ( pin "b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "C51.2" ) + ( objectStatus "TP6_1.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i18" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i165" ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "DIST" "FLAT" - ( Origin gFrontEnd ) - ) - ( attribute "MAX_TEMP" "RTMAX" - ( Origin gFrontEnd ) - ) - ( attribute "NEGTOL" "RTOL%" - ( Origin gFrontEnd ) - ) - ( attribute "PACK_TYPE" "1/10W" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "2" + ( attribute "PACK_TYPE" "HOLE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POSTOL" "RTOL%" + ( attribute "PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POWER" "RMAX" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SLOPE" "RSMAX" - ( Origin gFrontEnd ) - ) - ( attribute "TC1" "RTMPL" - ( Origin gFrontEnd ) - ) - ( attribute "TC2" "RTMPQ" - ( Origin gFrontEnd ) - ) - ( attribute "TOL" "1%" - ( Origin gFrontEnd ) - ) - ( attribute "TOL_ON_OFF" "ON" - ( Origin gFrontEnd ) - ) - ( attribute "VALUE" "51" + ( attribute "SYMMAP" "TRUE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "2" + ( attribute "VALUE" "0.8MM" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "RVMAX" - ( Units "uVoltage" "V" 1.000000) + ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(300,-3400)" + ( attribute "XY" "(2750,-1750)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( attribute "CHIPS_PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-51,1%" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) + ( attribute "LOCATION" "TP7_1" + ( Origin gFrontEnd ) + ) ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( attribute "LOCATION" "R13" + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "SEC" "1" + ( attribute "CDS_PHYS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "R13" + ( attribute "CDS_LOCATION" "TP7_1" ( Origin gPackager ) ) - ( objectStatus "R70" ) + ( objectStatus "TP7_1" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "R70.1" ) - ) - ( pin "b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "R70.2" ) + ( objectStatus "TP7_1.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i19" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i159" ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "0603" + ( attribute "PACK_TYPE" "HOLE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "2" + ( attribute "PART_NAME" "TP" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "100NF" + ( attribute "SYMMAP" "TRUE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VALUE" "0.8MM" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "16V" - ( Units "uVoltage" "V" 1.000000) + ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(0,-3400)" + ( attribute "XY" "(2750,400)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( attribute "CHIPS_PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) + ( attribute "LOCATION" "TP2_2" + ( Origin gFrontEnd ) + ) ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( attribute "LOCATION" "C10" + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "SEC" "1" + ( attribute "CDS_PHYS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "C10" + ( attribute "CDS_LOCATION" "TP2_2" ( Origin gPackager ) ) - ( objectStatus "C52" ) + ( objectStatus "TP2_2" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C52.1" ) - ) - ( pin "b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "C52.2" ) + ( objectStatus "TP2_2.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i21" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i160" ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "DIST" "FLAT" - ( Origin gFrontEnd ) - ) - ( attribute "MAX_TEMP" "RTMAX" - ( Origin gFrontEnd ) - ) - ( attribute "NEGTOL" "RTOL%" - ( Origin gFrontEnd ) - ) - ( attribute "PACK_TYPE" "1/10W" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "2" + ( attribute "PACK_TYPE" "HOLE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POSTOL" "RTOL%" + ( attribute "PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POWER" "RMAX" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SLOPE" "RSMAX" - ( Origin gFrontEnd ) - ) - ( attribute "TC1" "RTMPL" - ( Origin gFrontEnd ) - ) - ( attribute "TC2" "RTMPQ" - ( Origin gFrontEnd ) - ) - ( attribute "TOL" "1%" - ( Origin gFrontEnd ) - ) - ( attribute "TOL_ON_OFF" "ON" - ( Origin gFrontEnd ) - ) - ( attribute "VALUE" "51" + ( attribute "SYMMAP" "TRUE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "2" + ( attribute "VALUE" "0.8MM" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "RVMAX" - ( Units "uVoltage" "V" 1.000000) + ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(300,-3600)" + ( attribute "XY" "(2750,200)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( attribute "CHIPS_PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-51,1%" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) + ( attribute "LOCATION" "TP3_2" + ( Origin gFrontEnd ) + ) ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( attribute "LOCATION" "R14" + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "SEC" "1" + ( attribute "CDS_PHYS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "R14" + ( attribute "CDS_LOCATION" "TP3_2" ( Origin gPackager ) ) - ( objectStatus "R71" ) + ( objectStatus "TP3_2" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "R71.1" ) - ) - ( pin "b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "R71.2" ) + ( objectStatus "TP3_2.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i22" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i161" ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "0603" + ( attribute "PACK_TYPE" "HOLE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "2" + ( attribute "PART_NAME" "TP" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "100NF" + ( attribute "SYMMAP" "TRUE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VALUE" "0.8MM" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "16V" - ( Units "uVoltage" "V" 1.000000) + ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(0,-3600)" + ( attribute "XY" "(2750,0)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( attribute "CHIPS_PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) + ( attribute "LOCATION" "TP4_2" + ( Origin gFrontEnd ) + ) ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( attribute "LOCATION" "C11" + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "SEC" "1" + ( attribute "CDS_PHYS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "C11" + ( attribute "CDS_LOCATION" "TP4_2" ( Origin gPackager ) ) - ( objectStatus "C53" ) + ( objectStatus "TP4_2" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C53.1" ) - ) - ( pin "b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "C53.2" ) + ( objectStatus "TP4_2.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i63" - ( attribute "CHIPS_PART_NAME" "AD5665R" - ( Origin gPackager ) - ) - ( attribute "CDS_LIB" "cnlinear" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i162" + ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-275,175,275,-175" + ( attribute "PACK_TYPE" "HOLE" ( Status sBlockFlattened ) - ( Origin gPackager ) + ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "TSSOP" + ( attribute "PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -18799,130 +19177,67 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TYPE" "AD5665RBRUZ-1" + ( attribute "SIZE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "SYMMAP" "TRUE" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-1450,1250)" + ( attribute "VALUE" "0.8MM" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CDS_PART_NAME" "AD5665RBRUZ-1-GND=GND_SIGNAL;VA" - ( Origin gPackager ) - ) - ( attribute "CDS_SEC" "1" + ( attribute "VER" "1" ( Status sBlockFlattened ) - ( Origin gPackager ) + ( Origin gFrontEnd ) ) - ( attribute "LOCATION" "IC8" + ( attribute "XY" "(1000,800)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SEC" "1" + ( attribute "CHIPS_PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "IC8" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( objectStatus "IC8" ) - ( pin "addr1" - ( attribute "PN" "2" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "IC8.2" ) - ) - ( pin "addr2" - ( attribute "PN" "8" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "IC8.8" ) - ) - ( pin "\clr*\" - ( attribute "PN" "9" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "IC8.9" ) - ) - ( pin "\ldac*\" - ( attribute "PN" "1" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "IC8.1" ) - ) - ( pin "por" - ( attribute "PN" "6" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "IC8.6" ) - ) - ( pin "scl" - ( attribute "PN" "14" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "IC8.14" ) - ) - ( pin "sda" - ( attribute "PN" "13" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "IC8.13" ) + ( attribute "LOCATION" "TP1_2" + ( Origin gFrontEnd ) ) - ( pin "vouta" - ( attribute "PN" "4" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "IC8.4" ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) ) - ( pin "voutb" - ( attribute "PN" "11" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "IC8.11" ) + ( attribute "SEC" "1" + ( Origin gPackager ) ) - ( pin "voutc" - ( attribute "PN" "5" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "IC8.5" ) + ( attribute "CDS_PHYS_PART_NAME" "TP_HOLE-0.8MM" + ( Origin gPackager ) ) - ( pin "voutd" - ( attribute "PN" "10" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "IC8.10" ) + ( attribute "CDS_LOCATION" "TP1_2" + ( Origin gPackager ) ) - ( pin "\vrefin/vrefout\" - ( attribute "PN" "7" + ( objectStatus "TP1_2" ) + ( pin "a(0)" + ( attribute "PN" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "IC8.7" ) + ( objectStatus "TP1_2.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i66" - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" - ( Origin gPackager ) - ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i163" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "0603" + ( attribute "PACK_TYPE" "HOLE" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -18930,7 +19245,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "ROT" "0" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -18938,707 +19253,783 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "1UF" + ( attribute "SYMMAP" "TRUE" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VALUE" "0.8MM" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "16V" - ( Units "uVoltage" "V" 1.000000) + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-2250,0)" + ( attribute "XY" "(2750,-1250)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-1UF,16V" + ( attribute "CHIPS_PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" - ( Status sBlockFlattened ) + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "LOCATION" "C71" + ( attribute "LOCATION" "TP5_2" ( Origin gFrontEnd ) ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "C71" + ( attribute "CDS_PHYS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( objectStatus "C71" ) + ( attribute "CDS_LOCATION" "TP5_2" + ( Origin gPackager ) + ) + ( objectStatus "TP5_2" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C71.1" ) - ) - ( pin "b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "C71.2" ) + ( objectStatus "TP5_2.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i26" - ( attribute "CDS_LIB" "cndiscrete" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i164" + ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "GSD" + ( attribute "PACK_TYPE" "HOLE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "2" + ( attribute "PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "2" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TYPE" "SOT23" + ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "FDV301N" + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "SYMMAP" "TRUE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(400,800)" + ( attribute "VALUE" "0.8MM" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TRANS MOSFET" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "TRANS MOSFET_GSD-FDV301N,SOT23" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "T1" - ( Origin gPackager ) - ) - ( objectStatus "T1" ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i28" - ( attribute "CDS_LIB" "cninterface" - ( Origin gPackager ) - ) - ( attribute "PACK_TYPE" "SC70" + ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "2" + ( attribute "XY" "(2750,-1550)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "2" - ( Origin gFrontEnd ) + ( attribute "CHIPS_PART_NAME" "TP" + ( Status sBlockFlattened ) + ( Origin gPackager ) ) - ( attribute "TYPE" "SN74LVC1G07DCK" - ( Origin gFrontEnd ) + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( Origin gPackager ) ) - ( attribute "VER" "1" + ( attribute "LOCATION" "TP6_2" ( Origin gFrontEnd ) ) - ( attribute "XY" "(1450,750)" - ( Origin gFrontEnd ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) ) - ( attribute "CHIPS_PART_NAME" "74LVC1G07" + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "SN74LVC1G07DCK-GND=GND_SIGNAL;A" + ( attribute "CDS_PHYS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "LOCATION" "IC4" + ( attribute "CDS_LOCATION" "TP6_2" ( Origin gPackager ) ) - ( objectStatus "IC2" ) + ( objectStatus "TP6_2" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "TP6_2.1" ) + ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i29" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i165" ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "DIST" "FLAT" + ( attribute "PACK_TYPE" "HOLE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "MAX_TEMP" "RTMAX" + ( attribute "PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "NEGTOL" "RTOL%" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "1/10W" + ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "2" + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POSTOL" "RTOL%" + ( attribute "SYMMAP" "TRUE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POWER" "RMAX" + ( attribute "VALUE" "0.8MM" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" - ( Origin gFrontEnd ) - ) - ( attribute "SIZE" "1" - ( Origin gFrontEnd ) - ) - ( attribute "SLOPE" "RSMAX" - ( Origin gFrontEnd ) - ) - ( attribute "TC1" "RTMPL" - ( Origin gFrontEnd ) - ) - ( attribute "TC2" "RTMPQ" - ( Origin gFrontEnd ) - ) - ( attribute "TOL" "1%" + ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TOL_ON_OFF" "ON" + ( attribute "XY" "(2750,-1750)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "1K" - ( Origin gFrontEnd ) + ( attribute "CHIPS_PART_NAME" "TP" + ( Status sBlockFlattened ) + ( Origin gPackager ) ) - ( attribute "VER" "2" - ( Origin gFrontEnd ) + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( Origin gPackager ) ) - ( attribute "VOLTAGE" "RVMAX" - ( Units "uVoltage" "V" 1.000000) + ( attribute "LOCATION" "TP7_2" ( Origin gFrontEnd ) ) - ( attribute "XY" "(800,900)" - ( Origin gFrontEnd ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) ) - ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-1K,1%" + ( attribute "CDS_PHYS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "LOCATION" "R15" + ( attribute "CDS_LOCATION" "TP7_2" ( Origin gPackager ) ) - ( objectStatus "R1" ) + ( objectStatus "TP7_2" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "TP7_2.1" ) + ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i33" - ( attribute "CDS_LIB" "cndiscrete" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i159" + ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "LOCATION" "D3" - ( Origin gPackager ) + ( attribute "PACK_TYPE" "HOLE" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "SOT23" + ( attribute "PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "2" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TYPE" "USBLC6-2SC6" + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "SYMMAP" "TRUE" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "0.8MM" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(1100,-1800)" + ( attribute "XY" "(2750,400)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "USBLC6-2" + ( attribute "CHIPS_PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "USBLC6-2SC6" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( objectStatus "D2" ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i38" - ( attribute "CDS_LIB" "cnpassive" - ( Origin gPackager ) + ( attribute "LOCATION" "TP2_3" + ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( attribute "DIST" "FLAT" - ( Origin gFrontEnd ) + ( attribute "SEC" "1" + ( Origin gPackager ) ) - ( attribute "LOCATION" "R9" + ( attribute "CDS_PHYS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "MAX_TEMP" "RTMAX" - ( Origin gFrontEnd ) + ( attribute "CDS_LOCATION" "TP2_3" + ( Origin gPackager ) ) - ( attribute "NEGTOL" "RTOL%" - ( Origin gFrontEnd ) + ( objectStatus "TP2_3" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "TP2_3.1" ) ) - ( attribute "PACK_TYPE" "1/10W" - ( Origin gFrontEnd ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i160" + ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) + ( Origin gPackager ) ) - ( attribute "PHYS_PAGE" "2" + ( attribute "PACK_TYPE" "HOLE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POSTOL" "RTOL%" + ( attribute "PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POWER" "RMAX" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SEC" "1" - ( Origin gPackager ) - ) ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SLOPE" "RSMAX" - ( Origin gFrontEnd ) - ) - ( attribute "TC1" "RTMPL" + ( attribute "SYMMAP" "TRUE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TC2" "RTMPQ" + ( attribute "VALUE" "0.8MM" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TOL" "1%" + ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TOL_ON_OFF" "ON" + ( attribute "XY" "(2750,200)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "51" - ( Origin gFrontEnd ) + ( attribute "CHIPS_PART_NAME" "TP" + ( Status sBlockFlattened ) + ( Origin gPackager ) ) - ( attribute "VER" "2" - ( Origin gFrontEnd ) + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( Origin gPackager ) ) - ( attribute "VOLTAGE" "RVMAX" - ( Units "uVoltage" "V" 1.000000) + ( attribute "LOCATION" "TP3_3" ( Origin gFrontEnd ) ) - ( attribute "XY" "(5300,350)" - ( Origin gFrontEnd ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) ) - ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-51,1%" + ( attribute "CDS_PHYS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "R9" + ( attribute "CDS_LOCATION" "TP3_3" ( Origin gPackager ) ) - ( objectStatus "R68" ) + ( objectStatus "TP3_3" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "R68.1" ) - ) - ( pin "b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "R68.2" ) + ( objectStatus "TP3_3.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i39" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i161" ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) - ) - ( attribute "DIST" "FLAT" - ( Origin gFrontEnd ) - ) - ( attribute "LOCATION" "R10" - ( Origin gPackager ) - ) - ( attribute "MAX_TEMP" "RTMAX" - ( Origin gFrontEnd ) - ) - ( attribute "NEGTOL" "RTOL%" - ( Origin gFrontEnd ) - ) - ( attribute "PACK_TYPE" "1/10W" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "2" + ( attribute "PACK_TYPE" "HOLE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POSTOL" "RTOL%" + ( attribute "PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POWER" "RMAX" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SEC" "1" - ( Origin gPackager ) - ) ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SLOPE" "RSMAX" - ( Origin gFrontEnd ) - ) - ( attribute "TC1" "RTMPL" + ( attribute "SYMMAP" "TRUE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TC2" "RTMPQ" + ( attribute "VALUE" "0.8MM" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TOL" "1%" + ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TOL_ON_OFF" "ON" + ( attribute "XY" "(2750,0)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "51" - ( Origin gFrontEnd ) + ( attribute "CHIPS_PART_NAME" "TP" + ( Status sBlockFlattened ) + ( Origin gPackager ) ) - ( attribute "VER" "2" - ( Origin gFrontEnd ) + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( Origin gPackager ) ) - ( attribute "VOLTAGE" "RVMAX" - ( Units "uVoltage" "V" 1.000000) + ( attribute "LOCATION" "TP4_3" ( Origin gFrontEnd ) ) - ( attribute "XY" "(5300,150)" - ( Origin gFrontEnd ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) ) - ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-51,1%" + ( attribute "CDS_PHYS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "R10" + ( attribute "CDS_LOCATION" "TP4_3" ( Origin gPackager ) ) - ( objectStatus "R69" ) + ( objectStatus "TP4_3" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "R69.1" ) - ) - ( pin "b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "R69.2" ) + ( objectStatus "TP4_3.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i40" - ( attribute "CDS_LIB" "cninterface" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i162" + ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "SC70" + ( attribute "PACK_TYPE" "HOLE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "2" + ( attribute "PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "2" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "SYMMAP" "TRUE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TYPE" "SN74LVC1G07DCK" + ( attribute "VALUE" "0.8MM" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(5000,750)" + ( attribute "XY" "(1000,800)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "74LVC1G07" + ( attribute "CHIPS_PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "SN74LVC1G07DCK-GND=GND_SIGNAL;A" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "LOCATION" "IC6" + ( attribute "LOCATION" "TP1_3" + ( Origin gFrontEnd ) + ) + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( objectStatus "IC1" ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i41" - ( attribute "CDS_LIB" "cnpassive" + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" + ( attribute "CDS_PHYS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "LOCATION" "C7" + ( attribute "CDS_LOCATION" "TP1_3" ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "0603" + ( objectStatus "TP1_3" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "TP1_3.1" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i163" + ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "HOLE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "2" + ( attribute "PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SEC" "1" - ( Origin gPackager ) + ( attribute "ROT" "0" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "100NF" + ( attribute "SYMMAP" "TRUE" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "0.8MM" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "16V" - ( Units "uVoltage" "V" 1.000000) + ( attribute "XY" "(2750,-1250)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(5000,350)" + ( attribute "CHIPS_PART_NAME" "TP" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "TP5_3" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "C7" + ( attribute "CDS_PHYS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( objectStatus "C47" ) + ( attribute "CDS_LOCATION" "TP5_3" + ( Origin gPackager ) + ) + ( objectStatus "TP5_3" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C47.1" ) - ) - ( pin "b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "C47.2" ) + ( objectStatus "TP5_3.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i42" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i164" ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "C12" - ( Origin gPackager ) + ( attribute "PACK_TYPE" "HOLE" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "0603" + ( attribute "PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "2" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SEC" "1" - ( Origin gPackager ) - ) ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "100NF" + ( attribute "SYMMAP" "TRUE" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "0.8MM" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "16V" - ( Units "uVoltage" "V" 1.000000) + ( attribute "XY" "(2750,-1550)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(5000,150)" + ( attribute "CHIPS_PART_NAME" "TP" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "TP6_3" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "C12" + ( attribute "CDS_PHYS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( objectStatus "C46" ) + ( attribute "CDS_LOCATION" "TP6_3" + ( Origin gPackager ) + ) + ( objectStatus "TP6_3" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C46.1" ) - ) - ( pin "b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "C46.2" ) + ( objectStatus "TP6_3.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i44" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i165" ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "DIST" "FLAT" - ( Origin gFrontEnd ) - ) - ( attribute "MAX_TEMP" "RTMAX" - ( Origin gFrontEnd ) - ) - ( attribute "NEGTOL" "RTOL%" - ( Origin gFrontEnd ) - ) - ( attribute "PACK_TYPE" "1/10W" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "2" + ( attribute "PACK_TYPE" "HOLE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POSTOL" "RTOL%" + ( attribute "PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POWER" "RMAX" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SLOPE" "RSMAX" - ( Origin gFrontEnd ) - ) - ( attribute "TC1" "RTMPL" + ( attribute "SYMMAP" "TRUE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TC2" "RTMPQ" + ( attribute "VALUE" "0.8MM" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TOL" "1%" + ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TOL_ON_OFF" "ON" + ( attribute "XY" "(2750,-1750)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "1K" - ( Origin gFrontEnd ) + ( attribute "CHIPS_PART_NAME" "TP" + ( Status sBlockFlattened ) + ( Origin gPackager ) ) - ( attribute "VER" "2" - ( Origin gFrontEnd ) + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( Origin gPackager ) ) - ( attribute "VOLTAGE" "RVMAX" - ( Units "uVoltage" "V" 1.000000) + ( attribute "LOCATION" "TP7_3" ( Origin gFrontEnd ) ) - ( attribute "XY" "(4350,900)" - ( Origin gFrontEnd ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) ) - ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-1K,1%" + ( attribute "CDS_PHYS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "LOCATION" "R16" + ( attribute "CDS_LOCATION" "TP7_3" ( Origin gPackager ) ) - ( objectStatus "R2" ) + ( objectStatus "TP7_3" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "TP7_3.1" ) + ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i45" - ( attribute "CDS_LIB" "cndiscrete" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i159" + ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "GSD" + ( attribute "PACK_TYPE" "HOLE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "2" + ( attribute "PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "2" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TYPE" "SOT23" + ( attribute "SYMMAP" "TRUE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "FDV301N" + ( attribute "VALUE" "0.8MM" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(3950,800)" + ( attribute "XY" "(2750,400)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TRANS MOSFET" + ( attribute "CHIPS_PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TRANS MOSFET_GSD-FDV301N,SOT23" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "LOCATION" "T2" + ( attribute "LOCATION" "TP2_4" + ( Origin gFrontEnd ) + ) + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( objectStatus "T2" ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i49" - ( attribute "CDS_LIB" "cndiscrete" + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "LOCATION" "D2" + ( attribute "CDS_PHYS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "SOT23" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "2" - ( Origin gFrontEnd ) - ) - ( attribute "ROT" "0" - ( Origin gFrontEnd ) - ) - ( attribute "TYPE" "USBLC6-2SC6" - ( Origin gFrontEnd ) - ) - ( attribute "VER" "1" - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(4650,-1800)" - ( Origin gFrontEnd ) - ) - ( attribute "CHIPS_PART_NAME" "USBLC6-2" + ( attribute "CDS_LOCATION" "TP2_4" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "USBLC6-2SC6" - ( Origin gPackager ) + ( objectStatus "TP2_4" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "TP2_4.1" ) ) - ( objectStatus "D1" ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i9" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i160" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "0603" + ( attribute "PACK_TYPE" "HOLE" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -19646,7 +20037,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "ROT" "0" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -19654,31 +20045,30 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "100NF" + ( attribute "SYMMAP" "TRUE" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VALUE" "0.8MM" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "16V" - ( Units "uVoltage" "V" 1.000000) + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2175,-1875)" + ( attribute "XY" "(2750,200)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( attribute "CHIPS_PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "LOCATION" "C55" + ( attribute "LOCATION" "TP3_4" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" @@ -19687,109 +20077,31 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "C55" + ( attribute "CDS_PHYS_PART_NAME" "TP_HOLE-0.8MM" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "TP3_4" ( Origin gPackager ) ) - ( objectStatus "C55" ) + ( objectStatus "TP3_4" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C55.1" ) - ) - ( pin "b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "C55.2" ) - ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i7" - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP" - ( Origin gPackager ) - ) - ( objectStatus "U2" ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i43" - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP" - ( Origin gPackager ) - ) - ( objectStatus "U2" ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i44" - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP" - ( Origin gPackager ) - ) - ( objectStatus "U2" ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i45" - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP" - ( Origin gPackager ) - ) - ( objectStatus "U2" ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i46" - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP" - ( Origin gPackager ) - ) - ( objectStatus "U2" ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i47" - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP" - ( Origin gPackager ) - ) - ( objectStatus "U2" ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i48" - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP" - ( Origin gPackager ) + ( objectStatus "TP3_4.1" ) ) - ( objectStatus "U2" ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i50" - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i161" + ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP" - ( Origin gPackager ) - ) - ( objectStatus "U2" ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i9" - ( attribute "CDS_LIB" "cnpassive" + ( attribute "PACK_TYPE" "HOLE" ( Status sBlockFlattened ) - ( Origin gPackager ) + ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "0603" + ( attribute "PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -19797,7 +20109,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "ROT" "0" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -19805,31 +20117,30 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "100NF" + ( attribute "SYMMAP" "TRUE" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VALUE" "0.8MM" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "16V" - ( Units "uVoltage" "V" 1.000000) + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2175,-1875)" + ( attribute "XY" "(2750,0)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( attribute "CHIPS_PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "LOCATION" "C56" + ( attribute "LOCATION" "TP4_4" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" @@ -19838,109 +20149,31 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "C56" + ( attribute "CDS_PHYS_PART_NAME" "TP_HOLE-0.8MM" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "TP4_4" ( Origin gPackager ) ) - ( objectStatus "C56" ) + ( objectStatus "TP4_4" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C56.1" ) - ) - ( pin "b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "C56.2" ) - ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i7" - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP" - ( Origin gPackager ) - ) - ( objectStatus "U3" ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i43" - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP" - ( Origin gPackager ) - ) - ( objectStatus "U3" ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i44" - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP" - ( Origin gPackager ) - ) - ( objectStatus "U3" ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i45" - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP" - ( Origin gPackager ) - ) - ( objectStatus "U3" ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i46" - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP" - ( Origin gPackager ) - ) - ( objectStatus "U3" ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i47" - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP" - ( Origin gPackager ) - ) - ( objectStatus "U3" ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i48" - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP" - ( Origin gPackager ) + ( objectStatus "TP4_4.1" ) ) - ( objectStatus "U3" ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i50" - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i162" + ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP" - ( Origin gPackager ) - ) - ( objectStatus "U3" ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i9" - ( attribute "CDS_LIB" "cnpassive" + ( attribute "PACK_TYPE" "HOLE" ( Status sBlockFlattened ) - ( Origin gPackager ) + ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "0603" + ( attribute "PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -19948,7 +20181,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "ROT" "0" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -19956,31 +20189,30 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "100NF" + ( attribute "SYMMAP" "TRUE" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VALUE" "0.8MM" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "16V" - ( Units "uVoltage" "V" 1.000000) + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2175,-1875)" + ( attribute "XY" "(1000,800)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( attribute "CHIPS_PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "LOCATION" "C54" + ( attribute "LOCATION" "TP1_4" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" @@ -19989,104 +20221,22 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "C54" + ( attribute "CDS_PHYS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( objectStatus "C54" ) + ( attribute "CDS_LOCATION" "TP1_4" + ( Origin gPackager ) + ) + ( objectStatus "TP1_4" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C54.1" ) - ) - ( pin "b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "C54.2" ) - ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i7" - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP" - ( Origin gPackager ) - ) - ( objectStatus "U1" ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i43" - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP" - ( Origin gPackager ) - ) - ( objectStatus "U1" ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i44" - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP" - ( Origin gPackager ) - ) - ( objectStatus "U1" ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i45" - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP" - ( Origin gPackager ) - ) - ( objectStatus "U1" ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i46" - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP" - ( Origin gPackager ) - ) - ( objectStatus "U1" ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i47" - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP" - ( Origin gPackager ) - ) - ( objectStatus "U1" ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i48" - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP" - ( Origin gPackager ) - ) - ( objectStatus "U1" ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i50" - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP" - ( Origin gPackager ) + ( objectStatus "TP1_4.1" ) ) - ( objectStatus "U1" ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i159" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i163" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) @@ -20123,7 +20273,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2750,400)" + ( attribute "XY" "(2750,-1250)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -20134,7 +20284,7 @@ ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP2_1" + ( attribute "LOCATION" "TP5_4" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" @@ -20143,16 +20293,22 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "TP2_1" ) + ( attribute "CDS_PHYS_PART_NAME" "TP_HOLE-0.8MM" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "TP5_4" + ( Origin gPackager ) + ) + ( objectStatus "TP5_4" ) ( pin "a(0)" ( attribute "PN" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP2_1.1" ) + ( objectStatus "TP5_4.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i160" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i164" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) @@ -20189,7 +20345,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2750,200)" + ( attribute "XY" "(2750,-1550)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -20200,7 +20356,7 @@ ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP3_1" + ( attribute "LOCATION" "TP6_4" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" @@ -20209,16 +20365,22 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "TP3_1" ) + ( attribute "CDS_PHYS_PART_NAME" "TP_HOLE-0.8MM" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "TP6_4" + ( Origin gPackager ) + ) + ( objectStatus "TP6_4" ) ( pin "a(0)" ( attribute "PN" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP3_1.1" ) + ( objectStatus "TP6_4.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i161" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i165" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) @@ -20255,7 +20417,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2750,0)" + ( attribute "XY" "(2750,-1750)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -20266,7 +20428,7 @@ ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP4_1" + ( attribute "LOCATION" "TP7_4" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" @@ -20275,16 +20437,45 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "TP4_1" ) + ( attribute "CDS_PHYS_PART_NAME" "TP_HOLE-0.8MM" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "TP7_4" + ( Origin gPackager ) + ) + ( objectStatus "TP7_4" ) ( pin "a(0)" ( attribute "PN" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP4_1.1" ) + ( objectStatus "TP7_4.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i162" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i78" + ( attribute "CHIPS_PART_NAME" "CON8P" + ( Origin gPackager ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i40" + ( attribute "CHIPS_PART_NAME" "74LVC1G07" + ( Origin gPackager ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i28" + ( attribute "CHIPS_PART_NAME" "74LVC1G07" + ( Origin gPackager ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i3" + ( attribute "CHIPS_PART_NAME" "CON19P" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "CON19P" + ( Origin gPackager ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i68" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) @@ -20321,7 +20512,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(1000,800)" + ( attribute "XY" "(-1225,500)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -20332,8 +20523,11 @@ ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP1_1" - ( Origin gFrontEnd ) + ( attribute "CDS_LOCATION" "TP13" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "TP13" + ( Origin gPackager ) ) ( attribute "CDS_SEC" "1" ( Origin gPackager ) @@ -20341,16 +20535,18 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "TP1_1" ) + ( attribute "CDS_PHYS_PART_NAME" "TP_HOLE-0.8MM" + ( Origin gPackager ) + ) + ( objectStatus "TP13" ) ( pin "a(0)" ( attribute "PN" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP1_1.1" ) + ( objectStatus "TP13.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i163" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i69" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) @@ -20387,7 +20583,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2750,-1250)" + ( attribute "XY" "(-700,1350)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -20398,7 +20594,7 @@ ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP5_1" + ( attribute "LOCATION" "TP22" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" @@ -20407,130 +20603,146 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "TP5_1" ) + ( attribute "CDS_LOCATION" "TP22" + ( Origin gPackager ) + ) + ( attribute "CDS_PHYS_PART_NAME" "TP_HOLE-0.8MM" + ( Origin gPackager ) + ) + ( objectStatus "TP22" ) ( pin "a(0)" ( attribute "PN" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP5_1.1" ) + ( objectStatus "TP22.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i164" - ( attribute "CDS_LIB" "cnpassive" - ( Status sBlockFlattened ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i8" + ( attribute "CDS_LIB" "cnmemory" ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( attribute "CDS_LMAN_SYM_OUTLINE" "-150,75,150,-150" + ( Origin gPackager ) ) - ( attribute "PART_NAME" "TP" - ( Status sBlockFlattened ) + ( attribute "PACK_TYPE" "SOIC" ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" - ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "ROT" "0" - ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" - ( Status sBlockFlattened ) + ( attribute "TYPE" "24AA025E48T-I/SN" ( Origin gFrontEnd ) ) - ( attribute "SYMMAP" "TRUE" - ( Status sBlockFlattened ) + ( attribute "VER" "1" ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" - ( Status sBlockFlattened ) + ( attribute "XY" "(-200,-2950)" ( Origin gFrontEnd ) ) - ( attribute "VER" "1" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( attribute "CHIPS_PART_NAME" "24AA025E48" + ( Origin gPackager ) ) - ( attribute "XY" "(2750,-1550)" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( attribute "CDS_PART_NAME" "24AA025E48T-I/SN" + ( Origin gPackager ) ) - ( attribute "CHIPS_PART_NAME" "TP" - ( Status sBlockFlattened ) + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_LOCATION" "IC9" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP6_1" - ( Origin gFrontEnd ) + ( attribute "CDS_PHYS_PART_NAME" "24AA025E48T-I/SN" + ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" + ( attribute "LOCATION" "IC9" ( Origin gPackager ) ) ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "TP6_1" ) - ( pin "a(0)" + ( objectStatus "IC9" ) + ( pin "a0" ( attribute "PN" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP6_1.1" ) + ( objectStatus "IC9.1" ) + ) + ( pin "a1" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "IC9.2" ) + ) + ( pin "a2" + ( attribute "PN" "3" + ( Origin gPackager ) + ) + ( objectStatus "IC9.3" ) + ) + ( pin "scl" + ( attribute "PN" "6" + ( Origin gPackager ) + ) + ( objectStatus "IC9.6" ) + ) + ( pin "sda" + ( attribute "PN" "5" + ( Origin gPackager ) + ) + ( objectStatus "IC9.5" ) + ) + ( pin "vcc" + ( attribute "PN" "8" + ( Origin gPackager ) + ) + ( objectStatus "IC9.8" ) + ) + ( pin "vss" + ( attribute "PN" "4" + ( Origin gPackager ) + ) + ( objectStatus "IC9.4" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i165" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i11" ( attribute "CDS_LIB" "cnpassive" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "PART_NAME" "TP" - ( Status sBlockFlattened ) + ( attribute "PACK_TYPE" "0603" ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" - ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "ROT" "0" - ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "SIZE" "1" - ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SYMMAP" "TRUE" - ( Status sBlockFlattened ) + ( attribute "VALUE" "100NF" ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" - ( Status sBlockFlattened ) + ( attribute "VER" "1" ( Origin gFrontEnd ) ) - ( attribute "VER" "1" - ( Status sBlockFlattened ) + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2750,-1750)" - ( Status sBlockFlattened ) + ( attribute "XY" "(-200,-3300)" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" - ( Status sBlockFlattened ) + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP7_1" + ( attribute "LOCATION" "C70" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" @@ -20539,130 +20751,92 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "TP7_1" ) + ( attribute "CDS_LOCATION" "C70" + ( Origin gPackager ) + ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( Origin gPackager ) + ) + ( objectStatus "C70" ) ( pin "a(0)" ( attribute "PN" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP7_1.1" ) + ( objectStatus "C70.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "C70.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i159" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i12" ( attribute "CDS_LIB" "cnpassive" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Status sBlockFlattened ) + ( attribute "DIST" "FLAT" ( Origin gFrontEnd ) ) - ( attribute "PART_NAME" "TP" - ( Status sBlockFlattened ) + ( attribute "MAX_TEMP" "RTMAX" ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" - ( Status sBlockFlattened ) + ( attribute "NEGTOL" "RTOL%" ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "SIZE" "1" - ( Status sBlockFlattened ) + ( attribute "PACK_TYPE" "1/10W" ( Origin gFrontEnd ) ) - ( attribute "SYMMAP" "TRUE" - ( Status sBlockFlattened ) + ( attribute "PHYS_PAGE" "1" ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" - ( Status sBlockFlattened ) + ( attribute "POSTOL" "RTOL%" ( Origin gFrontEnd ) ) - ( attribute "VER" "1" - ( Status sBlockFlattened ) + ( attribute "POWER" "RMAX" ( Origin gFrontEnd ) ) - ( attribute "XY" "(2750,400)" - ( Status sBlockFlattened ) + ( attribute "ROT" "1" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "TP2_2" + ( attribute "SIZE" "1" ( Origin gFrontEnd ) ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) - ) - ( attribute "SEC" "1" - ( Origin gPackager ) - ) - ( objectStatus "TP2_2" ) - ( pin "a(0)" - ( attribute "PN" "1" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "TP2_2.1" ) - ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i160" - ( attribute "CDS_LIB" "cnpassive" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "PACK_TYPE" "HOLE" - ( Status sBlockFlattened ) + ( attribute "SLOPE" "RSMAX" ( Origin gFrontEnd ) ) - ( attribute "PART_NAME" "TP" - ( Status sBlockFlattened ) + ( attribute "TC1" "RTMPL" ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" - ( Status sBlockFlattened ) + ( attribute "TC2" "RTMPQ" ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" - ( Status sBlockFlattened ) + ( attribute "TOL" "1%" ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" - ( Status sBlockFlattened ) + ( attribute "TOL_ON_OFF" "ON" ( Origin gFrontEnd ) ) - ( attribute "SYMMAP" "TRUE" - ( Status sBlockFlattened ) + ( attribute "VALUE" "XX" ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" - ( Status sBlockFlattened ) + ( attribute "VER" "2" ( Origin gFrontEnd ) ) - ( attribute "VER" "1" - ( Status sBlockFlattened ) + ( attribute "VOLTAGE" "RVMAX" + ( Units "uVoltage" "V" 1.000000) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2750,200)" - ( Status sBlockFlattened ) + ( attribute "XY" "(-1050,-3050)" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" - ( Status sBlockFlattened ) + ( attribute "CHIPS_PART_NAME" "RSMD0603" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-XX,1%" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP3_2" + ( attribute "LOCATION" "R61" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" @@ -20671,130 +20845,92 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "TP3_2" ) + ( attribute "CDS_LOCATION" "R61" + ( Origin gPackager ) + ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_1/10W-XX,1%" + ( Origin gPackager ) + ) + ( objectStatus "R61" ) ( pin "a(0)" ( attribute "PN" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP3_2.1" ) + ( objectStatus "R61.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "R61.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i161" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i13" ( attribute "CDS_LIB" "cnpassive" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "PART_NAME" "TP" - ( Status sBlockFlattened ) + ( attribute "DIST" "FLAT" ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" - ( Status sBlockFlattened ) + ( attribute "MAX_TEMP" "RTMAX" ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" - ( Status sBlockFlattened ) + ( attribute "NEGTOL" "RTOL%" ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" - ( Status sBlockFlattened ) + ( attribute "PACK_TYPE" "1/10W" ( Origin gFrontEnd ) ) - ( attribute "SYMMAP" "TRUE" - ( Status sBlockFlattened ) + ( attribute "PHYS_PAGE" "1" ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" - ( Status sBlockFlattened ) + ( attribute "POSTOL" "RTOL%" ( Origin gFrontEnd ) ) - ( attribute "VER" "1" - ( Status sBlockFlattened ) + ( attribute "POWER" "RMAX" ( Origin gFrontEnd ) ) - ( attribute "XY" "(2750,0)" - ( Status sBlockFlattened ) + ( attribute "ROT" "1" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "TP4_2" + ( attribute "SIZE" "1" ( Origin gFrontEnd ) ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) - ) - ( attribute "SEC" "1" - ( Origin gPackager ) - ) - ( objectStatus "TP4_2" ) - ( pin "a(0)" - ( attribute "PN" "1" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "TP4_2.1" ) - ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i162" - ( attribute "CDS_LIB" "cnpassive" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "PACK_TYPE" "HOLE" - ( Status sBlockFlattened ) + ( attribute "SLOPE" "RSMAX" ( Origin gFrontEnd ) ) - ( attribute "PART_NAME" "TP" - ( Status sBlockFlattened ) + ( attribute "TC1" "RTMPL" ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" - ( Status sBlockFlattened ) + ( attribute "TC2" "RTMPQ" ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" - ( Status sBlockFlattened ) + ( attribute "TOL" "1%" ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" - ( Status sBlockFlattened ) + ( attribute "TOL_ON_OFF" "ON" ( Origin gFrontEnd ) ) - ( attribute "SYMMAP" "TRUE" - ( Status sBlockFlattened ) + ( attribute "VALUE" "XX" ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" - ( Status sBlockFlattened ) + ( attribute "VER" "2" ( Origin gFrontEnd ) ) - ( attribute "VER" "1" - ( Status sBlockFlattened ) + ( attribute "VOLTAGE" "RVMAX" + ( Units "uVoltage" "V" 1.000000) ( Origin gFrontEnd ) ) - ( attribute "XY" "(1000,800)" - ( Status sBlockFlattened ) + ( attribute "XY" "(-900,-3050)" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" - ( Status sBlockFlattened ) + ( attribute "CHIPS_PART_NAME" "RSMD0603" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-XX,1%" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP1_2" + ( attribute "LOCATION" "R63" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" @@ -20803,64 +20939,92 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "TP1_2" ) + ( attribute "CDS_LOCATION" "R63" + ( Origin gPackager ) + ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_1/10W-XX,1%" + ( Origin gPackager ) + ) + ( objectStatus "R63" ) ( pin "a(0)" ( attribute "PN" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP1_2.1" ) + ( objectStatus "R63.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "R63.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i163" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i14" ( attribute "CDS_LIB" "cnpassive" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Status sBlockFlattened ) + ( attribute "DIST" "FLAT" ( Origin gFrontEnd ) ) - ( attribute "PART_NAME" "TP" - ( Status sBlockFlattened ) + ( attribute "MAX_TEMP" "RTMAX" + ( Origin gFrontEnd ) + ) + ( attribute "NEGTOL" "RTOL%" + ( Origin gFrontEnd ) + ) + ( attribute "PACK_TYPE" "1/10W" ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" - ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" - ( Status sBlockFlattened ) + ( attribute "POSTOL" "RTOL%" + ( Origin gFrontEnd ) + ) + ( attribute "POWER" "RMAX" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "1" ( Origin gFrontEnd ) ) ( attribute "SIZE" "1" - ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SYMMAP" "TRUE" - ( Status sBlockFlattened ) + ( attribute "SLOPE" "RSMAX" ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" - ( Status sBlockFlattened ) + ( attribute "TC1" "RTMPL" ( Origin gFrontEnd ) ) - ( attribute "VER" "1" - ( Status sBlockFlattened ) + ( attribute "TC2" "RTMPQ" ( Origin gFrontEnd ) ) - ( attribute "XY" "(2750,-1250)" - ( Status sBlockFlattened ) + ( attribute "TOL" "1%" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" - ( Status sBlockFlattened ) + ( attribute "TOL_ON_OFF" "ON" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "XX" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "2" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "RVMAX" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(-750,-3050)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "RSMD0603" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-XX,1%" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP5_2" + ( attribute "LOCATION" "R65" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" @@ -20869,64 +21033,92 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "TP5_2" ) + ( attribute "CDS_LOCATION" "R65" + ( Origin gPackager ) + ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_1/10W-XX,1%" + ( Origin gPackager ) + ) + ( objectStatus "R65" ) ( pin "a(0)" ( attribute "PN" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP5_2.1" ) + ( objectStatus "R65.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "R65.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i164" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i15" ( attribute "CDS_LIB" "cnpassive" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Status sBlockFlattened ) + ( attribute "DIST" "FLAT" ( Origin gFrontEnd ) ) - ( attribute "PART_NAME" "TP" - ( Status sBlockFlattened ) + ( attribute "MAX_TEMP" "RTMAX" + ( Origin gFrontEnd ) + ) + ( attribute "NEGTOL" "RTOL%" + ( Origin gFrontEnd ) + ) + ( attribute "PACK_TYPE" "<< NULL >>" ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" - ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" - ( Status sBlockFlattened ) + ( attribute "POSTOL" "RTOL%" + ( Origin gFrontEnd ) + ) + ( attribute "POWER" "RMAX" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "1" ( Origin gFrontEnd ) ) ( attribute "SIZE" "1" - ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SYMMAP" "TRUE" - ( Status sBlockFlattened ) + ( attribute "SLOPE" "RSMAX" ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" - ( Status sBlockFlattened ) + ( attribute "TC1" "RTMPL" ( Origin gFrontEnd ) ) - ( attribute "VER" "1" - ( Status sBlockFlattened ) + ( attribute "TC2" "RTMPQ" ( Origin gFrontEnd ) ) - ( attribute "XY" "(2750,-1550)" - ( Status sBlockFlattened ) + ( attribute "TOL" "<< NULL >>" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" - ( Status sBlockFlattened ) + ( attribute "TOL_ON_OFF" "ON" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "00" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "2" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "RVMAX" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(-1050,-2600)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "RSMD0603" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "RSMD0603_-00," ( Origin gPackager ) ) - ( attribute "LOCATION" "TP6_2" + ( attribute "LOCATION" "R60" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" @@ -20935,64 +21127,92 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "TP6_2" ) + ( attribute "CDS_LOCATION" "R60" + ( Origin gPackager ) + ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_-00," + ( Origin gPackager ) + ) + ( objectStatus "R60" ) ( pin "a(0)" ( attribute "PN" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP6_2.1" ) + ( objectStatus "R60.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "R60.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i165" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i16" ( attribute "CDS_LIB" "cnpassive" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Status sBlockFlattened ) + ( attribute "DIST" "FLAT" ( Origin gFrontEnd ) ) - ( attribute "PART_NAME" "TP" - ( Status sBlockFlattened ) + ( attribute "MAX_TEMP" "RTMAX" + ( Origin gFrontEnd ) + ) + ( attribute "NEGTOL" "RTOL%" + ( Origin gFrontEnd ) + ) + ( attribute "PACK_TYPE" "<< NULL >>" ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" - ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" - ( Status sBlockFlattened ) + ( attribute "POSTOL" "RTOL%" + ( Origin gFrontEnd ) + ) + ( attribute "POWER" "RMAX" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "1" ( Origin gFrontEnd ) ) ( attribute "SIZE" "1" - ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SYMMAP" "TRUE" - ( Status sBlockFlattened ) + ( attribute "SLOPE" "RSMAX" ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" - ( Status sBlockFlattened ) + ( attribute "TC1" "RTMPL" ( Origin gFrontEnd ) ) - ( attribute "VER" "1" - ( Status sBlockFlattened ) + ( attribute "TC2" "RTMPQ" ( Origin gFrontEnd ) ) - ( attribute "XY" "(2750,-1750)" - ( Status sBlockFlattened ) + ( attribute "TOL" "<< NULL >>" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" - ( Status sBlockFlattened ) + ( attribute "TOL_ON_OFF" "ON" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "00" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "2" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "RVMAX" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(-900,-2600)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "RSMD0603" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "RSMD0603_-00," ( Origin gPackager ) ) - ( attribute "LOCATION" "TP7_2" + ( attribute "LOCATION" "R62" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" @@ -21001,64 +21221,92 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "TP7_2" ) + ( attribute "CDS_LOCATION" "R62" + ( Origin gPackager ) + ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_-00," + ( Origin gPackager ) + ) + ( objectStatus "R62" ) ( pin "a(0)" ( attribute "PN" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP7_2.1" ) + ( objectStatus "R62.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "R62.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i159" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i17" ( attribute "CDS_LIB" "cnpassive" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Status sBlockFlattened ) + ( attribute "DIST" "FLAT" ( Origin gFrontEnd ) ) - ( attribute "PART_NAME" "TP" - ( Status sBlockFlattened ) + ( attribute "MAX_TEMP" "RTMAX" + ( Origin gFrontEnd ) + ) + ( attribute "NEGTOL" "RTOL%" + ( Origin gFrontEnd ) + ) + ( attribute "PACK_TYPE" "<< NULL >>" ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" - ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" - ( Status sBlockFlattened ) + ( attribute "POSTOL" "RTOL%" + ( Origin gFrontEnd ) + ) + ( attribute "POWER" "RMAX" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "1" ( Origin gFrontEnd ) ) ( attribute "SIZE" "1" - ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SYMMAP" "TRUE" - ( Status sBlockFlattened ) + ( attribute "SLOPE" "RSMAX" ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" - ( Status sBlockFlattened ) + ( attribute "TC1" "RTMPL" ( Origin gFrontEnd ) ) - ( attribute "VER" "1" - ( Status sBlockFlattened ) + ( attribute "TC2" "RTMPQ" ( Origin gFrontEnd ) ) - ( attribute "XY" "(2750,400)" - ( Status sBlockFlattened ) + ( attribute "TOL" "<< NULL >>" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" - ( Status sBlockFlattened ) + ( attribute "TOL_ON_OFF" "ON" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "00" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "2" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "RVMAX" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(-750,-2600)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "RSMD0603" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "RSMD0603_-00," ( Origin gPackager ) ) - ( attribute "LOCATION" "TP2_3" + ( attribute "LOCATION" "R64" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" @@ -21067,243 +21315,216 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "TP2_3" ) + ( attribute "CDS_LOCATION" "R64" + ( Origin gPackager ) + ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_-00," + ( Origin gPackager ) + ) + ( objectStatus "R64" ) ( pin "a(0)" ( attribute "PN" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP2_3.1" ) + ( objectStatus "R64.1" ) ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i160" - ( attribute "CDS_LIB" "cnpassive" - ( Status sBlockFlattened ) - ( Origin gPackager ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "R64.2" ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Status sBlockFlattened ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i51" + ( attribute "ABBREV" "PT6911" ( Origin gFrontEnd ) ) - ( attribute "PART_NAME" "TP" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( attribute "CDS_LIB" "cnconnector" + ( Origin gPackager ) ) - ( attribute "PHYS_PAGE" "1" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( attribute "CDS_LOCATION" "PX5" + ( Origin gPackager ) ) - ( attribute "ROT" "0" - ( Status sBlockFlattened ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "NEEDS_NO_SIZE" "TRUE" ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" - ( Status sBlockFlattened ) + ( attribute "PHYS_PAGE" "3" ( Origin gFrontEnd ) ) - ( attribute "SYMMAP" "TRUE" - ( Status sBlockFlattened ) + ( attribute "ROT" "2" ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" - ( Status sBlockFlattened ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( attribute "TYPE" "PLEMO00C" ( Origin gFrontEnd ) ) ( attribute "VER" "1" - ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2750,200)" - ( Status sBlockFlattened ) + ( attribute "XY" "(1750,50)" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" - ( Status sBlockFlattened ) + ( attribute "CHIPS_PART_NAME" "PCOAX" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "PCOAX-PLEMO00C-GND=GND_SIGNAL" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP3_3" - ( Origin gFrontEnd ) - ) - ( attribute "CDS_SEC" "1" + ( attribute "LOCATION" "PX5" ( Origin gPackager ) ) - ( attribute "SEC" "1" + ( attribute "CDS_PHYS_PART_NAME" "PCOAX-PLEMO00C-GND=GND_SIGNAL" ( Origin gPackager ) ) - ( objectStatus "TP3_3" ) - ( pin "a(0)" + ( objectStatus "PX5" ) + ( pin "a" ( attribute "PN" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP3_3.1" ) + ( objectStatus "PX5.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i161" - ( attribute "CDS_LIB" "cnpassive" - ( Status sBlockFlattened ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i52" + ( attribute "ABBREV" "PT6911" + ( Origin gFrontEnd ) + ) + ( attribute "CDS_LIB" "cnconnector" ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( attribute "CDS_LOCATION" "PX4" + ( Origin gPackager ) ) - ( attribute "PART_NAME" "TP" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) ) - ( attribute "PHYS_PAGE" "1" - ( Status sBlockFlattened ) + ( attribute "NEEDS_NO_SIZE" "TRUE" ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" - ( Status sBlockFlattened ) + ( attribute "PHYS_PAGE" "3" ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" - ( Status sBlockFlattened ) + ( attribute "ROT" "2" ( Origin gFrontEnd ) ) - ( attribute "SYMMAP" "TRUE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( attribute "SEC" "1" + ( Origin gPackager ) ) - ( attribute "VALUE" "0.8MM" - ( Status sBlockFlattened ) + ( attribute "TYPE" "PLEMO00C" ( Origin gFrontEnd ) ) ( attribute "VER" "1" - ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2750,0)" - ( Status sBlockFlattened ) + ( attribute "XY" "(1750,800)" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" - ( Status sBlockFlattened ) + ( attribute "CHIPS_PART_NAME" "PCOAX" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "PCOAX-PLEMO00C-GND=GND_SIGNAL" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP4_3" - ( Origin gFrontEnd ) - ) - ( attribute "CDS_SEC" "1" + ( attribute "LOCATION" "PX4" ( Origin gPackager ) ) - ( attribute "SEC" "1" + ( attribute "CDS_PHYS_PART_NAME" "PCOAX-PLEMO00C-GND=GND_SIGNAL" ( Origin gPackager ) ) - ( objectStatus "TP4_3" ) - ( pin "a(0)" + ( objectStatus "PX4" ) + ( pin "a" ( attribute "PN" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP4_3.1" ) + ( objectStatus "PX4.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i162" - ( attribute "CDS_LIB" "cnpassive" - ( Status sBlockFlattened ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i53" + ( attribute "ABBREV" "PT6911" + ( Origin gFrontEnd ) + ) + ( attribute "CDS_LIB" "cnconnector" ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( attribute "CDS_LOCATION" "PX3" + ( Origin gPackager ) ) - ( attribute "PART_NAME" "TP" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) ) - ( attribute "PHYS_PAGE" "1" - ( Status sBlockFlattened ) + ( attribute "NEEDS_NO_SIZE" "TRUE" ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" - ( Status sBlockFlattened ) + ( attribute "PHYS_PAGE" "3" ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" - ( Status sBlockFlattened ) + ( attribute "ROT" "2" ( Origin gFrontEnd ) ) - ( attribute "SYMMAP" "TRUE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( attribute "SEC" "1" + ( Origin gPackager ) ) - ( attribute "VALUE" "0.8MM" - ( Status sBlockFlattened ) + ( attribute "TYPE" "PLEMO00C" ( Origin gFrontEnd ) ) ( attribute "VER" "1" - ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(1000,800)" - ( Status sBlockFlattened ) + ( attribute "XY" "(1750,1550)" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" - ( Status sBlockFlattened ) + ( attribute "CHIPS_PART_NAME" "PCOAX" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "PCOAX-PLEMO00C-GND=GND_SIGNAL" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP1_3" - ( Origin gFrontEnd ) - ) - ( attribute "CDS_SEC" "1" + ( attribute "LOCATION" "PX3" ( Origin gPackager ) ) - ( attribute "SEC" "1" + ( attribute "CDS_PHYS_PART_NAME" "PCOAX-PLEMO00C-GND=GND_SIGNAL" ( Origin gPackager ) ) - ( objectStatus "TP1_3" ) - ( pin "a(0)" + ( objectStatus "PX3" ) + ( pin "a" ( attribute "PN" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP1_3.1" ) + ( objectStatus "PX3.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i163" - ( attribute "CDS_LIB" "cnpassive" - ( Status sBlockFlattened ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i120" + ( attribute "CHIPS_PART_NAME" "DIODE_DUAL_SERIES" ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" + ( attribute "CASE" "SOT23" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PART_NAME" "TP" + ( attribute "CDS_LIB" "cndiscrete" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) - ( attribute "PHYS_PAGE" "1" - ( Status sBlockFlattened ) + ( attribute "LOCATION" "D1_1" ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "PACK_TYPE" "A1-C2-AC3" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" + ( attribute "PHYS_PAGE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SYMMAP" "TRUE" + ( attribute "ROT" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" + ( attribute "TYPE" "HBAT-540C" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -21311,65 +21532,73 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2750,-1250)" + ( attribute "XY" "(0,-500)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" - ( Status sBlockFlattened ) + ( attribute "CDS_PART_NAME" "HBAT-540C" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP5_3" - ( Origin gFrontEnd ) + ( attribute "SEC" "1" + ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" + ( attribute "CDS_PHYS_PART_NAME" "HBAT-540C" ( Origin gPackager ) ) - ( attribute "SEC" "1" + ( attribute "CDS_LOCATION" "D1_1" ( Origin gPackager ) ) - ( objectStatus "TP5_3" ) - ( pin "a(0)" + ( objectStatus "D1_1" ) + ( pin "a" ( attribute "PN" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP5_3.1" ) + ( objectStatus "D1_1.1" ) + ) + ( pin "ac" + ( attribute "PN" "3" + ( Origin gPackager ) + ) + ( objectStatus "D1_1.3" ) + ) + ( pin "c" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "D1_1.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i164" - ( attribute "CDS_LIB" "cnpassive" - ( Status sBlockFlattened ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i120" + ( attribute "CHIPS_PART_NAME" "DIODE_DUAL_SERIES" ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" + ( attribute "CASE" "SOT23" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PART_NAME" "TP" + ( attribute "CDS_LIB" "cndiscrete" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) - ( attribute "PHYS_PAGE" "1" - ( Status sBlockFlattened ) + ( attribute "LOCATION" "D1_2" ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "PACK_TYPE" "A1-C2-AC3" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" + ( attribute "PHYS_PAGE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SYMMAP" "TRUE" + ( attribute "ROT" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" + ( attribute "TYPE" "HBAT-540C" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -21377,65 +21606,73 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2750,-1550)" + ( attribute "XY" "(0,-500)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" - ( Status sBlockFlattened ) + ( attribute "CDS_PART_NAME" "HBAT-540C" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP6_3" - ( Origin gFrontEnd ) + ( attribute "SEC" "1" + ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" + ( attribute "CDS_PHYS_PART_NAME" "HBAT-540C" ( Origin gPackager ) ) - ( attribute "SEC" "1" + ( attribute "CDS_LOCATION" "D1_2" ( Origin gPackager ) ) - ( objectStatus "TP6_3" ) - ( pin "a(0)" + ( objectStatus "D1_2" ) + ( pin "a" ( attribute "PN" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP6_3.1" ) + ( objectStatus "D1_2.1" ) + ) + ( pin "ac" + ( attribute "PN" "3" + ( Origin gPackager ) + ) + ( objectStatus "D1_2.3" ) + ) + ( pin "c" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "D1_2.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i165" - ( attribute "CDS_LIB" "cnpassive" - ( Status sBlockFlattened ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i120" + ( attribute "CHIPS_PART_NAME" "DIODE_DUAL_SERIES" ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" + ( attribute "CASE" "SOT23" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PART_NAME" "TP" + ( attribute "CDS_LIB" "cndiscrete" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) - ( attribute "PHYS_PAGE" "1" - ( Status sBlockFlattened ) + ( attribute "LOCATION" "D1_3" ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "PACK_TYPE" "A1-C2-AC3" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" + ( attribute "PHYS_PAGE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SYMMAP" "TRUE" + ( attribute "ROT" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" + ( attribute "TYPE" "HBAT-540C" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -21443,65 +21680,73 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2750,-1750)" + ( attribute "XY" "(0,-500)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" - ( Status sBlockFlattened ) + ( attribute "CDS_PART_NAME" "HBAT-540C" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP7_3" - ( Origin gFrontEnd ) + ( attribute "SEC" "1" + ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" + ( attribute "CDS_PHYS_PART_NAME" "HBAT-540C" ( Origin gPackager ) ) - ( attribute "SEC" "1" + ( attribute "CDS_LOCATION" "D1_3" ( Origin gPackager ) ) - ( objectStatus "TP7_3" ) - ( pin "a(0)" + ( objectStatus "D1_3" ) + ( pin "a" ( attribute "PN" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP7_3.1" ) + ( objectStatus "D1_3.1" ) + ) + ( pin "ac" + ( attribute "PN" "3" + ( Origin gPackager ) + ) + ( objectStatus "D1_3.3" ) + ) + ( pin "c" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "D1_3.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i159" - ( attribute "CDS_LIB" "cnpassive" - ( Status sBlockFlattened ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i120" + ( attribute "CHIPS_PART_NAME" "DIODE_DUAL_SERIES" ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" + ( attribute "CASE" "SOT23" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PART_NAME" "TP" + ( attribute "CDS_LIB" "cndiscrete" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) - ( attribute "PHYS_PAGE" "1" - ( Status sBlockFlattened ) + ( attribute "LOCATION" "D1_4" ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "PACK_TYPE" "A1-C2-AC3" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" + ( attribute "PHYS_PAGE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SYMMAP" "TRUE" + ( attribute "ROT" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" + ( attribute "TYPE" "HBAT-540C" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -21509,150 +21754,138 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2750,400)" + ( attribute "XY" "(0,-500)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" - ( Status sBlockFlattened ) + ( attribute "CDS_PART_NAME" "HBAT-540C" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP2_4" - ( Origin gFrontEnd ) + ( attribute "SEC" "1" + ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" + ( attribute "CDS_PHYS_PART_NAME" "HBAT-540C" ( Origin gPackager ) ) - ( attribute "SEC" "1" + ( attribute "CDS_LOCATION" "D1_4" ( Origin gPackager ) ) - ( objectStatus "TP2_4" ) - ( pin "a(0)" + ( objectStatus "D1_4" ) + ( pin "a" ( attribute "PN" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP2_4.1" ) + ( objectStatus "D1_4.1" ) + ) + ( pin "ac" + ( attribute "PN" "3" + ( Origin gPackager ) + ) + ( objectStatus "D1_4.3" ) + ) + ( pin "c" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "D1_4.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i160" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i90" ( attribute "CDS_LIB" "cnpassive" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Status sBlockFlattened ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "C1" ( Origin gFrontEnd ) ) - ( attribute "PART_NAME" "TP" - ( Status sBlockFlattened ) + ( attribute "PACK_TYPE" "0603" ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" - ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" - ( Status sBlockFlattened ) + ( attribute "ROT" "1" ( Origin gFrontEnd ) ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) ( attribute "SIZE" "1" - ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SYMMAP" "TRUE" - ( Status sBlockFlattened ) + ( attribute "VALUE" "100NF" ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" - ( Status sBlockFlattened ) + ( attribute "VER" "1" ( Origin gFrontEnd ) ) - ( attribute "VER" "1" - ( Status sBlockFlattened ) + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2750,200)" - ( Status sBlockFlattened ) + ( attribute "XY" "(3050,500)" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" - ( Status sBlockFlattened ) + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP3_4" - ( Origin gFrontEnd ) - ) - ( attribute "CDS_SEC" "1" + ( attribute "CDS_LOCATION" "C1" ( Origin gPackager ) ) - ( attribute "SEC" "1" + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" ( Origin gPackager ) ) - ( objectStatus "TP3_4" ) + ( objectStatus "C1" ) ( pin "a(0)" ( attribute "PN" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP3_4.1" ) + ( objectStatus "C1.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "C1.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i161" - ( attribute "CDS_LIB" "cnpassive" - ( Status sBlockFlattened ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i92" + ( attribute "CDS_LIB" "cndiscrete" ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "PART_NAME" "TP" - ( Status sBlockFlattened ) + ( attribute "PACK_TYPE" "SOT23" ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" - ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "ROT" "0" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "SIZE" "1" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "SYMMAP" "TRUE" - ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" - ( Status sBlockFlattened ) + ( attribute "TYPE" "USBLC6-2SC6" ( Origin gFrontEnd ) ) ( attribute "VER" "1" - ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2750,0)" - ( Status sBlockFlattened ) + ( attribute "XY" "(2600,100)" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" - ( Status sBlockFlattened ) + ( attribute "CHIPS_PART_NAME" "USBLC6-2" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "USBLC6-2SC6" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP4_4" + ( attribute "LOCATION" "D3" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" @@ -21661,65 +21894,80 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "TP4_4" ) - ( pin "a(0)" + ( attribute "CDS_LOCATION" "D3" + ( Origin gPackager ) + ) + ( attribute "CDS_PHYS_PART_NAME" "USBLC6-2SC6" + ( Origin gPackager ) + ) + ( objectStatus "D3" ) + ( pin "gnd" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "D3.2" ) + ) + ( pin "\i/o1\(0)" ( attribute "PN" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP4_4.1" ) + ( objectStatus "D3.1" ) ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i162" - ( attribute "CDS_LIB" "cnpassive" - ( Status sBlockFlattened ) - ( Origin gPackager ) + ( pin "\i/o1\(1)" + ( attribute "PN" "6" + ( Origin gPackager ) + ) + ( objectStatus "D3.6" ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( pin "\i/o2\(0)" + ( attribute "PN" "3" + ( Origin gPackager ) + ) + ( objectStatus "D3.3" ) ) - ( attribute "PART_NAME" "TP" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( pin "\i/o2\(1)" + ( attribute "PN" "4" + ( Origin gPackager ) + ) + ( objectStatus "D3.4" ) ) - ( attribute "PHYS_PAGE" "1" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( pin "vbus" + ( attribute "PN" "5" + ( Origin gPackager ) + ) + ( objectStatus "D3.5" ) ) - ( attribute "ROT" "0" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i93" + ( attribute "CDS_LIB" "cnconnector" + ( Origin gPackager ) ) - ( attribute "SIZE" "1" - ( Status sBlockFlattened ) + ( attribute "LOCATION" "PL1" + ( Origin gPackager ) + ) + ( attribute "PHYS_PAGE" "1" ( Origin gFrontEnd ) ) - ( attribute "SYMMAP" "TRUE" - ( Status sBlockFlattened ) + ( attribute "ROT" "6" ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" - ( Status sBlockFlattened ) + ( attribute "TYPE" "HW8_08G_SM" ( Origin gFrontEnd ) ) ( attribute "VER" "1" - ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(1000,800)" - ( Status sBlockFlattened ) + ( attribute "XY" "(900,-2950)" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" - ( Status sBlockFlattened ) + ( attribute "CHIPS_PART_NAME" "CON16P" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "CON16P-HW8_08G_SM" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP1_4" - ( Origin gFrontEnd ) + ( attribute "CDS_LOCATION" "PL1" + ( Origin gPackager ) ) ( attribute "CDS_SEC" "1" ( Origin gPackager ) @@ -21727,25 +21975,113 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "TP1_4" ) + ( attribute "CDS_PHYS_PART_NAME" "CON16P-HW8_08G_SM" + ( Origin gPackager ) + ) + ( objectStatus "PL1" ) ( pin "a(0)" ( attribute "PN" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP1_4.1" ) + ( objectStatus "PL1.1" ) + ) + ( pin "a(1)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "PL1.2" ) + ) + ( pin "a(2)" + ( attribute "PN" "3" + ( Origin gPackager ) + ) + ( objectStatus "PL1.3" ) + ) + ( pin "a(3)" + ( attribute "PN" "4" + ( Origin gPackager ) + ) + ( objectStatus "PL1.4" ) + ) + ( pin "a(4)" + ( attribute "PN" "5" + ( Origin gPackager ) + ) + ( objectStatus "PL1.5" ) + ) + ( pin "a(5)" + ( attribute "PN" "6" + ( Origin gPackager ) + ) + ( objectStatus "PL1.6" ) + ) + ( pin "a(6)" + ( attribute "PN" "7" + ( Origin gPackager ) + ) + ( objectStatus "PL1.7" ) + ) + ( pin "a(7)" + ( attribute "PN" "8" + ( Origin gPackager ) + ) + ( objectStatus "PL1.8" ) + ) + ( pin "a(8)" + ( attribute "PN" "9" + ( Origin gPackager ) + ) + ( objectStatus "PL1.9" ) + ) + ( pin "a(9)" + ( attribute "PN" "10" + ( Origin gPackager ) + ) + ( objectStatus "PL1.10" ) + ) + ( pin "a(10)" + ( attribute "PN" "11" + ( Origin gPackager ) + ) + ( objectStatus "PL1.11" ) + ) + ( pin "a(11)" + ( attribute "PN" "12" + ( Origin gPackager ) + ) + ( objectStatus "PL1.12" ) + ) + ( pin "a(12)" + ( attribute "PN" "13" + ( Origin gPackager ) + ) + ( objectStatus "PL1.13" ) + ) + ( pin "a(13)" + ( attribute "PN" "14" + ( Origin gPackager ) + ) + ( objectStatus "PL1.14" ) + ) + ( pin "a(14)" + ( attribute "PN" "15" + ( Origin gPackager ) + ) + ( objectStatus "PL1.15" ) + ) + ( pin "a(15)" + ( attribute "PN" "16" + ( Origin gPackager ) + ) + ( objectStatus "PL1.16" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i163" - ( attribute "CDS_LIB" "cnpassive" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i168" + ( attribute "CDS_LIB" "cnconnector" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "PART_NAME" "TP" + ( attribute "NEEDS_NO_SIZE" "TRUE" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -21757,15 +22093,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "SYMMAP" "TRUE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "VALUE" "0.8MM" + ( attribute "TYPE" "UFL_R_SMT" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -21773,45 +22101,49 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2750,-1250)" + ( attribute "XY" "(-300,-1550)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "CHIPS_PART_NAME" "PCOAXSMD" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "PCOAXSMD-UFL_R_SMT-GND=GND_SIGA" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP5_4" + ( attribute "LOCATION" "PX1_1" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP5_4" ) - ( pin "a(0)" + ( attribute "CDS_PHYS_PART_NAME" "PCOAXSMD-UFL_R_SMT-GND=GND_SIGA" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "PX1_1" + ( Origin gPackager ) + ) + ( objectStatus "PX1_1" ) + ( pin "a" ( attribute "PN" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP5_4.1" ) + ( objectStatus "PX1_1.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i164" - ( attribute "CDS_LIB" "cnpassive" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i168" + ( attribute "CDS_LIB" "cnconnector" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "PART_NAME" "TP" + ( attribute "NEEDS_NO_SIZE" "TRUE" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -21823,15 +22155,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "SYMMAP" "TRUE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "VALUE" "0.8MM" + ( attribute "TYPE" "UFL_R_SMT" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -21839,45 +22163,49 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2750,-1550)" + ( attribute "XY" "(-300,-1550)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "CHIPS_PART_NAME" "PCOAXSMD" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "PCOAXSMD-UFL_R_SMT-GND=GND_SIGA" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP6_4" + ( attribute "LOCATION" "PX1_2" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP6_4" ) - ( pin "a(0)" + ( attribute "CDS_PHYS_PART_NAME" "PCOAXSMD-UFL_R_SMT-GND=GND_SIGA" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "PX1_2" + ( Origin gPackager ) + ) + ( objectStatus "PX1_2" ) + ( pin "a" ( attribute "PN" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP6_4.1" ) + ( objectStatus "PX1_2.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i165" - ( attribute "CDS_LIB" "cnpassive" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i168" + ( attribute "CDS_LIB" "cnconnector" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "PART_NAME" "TP" + ( attribute "NEEDS_NO_SIZE" "TRUE" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -21889,15 +22217,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "SYMMAP" "TRUE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "VALUE" "0.8MM" + ( attribute "TYPE" "UFL_R_SMT" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -21905,585 +22225,911 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2750,-1750)" + ( attribute "XY" "(-300,-1550)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "CHIPS_PART_NAME" "PCOAXSMD" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "PCOAXSMD-UFL_R_SMT-GND=GND_SIGA" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP7_4" + ( attribute "LOCATION" "PX1_3" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP7_4" ) - ( pin "a(0)" + ( attribute "CDS_PHYS_PART_NAME" "PCOAXSMD-UFL_R_SMT-GND=GND_SIGA" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "PX1_3" + ( Origin gPackager ) + ) + ( objectStatus "PX1_3" ) + ( pin "a" ( attribute "PN" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP7_4.1" ) + ( objectStatus "PX1_3.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i65" - ( attribute "CDS_LIB" "cnpassive" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i168" + ( attribute "CDS_LIB" "cnconnector" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Origin gFrontEnd ) - ) - ( attribute "PART_NAME" "TP" + ( attribute "NEEDS_NO_SIZE" "TRUE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "2" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" + ( attribute "TYPE" "UFL_R_SMT" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" + ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "XY" "(-300,-1550)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(700,-150)" + ( attribute "CHIPS_PART_NAME" "PCOAXSMD" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "PCOAXSMD-UFL_R_SMT-GND=GND_SIGA" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "PX1_4" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "LOCATION" "TP11" + ( attribute "CDS_PHYS_PART_NAME" "PCOAXSMD-UFL_R_SMT-GND=GND_SIGA" ( Origin gPackager ) ) - ( objectStatus "TP11" ) - ( pin "a(0)" + ( attribute "CDS_LOCATION" "PX1_4" + ( Origin gPackager ) + ) + ( objectStatus "PX1_4" ) + ( pin "a" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP11.1" ) + ( objectStatus "PX1_4.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i66" - ( attribute "CDS_LIB" "cnpassive" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i169" + ( attribute "CDS_LIB" "cnconnector" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" + ( attribute "NEEDS_NO_SIZE" "TRUE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PART_NAME" "TP" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "2" + ( attribute "ROT" "2" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "TYPE" "UFL_R_SMT" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" + ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" + ( attribute "XY" "(325,-1550)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" - ( Origin gFrontEnd ) + ( attribute "CHIPS_PART_NAME" "PCOAXSMD" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "PCOAXSMD-UFL_R_SMT-GND=GND_SIGA" + ( Origin gPackager ) ) - ( attribute "XY" "(700,-250)" + ( attribute "LOCATION" "PX2_1" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "LOCATION" "TP12" + ( attribute "CDS_PHYS_PART_NAME" "PCOAXSMD-UFL_R_SMT-GND=GND_SIGA" ( Origin gPackager ) ) - ( objectStatus "TP12" ) - ( pin "a(0)" + ( attribute "CDS_LOCATION" "PX2_1" + ( Origin gPackager ) + ) + ( objectStatus "PX2_1" ) + ( pin "a" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP12.1" ) + ( objectStatus "PX2_1.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i67" - ( attribute "CDS_LIB" "cnpassive" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i169" + ( attribute "CDS_LIB" "cnconnector" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" + ( attribute "NEEDS_NO_SIZE" "TRUE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PART_NAME" "TP" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "2" + ( attribute "ROT" "2" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "TYPE" "UFL_R_SMT" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" + ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" + ( attribute "XY" "(325,-1550)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" - ( Origin gFrontEnd ) + ( attribute "CHIPS_PART_NAME" "PCOAXSMD" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "PCOAXSMD-UFL_R_SMT-GND=GND_SIGA" + ( Origin gPackager ) ) - ( attribute "XY" "(-750,-1050)" + ( attribute "LOCATION" "PX2_2" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "LOCATION" "TP4" + ( attribute "CDS_PHYS_PART_NAME" "PCOAXSMD-UFL_R_SMT-GND=GND_SIGA" ( Origin gPackager ) ) - ( objectStatus "TP4" ) - ( pin "a(0)" + ( attribute "CDS_LOCATION" "PX2_2" + ( Origin gPackager ) + ) + ( objectStatus "PX2_2" ) + ( pin "a" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP4.1" ) + ( objectStatus "PX2_2.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i68" - ( attribute "CDS_LIB" "cnpassive" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i169" + ( attribute "CDS_LIB" "cnconnector" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" + ( attribute "NEEDS_NO_SIZE" "TRUE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PART_NAME" "TP" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "2" + ( attribute "ROT" "2" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "TYPE" "UFL_R_SMT" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" + ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" + ( attribute "XY" "(325,-1550)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" - ( Origin gFrontEnd ) + ( attribute "CHIPS_PART_NAME" "PCOAXSMD" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "PCOAXSMD-UFL_R_SMT-GND=GND_SIGA" + ( Origin gPackager ) ) - ( attribute "XY" "(350,-150)" + ( attribute "LOCATION" "PX2_3" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "LOCATION" "TP9" + ( attribute "CDS_PHYS_PART_NAME" "PCOAXSMD-UFL_R_SMT-GND=GND_SIGA" ( Origin gPackager ) ) - ( objectStatus "TP9" ) - ( pin "a(0)" + ( attribute "CDS_LOCATION" "PX2_3" + ( Origin gPackager ) + ) + ( objectStatus "PX2_3" ) + ( pin "a" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP9.1" ) + ( objectStatus "PX2_3.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i69" - ( attribute "CDS_LIB" "cnpassive" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i169" + ( attribute "CDS_LIB" "cnconnector" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" + ( attribute "NEEDS_NO_SIZE" "TRUE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PART_NAME" "TP" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "2" + ( attribute "ROT" "2" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "TYPE" "UFL_R_SMT" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" + ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" + ( attribute "XY" "(325,-1550)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" - ( Origin gFrontEnd ) + ( attribute "CHIPS_PART_NAME" "PCOAXSMD" + ( Status sBlockFlattened ) + ( Origin gPackager ) ) - ( attribute "XY" "(350,-250)" + ( attribute "CDS_PART_NAME" "PCOAXSMD-UFL_R_SMT-GND=GND_SIGA" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "PX2_4" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "LOCATION" "TP10" + ( attribute "CDS_PHYS_PART_NAME" "PCOAXSMD-UFL_R_SMT-GND=GND_SIGA" ( Origin gPackager ) ) - ( objectStatus "TP10" ) - ( pin "a(0)" + ( attribute "CDS_LOCATION" "PX2_4" + ( Origin gPackager ) + ) + ( objectStatus "PX2_4" ) + ( pin "a" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP10.1" ) + ( objectStatus "PX2_4.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i70" - ( attribute "CDS_LIB" "cnpassive" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i98" + ( attribute "CDS_LIB" "cndiscrete" ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" + ( attribute "PACK_TYPE" "SOD123-CA" ( Origin gFrontEnd ) ) - ( attribute "PART_NAME" "TP" + ( attribute "PHYS_PAGE" "1" ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "2" + ( attribute "ROT" "0" ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "TYPE" "BZT52-C3V6" ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" + ( attribute "VER" "1" ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" + ( attribute "XY" "(3325,525)" ( Origin gFrontEnd ) ) - ( attribute "VER" "1" - ( Origin gFrontEnd ) + ( attribute "CHIPS_PART_NAME" "ZENER" + ( Origin gPackager ) ) - ( attribute "XY" "(-900,-1050)" - ( Origin gFrontEnd ) + ( attribute "CDS_PART_NAME" "ZENER_SOD123-CA-BZT52-C3V6" + ( Origin gPackager ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_LOCATION" "Z4" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP3" + ( attribute "CDS_PHYS_PART_NAME" "ZENER_SOD123-CA-BZT52-C3V6" ( Origin gPackager ) ) - ( objectStatus "TP3" ) + ( attribute "LOCATION" "Z4" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "Z4" ) ( pin "a(0)" - ( attribute "PN" "1" + ( attribute "PN" "A" ( Origin gPackager ) ) - ( objectStatus "TP3.1" ) + ( objectStatus "Z4.A" ) + ) + ( pin "k(0)" + ( attribute "PN" "C" + ( Origin gPackager ) + ) + ( objectStatus "Z4.C" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i71" - ( attribute "CDS_LIB" "cnpassive" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i70" + ( attribute "CDS_LIB" "bris_cds_analogue" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Origin gFrontEnd ) + ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,125,125,-125" + ( Status sBlockFlattened ) + ( Origin gPackager ) ) - ( attribute "PART_NAME" "TP" + ( attribute "MANUF" "TEXAS INSTRUMENTS" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "2" + ( attribute "PACK_TYPE" "SOT23-5" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" + ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" + ( attribute "VALUE" "1.3V" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-250,-1250)" + ( attribute "XY" "(-2625,125)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "CHIPS_PART_NAME" "LP5951" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "LP5951_SOT23-5-1.3V,TEXAS INSTA" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP7" + ( attribute "CDS_LOCATION" "U4" ( Origin gPackager ) ) - ( objectStatus "TP7" ) - ( pin "a(0)" + ( attribute "LOCATION" "U4" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( attribute "CDS_PHYS_PART_NAME" "LP5951_SOT23-5-1.3V,TEXAS INSTA" + ( Origin gPackager ) + ) + ( objectStatus "U4" ) + ( pin "gnd" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "U4.2" ) + ) + ( pin "\on/off\" + ( attribute "PN" "3" + ( Origin gPackager ) + ) + ( objectStatus "U4.3" ) + ) + ( pin "vin" ( attribute "PN" "1" ( Origin gPackager ) ) - ( objectStatus "TP7.1" ) + ( objectStatus "U4.1" ) + ) + ( pin "vout" + ( attribute "PN" "5" + ( Origin gPackager ) + ) + ( objectStatus "U4.5" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i72" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i73" ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Origin gFrontEnd ) - ) - ( attribute "PART_NAME" "TP" + ( attribute "PACK_TYPE" "0603" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "2" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "2" + ( attribute "ROT" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" + ( attribute "VALUE" "1UF" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "VER" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-100,-1250)" + ( attribute "XY" "(-2925,-125)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-1UF,16V" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP8" + ( attribute "LOCATION" "C29" + ( Origin gFrontEnd ) + ) + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( objectStatus "TP8" ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C29" + ( Origin gPackager ) + ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-1UF,16V" + ( Origin gPackager ) + ) + ( objectStatus "C29" ) ( pin "a(0)" ( attribute "PN" "1" ( Origin gPackager ) ) - ( objectStatus "TP8.1" ) + ( objectStatus "C29.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "C29.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i73" - ( attribute "CDS_LIB" "cnpassive" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i40" + ( attribute "CDS_LIB" "bris_cds_special" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Origin gFrontEnd ) + ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,125,125,-125" + ( Status sBlockFlattened ) + ( Origin gPackager ) ) - ( attribute "PART_NAME" "TP" + ( attribute "MANUF" "LINEAR TECHNOLOGY" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "2" + ( attribute "PACK_TYPE" "SOT_223" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "2" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" + ( attribute "ROT" "6" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" + ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "XY" "(1700,825)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-600,-400)" + ( attribute "CHIPS_PART_NAME" "LT1175" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "LT1175_SOT_223" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "REG1_6" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "LOCATION" "TP5" + ( attribute "CDS_PHYS_PART_NAME" "LT1175_SOT_223" ( Origin gPackager ) ) - ( objectStatus "TP5" ) - ( pin "a(0)" + ( attribute "CDS_LOCATION" "REG1_6" + ( Origin gPackager ) + ) + ( objectStatus "REG1_6" ) + ( pin "gnd" + ( attribute "PN" "3" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "REG1_6.3" ) + ) + ( pin "v_in0" + ( attribute "PN" "2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "REG1_6.2" ) + ) + ( pin "v_in1" + ( attribute "PN" "4" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "REG1_6.4" ) + ) + ( pin "v_out" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP5.1" ) + ( objectStatus "REG1_6.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i74" - ( attribute "CDS_LIB" "cnpassive" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i42" + ( attribute "CDS_LIB" "cndiscrete" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" + ( attribute "MODEL" "WURTH" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PART_NAME" "TP" + ( attribute "PACK_TYPE" "SMD" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "2" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "2" + ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" + ( attribute "VALUE" "7427921" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" + ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "XY" "(625,2900)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-600,-500)" + ( attribute "CHIPS_PART_NAME" "FERRITE" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "FERRITE_SMD-7427921,WURTH" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "L2_6" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "LOCATION" "TP6" + ( attribute "CDS_PHYS_PART_NAME" "FERRITE_SMD-7427921,WURTH" ( Origin gPackager ) ) - ( objectStatus "TP6" ) + ( attribute "CDS_LOCATION" "L2_6" + ( Origin gPackager ) + ) + ( objectStatus "L2_6" ) ( pin "a(0)" ( attribute "PN" "1" ( Origin gPackager ) ) - ( objectStatus "TP6.1" ) + ( objectStatus "L2_6.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "L2_6.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i75" - ( attribute "CDS_LIB" "cnpassive" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i44" + ( attribute "CDS_LIB" "cndiscrete" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" + ( attribute "MODEL" "WURTH" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PART_NAME" "TP" + ( attribute "PACK_TYPE" "SMD" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "2" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "2" + ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" + ( attribute "VALUE" "7427921" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" + ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "XY" "(625,875)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-950,-600)" + ( attribute "CHIPS_PART_NAME" "FERRITE" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "FERRITE_SMD-7427921,WURTH" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "L3_6" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "LOCATION" "TP1" + ( attribute "CDS_PHYS_PART_NAME" "FERRITE_SMD-7427921,WURTH" ( Origin gPackager ) ) - ( objectStatus "TP1" ) + ( attribute "CDS_LOCATION" "L3_6" + ( Origin gPackager ) + ) + ( objectStatus "L3_6" ) ( pin "a(0)" ( attribute "PN" "1" ( Origin gPackager ) ) - ( objectStatus "TP1.1" ) + ( objectStatus "L3_6.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "L3_6.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i76" - ( attribute "CDS_LIB" "cnpassive" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i50" + ( attribute "CDS_LIB" "bris_cds_analogue" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" + ( attribute "CDS_LMAN_SYM_OUTLINE" "-150,200,150,-150" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "KL_COMMENTS" "-" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PART_NAME" "TP" + ( attribute "MANUF" "LINEAR" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "2" + ( attribute "OL_COMMENTS" "-" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "2" + ( attribute "PACK_TYPE" "SOT223" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" + ( attribute "PART_NAME" "lt1129CST-5" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" + ( attribute "PHYS_DES_PREFIX" "VR" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PL_COMMENTS" "-" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-950,-700)" + ( attribute "XY" "(1700,2850)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "CHIPS_PART_NAME" "LT1129CST-5" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "LT1129CST-5_SOT223-LINEAR" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP2" + ( attribute "LOCATION" "VR1_6" + ( Origin gFrontEnd ) + ) + ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP2" ) - ( pin "a(0)" + ( attribute "SEC" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PHYS_PART_NAME" "LT1129CST-5_SOT223-LINEAR" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "VR1_6" + ( Origin gPackager ) + ) + ( objectStatus "VR1_6" ) + ( pin "gnd" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "VR1_6.2" ) + ) + ( pin "tab" + ( attribute "PN" "4" + ( Origin gPackager ) + ) + ( objectStatus "VR1_6.4" ) + ) + ( pin "vin" ( attribute "PN" "1" ( Origin gPackager ) ) - ( objectStatus "TP2.1" ) + ( objectStatus "VR1_6.1" ) + ) + ( pin "vout" + ( attribute "PN" "3" + ( Origin gPackager ) + ) + ( objectStatus "VR1_6.3" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i68" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i52" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "PART_NAME" "TP" + ( attribute "PACK_TYPE" "0805" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -22491,7 +23137,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "ROT" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -22499,59 +23145,69 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SYMMAP" "TRUE" + ( attribute "VALUE" "4.7UF" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VOLTAGE" "10V" + ( Units "uVoltage" "V" 1.000000) ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-1225,500)" + ( attribute "XY" "(1100,2750)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0805-4.7UF,10V" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "TP13" + ( attribute "LOCATION" "C9_6" + ( Origin gFrontEnd ) + ) + ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "LOCATION" "TP13" + ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0805-4.7UF,10V" ( Origin gPackager ) ) - ( attribute "SEC" "1" + ( attribute "CDS_LOCATION" "C9_6" ( Origin gPackager ) ) - ( objectStatus "TP13" ) + ( objectStatus "C9_6" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP13.1" ) + ( objectStatus "C9_6.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "C9_6.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i69" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i54" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "PART_NAME" "TP" + ( attribute "PACK_TYPE" "0805" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -22559,7 +23215,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "ROT" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -22567,980 +23223,1018 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SYMMAP" "TRUE" + ( attribute "VALUE" "4.7UF" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VOLTAGE" "10V" + ( Units "uVoltage" "V" 1.000000) ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-700,1350)" + ( attribute "XY" "(1100,725)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0805-4.7UF,10V" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP22" + ( attribute "LOCATION" "C10_6" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "TP22" + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0805-4.7UF,10V" ( Origin gPackager ) ) - ( objectStatus "TP22" ) + ( attribute "CDS_LOCATION" "C10_6" + ( Origin gPackager ) + ) + ( objectStatus "C10_6" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP22.1" ) + ( objectStatus "C10_6.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "C10_6.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i8" - ( attribute "CDS_LIB" "cnmemory" - ( Origin gPackager ) - ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-150,75,150,-150" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i56" + ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "SOIC" + ( attribute "PACK_TYPE" "0805" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "ROT" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TYPE" "24AA025E48" + ( attribute "VALUE" "4.7UF" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-200,-2950)" + ( attribute "VOLTAGE" "10V" + ( Units "uVoltage" "V" 1.000000) + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "24AA025E48" + ( attribute "XY" "(2475,2750)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "24AA025E48" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0805-4.7UF,10V" ( Origin gPackager ) ) - ( attribute "LOCATION" "IC9" + ( attribute "LOCATION" "C12_6" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "IC9" + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0805-4.7UF,10V" ( Origin gPackager ) ) - ( objectStatus "IC9" ) - ( pin "a0" + ( attribute "CDS_LOCATION" "C12_6" + ( Origin gPackager ) + ) + ( objectStatus "C12_6" ) + ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "IC9.1" ) + ( objectStatus "C12_6.1" ) ) - ( pin "a1" + ( pin "b(0)" ( attribute "PN" "2" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "IC9.2" ) - ) - ( pin "a2" - ( attribute "PN" "3" - ( Origin gPackager ) - ) - ( objectStatus "IC9.3" ) - ) - ( pin "scl" - ( attribute "PN" "6" - ( Origin gPackager ) - ) - ( objectStatus "IC9.6" ) - ) - ( pin "sda" - ( attribute "PN" "5" - ( Origin gPackager ) - ) - ( objectStatus "IC9.5" ) - ) - ( pin "vcc" - ( attribute "PN" "8" - ( Origin gPackager ) - ) - ( objectStatus "IC9.8" ) - ) - ( pin "vss" - ( attribute "PN" "4" - ( Origin gPackager ) - ) - ( objectStatus "IC9.4" ) + ( objectStatus "C12_6.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i11" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i58" ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "0603" + ( attribute "PACK_TYPE" "0805" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "ROT" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "100NF" + ( attribute "VALUE" "4.7UF" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "16V" + ( attribute "VOLTAGE" "10V" ( Units "uVoltage" "V" 1.000000) + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-200,-3300)" + ( attribute "XY" "(2450,675)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0805-4.7UF,10V" ( Origin gPackager ) ) - ( attribute "LOCATION" "C70" + ( attribute "LOCATION" "C11_6" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "C70" + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0805-4.7UF,10V" ( Origin gPackager ) ) - ( objectStatus "C70" ) + ( attribute "CDS_LOCATION" "C11_6" + ( Origin gPackager ) + ) + ( objectStatus "C11_6" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C70.1" ) + ( objectStatus "C11_6.1" ) ) ( pin "b(0)" ( attribute "PN" "2" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C70.2" ) + ( objectStatus "C11_6.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i12" - ( attribute "CDS_LIB" "cnpassive" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i66" + ( attribute "CDS_LIB" "cndiscrete" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "DIST" "FLAT" - ( Origin gFrontEnd ) - ) - ( attribute "MAX_TEMP" "RTMAX" - ( Origin gFrontEnd ) - ) - ( attribute "NEGTOL" "RTOL%" + ( attribute "MODEL" "MURATA" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "1/10W" + ( attribute "PACK_TYPE" "SMD" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POSTOL" "RTOL%" - ( Origin gFrontEnd ) - ) - ( attribute "POWER" "RMAX" - ( Origin gFrontEnd ) - ) - ( attribute "ROT" "1" - ( Origin gFrontEnd ) - ) - ( attribute "SIZE" "1" - ( Origin gFrontEnd ) - ) - ( attribute "SLOPE" "RSMAX" - ( Origin gFrontEnd ) - ) - ( attribute "TC1" "RTMPL" - ( Origin gFrontEnd ) - ) - ( attribute "TC2" "RTMPQ" - ( Origin gFrontEnd ) - ) - ( attribute "TOL" "1%" - ( Origin gFrontEnd ) - ) - ( attribute "TOL_ON_OFF" "ON" - ( Origin gFrontEnd ) - ) - ( attribute "VALUE" "XX" + ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "2" + ( attribute "VALUE" "BLM41P800S" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "RVMAX" - ( Units "uVoltage" "V" 1.000000) + ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-1050,-3050)" + ( attribute "XY" "(-3100,2375)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( attribute "CHIPS_PART_NAME" "FERRITE" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-XX,1%" + ( attribute "CDS_PART_NAME" "FERRITE_SMD-BLM41P800S,MURATA" ( Origin gPackager ) ) - ( attribute "LOCATION" "R61" + ( attribute "LOCATION" "L1_6" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "R61" + ( attribute "CDS_PHYS_PART_NAME" "FERRITE_SMD-BLM41P800S,MURATA" ( Origin gPackager ) ) - ( objectStatus "R61" ) + ( attribute "CDS_LOCATION" "L1_6" + ( Origin gPackager ) + ) + ( objectStatus "L1_6" ) ( pin "a(0)" ( attribute "PN" "1" ( Origin gPackager ) ) - ( objectStatus "R61.1" ) + ( objectStatus "L1_6.1" ) ) ( pin "b(0)" ( attribute "PN" "2" ( Origin gPackager ) ) - ( objectStatus "R61.2" ) + ( objectStatus "L1_6.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i13" - ( attribute "CDS_LIB" "cnpassive" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i70" + ( attribute "CDS_LIB" "cnlinear" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "DIST" "FLAT" - ( Origin gFrontEnd ) - ) - ( attribute "MAX_TEMP" "RTMAX" - ( Origin gFrontEnd ) - ) - ( attribute "NEGTOL" "RTOL%" - ( Origin gFrontEnd ) - ) - ( attribute "PACK_TYPE" "1/10W" + ( attribute "PACK_TYPE" "BGA" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POSTOL" "RTOL%" - ( Origin gFrontEnd ) - ) - ( attribute "POWER" "RMAX" - ( Origin gFrontEnd ) - ) - ( attribute "ROT" "1" - ( Origin gFrontEnd ) - ) - ( attribute "SIZE" "1" - ( Origin gFrontEnd ) - ) - ( attribute "SLOPE" "RSMAX" - ( Origin gFrontEnd ) - ) - ( attribute "TC1" "RTMPL" - ( Origin gFrontEnd ) - ) - ( attribute "TC2" "RTMPQ" - ( Origin gFrontEnd ) - ) - ( attribute "TOL" "1%" - ( Origin gFrontEnd ) - ) - ( attribute "TOL_ON_OFF" "ON" - ( Origin gFrontEnd ) - ) - ( attribute "VALUE" "XX" + ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "2" + ( attribute "TYPE" "LTM8047EY#PBF" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "RVMAX" - ( Units "uVoltage" "V" 1.000000) + ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-900,-3050)" + ( attribute "XY" "(-725,1550)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( attribute "CHIPS_PART_NAME" "LTM8047" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-XX,1%" + ( attribute "CDS_PART_NAME" "LTM8047EY#PBF" ( Origin gPackager ) ) - ( attribute "LOCATION" "R63" + ( attribute "LOCATION" "RG1_6" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "R63" + ( attribute "CDS_PHYS_PART_NAME" "LTM8047EY#PBF" ( Origin gPackager ) ) - ( objectStatus "R63" ) - ( pin "a(0)" - ( attribute "PN" "1" + ( attribute "CDS_LOCATION" "RG1_6" + ( Origin gPackager ) + ) + ( objectStatus "RG1_6" ) + ( pin "adj" + ( attribute "PN" "G7" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "R63.1" ) + ( objectStatus "RG1_6.G7" ) ) - ( pin "b(0)" - ( attribute "PN" "2" + ( pin "bias" + ( attribute "PN" "H5" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "R63.2" ) - ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i14" - ( attribute "CDS_LIB" "cnpassive" - ( Origin gPackager ) + ( objectStatus "RG1_6.H5" ) ) - ( attribute "DIST" "FLAT" - ( Origin gFrontEnd ) + ( pin "gnd(0)" + ( attribute "PN" "E1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.E1" ) ) - ( attribute "MAX_TEMP" "RTMAX" - ( Origin gFrontEnd ) + ( pin "gnd(1)" + ( attribute "PN" "E2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.E2" ) ) - ( attribute "NEGTOL" "RTOL%" - ( Origin gFrontEnd ) + ( pin "gnd(2)" + ( attribute "PN" "E3" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.E3" ) ) - ( attribute "PACK_TYPE" "1/10W" - ( Origin gFrontEnd ) + ( pin "gnd(3)" + ( attribute "PN" "E4" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.E4" ) ) - ( attribute "PHYS_PAGE" "1" - ( Origin gFrontEnd ) + ( pin "gnd(4)" + ( attribute "PN" "E5" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.E5" ) ) - ( attribute "POSTOL" "RTOL%" - ( Origin gFrontEnd ) + ( pin "gnd(5)" + ( attribute "PN" "E6" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.E6" ) ) - ( attribute "POWER" "RMAX" - ( Origin gFrontEnd ) + ( pin "gnd(6)" + ( attribute "PN" "E7" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.E7" ) ) - ( attribute "ROT" "1" - ( Origin gFrontEnd ) + ( pin "gnd(7)" + ( attribute "PN" "F4" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.F4" ) ) - ( attribute "SIZE" "1" - ( Origin gFrontEnd ) + ( pin "gnd(8)" + ( attribute "PN" "F5" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.F5" ) ) - ( attribute "SLOPE" "RSMAX" - ( Origin gFrontEnd ) + ( pin "gnd(9)" + ( attribute "PN" "F6" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.F6" ) ) - ( attribute "TC1" "RTMPL" - ( Origin gFrontEnd ) + ( pin "gnd(10)" + ( attribute "PN" "F7" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.F7" ) ) - ( attribute "TC2" "RTMPQ" - ( Origin gFrontEnd ) + ( pin "gnd(11)" + ( attribute "PN" "G4" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.G4" ) ) - ( attribute "TOL" "1%" - ( Origin gFrontEnd ) + ( pin "gnd(12)" + ( attribute "PN" "G5" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.G5" ) ) - ( attribute "TOL_ON_OFF" "ON" - ( Origin gFrontEnd ) + ( pin "gnd(13)" + ( attribute "PN" "G6" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.G6" ) ) - ( attribute "VALUE" "XX" - ( Origin gFrontEnd ) + ( pin "gnd(14)" + ( attribute "PN" "H4" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.H4" ) ) - ( attribute "VER" "2" - ( Origin gFrontEnd ) + ( pin "gnd(15)" + ( attribute "PN" "H7" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.H7" ) ) - ( attribute "VOLTAGE" "RVMAX" - ( Units "uVoltage" "V" 1.000000) - ( Origin gFrontEnd ) + ( pin "run" + ( attribute "PN" "F3" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.F3" ) ) - ( attribute "XY" "(-750,-3050)" - ( Origin gFrontEnd ) + ( pin "ss" + ( attribute "PN" "H6" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.H6" ) ) - ( attribute "CHIPS_PART_NAME" "RSMD0603" - ( Origin gPackager ) + ( pin "vin(0)" + ( attribute "PN" "H1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.H1" ) ) - ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-XX,1%" - ( Origin gPackager ) + ( pin "vin(1)" + ( attribute "PN" "H2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.H2" ) ) - ( attribute "LOCATION" "R65" - ( Origin gFrontEnd ) + ( pin "vin(2)" + ( attribute "PN" "G1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.G1" ) ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) + ( pin "vin(3)" + ( attribute "PN" "G2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.G2" ) ) - ( attribute "SEC" "1" - ( Origin gPackager ) + ( pin "vout(0)" + ( attribute "PN" "A6" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.A6" ) ) - ( attribute "CDS_LOCATION" "R65" - ( Origin gPackager ) + ( pin "vout(1)" + ( attribute "PN" "A7" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.A7" ) ) - ( objectStatus "R65" ) - ( pin "a(0)" - ( attribute "PN" "1" + ( pin "vout(2)" + ( attribute "PN" "B6" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "R65.1" ) + ( objectStatus "RG1_6.B6" ) ) - ( pin "b(0)" - ( attribute "PN" "2" + ( pin "vout(3)" + ( attribute "PN" "B7" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "R65.2" ) + ( objectStatus "RG1_6.B7" ) ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i15" - ( attribute "CDS_LIB" "cnpassive" - ( Origin gPackager ) + ( pin "vout(4)" + ( attribute "PN" "C6" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.C6" ) ) - ( attribute "DIST" "FLAT" - ( Origin gFrontEnd ) + ( pin "vout(5)" + ( attribute "PN" "C7" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.C7" ) ) - ( attribute "MAX_TEMP" "RTMAX" - ( Origin gFrontEnd ) - ) - ( attribute "NEGTOL" "RTOL%" - ( Origin gFrontEnd ) - ) - ( attribute "PACK_TYPE" "<< NULL >>" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "1" - ( Origin gFrontEnd ) - ) - ( attribute "POSTOL" "RTOL%" - ( Origin gFrontEnd ) - ) - ( attribute "POWER" "RMAX" - ( Origin gFrontEnd ) - ) - ( attribute "ROT" "1" - ( Origin gFrontEnd ) - ) - ( attribute "SIZE" "1" - ( Origin gFrontEnd ) - ) - ( attribute "SLOPE" "RSMAX" - ( Origin gFrontEnd ) - ) - ( attribute "TC1" "RTMPL" - ( Origin gFrontEnd ) - ) - ( attribute "TC2" "RTMPQ" - ( Origin gFrontEnd ) + ( pin "\vout-\(0)" + ( attribute "PN" "A1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.A1" ) ) - ( attribute "TOL" "<< NULL >>" - ( Origin gFrontEnd ) + ( pin "\vout-\(1)" + ( attribute "PN" "A2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.A2" ) ) - ( attribute "TOL_ON_OFF" "ON" - ( Origin gFrontEnd ) + ( pin "\vout-\(2)" + ( attribute "PN" "A3" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.A3" ) ) - ( attribute "VALUE" "00" - ( Origin gFrontEnd ) + ( pin "\vout-\(3)" + ( attribute "PN" "A4" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.A4" ) ) - ( attribute "VER" "2" - ( Origin gFrontEnd ) + ( pin "\vout-\(4)" + ( attribute "PN" "A5" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.A5" ) ) - ( attribute "VOLTAGE" "RVMAX" - ( Units "uVoltage" "V" 1.000000) - ( Origin gFrontEnd ) + ( pin "\vout-\(5)" + ( attribute "PN" "B1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.B1" ) ) - ( attribute "XY" "(-1050,-2600)" - ( Origin gFrontEnd ) + ( pin "\vout-\(6)" + ( attribute "PN" "B2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.B2" ) ) - ( attribute "CHIPS_PART_NAME" "RSMD0603" - ( Origin gPackager ) + ( pin "\vout-\(7)" + ( attribute "PN" "B3" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.B3" ) ) - ( attribute "CDS_PART_NAME" "RSMD0603_-00," - ( Origin gPackager ) + ( pin "\vout-\(8)" + ( attribute "PN" "B4" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.B4" ) ) - ( attribute "LOCATION" "R60" - ( Origin gFrontEnd ) + ( pin "\vout-\(9)" + ( attribute "PN" "B5" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.B5" ) ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) + ( pin "\vout-\(10)" + ( attribute "PN" "C1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.C1" ) ) - ( attribute "SEC" "1" - ( Origin gPackager ) + ( pin "\vout-\(11)" + ( attribute "PN" "C2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.C2" ) ) - ( attribute "CDS_LOCATION" "R60" - ( Origin gPackager ) + ( pin "\vout-\(12)" + ( attribute "PN" "C3" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.C3" ) ) - ( objectStatus "R60" ) - ( pin "a(0)" - ( attribute "PN" "1" + ( pin "\vout-\(13)" + ( attribute "PN" "C4" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "R60.1" ) + ( objectStatus "RG1_6.C4" ) ) - ( pin "b(0)" - ( attribute "PN" "2" + ( pin "\vout-\(14)" + ( attribute "PN" "C5" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "R60.2" ) + ( objectStatus "RG1_6.C5" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i16" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i72" ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "DIST" "FLAT" - ( Origin gFrontEnd ) - ) - ( attribute "MAX_TEMP" "RTMAX" - ( Origin gFrontEnd ) - ) - ( attribute "NEGTOL" "RTOL%" - ( Origin gFrontEnd ) - ) - ( attribute "PACK_TYPE" "<< NULL >>" + ( attribute "PACK_TYPE" "1210" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" - ( Origin gFrontEnd ) - ) - ( attribute "POSTOL" "RTOL%" - ( Origin gFrontEnd ) - ) - ( attribute "POWER" "RMAX" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "ROT" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SLOPE" "RSMAX" - ( Origin gFrontEnd ) - ) - ( attribute "TC1" "RTMPL" - ( Origin gFrontEnd ) - ) - ( attribute "TC2" "RTMPQ" - ( Origin gFrontEnd ) - ) - ( attribute "TOL" "<< NULL >>" - ( Origin gFrontEnd ) - ) - ( attribute "TOL_ON_OFF" "ON" - ( Origin gFrontEnd ) - ) - ( attribute "VALUE" "00" + ( attribute "VALUE" "4.7UF" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "2" + ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "RVMAX" + ( attribute "VOLTAGE" "50V" ( Units "uVoltage" "V" 1.000000) + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-900,-2600)" + ( attribute "XY" "(-1825,1525)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "RSMD0603_-00," + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210-4.7UF,50V" ( Origin gPackager ) ) - ( attribute "LOCATION" "R62" + ( attribute "LOCATION" "C5_6" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "R62" + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_1210-4.7UF,50V" ( Origin gPackager ) ) - ( objectStatus "R62" ) + ( attribute "CDS_LOCATION" "C5_6" + ( Origin gPackager ) + ) + ( objectStatus "C5_6" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "R62.1" ) + ( objectStatus "C5_6.1" ) ) ( pin "b(0)" ( attribute "PN" "2" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "R62.2" ) + ( objectStatus "C5_6.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i17" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i74" ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "DIST" "FLAT" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "MAX_TEMP" "RTMAX" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "NEGTOL" "RTOL%" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "<< NULL >>" + ( attribute "PACK_TYPE" "1/10W" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "POSTOL" "RTOL%" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "POWER" "RMAX" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "ROT" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "SLOPE" "RSMAX" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "TC1" "RTMPL" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "TC2" "RTMPQ" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TOL" "<< NULL >>" + ( attribute "TOL" "1%" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "TOL_ON_OFF" "ON" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "00" + ( attribute "VALUE" "6.19K" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "VER" "2" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "VOLTAGE" "RVMAX" ( Units "uVoltage" "V" 1.000000) + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-750,-2600)" + ( attribute "XY" "(-1300,1250)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "RSMD0603_-00," + ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-6.19K,1%" ( Origin gPackager ) ) - ( attribute "LOCATION" "R64" + ( attribute "LOCATION" "R2_6" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "R64" + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_1/10W-6.19K,1%" ( Origin gPackager ) ) - ( objectStatus "R64" ) + ( attribute "CDS_LOCATION" "R2_6" + ( Origin gPackager ) + ) + ( objectStatus "R2_6" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "R64.1" ) + ( objectStatus "R2_6.1" ) ) ( pin "b(0)" ( attribute "PN" "2" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "R64.2" ) + ( objectStatus "R2_6.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i51" - ( attribute "ABBREV" "PT6911" - ( Origin gFrontEnd ) - ) - ( attribute "CDS_LIB" "cnconnector" - ( Origin gPackager ) - ) - ( attribute "CDS_LOCATION" "PX5" - ( Origin gPackager ) - ) - ( attribute "CDS_SEC" "1" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i79" + ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "NEEDS_NO_SIZE" "TRUE" + ( attribute "PACK_TYPE" "1210" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "3" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "2" + ( attribute "ROT" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SEC" "1" - ( Origin gPackager ) + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( attribute "TYPE" "PLEMO00C" + ( attribute "VALUE" "4.7UF" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(1750,50)" + ( attribute "VOLTAGE" "50V" + ( Units "uVoltage" "V" 1.000000) + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PCOAX" - ( Origin gPackager ) + ( attribute "XY" "(-3550,2075)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( attribute "CDS_PART_NAME" "PCOAX-PLEMO00C-GND=GND_SIGNAL" + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "LOCATION" "PX5" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210-4.7UF,50V" ( Origin gPackager ) ) - ( objectStatus "PX5" ) - ( pin "a" - ( attribute "PN" "1" - ( Origin gPackager ) - ) - ( objectStatus "PX5.1" ) - ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i52" - ( attribute "ABBREV" "PT6911" + ( attribute "LOCATION" "C1_6" ( Origin gFrontEnd ) ) - ( attribute "CDS_LIB" "cnconnector" - ( Origin gPackager ) - ) - ( attribute "CDS_LOCATION" "PX4" - ( Origin gPackager ) - ) ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "NEEDS_NO_SIZE" "TRUE" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "3" - ( Origin gFrontEnd ) - ) - ( attribute "ROT" "2" - ( Origin gFrontEnd ) - ) ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "TYPE" "PLEMO00C" - ( Origin gFrontEnd ) - ) - ( attribute "VER" "1" - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(1750,800)" - ( Origin gFrontEnd ) - ) - ( attribute "CHIPS_PART_NAME" "PCOAX" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "PCOAX-PLEMO00C-GND=GND_SIGNAL" + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_1210-4.7UF,50V" ( Origin gPackager ) ) - ( attribute "LOCATION" "PX4" + ( attribute "CDS_LOCATION" "C1_6" ( Origin gPackager ) ) - ( objectStatus "PX4" ) - ( pin "a" + ( objectStatus "C1_6" ) + ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "PX4.1" ) - ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i53" - ( attribute "ABBREV" "PT6911" - ( Origin gFrontEnd ) - ) - ( attribute "CDS_LIB" "cnconnector" - ( Origin gPackager ) - ) - ( attribute "CDS_LOCATION" "PX3" - ( Origin gPackager ) - ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) - ) - ( attribute "NEEDS_NO_SIZE" "TRUE" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "3" - ( Origin gFrontEnd ) - ) - ( attribute "ROT" "2" - ( Origin gFrontEnd ) - ) - ( attribute "SEC" "1" - ( Origin gPackager ) - ) - ( attribute "TYPE" "PLEMO00C" - ( Origin gFrontEnd ) - ) - ( attribute "VER" "1" - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(1750,1550)" - ( Origin gFrontEnd ) - ) - ( attribute "CHIPS_PART_NAME" "PCOAX" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "PCOAX-PLEMO00C-GND=GND_SIGNAL" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "PX3" - ( Origin gPackager ) + ( objectStatus "C1_6.1" ) ) - ( objectStatus "PX3" ) - ( pin "a" - ( attribute "PN" "1" + ( pin "b(0)" + ( attribute "PN" "2" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "PX3.1" ) + ( objectStatus "C1_6.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i120" - ( attribute "CHIPS_PART_NAME" "DIODE_DUAL_SERIES" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i81" + ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CASE" "SOT23" + ( attribute "PACK_TYPE" "1210" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CDS_LIB" "cndiscrete" + ( attribute "PHYS_PAGE" "1" ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "LOCATION" "D1_1" ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "A1-C2-AC3" + ( attribute "ROT" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" + ( attribute "SIZE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "VALUE" "4.7UF" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TYPE" "HBAT-540C" + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VOLTAGE" "50V" + ( Units "uVoltage" "V" 1.000000) ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(0,-500)" + ( attribute "XY" "(-2725,2200)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CDS_PART_NAME" "HBAT-540C" + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210-4.7UF,50V" ( Origin gPackager ) ) + ( attribute "LOCATION" "C2_6" + ( Origin gFrontEnd ) + ) ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "D1_1" ) - ( pin "a" - ( attribute "PN" "1" - ( Origin gPackager ) - ) - ( objectStatus "D1_1.1" ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_1210-4.7UF,50V" + ( Origin gPackager ) ) - ( pin "ac" - ( attribute "PN" "3" + ( attribute "CDS_LOCATION" "C2_6" + ( Origin gPackager ) + ) + ( objectStatus "C2_6" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "D1_1.3" ) + ( objectStatus "C2_6.1" ) ) - ( pin "c" + ( pin "b(0)" ( attribute "PN" "2" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "D1_1.2" ) + ( objectStatus "C2_6.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i120" - ( attribute "CHIPS_PART_NAME" "DIODE_DUAL_SERIES" - ( Origin gPackager ) - ) - ( attribute "CASE" "SOT23" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "CDS_LIB" "cndiscrete" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i82" + ( attribute "CDS_LIB" "cnlinear" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "LOCATION" "D1_2" - ( Origin gFrontEnd ) - ) - ( attribute "PACK_TYPE" "A1-C2-AC3" + ( attribute "PACK_TYPE" "BGA" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -23548,11 +24242,11 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "ROT" "0" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TYPE" "HBAT-540C" + ( attribute "TYPE" "LTM8047EY#PBF" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -23560,455 +24254,631 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(0,-500)" + ( attribute "XY" "(-700,2700)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CDS_PART_NAME" "HBAT-540C" + ( attribute "CHIPS_PART_NAME" "LTM8047" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "LTM8047EY#PBF" ( Origin gPackager ) ) + ( attribute "LOCATION" "RG2_6" + ( Origin gFrontEnd ) + ) ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "D1_2" ) - ( pin "a" - ( attribute "PN" "1" - ( Origin gPackager ) - ) - ( objectStatus "D1_2.1" ) + ( attribute "CDS_PHYS_PART_NAME" "LTM8047EY#PBF" + ( Origin gPackager ) ) - ( pin "ac" - ( attribute "PN" "3" + ( attribute "CDS_LOCATION" "RG2_6" + ( Origin gPackager ) + ) + ( objectStatus "RG2_6" ) + ( pin "adj" + ( attribute "PN" "G7" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "D1_2.3" ) + ( objectStatus "RG2_6.G7" ) ) - ( pin "c" - ( attribute "PN" "2" + ( pin "bias" + ( attribute "PN" "H5" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "D1_2.2" ) + ( objectStatus "RG2_6.H5" ) ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i120" - ( attribute "CHIPS_PART_NAME" "DIODE_DUAL_SERIES" - ( Origin gPackager ) + ( pin "gnd(0)" + ( attribute "PN" "E1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.E1" ) ) - ( attribute "CASE" "SOT23" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( pin "gnd(1)" + ( attribute "PN" "E2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.E2" ) ) - ( attribute "CDS_LIB" "cndiscrete" - ( Status sBlockFlattened ) - ( Origin gPackager ) + ( pin "gnd(2)" + ( attribute "PN" "E3" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.E3" ) ) - ( attribute "LOCATION" "D1_3" - ( Origin gFrontEnd ) + ( pin "gnd(3)" + ( attribute "PN" "E4" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.E4" ) ) - ( attribute "PACK_TYPE" "A1-C2-AC3" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( pin "gnd(4)" + ( attribute "PN" "E5" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.E5" ) ) - ( attribute "PHYS_PAGE" "1" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( pin "gnd(5)" + ( attribute "PN" "E6" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.E6" ) ) - ( attribute "ROT" "1" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( pin "gnd(6)" + ( attribute "PN" "E7" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.E7" ) ) - ( attribute "TYPE" "HBAT-540C" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( pin "gnd(7)" + ( attribute "PN" "F4" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.F4" ) ) - ( attribute "VER" "1" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( pin "gnd(8)" + ( attribute "PN" "F5" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.F5" ) ) - ( attribute "XY" "(0,-500)" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( pin "gnd(9)" + ( attribute "PN" "F6" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.F6" ) ) - ( attribute "CDS_PART_NAME" "HBAT-540C" - ( Origin gPackager ) + ( pin "gnd(10)" + ( attribute "PN" "F7" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.F7" ) ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) + ( pin "gnd(11)" + ( attribute "PN" "G4" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.G4" ) ) - ( attribute "SEC" "1" - ( Origin gPackager ) + ( pin "gnd(12)" + ( attribute "PN" "G5" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.G5" ) ) - ( objectStatus "D1_3" ) - ( pin "a" - ( attribute "PN" "1" + ( pin "gnd(13)" + ( attribute "PN" "G6" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "D1_3.1" ) + ( objectStatus "RG2_6.G6" ) ) - ( pin "ac" - ( attribute "PN" "3" + ( pin "gnd(14)" + ( attribute "PN" "H4" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "D1_3.3" ) + ( objectStatus "RG2_6.H4" ) ) - ( pin "c" - ( attribute "PN" "2" + ( pin "gnd(15)" + ( attribute "PN" "H7" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "D1_3.2" ) + ( objectStatus "RG2_6.H7" ) + ) + ( pin "run" + ( attribute "PN" "F3" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.F3" ) + ) + ( pin "ss" + ( attribute "PN" "H6" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.H6" ) + ) + ( pin "vin(0)" + ( attribute "PN" "H1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.H1" ) + ) + ( pin "vin(1)" + ( attribute "PN" "H2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.H2" ) + ) + ( pin "vin(2)" + ( attribute "PN" "G1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.G1" ) + ) + ( pin "vin(3)" + ( attribute "PN" "G2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.G2" ) + ) + ( pin "vout(0)" + ( attribute "PN" "A6" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.A6" ) + ) + ( pin "vout(1)" + ( attribute "PN" "A7" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.A7" ) + ) + ( pin "vout(2)" + ( attribute "PN" "B6" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.B6" ) + ) + ( pin "vout(3)" + ( attribute "PN" "B7" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.B7" ) + ) + ( pin "vout(4)" + ( attribute "PN" "C6" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.C6" ) + ) + ( pin "vout(5)" + ( attribute "PN" "C7" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.C7" ) + ) + ( pin "\vout-\(0)" + ( attribute "PN" "A1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.A1" ) + ) + ( pin "\vout-\(1)" + ( attribute "PN" "A2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.A2" ) + ) + ( pin "\vout-\(2)" + ( attribute "PN" "A3" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.A3" ) + ) + ( pin "\vout-\(3)" + ( attribute "PN" "A4" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.A4" ) + ) + ( pin "\vout-\(4)" + ( attribute "PN" "A5" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.A5" ) + ) + ( pin "\vout-\(5)" + ( attribute "PN" "B1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.B1" ) + ) + ( pin "\vout-\(6)" + ( attribute "PN" "B2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.B2" ) + ) + ( pin "\vout-\(7)" + ( attribute "PN" "B3" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.B3" ) + ) + ( pin "\vout-\(8)" + ( attribute "PN" "B4" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.B4" ) + ) + ( pin "\vout-\(9)" + ( attribute "PN" "B5" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.B5" ) + ) + ( pin "\vout-\(10)" + ( attribute "PN" "C1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.C1" ) + ) + ( pin "\vout-\(11)" + ( attribute "PN" "C2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.C2" ) + ) + ( pin "\vout-\(12)" + ( attribute "PN" "C3" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.C3" ) + ) + ( pin "\vout-\(13)" + ( attribute "PN" "C4" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.C4" ) + ) + ( pin "\vout-\(14)" + ( attribute "PN" "C5" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.C5" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i120" - ( attribute "CHIPS_PART_NAME" "DIODE_DUAL_SERIES" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i83" + ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CASE" "SOT23" + ( attribute "PACK_TYPE" "1210" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CDS_LIB" "cndiscrete" + ( attribute "PHYS_PAGE" "1" ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "LOCATION" "D1_4" ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "A1-C2-AC3" + ( attribute "ROT" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" + ( attribute "SIZE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "VALUE" "4.7UF" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TYPE" "HBAT-540C" + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VOLTAGE" "50V" + ( Units "uVoltage" "V" 1.000000) ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(0,-500)" + ( attribute "XY" "(-1800,2675)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CDS_PART_NAME" "HBAT-540C" + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210-4.7UF,50V" ( Origin gPackager ) ) + ( attribute "LOCATION" "C6_6" + ( Origin gFrontEnd ) + ) ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "D1_4" ) - ( pin "a" - ( attribute "PN" "1" - ( Origin gPackager ) - ) - ( objectStatus "D1_4.1" ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_1210-4.7UF,50V" + ( Origin gPackager ) ) - ( pin "ac" - ( attribute "PN" "3" + ( attribute "CDS_LOCATION" "C6_6" + ( Origin gPackager ) + ) + ( objectStatus "C6_6" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "D1_4.3" ) + ( objectStatus "C6_6.1" ) ) - ( pin "c" + ( pin "b(0)" ( attribute "PN" "2" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "D1_4.2" ) + ( objectStatus "C6_6.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i90" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i85" ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) + ( attribute "DIST" "FLAT" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( attribute "LOCATION" "C1" + ( attribute "MAX_TEMP" "RTMAX" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "0603" + ( attribute "NEGTOL" "RTOL%" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PACK_TYPE" "1/10W" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "POSTOL" "RTOL%" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SEC" "1" - ( Origin gPackager ) + ( attribute "POWER" "RMAX" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "100NF" + ( attribute "SLOPE" "RSMAX" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "TC1" "RTMPL" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "16V" + ( attribute "TC2" "RTMPQ" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "TOL" "1%" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "TOL_ON_OFF" "ON" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "6.19K" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VER" "2" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "RVMAX" ( Units "uVoltage" "V" 1.000000) + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(3050,500)" + ( attribute "XY" "(-1275,2400)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-6.19K,1%" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "C1" + ( attribute "LOCATION" "R3_6" + ( Origin gFrontEnd ) + ) + ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C1" ) + ( attribute "SEC" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_1/10W-6.19K,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R3_6" + ( Origin gPackager ) + ) + ( objectStatus "R3_6" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C1.1" ) + ( objectStatus "R3_6.1" ) ) ( pin "b(0)" ( attribute "PN" "2" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C1.2" ) + ( objectStatus "R3_6.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i92" - ( attribute "CDS_LIB" "cndiscrete" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i88" + ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "SOT23" + ( attribute "PACK_TYPE" "1210" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "ROT" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TYPE" "USBLC6-2SC6" + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VALUE" "22UF" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2600,100)" + ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "USBLC6-2" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "USBLC6-2SC6" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "D3" + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) - ) - ( attribute "SEC" "1" - ( Origin gPackager ) - ) - ( attribute "CDS_LOCATION" "D3" - ( Origin gPackager ) - ) - ( objectStatus "D3" ) - ( pin "gnd" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "D3.2" ) - ) - ( pin "\i/o1\(0)" - ( attribute "PN" "1" - ( Origin gPackager ) - ) - ( objectStatus "D3.1" ) - ) - ( pin "\i/o1\(1)" - ( attribute "PN" "6" - ( Origin gPackager ) - ) - ( objectStatus "D3.6" ) - ) - ( pin "\i/o2\(0)" - ( attribute "PN" "3" - ( Origin gPackager ) - ) - ( objectStatus "D3.3" ) - ) - ( pin "\i/o2\(1)" - ( attribute "PN" "4" - ( Origin gPackager ) - ) - ( objectStatus "D3.4" ) - ) - ( pin "vbus" - ( attribute "PN" "5" - ( Origin gPackager ) - ) - ( objectStatus "D3.5" ) + ( attribute "XY" "(250,650)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i93" - ( attribute "CDS_LIB" "cnconnector" + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "LOCATION" "PL1" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210-22UF,16V" ( Origin gPackager ) ) - ( attribute "PHYS_PAGE" "1" - ( Origin gFrontEnd ) - ) - ( attribute "ROT" "6" - ( Origin gFrontEnd ) - ) - ( attribute "TYPE" "HW8_08G_SM" - ( Origin gFrontEnd ) - ) - ( attribute "VER" "1" - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(900,-2950)" + ( attribute "LOCATION" "C8_6" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CON16P" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "CON16P-HW8_08G_SM" + ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "PL1" + ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_1210-22UF,16V" ( Origin gPackager ) ) - ( attribute "SEC" "1" + ( attribute "CDS_LOCATION" "C8_6" ( Origin gPackager ) ) - ( objectStatus "PL1" ) + ( objectStatus "C8_6" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "PL1.1" ) + ( objectStatus "C8_6.1" ) ) - ( pin "a(1)" + ( pin "b(0)" ( attribute "PN" "2" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "PL1.2" ) - ) - ( pin "a(2)" - ( attribute "PN" "3" - ( Origin gPackager ) - ) - ( objectStatus "PL1.3" ) - ) - ( pin "a(3)" - ( attribute "PN" "4" - ( Origin gPackager ) - ) - ( objectStatus "PL1.4" ) - ) - ( pin "a(4)" - ( attribute "PN" "5" - ( Origin gPackager ) - ) - ( objectStatus "PL1.5" ) - ) - ( pin "a(5)" - ( attribute "PN" "6" - ( Origin gPackager ) - ) - ( objectStatus "PL1.6" ) - ) - ( pin "a(6)" - ( attribute "PN" "7" - ( Origin gPackager ) - ) - ( objectStatus "PL1.7" ) - ) - ( pin "a(7)" - ( attribute "PN" "8" - ( Origin gPackager ) - ) - ( objectStatus "PL1.8" ) - ) - ( pin "a(8)" - ( attribute "PN" "9" - ( Origin gPackager ) - ) - ( objectStatus "PL1.9" ) - ) - ( pin "a(9)" - ( attribute "PN" "10" - ( Origin gPackager ) - ) - ( objectStatus "PL1.10" ) - ) - ( pin "a(10)" - ( attribute "PN" "11" - ( Origin gPackager ) - ) - ( objectStatus "PL1.11" ) - ) - ( pin "a(11)" - ( attribute "PN" "12" - ( Origin gPackager ) - ) - ( objectStatus "PL1.12" ) - ) - ( pin "a(12)" - ( attribute "PN" "13" - ( Origin gPackager ) - ) - ( objectStatus "PL1.13" ) - ) - ( pin "a(13)" - ( attribute "PN" "14" - ( Origin gPackager ) - ) - ( objectStatus "PL1.14" ) - ) - ( pin "a(14)" - ( attribute "PN" "15" - ( Origin gPackager ) - ) - ( objectStatus "PL1.15" ) - ) - ( pin "a(15)" - ( attribute "PN" "16" - ( Origin gPackager ) - ) - ( objectStatus "PL1.16" ) + ( objectStatus "C8_6.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i168" - ( attribute "CDS_LIB" "cnconnector" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i89" + ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "NEEDS_NO_SIZE" "TRUE" + ( attribute "PACK_TYPE" "1210" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -24016,11 +24886,15 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "ROT" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TYPE" "UFL_R_SMT" + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "22UF" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -24028,18 +24902,23 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-300,-1550)" + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PCOAXSMD" + ( attribute "XY" "(250,2675)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "PCOAXSMD-UFL_R_SMT-GND=GND_SIGA" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210-22UF,16V" ( Origin gPackager ) ) - ( attribute "LOCATION" "PX1_1" + ( attribute "LOCATION" "C7_6" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" @@ -24050,21 +24929,34 @@ ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "PX1_1" ) - ( pin "a" + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_1210-22UF,16V" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C7_6" + ( Origin gPackager ) + ) + ( objectStatus "C7_6" ) + ( pin "a(0)" ( attribute "PN" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "PX1_1.1" ) + ( objectStatus "C7_6.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "C7_6.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i168" - ( attribute "CDS_LIB" "cnconnector" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i142" + ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "NEEDS_NO_SIZE" "TRUE" + ( attribute "PACK_TYPE" "1210" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -24072,11 +24964,15 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "ROT" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TYPE" "UFL_R_SMT" + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "4.7UF" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -24084,18 +24980,23 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-300,-1550)" + ( attribute "VOLTAGE" "50V" + ( Units "uVoltage" "V" 1.000000) ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PCOAXSMD" + ( attribute "XY" "(-2150,2675)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "PCOAXSMD-UFL_R_SMT-GND=GND_SIGA" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210-4.7UF,50V" ( Origin gPackager ) ) - ( attribute "LOCATION" "PX1_2" + ( attribute "LOCATION" "C3_6" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" @@ -24106,21 +25007,34 @@ ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "PX1_2" ) - ( pin "a" + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_1210-4.7UF,50V" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C3_6" + ( Origin gPackager ) + ) + ( objectStatus "C3_6" ) + ( pin "a(0)" ( attribute "PN" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "PX1_2.1" ) + ( objectStatus "C3_6.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "C3_6.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i168" - ( attribute "CDS_LIB" "cnconnector" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i144" + ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "NEEDS_NO_SIZE" "TRUE" + ( attribute "PACK_TYPE" "1210" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -24128,11 +25042,15 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "ROT" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TYPE" "UFL_R_SMT" + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "4.7UF" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -24140,18 +25058,23 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-300,-1550)" + ( attribute "VOLTAGE" "50V" + ( Units "uVoltage" "V" 1.000000) ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PCOAXSMD" + ( attribute "XY" "(-2150,1525)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "PCOAXSMD-UFL_R_SMT-GND=GND_SIGA" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210-4.7UF,50V" ( Origin gPackager ) ) - ( attribute "LOCATION" "PX1_3" + ( attribute "LOCATION" "C4_6" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" @@ -24162,108 +25085,114 @@ ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "PX1_3" ) - ( pin "a" + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_1210-4.7UF,50V" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C4_6" + ( Origin gPackager ) + ) + ( objectStatus "C4_6" ) + ( pin "a(0)" ( attribute "PN" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "PX1_3.1" ) + ( objectStatus "C4_6.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "C4_6.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i168" - ( attribute "CDS_LIB" "cnconnector" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i146" + ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "NEEDS_NO_SIZE" "TRUE" + ( attribute "DIST" "FLAT" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" + ( attribute "MAX_TEMP" "RTMAX" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "NEGTOL" "RTOL%" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TYPE" "UFL_R_SMT" + ( attribute "PACK_TYPE" "1/10W" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "PHYS_PAGE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-300,-1550)" + ( attribute "POSTOL" "RTOL%" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PCOAXSMD" + ( attribute "POWER" "RMAX" ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "PCOAXSMD-UFL_R_SMT-GND=GND_SIGA" - ( Origin gPackager ) + ( Origin gFrontEnd ) ) - ( attribute "LOCATION" "PX1_4" + ( attribute "ROT" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CDS_SEC" "1" + ( attribute "SIZE" "1" ( Status sBlockFlattened ) - ( Origin gPackager ) + ( Origin gFrontEnd ) ) - ( attribute "SEC" "1" + ( attribute "SLOPE" "RSMAX" ( Status sBlockFlattened ) - ( Origin gPackager ) + ( Origin gFrontEnd ) ) - ( objectStatus "PX1_4" ) - ( pin "a" - ( attribute "PN" "1" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "PX1_4.1" ) + ( attribute "TC1" "RTMPL" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i169" - ( attribute "CDS_LIB" "cnconnector" + ( attribute "TC2" "RTMPQ" ( Status sBlockFlattened ) - ( Origin gPackager ) + ( Origin gFrontEnd ) ) - ( attribute "NEEDS_NO_SIZE" "TRUE" + ( attribute "TOL" "1%" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" + ( attribute "TOL_ON_OFF" "ON" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "2" + ( attribute "VALUE" "3.3" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TYPE" "UFL_R_SMT" + ( attribute "VER" "2" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VOLTAGE" "RVMAX" + ( Units "uVoltage" "V" 1.000000) ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(325,-1550)" + ( attribute "XY" "(-2725,1900)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PCOAXSMD" + ( attribute "CHIPS_PART_NAME" "RSMD0603" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "PCOAXSMD-UFL_R_SMT-GND=GND_SIGA" + ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-3.3,1%" ( Origin gPackager ) ) - ( attribute "LOCATION" "PX2_1" + ( attribute "LOCATION" "R1_6" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" @@ -24274,89 +25203,58 @@ ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "PX2_1" ) - ( pin "a" + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_1/10W-3.3,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R1_6" + ( Origin gPackager ) + ) + ( objectStatus "R1_6" ) + ( pin "a(0)" ( attribute "PN" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "PX2_1.1" ) + ( objectStatus "R1_6.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "R1_6.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i169" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i2" ( attribute "CDS_LIB" "cnconnector" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "NEEDS_NO_SIZE" "TRUE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "1" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "ROT" "2" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "TYPE" "UFL_R_SMT" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "VER" "1" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(325,-1550)" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "CHIPS_PART_NAME" "PCOAXSMD" + ( attribute "CDS_LMAN_SYM_OUTLINE" "0,25,150,-475" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "PCOAXSMD-UFL_R_SMT-GND=GND_SIGA" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "PX2_2" - ( Origin gFrontEnd ) - ) - ( attribute "CDS_SEC" "1" + ( attribute "CDS_LOCATION" "J1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "SEC" "1" + ( attribute "CDS_SEC" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "PX2_2" ) - ( pin "a" - ( attribute "PN" "1" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "PX2_2.1" ) - ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i169" - ( attribute "CDS_LIB" "cnconnector" + ( attribute "LOCATION" "J1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "NEEDS_NO_SIZE" "TRUE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) ( attribute "PHYS_PAGE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "2" + ( attribute "ROT" "0" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TYPE" "UFL_R_SMT" + ( attribute "TYPE" "HDMI-19-01-X-SM" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -24364,161 +25262,157 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(325,-1550)" + ( attribute "XY" "(3550,875)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PCOAXSMD" + ( attribute "CHIPS_PART_NAME" "CON19P" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "PCOAXSMD-UFL_R_SMT-GND=GND_SIGA" + ( attribute "CDS_PART_NAME" "CON19P-HDMI-19-01-X-SM-GND=GNDA" ( Origin gPackager ) ) - ( attribute "LOCATION" "PX2_3" - ( Origin gFrontEnd ) - ) - ( attribute "CDS_SEC" "1" - ( Status sBlockFlattened ) + ( attribute "CDS_PHYS_PART_NAME" "CON19P-HDMI-19-01-X-SM-GND=GNDA" ( Origin gPackager ) ) ( attribute "SEC" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "PX2_3" ) - ( pin "a" + ( objectStatus "J1" ) + ( pin "a(0)" ( attribute "PN" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "PX2_3.1" ) + ( objectStatus "J1.1" ) ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i169" - ( attribute "CDS_LIB" "cnconnector" - ( Status sBlockFlattened ) - ( Origin gPackager ) + ( pin "a(1)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "J1.2" ) ) - ( attribute "NEEDS_NO_SIZE" "TRUE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( pin "a(2)" + ( attribute "PN" "3" + ( Origin gPackager ) + ) + ( objectStatus "J1.3" ) ) - ( attribute "PHYS_PAGE" "1" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( pin "a(3)" + ( attribute "PN" "4" + ( Origin gPackager ) + ) + ( objectStatus "J1.4" ) ) - ( attribute "ROT" "2" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( pin "a(4)" + ( attribute "PN" "5" + ( Origin gPackager ) + ) + ( objectStatus "J1.5" ) ) - ( attribute "TYPE" "UFL_R_SMT" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "VER" "1" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(325,-1550)" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "CHIPS_PART_NAME" "PCOAXSMD" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "PCOAXSMD-UFL_R_SMT-GND=GND_SIGA" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "PX2_4" - ( Origin gFrontEnd ) - ) - ( attribute "CDS_SEC" "1" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "SEC" "1" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "PX2_4" ) - ( pin "a" - ( attribute "PN" "1" - ( Status sBlockFlattened ) + ( pin "a(5)" + ( attribute "PN" "6" ( Origin gPackager ) ) - ( objectStatus "PX2_4.1" ) - ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i98" - ( attribute "CDS_LIB" "cndiscrete" - ( Origin gPackager ) - ) - ( attribute "PACK_TYPE" "SOD123-CA" - ( Origin gFrontEnd ) + ( objectStatus "J1.6" ) ) - ( attribute "PHYS_PAGE" "1" - ( Origin gFrontEnd ) + ( pin "a(6)" + ( attribute "PN" "7" + ( Origin gPackager ) + ) + ( objectStatus "J1.7" ) ) - ( attribute "ROT" "0" - ( Origin gFrontEnd ) + ( pin "a(7)" + ( attribute "PN" "8" + ( Origin gPackager ) + ) + ( objectStatus "J1.8" ) ) - ( attribute "TYPE" "BZT52C2V7" - ( Origin gFrontEnd ) + ( pin "a(8)" + ( attribute "PN" "9" + ( Origin gPackager ) + ) + ( objectStatus "J1.9" ) ) - ( attribute "VER" "1" - ( Origin gFrontEnd ) + ( pin "a(9)" + ( attribute "PN" "10" + ( Origin gPackager ) + ) + ( objectStatus "J1.10" ) ) - ( attribute "XY" "(3325,525)" - ( Origin gFrontEnd ) + ( pin "a(10)" + ( attribute "PN" "11" + ( Origin gPackager ) + ) + ( objectStatus "J1.11" ) ) - ( attribute "CHIPS_PART_NAME" "ZENER" - ( Origin gPackager ) + ( pin "a(11)" + ( attribute "PN" "12" + ( Origin gPackager ) + ) + ( objectStatus "J1.12" ) ) - ( attribute "CDS_PART_NAME" "ZENER_SOD123-CA-BZT52C2V7" - ( Origin gPackager ) + ( pin "a(12)" + ( attribute "PN" "13" + ( Origin gPackager ) + ) + ( objectStatus "J1.13" ) ) - ( attribute "LOCATION" "Z4" - ( Origin gFrontEnd ) + ( pin "a(13)" + ( attribute "PN" "14" + ( Origin gPackager ) + ) + ( objectStatus "J1.14" ) ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) + ( pin "a(14)" + ( attribute "PN" "15" + ( Origin gPackager ) + ) + ( objectStatus "J1.15" ) ) - ( attribute "SEC" "1" - ( Origin gPackager ) + ( pin "a(15)" + ( attribute "PN" "16" + ( Origin gPackager ) + ) + ( objectStatus "J1.16" ) ) - ( attribute "CDS_LOCATION" "Z4" - ( Origin gPackager ) + ( pin "a(16)" + ( attribute "PN" "17" + ( Origin gPackager ) + ) + ( objectStatus "J1.17" ) ) - ( objectStatus "Z4" ) - ( pin "a(0)" - ( attribute "PN" "A" + ( pin "a(17)" + ( attribute "PN" "18" ( Origin gPackager ) ) - ( objectStatus "Z4.A" ) + ( objectStatus "J1.18" ) ) - ( pin "k(0)" - ( attribute "PN" "C" + ( pin "a(18)" + ( attribute "PN" "19" ( Origin gPackager ) ) - ( objectStatus "Z4.C" ) + ( objectStatus "J1.19" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i70" - ( attribute "CDS_LIB" "bris_cds_analogue" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i8" + ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,125,125,-125" + ( attribute "CDS_LOCATION" "C9" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "MANUF" "TEXAS INSTRUMENTS" + ( attribute "CDS_SEC" "1" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "SOT23-5" + ( attribute "LOCATION" "C9" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "0603" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -24530,68 +25424,74 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "1.3V" + ( attribute "SEC" "1" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) - ( attribute "VER" "1" + ( attribute "SIZE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-2625,125)" + ( attribute "VALUE" "100NF" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "LP5951" + ( attribute "VER" "1" ( Status sBlockFlattened ) - ( Origin gPackager ) + ( Origin gFrontEnd ) ) - ( attribute "CDS_PART_NAME" "LP5951_SOT23-5-1.3V,TEXAS INSTA" - ( Origin gPackager ) + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( attribute "CDS_LOCATION" "U4" - ( Origin gPackager ) + ( attribute "XY" "(5625,675)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( attribute "LOCATION" "U4" + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" ( Origin gPackager ) ) - ( attribute "SEC" "1" + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" ( Origin gPackager ) ) - ( objectStatus "U4" ) - ( pin "gnd" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "U4.2" ) - ) - ( pin "\on/off\" - ( attribute "PN" "3" - ( Origin gPackager ) - ) - ( objectStatus "U4.3" ) - ) - ( pin "vin" + ( objectStatus "C9" ) + ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "U4.1" ) + ( objectStatus "C9.1" ) ) - ( pin "vout" - ( attribute "PN" "5" + ( pin "b(0)" + ( attribute "PN" "2" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "U4.5" ) + ( objectStatus "C9.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i73" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i9" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) + ( attribute "CDS_LOCATION" "C8" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "LOCATION" "C8" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) ( attribute "PACK_TYPE" "0603" ( Status sBlockFlattened ) ( Origin gFrontEnd ) @@ -24600,15 +25500,19 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "ROT" "0" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) + ( attribute "SEC" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) ( attribute "SIZE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "1UF" + ( attribute "VALUE" "100NF" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -24621,7 +25525,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-2925,-125)" + ( attribute "XY" "(5625,875)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -24629,317 +25533,284 @@ ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-1UF,16V" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "C29" - ( Origin gFrontEnd ) - ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) - ) - ( attribute "SEC" "1" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "C29" + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" ( Origin gPackager ) ) - ( objectStatus "C29" ) + ( objectStatus "C8" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C29.1" ) + ( objectStatus "C8.1" ) ) ( pin "b(0)" ( attribute "PN" "2" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C29.2" ) + ( objectStatus "C8.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i40" - ( attribute "CDS_LIB" "bris_cds_special" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i10" + ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,125,125,-125" + ( attribute "CDS_LOCATION" "R11" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "MANUF" "LINEAR TECHNOLOGY" + ( attribute "CDS_SEC" "1" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "SOT_223" + ( attribute "DIST" "FLAT" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" + ( attribute "LOCATION" "R11" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) - ( attribute "ROT" "6" + ( attribute "MAX_TEMP" "RTMAX" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "NEGTOL" "RTOL%" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(1700,825)" + ( attribute "PACK_TYPE" "1/10W" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "LT1175" + ( attribute "PHYS_PAGE" "1" ( Status sBlockFlattened ) - ( Origin gPackager ) + ( Origin gFrontEnd ) ) - ( attribute "CDS_PART_NAME" "LT1175_SOT_223" - ( Origin gPackager ) + ( attribute "POSTOL" "RTOL%" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( attribute "LOCATION" "REG1_6" + ( attribute "POWER" "RMAX" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CDS_SEC" "1" + ( attribute "ROT" "0" ( Status sBlockFlattened ) - ( Origin gPackager ) + ( Origin gFrontEnd ) ) ( attribute "SEC" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "REG1_6" ) - ( pin "gnd" - ( attribute "PN" "3" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "REG1_6.3" ) - ) - ( pin "v_in0" - ( attribute "PN" "2" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "REG1_6.2" ) - ) - ( pin "v_in1" - ( attribute "PN" "4" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "REG1_6.4" ) + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( pin "v_out" - ( attribute "PN" "1" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "REG1_6.1" ) + ( attribute "SLOPE" "RSMAX" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i42" - ( attribute "CDS_LIB" "cndiscrete" + ( attribute "TC1" "RTMPL" ( Status sBlockFlattened ) - ( Origin gPackager ) + ( Origin gFrontEnd ) ) - ( attribute "MODEL" "WURTH" + ( attribute "TC2" "RTMPQ" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "SMD" + ( attribute "TOL" "1%" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" + ( attribute "TOL_ON_OFF" "ON" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "VALUE" "51" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "7427921" + ( attribute "VER" "2" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VOLTAGE" "RVMAX" + ( Units "uVoltage" "V" 1.000000) ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(625,2900)" + ( attribute "XY" "(5925,875)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "FERRITE" + ( attribute "CHIPS_PART_NAME" "RSMD0603" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "FERRITE_SMD-7427921,WURTH" + ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-51,1%" ( Origin gPackager ) ) - ( attribute "LOCATION" "L2_6" - ( Origin gFrontEnd ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_1/10W-51,1%" + ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" + ( objectStatus "R11" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "R11.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "R11.2" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i11" + ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "SEC" "1" + ( attribute "CDS_LOCATION" "R12" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "L2_6" ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i44" - ( attribute "CDS_LIB" "cndiscrete" + ( attribute "CDS_SEC" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "MODEL" "WURTH" + ( attribute "DIST" "FLAT" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "SMD" + ( attribute "LOCATION" "R12" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) - ( attribute "PHYS_PAGE" "1" + ( attribute "MAX_TEMP" "RTMAX" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "NEGTOL" "RTOL%" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "7427921" + ( attribute "PACK_TYPE" "1/10W" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "PHYS_PAGE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(625,875)" + ( attribute "POSTOL" "RTOL%" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "FERRITE" + ( attribute "POWER" "RMAX" ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "FERRITE_SMD-7427921,WURTH" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "L3_6" ( Origin gFrontEnd ) ) - ( attribute "CDS_SEC" "1" + ( attribute "ROT" "0" ( Status sBlockFlattened ) - ( Origin gPackager ) + ( Origin gFrontEnd ) ) ( attribute "SEC" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "L3_6" ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i50" - ( attribute "CDS_LIB" "bris_cds_analogue" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-150,200,150,-150" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "KL_COMMENTS" "-" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "MANUF" "LINEAR" + ( attribute "SIZE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "OL_COMMENTS" "-" + ( attribute "SLOPE" "RSMAX" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "SOT223" + ( attribute "TC1" "RTMPL" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PART_NAME" "lt1129CST-5" + ( attribute "TC2" "RTMPQ" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_DES_PREFIX" "VR" + ( attribute "TOL" "1%" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" + ( attribute "TOL_ON_OFF" "ON" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PL_COMMENTS" "-" + ( attribute "VALUE" "51" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "VER" "2" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" + ( attribute "VOLTAGE" "RVMAX" + ( Units "uVoltage" "V" 1.000000) ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "XY" "(5925,675)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(1700,2850)" + ( attribute "CHIPS_PART_NAME" "RSMD0603" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) - ( attribute "CHIPS_PART_NAME" "LT1129CST-5" - ( Status sBlockFlattened ) + ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-51,1%" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "LT1129CST-5_SOT223-LINEAR" + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_1/10W-51,1%" ( Origin gPackager ) ) - ( attribute "LOCATION" "VR1_6" - ( Origin gFrontEnd ) + ( objectStatus "R12" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "R12.1" ) ) - ( attribute "CDS_SEC" "1" - ( Status sBlockFlattened ) - ( Origin gPackager ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "R12.2" ) ) - ( attribute "SEC" "1" + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i88" + ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "VR1_6" ) - ( pin "gnd" - ( objectStatus "VR1_6.2" ) - ) - ( pin "tab" - ( objectStatus "VR1_6.4" ) - ) - ( pin "vin" - ( objectStatus "VR1_6.1" ) - ) - ( pin "vout" - ( objectStatus "VR1_6.3" ) + ( attribute "CDS_LOCATION" "C11" + ( Origin gPackager ) ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i52" - ( attribute "CDS_LIB" "cnpassive" + ( attribute "CDS_SEC" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "0805" + ( attribute "LOCATION" "C11" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "0603" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -24947,15 +25818,19 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "ROT" "0" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) + ( attribute "SEC" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) ( attribute "SIZE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "4.7UF" + ( attribute "VALUE" "100NF" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -24963,12 +25838,12 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "10V" + ( attribute "VOLTAGE" "16V" ( Units "uVoltage" "V" 1.000000) ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(1100,2750)" + ( attribute "XY" "(5800,-1450)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -24976,42 +25851,34 @@ ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0805-4.7UF,10V" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "C9_6" - ( Origin gFrontEnd ) - ) - ( attribute "CDS_SEC" "1" - ( Status sBlockFlattened ) + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" ( Origin gPackager ) ) - ( attribute "SEC" "1" - ( Status sBlockFlattened ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" ( Origin gPackager ) ) - ( objectStatus "C9_6" ) + ( objectStatus "C11" ) ( pin "a(0)" ( attribute "PN" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C9_6.1" ) + ( objectStatus "C11.1" ) ) ( pin "b(0)" ( attribute "PN" "2" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C9_6.2" ) + ( objectStatus "C11.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i54" - ( attribute "CDS_LIB" "cnpassive" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i87" + ( attribute "CDS_LIB" "cninterface" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "0805" + ( attribute "PACK_TYPE" "LLP" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -25019,71 +25886,171 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "ROT" "2" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" + ( attribute "TYPE" "DS92001TLD" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "4.7UF" + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "XY" "(3050,-1225)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "10V" - ( Units "uVoltage" "V" 1.000000) + ( attribute "CHIPS_PART_NAME" "DS92001" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "DS92001TLD-GND=GND_SIGNAL;VCC=A" + ( Origin gPackager ) + ) + ( attribute "CDS_PHYS_PART_NAME" "DS92001TLD-GND=GND_SIGNAL,VCC=A" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "IC4" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "IC4" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "IC4" ) + ( pin "en" + ( attribute "PN" "8" + ( Origin gPackager ) + ) + ( objectStatus "IC4.8" ) + ) + ( pin "\in+\" + ( attribute "PN" "3" + ( Origin gPackager ) + ) + ( objectStatus "IC4.3" ) + ) + ( pin "\in-\" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "IC4.2" ) + ) + ( pin "\out+\" + ( attribute "PN" "6" + ( Origin gPackager ) + ) + ( objectStatus "IC4.6" ) + ) + ( pin "\out-\" + ( attribute "PN" "7" + ( Origin gPackager ) + ) + ( objectStatus "IC4.7" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i85" + ( attribute "CDS_LIB" "cnlinear" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "SOT223" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(1100,725)" + ( attribute "PHYS_PAGE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( attribute "ROT" "0" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "TYPE" "TPS78633DCQ" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(5375,-1675)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "TPS786XX" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0805-4.7UF,10V" + ( attribute "CDS_PART_NAME" "TPS78633DCQ" ( Origin gPackager ) ) - ( attribute "LOCATION" "C10_6" - ( Origin gFrontEnd ) + ( attribute "CDS_PHYS_PART_NAME" "TPS78633DCQ" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "IC5" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "IC5" + ( Origin gPackager ) ) ( attribute "CDS_SEC" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C10_6" ) - ( pin "a(0)" + ( objectStatus "IC5" ) + ( pin "en" ( attribute "PN" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C10_6.1" ) + ( objectStatus "IC5.1" ) ) - ( pin "b(0)" + ( pin "fb" + ( attribute "PN" "5" + ( Origin gPackager ) + ) + ( objectStatus "IC5.5" ) + ) + ( pin "gnd(0)" + ( attribute "PN" "3" + ( Origin gPackager ) + ) + ( objectStatus "IC5.3" ) + ) + ( pin "gnd(1)" + ( attribute "PN" "6" + ( Origin gPackager ) + ) + ( objectStatus "IC5.6" ) + ) + ( pin "\in\" ( attribute "PN" "2" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C10_6.2" ) + ( objectStatus "IC5.2" ) + ) + ( pin "\out\" + ( attribute "PN" "4" + ( Origin gPackager ) + ) + ( objectStatus "IC5.4" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i56" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i83" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "0805" + ( attribute "DIELECTRIC" "X5R" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -25091,7 +26058,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "ROT" "0" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -25099,7 +26066,11 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "4.7UF" + ( attribute "TYPE" "GNM21" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "1UF" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -25107,55 +26078,57 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "10V" + ( attribute "VOLTAGE" "16V" ( Units "uVoltage" "V" 1.000000) ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2475,2750)" + ( attribute "XY" "(-200,825)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( attribute "CHIPS_PART_NAME" "CAPN4I" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0805-4.7UF,10V" + ( attribute "CDS_PART_NAME" "CAPN4I-1UF,16V,X5R,GNM21" ( Origin gPackager ) ) - ( attribute "LOCATION" "C12_6" - ( Origin gFrontEnd ) + ( attribute "CDS_PHYS_PART_NAME" "CAPN4I-1UF,16V,X5R,GNM21" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "CN1" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "CN1" + ( Origin gPackager ) ) ( attribute "CDS_SEC" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C12_6" ) + ( objectStatus "CN1" ) ( pin "a(0)" ( attribute "PN" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C12_6.1" ) + ( objectStatus "CN1.1" ) ) ( pin "b(0)" ( attribute "PN" "2" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C12_6.2" ) + ( objectStatus "CN1.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i58" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i82" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "0805" + ( attribute "PACK_TYPE" "1206_TC164" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -25163,7 +26136,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "ROT" "0" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -25171,79 +26144,84 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "4.7UF" + ( attribute "TOL" "1%" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VALUE" "47" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "10V" - ( Units "uVoltage" "V" 1.000000) + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2450,675)" + ( attribute "XY" "(-475,775)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( attribute "CHIPS_PART_NAME" "RES_ARRAY_X4" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0805-4.7UF,10V" + ( attribute "CDS_PART_NAME" "RES_ARRAY_X4_1206_TC164-47,1%" ( Origin gPackager ) ) - ( attribute "LOCATION" "C11_6" - ( Origin gFrontEnd ) + ( attribute "CDS_PHYS_PART_NAME" "RES_ARRAY_X4_1206_TC164-47,1%" + ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" - ( Status sBlockFlattened ) + ( attribute "CDS_LOCATION" "RN2" ( Origin gPackager ) ) - ( attribute "SEC" "1" - ( Status sBlockFlattened ) + ( attribute "LOCATION" "RN2" ( Origin gPackager ) ) - ( objectStatus "C11_6" ) + ( attribute "CDS_SEC" "2" + ( Origin gPackager ) + ) + ( attribute "SEC" "2" + ( Origin gPackager ) + ) + ( objectStatus "RN2" ) ( pin "a(0)" - ( attribute "PN" "1" - ( Status sBlockFlattened ) + ( attribute "PN" "3" ( Origin gPackager ) ) - ( objectStatus "C11_6.1" ) + ( objectStatus "RN2.3" ) ) ( pin "b(0)" - ( attribute "PN" "2" - ( Status sBlockFlattened ) + ( attribute "PN" "6" ( Origin gPackager ) ) - ( objectStatus "C11_6.2" ) + ( objectStatus "RN2.6" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i66" - ( attribute "CDS_LIB" "cndiscrete" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i81" + ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "MODEL" "MURATA" + ( attribute "PACK_TYPE" "1206_TC164" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "SMD" + ( attribute "PHYS_PAGE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" + ( attribute "ROT" "0" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "SIZE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "BLM41P800S" + ( attribute "TOL" "1%" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "47" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -25251,36 +26229,64 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-3100,2375)" + ( attribute "XY" "(-625,875)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "FERRITE" + ( attribute "CHIPS_PART_NAME" "RES_ARRAY_X4" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "FERRITE_SMD-BLM41P800S,MURATA" + ( attribute "CDS_PART_NAME" "RES_ARRAY_X4_1206_TC164-47,1%" ( Origin gPackager ) ) - ( attribute "LOCATION" "L1_6" - ( Origin gFrontEnd ) + ( attribute "CDS_PHYS_PART_NAME" "RES_ARRAY_X4_1206_TC164-47,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "RN1" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "RN1" + ( Origin gPackager ) ) ( attribute "CDS_SEC" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "L1_6" ) + ( objectStatus "RN1" ) + ( pin "a(0)" + ( attribute "PN" "4" + ( Origin gPackager ) + ) + ( objectStatus "RN1.4" ) + ) + ( pin "b(0)" + ( attribute "PN" "5" + ( Origin gPackager ) + ) + ( objectStatus "RN1.5" ) + ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i70" - ( attribute "CDS_LIB" "cnlinear" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i106" + ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "BGA" + ( attribute "DIST" "FLAT" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "MAX_TEMP" "RTMAX" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "NEGTOL" "RTOL%" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PACK_TYPE" "1/10W" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -25288,11 +26294,43 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) + ( attribute "POSTOL" "RTOL%" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "POWER" "RMAX" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) ( attribute "ROT" "0" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TYPE" "LTM8047EY#PBF" + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "SLOPE" "RSMAX" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "TC1" "RTMPL" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "TC2" "RTMPQ" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "TOL" "1%" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "TOL_ON_OFF" "ON" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "100" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -25300,351 +26338,430 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-725,1550)" + ( attribute "VOLTAGE" "RVMAX" + ( Units "uVoltage" "V" 1.000000) ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "LTM8047" + ( attribute "XY" "(3475,-1075)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "RSMD0603" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "LTM8047EY#PBF" + ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-100,1%" ( Origin gPackager ) ) - ( attribute "LOCATION" "RG1_6" - ( Origin gFrontEnd ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_1/10W-100,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R1" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "R1" + ( Origin gPackager ) ) ( attribute "CDS_SEC" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "RG1_6" ) - ( pin "adj" - ( attribute "PN" "G7" - ( Status sBlockFlattened ) + ( objectStatus "R1" ) + ( pin "a(0)" + ( attribute "PN" "1" ( Origin gPackager ) ) - ( objectStatus "RG1_6.G7" ) + ( objectStatus "R1.1" ) ) - ( pin "bias" - ( attribute "PN" "H5" - ( Status sBlockFlattened ) + ( pin "b(0)" + ( attribute "PN" "2" ( Origin gPackager ) ) - ( objectStatus "RG1_6.H5" ) + ( objectStatus "R1.2" ) ) - ( pin "gnd(0)" - ( attribute "PN" "E1" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.E1" ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i80" + ( attribute "CDS_LIB" "cndiscrete" + ( Status sBlockFlattened ) + ( Origin gPackager ) ) - ( pin "gnd(1)" - ( attribute "PN" "E2" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.E2" ) + ( attribute "PACK_TYPE" "4312" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( pin "gnd(2)" - ( attribute "PN" "E3" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.E3" ) + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( pin "gnd(3)" - ( attribute "PN" "E4" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.E4" ) + ( attribute "ROT" "0" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( pin "gnd(4)" - ( attribute "PN" "E5" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.E5" ) + ( attribute "TYPE" "744231091" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( pin "gnd(5)" - ( attribute "PN" "E6" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.E6" ) + ( attribute "VALUE" "90OHM" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( pin "gnd(6)" - ( attribute "PN" "E7" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.E7" ) + ( attribute "VER" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( pin "gnd(7)" - ( attribute "PN" "F4" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.F4" ) + ( attribute "XY" "(-1025,825)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( pin "gnd(8)" - ( attribute "PN" "F5" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.F5" ) + ( attribute "CHIPS_PART_NAME" "COMMON_MODE_LINE_FILTER" + ( Status sBlockFlattened ) + ( Origin gPackager ) ) - ( pin "gnd(9)" - ( attribute "PN" "F6" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.F6" ) + ( attribute "CDS_PART_NAME" "COMMON_MODE_LINE_FILTER_4312-7A" + ( Origin gPackager ) ) - ( pin "gnd(10)" - ( attribute "PN" "F7" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.F7" ) + ( attribute "CDS_PHYS_PART_NAME" "COMMON_MODE_LINE_FILTER_4312-7A" + ( Origin gPackager ) ) - ( pin "gnd(11)" - ( attribute "PN" "G4" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.G4" ) + ( attribute "CDS_LOCATION" "L1" + ( Origin gPackager ) ) - ( pin "gnd(12)" - ( attribute "PN" "G5" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.G5" ) + ( attribute "LOCATION" "L1" + ( Origin gPackager ) ) - ( pin "gnd(13)" - ( attribute "PN" "G6" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.G6" ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) ) - ( pin "gnd(14)" - ( attribute "PN" "H4" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.H4" ) + ( attribute "SEC" "1" + ( Origin gPackager ) ) - ( pin "gnd(15)" - ( attribute "PN" "H7" - ( Status sBlockFlattened ) + ( objectStatus "L1" ) + ( pin "\1\(0)" + ( attribute "PN" "3" ( Origin gPackager ) ) - ( objectStatus "RG1_6.H7" ) + ( objectStatus "L1.3" ) ) - ( pin "run" - ( attribute "PN" "F3" - ( Status sBlockFlattened ) + ( pin "\1dot\(0)" + ( attribute "PN" "4" ( Origin gPackager ) ) - ( objectStatus "RG1_6.F3" ) + ( objectStatus "L1.4" ) ) - ( pin "ss" - ( attribute "PN" "H6" - ( Status sBlockFlattened ) + ( pin "\2\(0)" + ( attribute "PN" "2" ( Origin gPackager ) ) - ( objectStatus "RG1_6.H6" ) + ( objectStatus "L1.2" ) ) - ( pin "vin(0)" - ( attribute "PN" "H1" - ( Status sBlockFlattened ) + ( pin "\2dot\(0)" + ( attribute "PN" "1" ( Origin gPackager ) ) - ( objectStatus "RG1_6.H1" ) + ( objectStatus "L1.1" ) ) - ( pin "vin(1)" - ( attribute "PN" "H2" - ( Status sBlockFlattened ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i79" + ( attribute "CDS_LIB" "cninterface" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "VQFN" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "2" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "TYPE" "SN65MLVD040RGZ" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(475,800)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "SN65MLVD040" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "SN65MLVD040RGZ-GND=GND_SIGNAL;A" + ( Origin gPackager ) + ) + ( attribute "CDS_PHYS_PART_NAME" "SN65MLVD040RGZ-GND=GND_SIGNAL,A" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "IC1" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "IC1" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "IC1" ) + ( pin "a(0)" + ( attribute "PN" "47" ( Origin gPackager ) ) - ( objectStatus "RG1_6.H2" ) + ( objectStatus "IC1.47" ) ) - ( pin "vin(2)" - ( attribute "PN" "G1" - ( Status sBlockFlattened ) + ( pin "\b*\(0)" + ( attribute "PN" "48" ( Origin gPackager ) ) - ( objectStatus "RG1_6.G1" ) + ( objectStatus "IC1.48" ) ) - ( pin "vin(3)" - ( attribute "PN" "G2" - ( Status sBlockFlattened ) + ( pin "d(0)" + ( attribute "PN" "35" ( Origin gPackager ) ) - ( objectStatus "RG1_6.G2" ) + ( objectStatus "IC1.35" ) ) - ( pin "vout(0)" - ( attribute "PN" "A6" - ( Status sBlockFlattened ) + ( pin "de(0)" + ( attribute "PN" "1" ( Origin gPackager ) ) - ( objectStatus "RG1_6.A6" ) + ( objectStatus "IC1.1" ) ) - ( pin "vout(1)" - ( attribute "PN" "A7" - ( Status sBlockFlattened ) + ( pin "fsen(0)" + ( attribute "PN" "39" ( Origin gPackager ) ) - ( objectStatus "RG1_6.A7" ) + ( objectStatus "IC1.39" ) ) - ( pin "vout(2)" - ( attribute "PN" "B6" - ( Status sBlockFlattened ) + ( pin "pdn" + ( attribute "PN" "30" ( Origin gPackager ) ) - ( objectStatus "RG1_6.B6" ) + ( objectStatus "IC1.30" ) ) - ( pin "vout(3)" - ( attribute "PN" "B7" - ( Status sBlockFlattened ) + ( pin "r(0)" + ( attribute "PN" "36" ( Origin gPackager ) ) - ( objectStatus "RG1_6.B7" ) + ( objectStatus "IC1.36" ) ) - ( pin "vout(4)" - ( attribute "PN" "C6" - ( Status sBlockFlattened ) + ( pin "\re*\(0)" + ( attribute "PN" "40" ( Origin gPackager ) ) - ( objectStatus "RG1_6.C6" ) + ( objectStatus "IC1.40" ) ) - ( pin "vout(5)" - ( attribute "PN" "C7" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.C7" ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78" + ( attribute "CHIPS_PART_NAME" "CON8P" + ( Status sBlockFlattened ) + ( Origin gPackager ) ) - ( pin "\vout-\(0)" - ( attribute "PN" "A1" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.A1" ) + ( pin "sig0_n" ) - ( pin "\vout-\(1)" - ( attribute "PN" "A2" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.A2" ) + ( pin "sig0_p" ) - ( pin "\vout-\(2)" - ( attribute "PN" "A3" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.A3" ) + ( pin "sig1_n" ) - ( pin "\vout-\(3)" - ( attribute "PN" "A4" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.A4" ) + ( pin "sig1_p" ) - ( pin "\vout-\(4)" - ( attribute "PN" "A5" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.A5" ) + ( pin "sig2_n" ) - ( pin "\vout-\(5)" - ( attribute "PN" "B1" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.B1" ) + ( pin "sig2_p" ) - ( pin "\vout-\(6)" - ( attribute "PN" "B2" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.B2" ) + ( pin "sig3_n" ) - ( pin "\vout-\(7)" - ( attribute "PN" "B3" - ( Status sBlockFlattened ) + ( pin "sig3_p" + ) + ( pin "sig4_n" + ) + ( pin "sig4_p" + ) + ( pin "vclamp" + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):page1_i67" + ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "0603" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "100NF" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(5975,0)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( Origin gPackager ) + ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C16" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "C16" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "C16" ) + ( pin "a(0)" + ( attribute "PN" "1" ( Origin gPackager ) ) - ( objectStatus "RG1_6.B3" ) + ( objectStatus "C16.1" ) ) - ( pin "\vout-\(8)" - ( attribute "PN" "B4" - ( Status sBlockFlattened ) + ( pin "b(0)" + ( attribute "PN" "2" ( Origin gPackager ) ) - ( objectStatus "RG1_6.B4" ) + ( objectStatus "C16.2" ) ) - ( pin "\vout-\(9)" - ( attribute "PN" "B5" - ( Status sBlockFlattened ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):page1_i70" + ( attribute "CDS_LIB" "cndiscrete" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "LOCATION" "D4" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "SOT23" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "TYPE" "USBLC6-2SC6" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(5025,175)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "USBLC6-2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "USBLC6-2SC6" + ( Origin gPackager ) + ) + ( attribute "CDS_PHYS_PART_NAME" "USBLC6-2SC6" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "D4" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "D4" ) + ( pin "gnd" + ( attribute "PN" "2" ( Origin gPackager ) ) - ( objectStatus "RG1_6.B5" ) + ( objectStatus "D4.2" ) ) - ( pin "\vout-\(10)" - ( attribute "PN" "C1" - ( Status sBlockFlattened ) + ( pin "\i/o1\(0)" + ( attribute "PN" "1" ( Origin gPackager ) ) - ( objectStatus "RG1_6.C1" ) + ( objectStatus "D4.1" ) ) - ( pin "\vout-\(11)" - ( attribute "PN" "C2" - ( Status sBlockFlattened ) + ( pin "\i/o1\(1)" + ( attribute "PN" "6" ( Origin gPackager ) ) - ( objectStatus "RG1_6.C2" ) + ( objectStatus "D4.6" ) ) - ( pin "\vout-\(12)" - ( attribute "PN" "C3" - ( Status sBlockFlattened ) + ( pin "\i/o2\(0)" + ( attribute "PN" "3" ( Origin gPackager ) ) - ( objectStatus "RG1_6.C3" ) + ( objectStatus "D4.3" ) ) - ( pin "\vout-\(13)" - ( attribute "PN" "C4" - ( Status sBlockFlattened ) + ( pin "\i/o2\(1)" + ( attribute "PN" "4" ( Origin gPackager ) ) - ( objectStatus "RG1_6.C4" ) + ( objectStatus "D4.4" ) ) - ( pin "\vout-\(14)" - ( attribute "PN" "C5" - ( Status sBlockFlattened ) + ( pin "vbus" + ( attribute "PN" "5" ( Origin gPackager ) ) - ( objectStatus "RG1_6.C5" ) + ( objectStatus "D4.5" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i72" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):page1_i58" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "1210" + ( attribute "PACK_TYPE" "0603" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -25660,7 +26777,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "4.7UF" + ( attribute "VALUE" "100NF" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -25668,12 +26785,12 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "50V" + ( attribute "VOLTAGE" "16V" ( Units "uVoltage" "V" 1.000000) ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-1825,1525)" + ( attribute "XY" "(2575,-1875)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -25681,154 +26798,230 @@ ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210-4.7UF,50V" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" ( Origin gPackager ) ) - ( attribute "LOCATION" "C5_6" - ( Origin gFrontEnd ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C15" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "C15" + ( Origin gPackager ) ) ( attribute "CDS_SEC" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C5_6" ) + ( objectStatus "C15" ) ( pin "a(0)" ( attribute "PN" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C5_6.1" ) + ( objectStatus "C15.1" ) ) ( pin "b(0)" ( attribute "PN" "2" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C5_6.2" ) + ( objectStatus "C15.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i74" - ( attribute "CDS_LIB" "cnpassive" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):page1_i56" + ( attribute "CDS_LIB" "cndiscrete" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "DIST" "FLAT" + ( attribute "PACK_TYPE" "SC88" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "MAX_TEMP" "RTMAX" + ( attribute "PHYS_PAGE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "NEGTOL" "RTOL%" + ( attribute "ROT" "0" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "1/10W" + ( attribute "TYPE" "PRTR5V0U4Y" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POSTOL" "RTOL%" + ( attribute "XY" "(1050,-2450)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POWER" "RMAX" + ( attribute "CHIPS_PART_NAME" "PRTR5V0U4Y" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) - ( attribute "ROT" "1" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( attribute "CDS_PART_NAME" "PRTR5V0U4Y" + ( Origin gPackager ) ) - ( attribute "SIZE" "1" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( attribute "CDS_PHYS_PART_NAME" "PRTR5V0U4Y" + ( Origin gPackager ) ) - ( attribute "SLOPE" "RSMAX" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( attribute "CDS_LOCATION" "D2" + ( Origin gPackager ) ) - ( attribute "TC1" "RTMPL" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( attribute "LOCATION" "D2" + ( Origin gPackager ) ) - ( attribute "TC2" "RTMPQ" + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "D2" ) + ( pin "esd1" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "D2.1" ) + ) + ( pin "esd2" + ( attribute "PN" "3" + ( Origin gPackager ) + ) + ( objectStatus "D2.3" ) + ) + ( pin "esd3" + ( attribute "PN" "4" + ( Origin gPackager ) + ) + ( objectStatus "D2.4" ) + ) + ( pin "esd4" + ( attribute "PN" "6" + ( Origin gPackager ) + ) + ( objectStatus "D2.6" ) + ) + ( pin "gnd" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "D2.2" ) + ) + ( pin "vcc" + ( attribute "PN" "5" + ( Origin gPackager ) + ) + ( objectStatus "D2.5" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):page1_i55" + ( attribute "CDS_LIB" "cndiscrete" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) - ( attribute "TOL" "1%" + ( attribute "PACK_TYPE" "SC88" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TOL_ON_OFF" "ON" + ( attribute "PHYS_PAGE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "6.19K" + ( attribute "ROT" "0" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "2" + ( attribute "TYPE" "PRTR5V0U4Y" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "RVMAX" - ( Units "uVoltage" "V" 1.000000) + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-1300,1250)" + ( attribute "XY" "(1025,-250)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( attribute "CHIPS_PART_NAME" "PRTR5V0U4Y" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-6.19K,1%" + ( attribute "CDS_PART_NAME" "PRTR5V0U4Y" ( Origin gPackager ) ) - ( attribute "LOCATION" "R2_6" - ( Origin gFrontEnd ) + ( attribute "CDS_PHYS_PART_NAME" "PRTR5V0U4Y" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "D1" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "D1" + ( Origin gPackager ) ) ( attribute "CDS_SEC" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "R2_6" ) - ( pin "a(0)" + ( objectStatus "D1" ) + ( pin "esd1" ( attribute "PN" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "R2_6.1" ) + ( objectStatus "D1.1" ) ) - ( pin "b(0)" + ( pin "esd2" + ( attribute "PN" "3" + ( Origin gPackager ) + ) + ( objectStatus "D1.3" ) + ) + ( pin "esd3" + ( attribute "PN" "4" + ( Origin gPackager ) + ) + ( objectStatus "D1.4" ) + ) + ( pin "esd4" + ( attribute "PN" "6" + ( Origin gPackager ) + ) + ( objectStatus "D1.6" ) + ) + ( pin "gnd" ( attribute "PN" "2" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "R2_6.2" ) + ( objectStatus "D1.2" ) + ) + ( pin "vcc" + ( attribute "PN" "5" + ( Origin gPackager ) + ) + ( objectStatus "D1.5" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i79" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i65" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "1210" + ( attribute "LOCATION" "TP11" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "HOLE" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -25836,7 +27029,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "ROT" "0" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -25844,7 +27037,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "4.7UF" + ( attribute "VALUE" "0.8MM" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -25852,55 +27045,52 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "50V" - ( Units "uVoltage" "V" 1.000000) + ( attribute "XY" "(4500,475)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-3550,2075)" + ( attribute "CHIPS_PART_NAME" "TP" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" - ( Status sBlockFlattened ) + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210-4.7UF,50V" + ( attribute "CDS_PHYS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "LOCATION" "C1_6" - ( Origin gFrontEnd ) + ( attribute "CDS_LOCATION" "TP11" + ( Origin gPackager ) ) ( attribute "CDS_SEC" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C1_6" ) + ( objectStatus "TP11" ) ( pin "a(0)" ( attribute "PN" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C1_6.1" ) - ) - ( pin "b(0)" - ( attribute "PN" "2" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "C1_6.2" ) + ( objectStatus "TP11.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i81" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i66" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "1210" + ( attribute "LOCATION" "TP12" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "HOLE" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -25908,7 +27098,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "ROT" "0" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -25916,7 +27106,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "4.7UF" + ( attribute "VALUE" "0.8MM" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -25924,55 +27114,52 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "50V" - ( Units "uVoltage" "V" 1.000000) + ( attribute "XY" "(4500,375)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-2725,2200)" + ( attribute "CHIPS_PART_NAME" "TP" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" - ( Status sBlockFlattened ) + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210-4.7UF,50V" + ( attribute "CDS_PHYS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "LOCATION" "C2_6" - ( Origin gFrontEnd ) + ( attribute "CDS_LOCATION" "TP12" + ( Origin gPackager ) ) ( attribute "CDS_SEC" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C2_6" ) + ( objectStatus "TP12" ) ( pin "a(0)" ( attribute "PN" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C2_6.1" ) - ) - ( pin "b(0)" - ( attribute "PN" "2" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "C2_6.2" ) + ( objectStatus "TP12.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i82" - ( attribute "CDS_LIB" "cnlinear" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i67" + ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "BGA" + ( attribute "LOCATION" "TP4" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "HOLE" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -25980,11 +27167,15 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "ROT" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TYPE" "LTM8047EY#PBF" + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "0.8MM" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -25992,351 +27183,52 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-700,2700)" + ( attribute "XY" "(3050,-425)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "LTM8047" + ( attribute "CHIPS_PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "LTM8047EY#PBF" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "LOCATION" "RG2_6" - ( Origin gFrontEnd ) + ( attribute "CDS_PHYS_PART_NAME" "TP_HOLE-0.8MM" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "TP4" + ( Origin gPackager ) ) ( attribute "CDS_SEC" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "RG2_6" ) - ( pin "adj" - ( attribute "PN" "G7" + ( objectStatus "TP4" ) + ( pin "a(0)" + ( attribute "PN" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "RG2_6.G7" ) + ( objectStatus "TP4.1" ) ) - ( pin "bias" - ( attribute "PN" "H5" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.H5" ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i68" + ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) + ( Origin gPackager ) ) - ( pin "gnd(0)" - ( attribute "PN" "E1" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.E1" ) + ( attribute "LOCATION" "TP9" + ( Status sBlockFlattened ) + ( Origin gPackager ) ) - ( pin "gnd(1)" - ( attribute "PN" "E2" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.E2" ) - ) - ( pin "gnd(2)" - ( attribute "PN" "E3" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.E3" ) - ) - ( pin "gnd(3)" - ( attribute "PN" "E4" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.E4" ) - ) - ( pin "gnd(4)" - ( attribute "PN" "E5" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.E5" ) - ) - ( pin "gnd(5)" - ( attribute "PN" "E6" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.E6" ) - ) - ( pin "gnd(6)" - ( attribute "PN" "E7" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.E7" ) - ) - ( pin "gnd(7)" - ( attribute "PN" "F4" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.F4" ) - ) - ( pin "gnd(8)" - ( attribute "PN" "F5" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.F5" ) - ) - ( pin "gnd(9)" - ( attribute "PN" "F6" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.F6" ) - ) - ( pin "gnd(10)" - ( attribute "PN" "F7" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.F7" ) - ) - ( pin "gnd(11)" - ( attribute "PN" "G4" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.G4" ) - ) - ( pin "gnd(12)" - ( attribute "PN" "G5" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.G5" ) - ) - ( pin "gnd(13)" - ( attribute "PN" "G6" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.G6" ) - ) - ( pin "gnd(14)" - ( attribute "PN" "H4" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.H4" ) - ) - ( pin "gnd(15)" - ( attribute "PN" "H7" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.H7" ) - ) - ( pin "run" - ( attribute "PN" "F3" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.F3" ) - ) - ( pin "ss" - ( attribute "PN" "H6" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.H6" ) - ) - ( pin "vin(0)" - ( attribute "PN" "H1" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.H1" ) - ) - ( pin "vin(1)" - ( attribute "PN" "H2" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.H2" ) - ) - ( pin "vin(2)" - ( attribute "PN" "G1" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.G1" ) - ) - ( pin "vin(3)" - ( attribute "PN" "G2" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.G2" ) - ) - ( pin "vout(0)" - ( attribute "PN" "A6" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.A6" ) - ) - ( pin "vout(1)" - ( attribute "PN" "A7" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.A7" ) - ) - ( pin "vout(2)" - ( attribute "PN" "B6" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.B6" ) - ) - ( pin "vout(3)" - ( attribute "PN" "B7" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.B7" ) - ) - ( pin "vout(4)" - ( attribute "PN" "C6" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.C6" ) - ) - ( pin "vout(5)" - ( attribute "PN" "C7" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.C7" ) - ) - ( pin "\vout-\(0)" - ( attribute "PN" "A1" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.A1" ) - ) - ( pin "\vout-\(1)" - ( attribute "PN" "A2" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.A2" ) - ) - ( pin "\vout-\(2)" - ( attribute "PN" "A3" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.A3" ) - ) - ( pin "\vout-\(3)" - ( attribute "PN" "A4" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.A4" ) - ) - ( pin "\vout-\(4)" - ( attribute "PN" "A5" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.A5" ) - ) - ( pin "\vout-\(5)" - ( attribute "PN" "B1" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.B1" ) - ) - ( pin "\vout-\(6)" - ( attribute "PN" "B2" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.B2" ) - ) - ( pin "\vout-\(7)" - ( attribute "PN" "B3" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.B3" ) - ) - ( pin "\vout-\(8)" - ( attribute "PN" "B4" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.B4" ) - ) - ( pin "\vout-\(9)" - ( attribute "PN" "B5" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.B5" ) - ) - ( pin "\vout-\(10)" - ( attribute "PN" "C1" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.C1" ) - ) - ( pin "\vout-\(11)" - ( attribute "PN" "C2" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.C2" ) - ) - ( pin "\vout-\(12)" - ( attribute "PN" "C3" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.C3" ) - ) - ( pin "\vout-\(13)" - ( attribute "PN" "C4" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.C4" ) - ) - ( pin "\vout-\(14)" - ( attribute "PN" "C5" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.C5" ) - ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i83" - ( attribute "CDS_LIB" "cnpassive" + ( attribute "PACK_TYPE" "HOLE" ( Status sBlockFlattened ) - ( Origin gPackager ) + ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "1210" + ( attribute "PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -26344,7 +27236,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "ROT" "0" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -26352,7 +27244,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "4.7UF" + ( attribute "VALUE" "0.8MM" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -26360,67 +27252,52 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "50V" - ( Units "uVoltage" "V" 1.000000) + ( attribute "XY" "(4150,475)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-1800,2675)" + ( attribute "CHIPS_PART_NAME" "TP" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" - ( Status sBlockFlattened ) + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210-4.7UF,50V" + ( attribute "CDS_PHYS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "LOCATION" "C6_6" - ( Origin gFrontEnd ) + ( attribute "CDS_LOCATION" "TP9" + ( Origin gPackager ) ) ( attribute "CDS_SEC" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C6_6" ) + ( objectStatus "TP9" ) ( pin "a(0)" ( attribute "PN" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C6_6.1" ) - ) - ( pin "b(0)" - ( attribute "PN" "2" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "C6_6.2" ) + ( objectStatus "TP9.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i85" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i69" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "DIST" "FLAT" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "MAX_TEMP" "RTMAX" + ( attribute "LOCATION" "TP10" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) - ( attribute "NEGTOL" "RTOL%" + ( attribute "PACK_TYPE" "HOLE" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "1/10W" + ( attribute "PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -26428,15 +27305,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POSTOL" "RTOL%" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "POWER" "RMAX" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "ROT" "1" + ( attribute "ROT" "0" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -26444,83 +27313,60 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SLOPE" "RSMAX" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "TC1" "RTMPL" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "TC2" "RTMPQ" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "TOL" "1%" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "TOL_ON_OFF" "ON" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "VALUE" "6.19K" + ( attribute "VALUE" "0.8MM" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "2" + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "RVMAX" - ( Units "uVoltage" "V" 1.000000) + ( attribute "XY" "(4150,375)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-1275,2400)" + ( attribute "CHIPS_PART_NAME" "TP" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) - ( attribute "CHIPS_PART_NAME" "RSMD0603" - ( Status sBlockFlattened ) + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-6.19K,1%" + ( attribute "CDS_PHYS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "LOCATION" "R3_6" - ( Origin gFrontEnd ) + ( attribute "CDS_LOCATION" "TP10" + ( Origin gPackager ) ) ( attribute "CDS_SEC" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "R3_6" ) + ( objectStatus "TP10" ) ( pin "a(0)" ( attribute "PN" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "R3_6.1" ) - ) - ( pin "b(0)" - ( attribute "PN" "2" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "R3_6.2" ) + ( objectStatus "TP10.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i88" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i70" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "1210" + ( attribute "LOCATION" "TP3" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "HOLE" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -26536,7 +27382,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "22UF" + ( attribute "VALUE" "0.8MM" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -26544,55 +27390,52 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "16V" - ( Units "uVoltage" "V" 1.000000) + ( attribute "XY" "(2900,-425)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(250,650)" + ( attribute "CHIPS_PART_NAME" "TP" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" - ( Status sBlockFlattened ) + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210-22UF,16V" + ( attribute "CDS_PHYS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "LOCATION" "C8_6" - ( Origin gFrontEnd ) + ( attribute "CDS_LOCATION" "TP3" + ( Origin gPackager ) ) ( attribute "CDS_SEC" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C8_6" ) + ( objectStatus "TP3" ) ( pin "a(0)" ( attribute "PN" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C8_6.1" ) - ) - ( pin "b(0)" - ( attribute "PN" "2" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "C8_6.2" ) + ( objectStatus "TP3.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i89" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i71" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "1210" + ( attribute "LOCATION" "TP7" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "HOLE" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -26600,7 +27443,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "ROT" "0" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -26608,7 +27451,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "22UF" + ( attribute "VALUE" "0.8MM" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -26616,55 +27459,52 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "16V" - ( Units "uVoltage" "V" 1.000000) + ( attribute "XY" "(3550,-475)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(250,2675)" + ( attribute "CHIPS_PART_NAME" "TP" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" - ( Status sBlockFlattened ) + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210-22UF,16V" + ( attribute "CDS_PHYS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "LOCATION" "C7_6" - ( Origin gFrontEnd ) + ( attribute "CDS_LOCATION" "TP7" + ( Origin gPackager ) ) ( attribute "CDS_SEC" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C7_6" ) + ( objectStatus "TP7" ) ( pin "a(0)" ( attribute "PN" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C7_6.1" ) - ) - ( pin "b(0)" - ( attribute "PN" "2" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "C7_6.2" ) + ( objectStatus "TP7.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i142" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i72" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "1210" + ( attribute "LOCATION" "TP8" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "HOLE" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -26672,7 +27512,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "ROT" "2" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -26680,7 +27520,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "4.7UF" + ( attribute "VALUE" "0.8MM" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -26688,55 +27528,121 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "50V" - ( Units "uVoltage" "V" 1.000000) + ( attribute "XY" "(3700,-550)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-2150,2675)" + ( attribute "CHIPS_PART_NAME" "TP" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" - ( Status sBlockFlattened ) + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210-4.7UF,50V" + ( attribute "CDS_PHYS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "LOCATION" "C3_6" - ( Origin gFrontEnd ) + ( attribute "CDS_LOCATION" "TP8" + ( Origin gPackager ) ) ( attribute "CDS_SEC" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C3_6" ) + ( objectStatus "TP8" ) ( pin "a(0)" ( attribute "PN" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C3_6.1" ) + ( objectStatus "TP8.1" ) ) - ( pin "b(0)" - ( attribute "PN" "2" + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i73" + ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "LOCATION" "TP5" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "HOLE" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PART_NAME" "TP" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "2" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "0.8MM" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(3200,225)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "TP" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( Origin gPackager ) + ) + ( attribute "CDS_PHYS_PART_NAME" "TP_HOLE-0.8MM" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "TP5" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "TP5" ) + ( pin "a(0)" + ( attribute "PN" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C3_6.2" ) + ( objectStatus "TP5.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i144" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i74" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "1210" + ( attribute "LOCATION" "TP6" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "HOLE" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -26744,7 +27650,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "ROT" "2" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -26752,7 +27658,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "4.7UF" + ( attribute "VALUE" "0.8MM" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -26760,167 +27666,257 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "50V" - ( Units "uVoltage" "V" 1.000000) + ( attribute "XY" "(3200,125)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-2150,1525)" + ( attribute "CHIPS_PART_NAME" "TP" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" - ( Status sBlockFlattened ) + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210-4.7UF,50V" + ( attribute "CDS_PHYS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "LOCATION" "C4_6" - ( Origin gFrontEnd ) + ( attribute "CDS_LOCATION" "TP6" + ( Origin gPackager ) ) ( attribute "CDS_SEC" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C4_6" ) + ( objectStatus "TP6" ) ( pin "a(0)" ( attribute "PN" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C4_6.1" ) + ( objectStatus "TP6.1" ) ) - ( pin "b(0)" - ( attribute "PN" "2" + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i75" + ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "LOCATION" "TP2" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "HOLE" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PART_NAME" "TP" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "2" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "0.8MM" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(2850,25)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "TP" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( Origin gPackager ) + ) + ( attribute "CDS_PHYS_PART_NAME" "TP_HOLE-0.8MM" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "TP2" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "TP2" ) + ( pin "a(0)" + ( attribute "PN" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C4_6.2" ) + ( objectStatus "TP2.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i146" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i76" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "DIST" "FLAT" + ( attribute "LOCATION" "TP1" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "HOLE" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "MAX_TEMP" "RTMAX" + ( attribute "PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "NEGTOL" "RTOL%" + ( attribute "PHYS_PAGE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "1/10W" + ( attribute "ROT" "2" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" + ( attribute "SIZE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POSTOL" "RTOL%" + ( attribute "VALUE" "0.8MM" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POWER" "RMAX" + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "XY" "(2850,125)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" + ( attribute "CHIPS_PART_NAME" "TP" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) - ( attribute "SLOPE" "RSMAX" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( Origin gPackager ) + ) + ( attribute "CDS_PHYS_PART_NAME" "TP_HOLE-0.8MM" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "TP1" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "TP1" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "TP1.1" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):page1_i9" + ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) - ( attribute "TC1" "RTMPL" + ( attribute "PACK_TYPE" "0603" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TC2" "RTMPQ" + ( attribute "PHYS_PAGE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TOL" "1%" + ( attribute "ROT" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TOL_ON_OFF" "ON" + ( attribute "SIZE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "3.3" + ( attribute "VALUE" "100NF" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "2" + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "RVMAX" + ( attribute "VOLTAGE" "16V" ( Units "uVoltage" "V" 1.000000) ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-2725,1900)" + ( attribute "XY" "(2175,-1875)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-3.3,1%" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" ( Origin gPackager ) ) - ( attribute "LOCATION" "R1_6" - ( Origin gFrontEnd ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C14" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "C14" + ( Origin gPackager ) ) ( attribute "CDS_SEC" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "R1_6" ) + ( objectStatus "C14" ) ( pin "a(0)" ( attribute "PN" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "R1_6.1" ) + ( objectStatus "C14.1" ) ) ( pin "b(0)" ( attribute "PN" "2" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "R1_6.2" ) + ( objectStatus "C14.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i55" - ( attribute "CDS_LIB" "cndiscrete" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i90" + ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "SC88" + ( attribute "LOCATION" "C13" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "0805" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -26928,11 +27924,15 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "ROT" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TYPE" "PRTR5V0U4Y" + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "4.7UF" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -26940,36 +27940,60 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(1025,-250)" + ( attribute "VOLTAGE" "10V" + ( Units "uVoltage" "V" 1.000000) ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PRTR5V0U4Y" + ( attribute "XY" "(5950,-1900)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "PRTR5V0U4Y" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0805-4.7UF,10V" ( Origin gPackager ) ) - ( pin "esd1" + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0805-4.7UF,10V" + ( Origin gPackager ) ) - ( pin "esd2" + ( attribute "CDS_LOCATION" "C13" + ( Origin gPackager ) ) - ( pin "esd3" + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) ) - ( pin "esd4" + ( attribute "SEC" "1" + ( Origin gPackager ) ) - ( pin "gnd" + ( objectStatus "C13" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "C13.1" ) ) - ( pin "vcc" + ( pin "b(0)" + ( attribute "PN" "2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "C13.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i55" - ( attribute "CDS_LIB" "cndiscrete" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i91" + ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "SC88" + ( attribute "LOCATION" "C12" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "0805" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -26977,11 +28001,15 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "ROT" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TYPE" "PRTR5V0U4Y" + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "4.7UF" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -26989,36 +28017,56 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(1025,-250)" + ( attribute "VOLTAGE" "10V" + ( Units "uVoltage" "V" 1.000000) ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PRTR5V0U4Y" + ( attribute "XY" "(4675,-1425)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "PRTR5V0U4Y" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0805-4.7UF,10V" ( Origin gPackager ) ) - ( pin "esd1" + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0805-4.7UF,10V" + ( Origin gPackager ) ) - ( pin "esd2" + ( attribute "CDS_LOCATION" "C12" + ( Origin gPackager ) ) - ( pin "esd3" + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) ) - ( pin "esd4" + ( attribute "SEC" "1" + ( Origin gPackager ) ) - ( pin "gnd" + ( objectStatus "C12" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "C12.1" ) ) - ( pin "vcc" + ( pin "b(0)" + ( attribute "PN" "2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "C12.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i55" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i109" ( attribute "CDS_LIB" "cndiscrete" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "SC88" + ( attribute "PACK_TYPE" "4312" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -27030,7 +28078,11 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TYPE" "PRTR5V0U4Y" + ( attribute "TYPE" "744231091" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "90OHM" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -27038,36 +28090,64 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(1025,-250)" + ( attribute "XY" "(-1025,-2375)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PRTR5V0U4Y" + ( attribute "CHIPS_PART_NAME" "COMMON_MODE_LINE_FILTER" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "PRTR5V0U4Y" + ( attribute "CDS_PART_NAME" "COMMON_MODE_LINE_FILTER_4312-7A" ( Origin gPackager ) ) - ( pin "esd1" + ( attribute "CDS_PHYS_PART_NAME" "COMMON_MODE_LINE_FILTER_4312-7A" + ( Origin gPackager ) ) - ( pin "esd2" + ( attribute "CDS_LOCATION" "L5" + ( Origin gPackager ) ) - ( pin "esd3" + ( attribute "LOCATION" "L5" + ( Origin gPackager ) ) - ( pin "esd4" + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) ) - ( pin "gnd" + ( attribute "SEC" "1" + ( Origin gPackager ) ) - ( pin "vcc" + ( objectStatus "L5" ) + ( pin "\1\(0)" + ( attribute "PN" "3" + ( Origin gPackager ) + ) + ( objectStatus "L5.3" ) + ) + ( pin "\1dot\(0)" + ( attribute "PN" "4" + ( Origin gPackager ) + ) + ( objectStatus "L5.4" ) + ) + ( pin "\2\(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "L5.2" ) + ) + ( pin "\2dot\(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "L5.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i56" - ( attribute "CDS_LIB" "cndiscrete" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i110" + ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "SC88" + ( attribute "PACK_TYPE" "1206_TC164" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -27079,7 +28159,15 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TYPE" "PRTR5V0U4Y" + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "TOL" "1%" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "47" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -27087,36 +28175,52 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(1050,-2450)" + ( attribute "XY" "(-625,-2325)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PRTR5V0U4Y" + ( attribute "CHIPS_PART_NAME" "RES_ARRAY_X4" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "PRTR5V0U4Y" + ( attribute "CDS_PART_NAME" "RES_ARRAY_X4_1206_TC164-47,1%" ( Origin gPackager ) ) - ( pin "esd1" + ( attribute "CDS_PHYS_PART_NAME" "RES_ARRAY_X4_1206_TC164-47,1%" + ( Origin gPackager ) ) - ( pin "esd2" + ( attribute "CDS_LOCATION" "RN2" + ( Origin gPackager ) ) - ( pin "esd3" + ( attribute "LOCATION" "RN2" + ( Origin gPackager ) ) - ( pin "esd4" + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) ) - ( pin "gnd" + ( attribute "SEC" "1" + ( Origin gPackager ) ) - ( pin "vcc" + ( objectStatus "RN2" ) + ( pin "a(0)" + ( attribute "PN" "4" + ( Origin gPackager ) + ) + ( objectStatus "RN2.4" ) + ) + ( pin "b(0)" + ( attribute "PN" "5" + ( Origin gPackager ) + ) + ( objectStatus "RN2.5" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i56" - ( attribute "CDS_LIB" "cndiscrete" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i111" + ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "SC88" + ( attribute "PACK_TYPE" "1206_TC164" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -27128,7 +28232,15 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TYPE" "PRTR5V0U4Y" + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "TOL" "1%" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "47" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -27136,36 +28248,52 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(1050,-2450)" + ( attribute "XY" "(-475,-2425)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PRTR5V0U4Y" + ( attribute "CHIPS_PART_NAME" "RES_ARRAY_X4" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "PRTR5V0U4Y" + ( attribute "CDS_PART_NAME" "RES_ARRAY_X4_1206_TC164-47,1%" ( Origin gPackager ) ) - ( pin "esd1" + ( attribute "CDS_PHYS_PART_NAME" "RES_ARRAY_X4_1206_TC164-47,1%" + ( Origin gPackager ) ) - ( pin "esd2" + ( attribute "CDS_LOCATION" "RN3" + ( Origin gPackager ) ) - ( pin "esd3" + ( attribute "LOCATION" "RN3" + ( Origin gPackager ) ) - ( pin "esd4" + ( attribute "CDS_SEC" "2" + ( Origin gPackager ) ) - ( pin "gnd" + ( attribute "SEC" "2" + ( Origin gPackager ) ) - ( pin "vcc" + ( objectStatus "RN3" ) + ( pin "a(0)" + ( attribute "PN" "3" + ( Origin gPackager ) + ) + ( objectStatus "RN3.3" ) + ) + ( pin "b(0)" + ( attribute "PN" "6" + ( Origin gPackager ) + ) + ( objectStatus "RN3.6" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i56" - ( attribute "CDS_LIB" "cndiscrete" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i112" + ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "SC88" + ( attribute "DIELECTRIC" "X5R" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -27177,7 +28305,15 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TYPE" "PRTR5V0U4Y" + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "TYPE" "GNM21" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "1UF" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -27185,766 +28321,718 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(1050,-2450)" + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PRTR5V0U4Y" + ( attribute "XY" "(-200,-2375)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPN4I" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "PRTR5V0U4Y" + ( attribute "CDS_PART_NAME" "CAPN4I-1UF,16V,X5R,GNM21" ( Origin gPackager ) ) - ( pin "esd1" + ( attribute "CDS_PHYS_PART_NAME" "CAPN4I-1UF,16V,X5R,GNM21" + ( Origin gPackager ) ) - ( pin "esd2" + ( attribute "CDS_LOCATION" "CN2" + ( Origin gPackager ) ) - ( pin "esd3" + ( attribute "LOCATION" "CN2" + ( Origin gPackager ) ) - ( pin "esd4" + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) ) - ( pin "gnd" + ( attribute "SEC" "1" + ( Origin gPackager ) ) - ( pin "vcc" + ( objectStatus "CN2" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "CN2.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "CN2.2" ) ) ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i29:\vin+\" - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i29:\vin-\" - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i29:vout" - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i30:\vin+\" - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i30:\vin-\" - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i30:vout" - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i31:\vin+\" - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i31:\vin-\" - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i31:vout" - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i32:\vin+\" - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i32:\vin-\" - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i32:vout" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i116" + ( attribute "CDS_LIB" "cninterface" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "VQFN" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "2" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "TYPE" "SN65MLVD040RGZ" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(475,-2400)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "SN65MLVD040" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "SN65MLVD040RGZ-GND=GND_SIGNAL;A" + ( Origin gPackager ) + ) + ( attribute "CDS_PHYS_PART_NAME" "SN65MLVD040RGZ-GND=GND_SIGNAL,A" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "IC2" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "IC2" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "IC2" ) + ( pin "a(0)" + ( attribute "PN" "47" + ( Origin gPackager ) + ) + ( objectStatus "IC2.47" ) + ) + ( pin "\b*\(0)" + ( attribute "PN" "48" + ( Origin gPackager ) + ) + ( objectStatus "IC2.48" ) + ) + ( pin "d(0)" + ( attribute "PN" "35" + ( Origin gPackager ) + ) + ( objectStatus "IC2.35" ) + ) + ( pin "de(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "IC2.1" ) + ) + ( pin "fsen(0)" + ( attribute "PN" "39" + ( Origin gPackager ) + ) + ( objectStatus "IC2.39" ) + ) + ( pin "pdn" + ( attribute "PN" "30" + ( Origin gPackager ) + ) + ( objectStatus "IC2.30" ) + ) + ( pin "r(0)" + ( attribute "PN" "36" + ( Origin gPackager ) + ) + ( objectStatus "IC2.36" ) + ) + ( pin "\re*\(0)" + ( attribute "PN" "40" + ( Origin gPackager ) + ) + ( objectStatus "IC2.40" ) + ) ) - ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\BEAM_TRIGGER0\" - ( memberType ( signal ) ) - ( alias ( diffPairRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA1\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\beam_trigger*\(0)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):beam_trigger(0)") ) - ( objectStatus "BEAM_TRIGGER0" ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i121" + ( attribute "CDS_LIB" "cndiscrete" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "4312" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "TYPE" "744231091" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "90OHM" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(-1025,-1575)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "COMMON_MODE_LINE_FILTER" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "COMMON_MODE_LINE_FILTER_4312-7A" + ( Origin gPackager ) + ) + ( attribute "CDS_PHYS_PART_NAME" "COMMON_MODE_LINE_FILTER_4312-7A" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "L4" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "L4" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "L4" ) + ( pin "\1\(0)" + ( attribute "PN" "3" + ( Origin gPackager ) + ) + ( objectStatus "L4.3" ) + ) + ( pin "\1dot\(0)" + ( attribute "PN" "4" + ( Origin gPackager ) + ) + ( objectStatus "L4.4" ) + ) + ( pin "\2\(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "L4.2" ) + ) + ( pin "\2dot\(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "L4.1" ) + ) ) - ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA1\" - ( memberType ( signal ) ) - ( objectFlag fObjectAlias ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(1)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(1)") ) - ( objectStatus "FMC_LA1" ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i122" + ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "1206_TC164" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "TOL" "1%" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "47" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(-625,-1525)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "RES_ARRAY_X4" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "RES_ARRAY_X4_1206_TC164-47,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_PHYS_PART_NAME" "RES_ARRAY_X4_1206_TC164-47,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "RN1" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "RN1" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "4" + ( Origin gPackager ) + ) + ( attribute "SEC" "4" + ( Origin gPackager ) + ) + ( objectStatus "RN1" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "RN1.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "8" + ( Origin gPackager ) + ) + ( objectStatus "RN1.8" ) + ) ) - ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\BEAM_TRIGGER1\" - ( memberType ( signal ) ) - ( alias ( diffPairRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA3\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\beam_trigger*\(1)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):beam_trigger(1)") ) - ( objectStatus "BEAM_TRIGGER1" ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i123" + ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "1206_TC164" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "TOL" "1%" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "47" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(-475,-1625)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "RES_ARRAY_X4" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "RES_ARRAY_X4_1206_TC164-47,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_PHYS_PART_NAME" "RES_ARRAY_X4_1206_TC164-47,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "RN3" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "RN3" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "RN3" ) + ( pin "a(0)" + ( attribute "PN" "4" + ( Origin gPackager ) + ) + ( objectStatus "RN3.4" ) + ) + ( pin "b(0)" + ( attribute "PN" "5" + ( Origin gPackager ) + ) + ( objectStatus "RN3.5" ) + ) ) - ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA3\" - ( memberType ( signal ) ) - ( objectFlag fObjectAlias ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(3)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(3)") ) - ( objectStatus "FMC_LA3" ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i124" + ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "DIELECTRIC" "X5R" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "TYPE" "GNM21" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "1UF" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(-200,-1575)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPN4I" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "CAPN4I-1UF,16V,X5R,GNM21" + ( Origin gPackager ) + ) + ( attribute "CDS_PHYS_PART_NAME" "CAPN4I-1UF,16V,X5R,GNM21" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "CN1" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "CN1" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "4" + ( Origin gPackager ) + ) + ( attribute "SEC" "4" + ( Origin gPackager ) + ) + ( objectStatus "CN1" ) + ( pin "a(0)" + ( attribute "PN" "7" + ( Origin gPackager ) + ) + ( objectStatus "CN1.7" ) + ) + ( pin "b(0)" + ( attribute "PN" "8" + ( Origin gPackager ) + ) + ( objectStatus "CN1.8" ) + ) ) - ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\BEAM_TRIGGER2\" - ( memberType ( signal ) ) - ( alias ( diffPairRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA5\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\beam_trigger*\(2)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):beam_trigger(2)") ) - ( objectStatus "BEAM_TRIGGER2" ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i128" + ( attribute "CDS_LIB" "cninterface" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "VQFN" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "2" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "TYPE" "SN65MLVD040RGZ" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(475,-1600)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "SN65MLVD040" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "SN65MLVD040RGZ-GND=GND_SIGNAL;A" + ( Origin gPackager ) + ) + ( attribute "CDS_PHYS_PART_NAME" "SN65MLVD040RGZ-GND=GND_SIGNAL,A" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "IC1" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "IC1" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "4" + ( Origin gPackager ) + ) + ( attribute "SEC" "4" + ( Origin gPackager ) + ) + ( objectStatus "IC1" ) + ( pin "a(0)" + ( attribute "PN" "13" + ( Origin gPackager ) + ) + ( objectStatus "IC1.13" ) + ) + ( pin "\b*\(0)" + ( attribute "PN" "14" + ( Origin gPackager ) + ) + ( objectStatus "IC1.14" ) + ) + ( pin "d(0)" + ( attribute "PN" "25" + ( Origin gPackager ) + ) + ( objectStatus "IC1.25" ) + ) + ( pin "de(0)" + ( attribute "PN" "12" + ( Origin gPackager ) + ) + ( objectStatus "IC1.12" ) + ) + ( pin "fsen(0)" + ( attribute "PN" "22" + ( Origin gPackager ) + ) + ( objectStatus "IC1.22" ) + ) + ( pin "pdn" + ( attribute "PN" "30" + ( Origin gPackager ) + ) + ( objectStatus "IC1.30" ) + ) + ( pin "r(0)" + ( attribute "PN" "26" + ( Origin gPackager ) + ) + ( objectStatus "IC1.26" ) + ) + ( pin "\re*\(0)" + ( attribute "PN" "21" + ( Origin gPackager ) + ) + ( objectStatus "IC1.21" ) + ) ) - ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA5\" - ( memberType ( signal ) ) - ( objectFlag fObjectAlias ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(5)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(5)") ) - ( objectStatus "FMC_LA5" ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i133" + ( attribute "CDS_LIB" "cndiscrete" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "4312" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "TYPE" "744231091" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "90OHM" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(-1025,-775)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "COMMON_MODE_LINE_FILTER" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "COMMON_MODE_LINE_FILTER_4312-7A" + ( Origin gPackager ) + ) + ( attribute "CDS_PHYS_PART_NAME" "COMMON_MODE_LINE_FILTER_4312-7A" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "L3" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "L3" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "L3" ) + ( pin "\1\(0)" + ( attribute "PN" "3" + ( Origin gPackager ) + ) + ( objectStatus "L3.3" ) + ) + ( pin "\1dot\(0)" + ( attribute "PN" "4" + ( Origin gPackager ) + ) + ( objectStatus "L3.4" ) + ) + ( pin "\2\(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "L3.2" ) + ) + ( pin "\2dot\(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "L3.1" ) + ) ) - ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\BEAM_TRIGGER3\" - ( memberType ( signal ) ) - ( alias ( diffPairRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA7\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\beam_trigger*\(3)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):beam_trigger(3)") ) - ( objectStatus "BEAM_TRIGGER3" ) - ) - ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA7\" - ( memberType ( signal ) ) - ( objectFlag fObjectAlias ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(7)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(7)") ) - ( objectStatus "FMC_LA7" ) - ) - ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA0\" - ( memberType ( signal ) ) - ( objectFlag fObjectAlias ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(0)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(0)") ) - ( objectStatus "FMC_LA0" ) - ) - ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA10\" - ( memberType ( signal ) ) - ( objectFlag fObjectAlias ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(10)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(10)") ) - ( objectStatus "FMC_LA10" ) - ) - ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\CONT2\" - ( memberType ( signal ) ) - ( alias ( diffPairRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA8\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\spare1*\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):spare1") ) - ( objectStatus "CONT2" ) - ) - ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA8\" - ( memberType ( signal ) ) - ( objectFlag fObjectAlias ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(8)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(8)") ) - ( objectStatus "FMC_LA8" ) - ) - ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA12\" - ( memberType ( signal ) ) - ( objectFlag fObjectAlias ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(12)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(12)") ) - ( objectStatus "FMC_LA12" ) - ) - ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA15\" - ( memberType ( signal ) ) - ( objectFlag fObjectAlias ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(15)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(15)") ) - ( objectStatus "FMC_LA15" ) - ) - ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA17\" - ( memberType ( signal ) ) - ( objectFlag fObjectAlias ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(17)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(17)") ) - ( objectStatus "FMC_LA17" ) - ) - ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA19\" - ( memberType ( signal ) ) - ( objectFlag fObjectAlias ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(19)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(19)") ) - ( objectStatus "FMC_LA19" ) - ) - ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA20\" - ( memberType ( signal ) ) - ( objectFlag fObjectAlias ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(20)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(20)") ) - ( objectStatus "FMC_LA20" ) - ) - ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA26\" - ( memberType ( signal ) ) - ( objectFlag fObjectAlias ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(26)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(26)") ) - ( objectStatus "FMC_LA26" ) - ) - ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA2\" - ( memberType ( signal ) ) - ( objectFlag fObjectAlias ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(2)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(2)") ) - ( objectStatus "FMC_LA2" ) - ) - ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA30\" - ( memberType ( signal ) ) - ( objectFlag fObjectAlias ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(30)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(30)") ) - ( objectStatus "FMC_LA30" ) - ) - ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA31\" - ( memberType ( signal ) ) - ( objectFlag fObjectAlias ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(31)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(31)") ) - ( objectStatus "FMC_LA31" ) - ) - ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA4\" - ( memberType ( signal ) ) - ( objectFlag fObjectAlias ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(4)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(4)") ) - ( objectStatus "FMC_LA4" ) - ) - ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA6\" - ( memberType ( signal ) ) - ( objectFlag fObjectAlias ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(6)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(6)") ) - ( objectStatus "FMC_LA6" ) - ) - ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\BEAM_TRIGGER_CFD0\" - ( memberType ( signal ) ) - ( alias ( diffPairRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA0\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\beam_trigger_cfd*\(0)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):beam_trigger_cfd(0)") ) - ( objectStatus "BEAM_TRIGGER_CFD0" ) - ) - ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\BEAM_TRIGGER_CFD1\" - ( memberType ( signal ) ) - ( alias ( diffPairRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA2\") ) - ( objectFlag fObjectAlias ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(2)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(2)") ) - ( objectStatus "BEAM_TRIGGER_CFD1" ) - ) - ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\BEAM_TRIGGER_CFD2\" - ( memberType ( signal ) ) - ( alias ( diffPairRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA4\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\beam_trigger_cfd*\(2)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):beam_trigger_cfd(2)") ) - ( objectStatus "BEAM_TRIGGER_CFD2" ) - ) - ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\BEAM_TRIGGER_CFD3\" - ( memberType ( signal ) ) - ( alias ( diffPairRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA6\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\beam_trigger_cfd*\(3)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):beam_trigger_cfd(3)") ) - ( objectStatus "BEAM_TRIGGER_CFD3" ) - ) - ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\BUSY0\" - ( memberType ( signal ) ) - ( alias ( diffPairRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\TRIG1\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\trig1*\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):trig1") ) - ( objectStatus "BUSY0" ) - ) - ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\TRIG1\" - ( memberType ( signal ) ) - ( alias ( diffPairRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA19\") ) - ( objectFlag fObjectAlias ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(19)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(19)") ) - ( objectStatus "TRIG1" ) - ) - ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\DUT_CLK0\" - ( memberType ( signal ) ) - ( alias ( diffPairRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA31\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\dut_clk0*\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):dut_clk0") ) - ( objectStatus "DUT_CLK0" ) - ) - ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\BUSY1\" - ( memberType ( signal ) ) - ( alias ( diffPairRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA15\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\busy1*\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):busy1") ) - ( objectStatus "BUSY1" ) - ) - ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\BUSY2\" - ( memberType ( signal ) ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\busy2*\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):busy2") ) - ( objectStatus "BUSY2" ) - ) - ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\TRIG2\" - ( memberType ( signal ) ) - ( alias ( diffPairRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA10\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\trig2*\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):trig2") ) - ( objectStatus "TRIG2" ) - ) - ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\CLK2\" - ( memberType ( signal ) ) - ( alias ( diffPairRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\BEAM_TRIGGER_CFD1\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\clk2*\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):clk2") ) - ( objectStatus "CLK2" ) - ) - ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\CONT0\" - ( memberType ( signal ) ) - ( alias ( diffPairRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA26\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\cont0*\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):cont0") ) - ( objectStatus "CONT0" ) - ) - ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\CONT1\" - ( memberType ( signal ) ) - ( alias ( diffPairRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA12\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\cont1*\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):cont1") ) - ( objectStatus "CONT1" ) - ) - ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\SPARE1\" - ( memberType ( signal ) ) - ( alias ( diffPairRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA20\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\trig0*\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):trig0") ) - ( objectStatus "SPARE1" ) - ) - ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\SPARE2\" - ( memberType ( signal ) ) - ( alias ( diffPairRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA17\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\spare2*\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):spare2") ) - ( objectStatus "SPARE2" ) - ) - ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\TRIG0\" - ( memberType ( signal ) ) - ( alias ( diffPairRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA30\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\beam_trigger_cfd*\(1)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):beam_trigger_cfd(1)") ) - ( objectStatus "TRIG0" ) - ) - ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\CTRIG1\" - ( memberType ( signal ) ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\ctrig1*\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):ctrig1") ) - ( objectStatus "CTRIG1" ) - ) - ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\CTRIG2\" - ( memberType ( signal ) ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\ctrig2*\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):ctrig2") ) - ( objectStatus "CTRIG2" ) - ) - ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FRONT_PANEL_CLK\" - ( memberType ( signal ) ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\front_panel_clk*\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):front_panel_clk") ) - ( objectStatus "FRONT_PANEL_CLK" ) - ) - ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la" - ( memberType ( signal ) ) - ( objectFlag fObjectAlias ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(0)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(1)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(2)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(3)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(4)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(5)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(6)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(7)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(8)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(9)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(10)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(11)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(12)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(13)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(14)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(15)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(16)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(17)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(18)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(19)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(20)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(21)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(22)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(23)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(24)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(25)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(26)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(27)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(28)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(29)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(30)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(31)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(32)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(33)") ) - ( objectStatus "FMC_LA_1" ) - ) - ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\" - ( memberType ( signal ) ) - ( objectFlag fObjectAlias ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(0)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(1)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(2)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(3)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(4)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(5)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(6)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(7)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(8)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(9)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(10)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(11)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(12)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(13)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(14)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(15)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(16)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(17)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(18)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(19)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(20)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(21)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(22)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(23)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(24)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(25)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(26)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(27)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(28)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(29)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(30)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(31)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(32)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(33)") ) - ( objectStatus "FMC_LA*_1" ) - ) - ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):vthresh" - ( memberType ( signal ) ) - ( alias ( busRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):vthresh") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):vthresh(0)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):vthresh(1)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):vthresh(2)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):vthresh(3)") ) - ( objectStatus "VTHRESH" ) - ) - ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):vthresh" - ( memberType ( signal ) ) - ( objectFlag fObjectAlias ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):vthresh(0)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):vthresh(1)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):vthresh(2)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):vthresh(3)") ) - ( objectStatus "VTHRESH_1" ) - ) - ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\in\" - ( memberType ( signal ) ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\in\(0)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\in\(1)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\in\(2)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\in\(3)") ) - ( objectStatus "IN" ) - ) - ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la" - ( memberType ( signal ) ) - ( alias ( busRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la") ) - ( alias ( busRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la") ) - ( attribute "BUS_NAME" "FMC_LA" - ( Origin gPackager ) - ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(0)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(1)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(2)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(3)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(4)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(5)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(6)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(7)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(8)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(9)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(10)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(11)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(12)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(13)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(14)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(15)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(16)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(17)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(18)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(19)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(20)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(21)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(22)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(23)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(24)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(25)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(26)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(27)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(28)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(29)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(30)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(31)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(32)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(33)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):busy2") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):busy0") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):cont2") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):trig2") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):trig0") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):hdmi_power_enable1") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):spare2") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):cont1") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):gpio_clk") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):dut_clk0") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):trig1") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):cont0") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):clk2") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):clk1") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):busy1") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):spare1") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):beam_trigger(0)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):beam_trigger(1)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):beam_trigger(3)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):beam_trigger_cfd(0)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):beam_trigger_cfd(1)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):beam_trigger_cfd(2)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):beam_trigger_cfd(3)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):beam_trigger(2)") ) - ( objectStatus "FMC_LA" ) - ) - ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la" - ( memberType ( signal ) ) - ( objectFlag fObjectAlias ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(0)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(1)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(2)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(3)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(4)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(5)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(6)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(7)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(8)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(9)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(10)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(11)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(12)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(13)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(14)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(15)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(16)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(17)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(18)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(19)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(20)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(21)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(22)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(23)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(24)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(25)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(26)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(27)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(28)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(29)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(30)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(31)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(32)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(33)") ) - ( objectStatus "PAGE1_FMC_LA" ) - ) - ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\" - ( memberType ( signal ) ) - ( alias ( busRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\") ) - ( alias ( busRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\") ) - ( attribute "BUS_NAME" "FMC_LA*" - ( Origin gPackager ) - ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(0)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(1)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(2)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(3)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(4)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(5)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(6)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(7)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(8)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(9)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(10)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(11)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(12)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(13)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(14)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(15)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(16)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(17)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(18)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(19)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(20)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(21)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(22)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(23)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(24)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(25)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(26)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(27)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(28)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(29)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(30)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(31)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(32)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(33)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\trig1*\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\dut_clk0*\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\cont1*\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\spare2*\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):hdmi_power_enable2") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\trig0*\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\trig2*\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\cont2*\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\busy2*\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\busy0*\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\cont0*\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\spare1*\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\busy1*\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\clk1*\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\clk2*\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\beam_trigger*\(0)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\beam_trigger*\(1)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\beam_trigger*\(2)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\beam_trigger*\(3)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\beam_trigger_cfd*\(0)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\beam_trigger_cfd*\(1)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\beam_trigger_cfd*\(2)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\beam_trigger_cfd*\(3)") ) - ( objectStatus "FMC_LA*" ) - ) - ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\" - ( memberType ( signal ) ) - ( objectFlag fObjectAlias ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(0)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(1)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(2)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(3)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(4)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(5)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(6)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(7)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(8)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(9)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(10)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(11)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(12)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(13)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(14)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(15)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(16)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(17)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(18)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(19)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(20)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(21)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(22)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(23)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(24)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(25)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(26)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(27)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(28)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(29)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(30)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(31)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(32)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(33)") ) - ( objectStatus "PAGE1_FMC_LA*" ) - ) - ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1" - ( memberType ( signal ) ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):gnd_signal") ) - ( objectStatus "VM1" ) - ) - ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2" - ( memberType ( signal ) ) - ( attribute "BUS_NAME" "VM2" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i134" + ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(0)") ) - ( objectStatus "VM2" ) - ) - ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1" - ( memberType ( signal ) ) - ( attribute "BUS_NAME" "VP1" + ( attribute "PACK_TYPE" "1206_TC164" ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(0)") ) - ( objectStatus "VP1" ) - ) - ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2" - ( memberType ( signal ) ) - ( objectStatus "VP2" ) - ) - ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vi" - ( memberType ( signal ) ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vin_filtered") ) - ( objectStatus "VI" ) - ) - ( designInst "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2" - ( attribute "BLOCK" "TRUE" - ( Origin gFrontEnd ) - ) - ( attribute "CDS_LIB" "fmc_tlu_v1_lib" - ( Origin gPackager ) - ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-600,725,600,-975" - ( Origin gPackager ) - ) - ( attribute "LIBRARY1" "ieee" ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "USE1" "ieee.std_logic_1164.all" + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "USE2" "work.all" + ( attribute "TOL" "1%" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VALUE" "47" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(4350,-350)" + ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( objectStatus "page1_i2 (pc036a_fmc_lpc_connector)" ) - ) - ( designInst "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5" - ( attribute "BLOCK" "TRUE" + ( attribute "XY" "(-625,-725)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CDS_LIB" "fmc_tlu_v1_lib" + ( attribute "CHIPS_PART_NAME" "RES_ARRAY_X4" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "LIBRARY1" "ieee" - ( Origin gFrontEnd ) + ( attribute "CDS_PART_NAME" "RES_ARRAY_X4_1206_TC164-47,1%" + ( Origin gPackager ) ) - ( attribute "PHYS_PAGE" "3" - ( Origin gFrontEnd ) + ( attribute "CDS_PHYS_PART_NAME" "RES_ARRAY_X4_1206_TC164-47,1%" + ( Origin gPackager ) ) - ( attribute "ROT" "0" - ( Origin gFrontEnd ) + ( attribute "CDS_LOCATION" "RN1" + ( Origin gPackager ) ) - ( attribute "USE1" "ieee.std_logic_1164.all" - ( Origin gFrontEnd ) + ( attribute "LOCATION" "RN1" + ( Origin gPackager ) ) - ( attribute "USE2" "work.all" - ( Origin gFrontEnd ) + ( attribute "CDS_SEC" "3" + ( Origin gPackager ) ) - ( attribute "VER" "1" - ( Origin gFrontEnd ) + ( attribute "SEC" "3" + ( Origin gPackager ) ) - ( attribute "XY" "(-200,950)" - ( Origin gFrontEnd ) + ( objectStatus "RN1" ) + ( pin "a(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "RN1.2" ) ) - ( objectStatus "page2_i5 (pc023a_dac_vthresh)" ) - ) - ( designInst "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i29" - ( attribute "BLOCK" "TRUE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( pin "b(0)" + ( attribute "PN" "7" + ( Origin gPackager ) + ) + ( objectStatus "RN1.7" ) ) - ( attribute "CDS_LIB" "fmc_tlu_v1_lib" + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i135" + ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "LIBRARY1" "ieee" + ( attribute "PACK_TYPE" "1206_TC164" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -27956,34 +29044,68 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "USE1" "ieee.std_logic_1164.all" + ( attribute "SIZE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "USE2" "work.all" + ( attribute "TOL" "1%" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VALUE" "47" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(1450,2050)" + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( objectStatus "page1_i29 (pc023a_vthresh_buffer)" ) - ) - ( designInst "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i30" - ( attribute "BLOCK" "TRUE" + ( attribute "XY" "(-475,-825)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CDS_LIB" "fmc_tlu_v1_lib" + ( attribute "CHIPS_PART_NAME" "RES_ARRAY_X4" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "LIBRARY1" "ieee" + ( attribute "CDS_PART_NAME" "RES_ARRAY_X4_1206_TC164-47,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_PHYS_PART_NAME" "RES_ARRAY_X4_1206_TC164-47,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "RN2" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "RN2" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "4" + ( Origin gPackager ) + ) + ( attribute "SEC" "4" + ( Origin gPackager ) + ) + ( objectStatus "RN2" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "RN2.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "8" + ( Origin gPackager ) + ) + ( objectStatus "RN2.8" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i136" + ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "DIELECTRIC" "X5R" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -27995,11 +29117,15 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "USE1" "ieee.std_logic_1164.all" + ( attribute "SIZE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "USE2" "work.all" + ( attribute "TYPE" "GNM21" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "1UF" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -28007,38 +29133,69 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(1450,250)" + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( objectStatus "page1_i30 (pc023a_vthresh_buffer)" ) - ) - ( designInst "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i31" - ( attribute "BLOCK" "TRUE" + ( attribute "XY" "(-200,-775)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CDS_LIB" "fmc_tlu_v1_lib" + ( attribute "CHIPS_PART_NAME" "CAPN4I" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "LIBRARY1" "ieee" + ( attribute "CDS_PART_NAME" "CAPN4I-1UF,16V,X5R,GNM21" + ( Origin gPackager ) + ) + ( attribute "CDS_PHYS_PART_NAME" "CAPN4I-1UF,16V,X5R,GNM21" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "CN1" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "CN1" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "3" + ( Origin gPackager ) + ) + ( attribute "SEC" "3" + ( Origin gPackager ) + ) + ( objectStatus "CN1" ) + ( pin "a(0)" + ( attribute "PN" "5" + ( Origin gPackager ) + ) + ( objectStatus "CN1.5" ) + ) + ( pin "b(0)" + ( attribute "PN" "6" + ( Origin gPackager ) + ) + ( objectStatus "CN1.6" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i140" + ( attribute "CDS_LIB" "cninterface" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) - ( attribute "PHYS_PAGE" "1" + ( attribute "PACK_TYPE" "VQFN" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "PHYS_PAGE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "USE1" "ieee.std_logic_1164.all" + ( attribute "ROT" "2" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "USE2" "work.all" + ( attribute "TYPE" "SN65MLVD040RGZ" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -28046,22 +29203,88 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(1450,850)" + ( attribute "XY" "(475,-800)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( objectStatus "page1_i31 (pc023a_vthresh_buffer)" ) - ) - ( designInst "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i32" - ( attribute "BLOCK" "TRUE" + ( attribute "CHIPS_PART_NAME" "SN65MLVD040" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) - ( attribute "CDS_LIB" "fmc_tlu_v1_lib" + ( attribute "CDS_PART_NAME" "SN65MLVD040RGZ-GND=GND_SIGNAL;A" + ( Origin gPackager ) + ) + ( attribute "CDS_PHYS_PART_NAME" "SN65MLVD040RGZ-GND=GND_SIGNAL,A" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "IC1" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "IC1" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "3" + ( Origin gPackager ) + ) + ( attribute "SEC" "3" + ( Origin gPackager ) + ) + ( objectStatus "IC1" ) + ( pin "a(0)" + ( attribute "PN" "9" + ( Origin gPackager ) + ) + ( objectStatus "IC1.9" ) + ) + ( pin "\b*\(0)" + ( attribute "PN" "10" + ( Origin gPackager ) + ) + ( objectStatus "IC1.10" ) + ) + ( pin "d(0)" + ( attribute "PN" "28" + ( Origin gPackager ) + ) + ( objectStatus "IC1.28" ) + ) + ( pin "de(0)" + ( attribute "PN" "8" + ( Origin gPackager ) + ) + ( objectStatus "IC1.8" ) + ) + ( pin "fsen(0)" + ( attribute "PN" "20" + ( Origin gPackager ) + ) + ( objectStatus "IC1.20" ) + ) + ( pin "pdn" + ( attribute "PN" "30" + ( Origin gPackager ) + ) + ( objectStatus "IC1.30" ) + ) + ( pin "r(0)" + ( attribute "PN" "29" + ( Origin gPackager ) + ) + ( objectStatus "IC1.29" ) + ) + ( pin "\re*\(0)" + ( attribute "PN" "19" + ( Origin gPackager ) + ) + ( objectStatus "IC1.19" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i145" + ( attribute "CDS_LIB" "cndiscrete" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "LIBRARY1" "ieee" + ( attribute "PACK_TYPE" "4312" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -28073,11 +29296,11 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "USE1" "ieee.std_logic_1164.all" + ( attribute "TYPE" "744231091" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "USE2" "work.all" + ( attribute "VALUE" "90OHM" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -28085,480 +29308,399 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(1450,1450)" + ( attribute "XY" "(-1025,25)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( objectStatus "page1_i32 (pc023a_vthresh_buffer)" ) - ) - ( designInst "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35" - ( attribute "BLOCK" "TRUE" - ( Origin gFrontEnd ) + ( attribute "CHIPS_PART_NAME" "COMMON_MODE_LINE_FILTER" + ( Status sBlockFlattened ) + ( Origin gPackager ) ) - ( attribute "CDS_LIB" "fmc_tlu_v1_lib" + ( attribute "CDS_PART_NAME" "COMMON_MODE_LINE_FILTER_4312-7A" ( Origin gPackager ) ) - ( attribute "LIBRARY1" "ieee" - ( Origin gFrontEnd ) + ( attribute "CDS_PHYS_PART_NAME" "COMMON_MODE_LINE_FILTER_4312-7A" + ( Origin gPackager ) ) - ( attribute "PHYS_PAGE" "3" - ( Origin gFrontEnd ) + ( attribute "CDS_LOCATION" "L2" + ( Origin gPackager ) ) - ( attribute "ROT" "0" - ( Origin gFrontEnd ) + ( attribute "LOCATION" "L2" + ( Origin gPackager ) ) - ( attribute "USE1" "ieee.std_logic_1164.all" - ( Origin gFrontEnd ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) ) - ( attribute "USE2" "work.all" - ( Origin gFrontEnd ) + ( attribute "SEC" "1" + ( Origin gPackager ) ) - ( attribute "VER" "1" - ( Origin gFrontEnd ) + ( objectStatus "L2" ) + ( pin "\1\(0)" + ( attribute "PN" "3" + ( Origin gPackager ) + ) + ( objectStatus "L2.3" ) ) - ( attribute "XY" "(3250,2350)" - ( Origin gFrontEnd ) + ( pin "\1dot\(0)" + ( attribute "PN" "4" + ( Origin gPackager ) + ) + ( objectStatus "L2.4" ) ) - ( objectStatus "page2_i35 (fmc_tlu_cfd)" ) - ) - ( designInst "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36" - ( attribute "BLOCK" "TRUE" - ( Origin gFrontEnd ) + ( pin "\2\(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "L2.2" ) ) - ( attribute "CDS_LIB" "fmc_tlu_v1_lib" + ( pin "\2dot\(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "L2.1" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i146" + ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "LIBRARY1" "ieee" + ( attribute "PACK_TYPE" "1206_TC164" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "3" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "USE1" "ieee.std_logic_1164.all" + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "USE2" "work.all" + ( attribute "TOL" "1%" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VALUE" "47" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(3250,1600)" + ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( objectStatus "page2_i36 (fmc_tlu_cfd)" ) - ) - ( designInst "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37" - ( attribute "BLOCK" "TRUE" + ( attribute "XY" "(-625,75)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CDS_LIB" "fmc_tlu_v1_lib" + ( attribute "CHIPS_PART_NAME" "RES_ARRAY_X4" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "LIBRARY1" "ieee" - ( Origin gFrontEnd ) + ( attribute "CDS_PART_NAME" "RES_ARRAY_X4_1206_TC164-47,1%" + ( Origin gPackager ) ) - ( attribute "PHYS_PAGE" "3" - ( Origin gFrontEnd ) + ( attribute "CDS_PHYS_PART_NAME" "RES_ARRAY_X4_1206_TC164-47,1%" + ( Origin gPackager ) ) - ( attribute "ROT" "0" - ( Origin gFrontEnd ) + ( attribute "CDS_LOCATION" "RN1" + ( Origin gPackager ) ) - ( attribute "USE1" "ieee.std_logic_1164.all" - ( Origin gFrontEnd ) + ( attribute "LOCATION" "RN1" + ( Origin gPackager ) ) - ( attribute "USE2" "work.all" - ( Origin gFrontEnd ) + ( attribute "CDS_SEC" "2" + ( Origin gPackager ) ) - ( attribute "VER" "1" - ( Origin gFrontEnd ) + ( attribute "SEC" "2" + ( Origin gPackager ) ) - ( attribute "XY" "(3250,850)" - ( Origin gFrontEnd ) + ( objectStatus "RN1" ) + ( pin "a(0)" + ( attribute "PN" "3" + ( Origin gPackager ) + ) + ( objectStatus "RN1.3" ) ) - ( objectStatus "page2_i37 (fmc_tlu_cfd)" ) - ) - ( designInst "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38" - ( attribute "BLOCK" "TRUE" - ( Origin gFrontEnd ) + ( pin "b(0)" + ( attribute "PN" "6" + ( Origin gPackager ) + ) + ( objectStatus "RN1.6" ) ) - ( attribute "CDS_LIB" "fmc_tlu_v1_lib" + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i147" + ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "LIBRARY1" "ieee" + ( attribute "PACK_TYPE" "1206_TC164" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "3" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "USE1" "ieee.std_logic_1164.all" + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "USE2" "work.all" + ( attribute "TOL" "1%" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VALUE" "47" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(3250,100)" + ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( objectStatus "page2_i38 (fmc_tlu_cfd)" ) - ) - ( designInst "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62" - ( attribute "BLOCK" "TRUE" + ( attribute "XY" "(-475,-25)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CDS_LIB" "fmc_tlu_v1_lib" + ( attribute "CHIPS_PART_NAME" "RES_ARRAY_X4" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "LIBRARY1" "ieee" - ( Origin gFrontEnd ) + ( attribute "CDS_PART_NAME" "RES_ARRAY_X4_1206_TC164-47,1%" + ( Origin gPackager ) ) - ( attribute "PHYS_PAGE" "2" - ( Origin gFrontEnd ) + ( attribute "CDS_PHYS_PART_NAME" "RES_ARRAY_X4_1206_TC164-47,1%" + ( Origin gPackager ) ) - ( attribute "ROT" "0" - ( Origin gFrontEnd ) + ( attribute "CDS_LOCATION" "RN2" + ( Origin gPackager ) ) - ( attribute "USE1" "ieee.std_logic_1164.all" - ( Origin gFrontEnd ) + ( attribute "LOCATION" "RN2" + ( Origin gPackager ) ) - ( attribute "USE2" "work.all" - ( Origin gFrontEnd ) + ( attribute "CDS_SEC" "3" + ( Origin gPackager ) ) - ( attribute "VER" "1" - ( Origin gFrontEnd ) + ( attribute "SEC" "3" + ( Origin gPackager ) ) - ( attribute "XY" "(1550,-850)" - ( Origin gFrontEnd ) + ( objectStatus "RN2" ) + ( pin "a(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "RN2.2" ) ) - ( objectStatus "page4_i62 (fmc_tlu_diode_clamp)" ) - ) - ( designInst "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63" - ( attribute "BLOCK" "TRUE" - ( Origin gFrontEnd ) + ( pin "b(0)" + ( attribute "PN" "7" + ( Origin gPackager ) + ) + ( objectStatus "RN2.7" ) ) - ( attribute "CDS_LIB" "fmc_tlu_v1_lib" + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i148" + ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "LIBRARY1" "ieee" + ( attribute "DIELECTRIC" "X5R" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "2" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "USE1" "ieee.std_logic_1164.all" + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "USE2" "work.all" + ( attribute "TYPE" "GNM21" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "1UF" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2050,-2850)" + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( objectStatus "page4_i63 (fmc_tlu_diode_clamp)" ) - ) - ( designInst "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64" - ( attribute "BLOCK" "TRUE" + ( attribute "XY" "(-200,25)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CDS_LIB" "fmc_tlu_v1_lib" + ( attribute "CHIPS_PART_NAME" "CAPN4I" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "LIBRARY1" "ieee" - ( Origin gFrontEnd ) + ( attribute "CDS_PART_NAME" "CAPN4I-1UF,16V,X5R,GNM21" + ( Origin gPackager ) ) - ( attribute "PHYS_PAGE" "2" - ( Origin gFrontEnd ) + ( attribute "CDS_PHYS_PART_NAME" "CAPN4I-1UF,16V,X5R,GNM21" + ( Origin gPackager ) ) - ( attribute "ROT" "0" - ( Origin gFrontEnd ) + ( attribute "CDS_LOCATION" "CN1" + ( Origin gPackager ) ) - ( attribute "USE1" "ieee.std_logic_1164.all" - ( Origin gFrontEnd ) + ( attribute "LOCATION" "CN1" + ( Origin gPackager ) ) - ( attribute "USE2" "work.all" - ( Origin gFrontEnd ) + ( attribute "CDS_SEC" "2" + ( Origin gPackager ) ) - ( attribute "VER" "1" - ( Origin gFrontEnd ) + ( attribute "SEC" "2" + ( Origin gPackager ) ) - ( attribute "XY" "(5000,-800)" - ( Origin gFrontEnd ) + ( objectStatus "CN1" ) + ( pin "a(0)" + ( attribute "PN" "3" + ( Origin gPackager ) + ) + ( objectStatus "CN1.3" ) ) - ( objectStatus "page4_i64 (fmc_tlu_diode_clamp)" ) - ) - ( designInst "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55" - ( attribute "BLOCK" "TRUE" - ( Origin gFrontEnd ) + ( pin "b(0)" + ( attribute "PN" "4" + ( Origin gPackager ) + ) + ( objectStatus "CN1.4" ) ) - ( attribute "CDS_LIB" "fmc_tlu_v1_lib" + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i152" + ( attribute "CDS_LIB" "cninterface" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "LIBRARY1" "ieee" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "3" + ( attribute "PACK_TYPE" "VQFN" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "USE1" "ieee.std_logic_1164.all" + ( attribute "ROT" "2" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "USE2" "work.all" + ( attribute "TYPE" "SN65MLVD040RGZ" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(50,-1250)" + ( attribute "XY" "(475,0)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( objectStatus "page2_i55 (fmc_tlu_vsupply5v)" ) - ) - ) - ) - ( BaseCopy "pc023a_dac_vthresh" - ( constraintHeader - ( revisionNumber - ( logicalViewRevNum 13 ) - ( physicalViewRevNum 0 ) - ( otherViewRevNum 0 ) - ) - ( contents - ( electricalConstraints ) - ( netClasses ) - ( properties ) - ) - ) - ( designConstraints - ( ruleChanges - ( allRules ) - ( design "pc023a_dac_vthresh" - ) - ( signal "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):a0" - ( attribute "CDS_PHYS_NET_NAME" "A0" + ( attribute "CHIPS_PART_NAME" "SN65MLVD040" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "a0" ) - ) - ( signal "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):a1" - ( attribute "CDS_PHYS_NET_NAME" "A1" + ( attribute "CDS_PART_NAME" "SN65MLVD040RGZ-GND=GND_SIGNAL;A" ( Origin gPackager ) ) - ( objectStatus "a1" ) - ) - ( signal "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):gnd_signal" - ( alias ( signalRef "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_gnd_signal") ) - ( objectStatus "gnd_signal" ) - ) - ( signal "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_gnd_signal" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_gnd_signal" ) - ) - ( signal "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):m5v" - ( alias ( signalRef "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_m5v") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i29@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):m5v") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i30@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):m5v") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i31@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):m5v") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i32@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):m5v") ) - ( objectStatus "m5v" ) - ) - ( signal "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_m5v" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_m5v" ) - ) - ( signal "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i29@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):m5v" - ( objectFlag fObjectAlias ) - ) - ( signal "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i30@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):m5v" - ( objectFlag fObjectAlias ) - ) - ( signal "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i31@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):m5v" - ( objectFlag fObjectAlias ) - ) - ( signal "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i32@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):m5v" - ( objectFlag fObjectAlias ) - ) - ( signal "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):p5v" - ( alias ( signalRef "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_p5v") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i29@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):p5v") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i30@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):p5v") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i31@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):p5v") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i32@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):p5v") ) - ( objectStatus "p5v" ) - ) - ( signal "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_p5v" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_p5v" ) - ) - ( signal "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i29@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):p5v" - ( objectFlag fObjectAlias ) - ) - ( signal "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i30@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):p5v" - ( objectFlag fObjectAlias ) - ) - ( signal "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i31@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):p5v" - ( objectFlag fObjectAlias ) - ) - ( signal "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i32@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):p5v" - ( objectFlag fObjectAlias ) - ) - ( signal "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):scl" - ( attribute "CDS_PHYS_NET_NAME" "SCL" + ( attribute "CDS_PHYS_PART_NAME" "SN65MLVD040RGZ-GND=GND_SIGNAL,A" ( Origin gPackager ) ) - ( objectStatus "scl" ) - ) - ( signal "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):sda" - ( attribute "CDS_PHYS_NET_NAME" "SDA" + ( attribute "CDS_LOCATION" "IC1" ( Origin gPackager ) ) - ( objectStatus "sda" ) - ) - ( signal "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i29@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):\vin+\" - ( objectFlag fObjectAlias ) - ) - ( signal "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i32@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):\vin+\" - ( objectFlag fObjectAlias ) - ) - ( signal "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i31@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):\vin+\" - ( objectFlag fObjectAlias ) - ) - ( signal "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i30@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):\vin+\" - ( objectFlag fObjectAlias ) - ) - ( signal "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):vref" - ( alias ( signalRef "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i29@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):\vin-\") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i30@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):\vin-\") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i31@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):\vin-\") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i32@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):\vin-\") ) - ( attribute "CDS_PHYS_NET_NAME" "VREF" + ( attribute "LOCATION" "IC1" ( Origin gPackager ) ) - ( objectStatus "vref" ) - ) - ( signal "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i29@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):\vin-\" - ( objectFlag fObjectAlias ) - ) - ( signal "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i30@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):\vin-\" - ( objectFlag fObjectAlias ) - ) - ( signal "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i31@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):\vin-\" - ( objectFlag fObjectAlias ) - ) - ( signal "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i32@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):\vin-\" - ( objectFlag fObjectAlias ) - ) - ( signal "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):vthresh(0)" - ( alias ( signalRef "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i29@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):vout") ) - ( attribute "CDS_PHYS_NET_NAME" "VTHRESH<0>" + ( attribute "CDS_SEC" "2" ( Origin gPackager ) ) - ( attribute "PNN" "VTHRESH<0>" + ( attribute "SEC" "2" ( Origin gPackager ) ) - ( objectStatus "vthresh<0>" ) - ) - ( signal "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i29@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):vout" - ( objectFlag fObjectAlias ) - ) - ( signal "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):vthresh(1)" - ( alias ( signalRef "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i32@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):vout") ) - ( attribute "CDS_PHYS_NET_NAME" "VTHRESH<1>" - ( Origin gPackager ) + ( objectStatus "IC1" ) + ( pin "a(0)" + ( attribute "PN" "3" + ( Origin gPackager ) + ) + ( objectStatus "IC1.3" ) ) - ( attribute "PNN" "VTHRESH<1>" - ( Origin gPackager ) + ( pin "\b*\(0)" + ( attribute "PN" "4" + ( Origin gPackager ) + ) + ( objectStatus "IC1.4" ) ) - ( objectStatus "vthresh<1>" ) - ) - ( signal "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i32@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):vout" - ( objectFlag fObjectAlias ) - ) - ( signal "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):vthresh(2)" - ( alias ( signalRef "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i31@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):vout") ) - ( attribute "CDS_PHYS_NET_NAME" "VTHRESH<2>" - ( Origin gPackager ) + ( pin "d(0)" + ( attribute "PN" "32" + ( Origin gPackager ) + ) + ( objectStatus "IC1.32" ) ) - ( attribute "PNN" "VTHRESH<2>" - ( Origin gPackager ) + ( pin "de(0)" + ( attribute "PN" "5" + ( Origin gPackager ) + ) + ( objectStatus "IC1.5" ) + ) + ( pin "fsen(0)" + ( attribute "PN" "41" + ( Origin gPackager ) + ) + ( objectStatus "IC1.41" ) + ) + ( pin "pdn" + ( attribute "PN" "30" + ( Origin gPackager ) + ) + ( objectStatus "IC1.30" ) + ) + ( pin "r(0)" + ( attribute "PN" "33" + ( Origin gPackager ) + ) + ( objectStatus "IC1.33" ) + ) + ( pin "\re*\(0)" + ( attribute "PN" "42" + ( Origin gPackager ) + ) + ( objectStatus "IC1.42" ) ) - ( objectStatus "vthresh<2>" ) - ) - ( signal "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i31@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):vout" - ( objectFlag fObjectAlias ) ) - ( signal "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):vthresh(3)" - ( alias ( signalRef "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i30@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):vout") ) - ( attribute "CDS_PHYS_NET_NAME" "VTHRESH<3>" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i157" + ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PNN" "VTHRESH<3>" + ( attribute "CDS_LOCATION" "C10" ( Origin gPackager ) ) - ( objectStatus "vthresh<3>" ) - ) - ( signal "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i30@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):vout" - ( objectFlag fObjectAlias ) - ) - ( signal "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i29@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):unnamed_1_opa4277_i22_min" - ) - ( signal "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i30@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):unnamed_1_opa4277_i22_min" - ) - ( signal "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i31@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):unnamed_1_opa4277_i22_min" - ) - ( signal "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i32@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):unnamed_1_opa4277_i22_min" - ) - ( signal "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):p3v3" - ( alias ( signalRef "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_p3v3") ) - ( objectStatus "p3v3" ) - ) - ( signal "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_p3v3" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_p3v3" ) - ) - ( signal "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):unnamed_1_ad5665r_i63_vouta" - ( alias ( signalRef "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i29@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):\vin+\") ) - ( objectStatus "unnamed_1_ad5665r_i63_vouta" ) - ) - ( signal "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):unnamed_1_ad5665r_i63_voutb" - ( alias ( signalRef "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i32@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):\vin+\") ) - ( objectStatus "unnamed_1_ad5665r_i63_voutb" ) - ) - ( signal "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):unnamed_1_ad5665r_i63_voutc" - ( alias ( signalRef "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i31@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):\vin+\") ) - ( objectStatus "unnamed_1_ad5665r_i63_voutc" ) - ) - ( signal "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):unnamed_1_ad5665r_i63_voutd" - ( alias ( signalRef "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i30@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):\vin+\") ) - ( objectStatus "unnamed_1_ad5665r_i63_voutd" ) - ) - ( gate "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i29@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):page1_i22" - ( attribute "CDS_LIB" "cnlinear" + ( attribute "CDS_SEC" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,125,125,-125" - ( Status sBlockFlattened ) + ( attribute "LOCATION" "C10" ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "SOIC" + ( attribute "PACK_TYPE" "0603" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -28570,7 +29712,15 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TYPE" "OPA4277UA" + ( attribute "SEC" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "100NF" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -28578,50 +29728,57 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-850,1850)" + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "OPA4277" + ( attribute "XY" "(3425,-2075)" ( Status sBlockFlattened ) - ( Origin gPackager ) + ( Origin gFrontEnd ) ) - ( attribute "CDS_PART_NAME" "OPA4277UA" + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( pin "min(0)" - ) - ( pin "\out\(0)" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( Origin gPackager ) ) - ( pin "pin(0)" + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( Origin gPackager ) ) - ( pin "\v+\" + ( objectStatus "C10" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "C10.1" ) ) - ( pin "\v-\" + ( pin "b(0)" + ( attribute "PN" "2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "C10.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i29@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):page1_i23" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i160" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "DIST" "FLAT" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "LOCATION" "<< NULL >>" - ( Status sBlockFlattened ) + ( attribute "CDS_LOCATION" "C2" ( Origin gPackager ) ) - ( attribute "MAX_TEMP" "RTMAX" + ( attribute "CDS_SEC" "1" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) - ( attribute "NEGTOL" "RTOL%" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( attribute "LOCATION" "C2" + ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "1/10W" + ( attribute "PACK_TYPE" "0603" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -28629,175 +29786,233 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POSTOL" "RTOL%" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "POWER" "RMAX" + ( attribute "ROT" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "SEC" "1" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) ( attribute "SIZE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SLOPE" "RSMAX" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "TC1" "RTMPL" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "TC2" "RTMPQ" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "TOL" "1%" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "TOL_ON_OFF" "ON" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "VALUE" "10K" + ( attribute "VALUE" "100NF" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "2" + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "RVMAX" + ( attribute "VOLTAGE" "16V" ( Units "uVoltage" "V" 1.000000) ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-850,2400)" + ( attribute "XY" "(-1000,-3175)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-10K,1%" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( Origin gPackager ) + ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" ( Origin gPackager ) ) + ( objectStatus "C2" ) ( pin "a(0)" + ( attribute "PN" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "C2.1" ) ) ( pin "b(0)" + ( attribute "PN" "2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "C2.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i29@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):page1_i24" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i161" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "DIST" "FLAT" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( attribute "CDS_LOCATION" "C7" + ( Origin gPackager ) ) - ( attribute "LOCATION" "<< NULL >>" + ( attribute "CDS_SEC" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "MAX_TEMP" "RTMAX" + ( attribute "LOCATION" "C7" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "0603" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "NEGTOL" "RTOL%" + ( attribute "PHYS_PAGE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "1/10W" + ( attribute "ROT" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" + ( attribute "SEC" "1" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) - ( attribute "POSTOL" "RTOL%" + ( attribute "SIZE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POWER" "RMAX" + ( attribute "VALUE" "100NF" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SLOPE" "RSMAX" + ( attribute "XY" "(0,-3175)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TC1" "RTMPL" + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( Origin gPackager ) + ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( Origin gPackager ) + ) + ( objectStatus "C7" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "C7.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "C7.2" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i162" + ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C5" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "LOCATION" "C5" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "0603" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TC2" "RTMPQ" + ( attribute "PHYS_PAGE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TOL" "1%" + ( attribute "ROT" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TOL_ON_OFF" "ON" + ( attribute "SEC" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "SIZE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "10K" + ( attribute "VALUE" "100NF" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "2" + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "RVMAX" + ( attribute "VOLTAGE" "16V" ( Units "uVoltage" "V" 1.000000) ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-2100,1800)" + ( attribute "XY" "(-250,-3175)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-10K,1%" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( Origin gPackager ) + ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" ( Origin gPackager ) ) + ( objectStatus "C5" ) ( pin "a(0)" + ( attribute "PN" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "C5.1" ) ) ( pin "b(0)" + ( attribute "PN" "2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "C5.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i30@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):page1_i22" - ( attribute "CDS_LIB" "cnlinear" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i163" + ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,125,125,-125" + ( attribute "CDS_LOCATION" "C4" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "SOIC" + ( attribute "LOCATION" "C4" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "0603" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -28805,11 +30020,19 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "ROT" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TYPE" "OPA4277UA" + ( attribute "SEC" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "100NF" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -28817,50 +30040,57 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-850,1850)" + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "OPA4277" + ( attribute "XY" "(-500,-3175)" ( Status sBlockFlattened ) - ( Origin gPackager ) + ( Origin gFrontEnd ) ) - ( attribute "CDS_PART_NAME" "OPA4277UA" + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( pin "min(0)" - ) - ( pin "\out\(0)" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( Origin gPackager ) ) - ( pin "pin(0)" + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( Origin gPackager ) ) - ( pin "\v+\" + ( objectStatus "C4" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "C4.1" ) ) - ( pin "\v-\" + ( pin "b(0)" + ( attribute "PN" "2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "C4.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i30@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):page1_i23" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i164" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "DIST" "FLAT" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "LOCATION" "<< NULL >>" - ( Status sBlockFlattened ) + ( attribute "CDS_LOCATION" "C3" ( Origin gPackager ) ) - ( attribute "MAX_TEMP" "RTMAX" + ( attribute "CDS_SEC" "1" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) - ( attribute "NEGTOL" "RTOL%" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( attribute "LOCATION" "C3" + ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "1/10W" + ( attribute "PACK_TYPE" "0603" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -28868,1558 +30098,3401 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POSTOL" "RTOL%" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "POWER" "RMAX" + ( attribute "ROT" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "SEC" "1" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) ( attribute "SIZE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SLOPE" "RSMAX" + ( attribute "VALUE" "100NF" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TC1" "RTMPL" + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TC2" "RTMPQ" + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TOL" "1%" + ( attribute "XY" "(-750,-3175)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TOL_ON_OFF" "ON" + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) - ( attribute "VALUE" "10K" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( Origin gPackager ) ) - ( attribute "VER" "2" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "VOLTAGE" "RVMAX" - ( Units "uVoltage" "V" 1.000000) - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(-850,2400)" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "CHIPS_PART_NAME" "RSMD0603" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-10K,1%" + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" ( Origin gPackager ) ) + ( objectStatus "C3" ) ( pin "a(0)" + ( attribute "PN" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "C3.1" ) ) ( pin "b(0)" + ( attribute "PN" "2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "C3.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i30@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):page1_i24" - ( attribute "CDS_LIB" "cnpassive" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "DIST" "FLAT" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "LOCATION" "<< NULL >>" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "MAX_TEMP" "RTMAX" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "NEGTOL" "RTOL%" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "PACK_TYPE" "1/10W" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "1" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "POSTOL" "RTOL%" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "POWER" "RMAX" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "ROT" "0" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "SIZE" "1" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "SLOPE" "RSMAX" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "TC1" "RTMPL" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "TC2" "RTMPQ" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "TOL" "1%" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "TOL_ON_OFF" "ON" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "VALUE" "10K" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "VER" "2" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "VOLTAGE" "RVMAX" - ( Units "uVoltage" "V" 1.000000) - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(-2100,1800)" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "CHIPS_PART_NAME" "RSMD0603" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-10K,1%" - ( Origin gPackager ) - ) - ( pin "a(0)" - ) - ( pin "b(0)" - ) + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i29:\vin+\" ) - ( gate "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i31@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):page1_i22" - ( attribute "CDS_LIB" "cnlinear" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,125,125,-125" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "PACK_TYPE" "SOIC" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "1" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "ROT" "0" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "TYPE" "OPA4277UA" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "VER" "1" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(-850,1850)" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "CHIPS_PART_NAME" "OPA4277" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "OPA4277UA" - ( Origin gPackager ) - ) - ( pin "min(0)" - ) - ( pin "\out\(0)" - ) - ( pin "pin(0)" - ) - ( pin "\v+\" - ) - ( pin "\v-\" - ) + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i29:\vin-\" ) - ( gate "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i31@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):page1_i23" - ( attribute "CDS_LIB" "cnpassive" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "DIST" "FLAT" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "LOCATION" "<< NULL >>" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "MAX_TEMP" "RTMAX" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "NEGTOL" "RTOL%" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "PACK_TYPE" "1/10W" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "1" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "POSTOL" "RTOL%" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "POWER" "RMAX" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "ROT" "0" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "SIZE" "1" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "SLOPE" "RSMAX" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "TC1" "RTMPL" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "TC2" "RTMPQ" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "TOL" "1%" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "TOL_ON_OFF" "ON" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "VALUE" "10K" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "VER" "2" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "VOLTAGE" "RVMAX" - ( Units "uVoltage" "V" 1.000000) - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(-850,2400)" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "CHIPS_PART_NAME" "RSMD0603" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-10K,1%" - ( Origin gPackager ) - ) - ( pin "a(0)" - ) - ( pin "b(0)" - ) + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i29:vout" ) - ( gate "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i31@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):page1_i24" - ( attribute "CDS_LIB" "cnpassive" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "DIST" "FLAT" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "LOCATION" "<< NULL >>" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "MAX_TEMP" "RTMAX" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "NEGTOL" "RTOL%" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "PACK_TYPE" "1/10W" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "1" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "POSTOL" "RTOL%" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "POWER" "RMAX" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "ROT" "0" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "SIZE" "1" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "SLOPE" "RSMAX" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "TC1" "RTMPL" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "TC2" "RTMPQ" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "TOL" "1%" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "TOL_ON_OFF" "ON" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "VALUE" "10K" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "VER" "2" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "VOLTAGE" "RVMAX" - ( Units "uVoltage" "V" 1.000000) - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(-2100,1800)" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "CHIPS_PART_NAME" "RSMD0603" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-10K,1%" - ( Origin gPackager ) - ) - ( pin "a(0)" - ) - ( pin "b(0)" - ) + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i30:\vin+\" ) - ( gate "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i32@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):page1_i22" - ( attribute "CDS_LIB" "cnlinear" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,125,125,-125" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "PACK_TYPE" "SOIC" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "1" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "ROT" "0" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "TYPE" "OPA4277UA" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "VER" "1" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(-850,1850)" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "CHIPS_PART_NAME" "OPA4277" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "OPA4277UA" - ( Origin gPackager ) - ) - ( pin "min(0)" - ) - ( pin "\out\(0)" - ) - ( pin "pin(0)" - ) - ( pin "\v+\" - ) - ( pin "\v-\" - ) + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i30:\vin-\" ) - ( gate "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i32@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):page1_i23" - ( attribute "CDS_LIB" "cnpassive" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "DIST" "FLAT" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "LOCATION" "<< NULL >>" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "MAX_TEMP" "RTMAX" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "NEGTOL" "RTOL%" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "PACK_TYPE" "1/10W" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "1" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "POSTOL" "RTOL%" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "POWER" "RMAX" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "ROT" "0" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "SIZE" "1" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "SLOPE" "RSMAX" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "TC1" "RTMPL" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "TC2" "RTMPQ" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "TOL" "1%" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "TOL_ON_OFF" "ON" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "VALUE" "10K" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "VER" "2" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "VOLTAGE" "RVMAX" - ( Units "uVoltage" "V" 1.000000) - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(-850,2400)" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "CHIPS_PART_NAME" "RSMD0603" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-10K,1%" - ( Origin gPackager ) - ) - ( pin "a(0)" - ) - ( pin "b(0)" - ) + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i30:vout" ) - ( gate "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i32@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):page1_i24" - ( attribute "CDS_LIB" "cnpassive" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "DIST" "FLAT" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "LOCATION" "<< NULL >>" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "MAX_TEMP" "RTMAX" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "NEGTOL" "RTOL%" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "PACK_TYPE" "1/10W" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "1" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "POSTOL" "RTOL%" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "POWER" "RMAX" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "ROT" "0" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "SIZE" "1" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "SLOPE" "RSMAX" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "TC1" "RTMPL" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "TC2" "RTMPQ" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "TOL" "1%" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "TOL_ON_OFF" "ON" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "VALUE" "10K" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "VER" "2" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "VOLTAGE" "RVMAX" - ( Units "uVoltage" "V" 1.000000) - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(-2100,1800)" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "CHIPS_PART_NAME" "RSMD0603" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-10K,1%" - ( Origin gPackager ) - ) - ( pin "a(0)" - ) - ( pin "b(0)" - ) + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i31:\vin+\" ) - ( gate "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i50" - ( attribute "CDS_LIB" "cnpassive" - ( Origin gPackager ) - ) - ( attribute "PACK_TYPE" "0603" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "1" - ( Origin gFrontEnd ) - ) - ( attribute "ROT" "1" - ( Origin gFrontEnd ) - ) - ( attribute "SIZE" "1" - ( Origin gFrontEnd ) - ) - ( attribute "VALUE" "1UF" - ( Origin gFrontEnd ) - ) - ( attribute "VER" "1" - ( Origin gFrontEnd ) - ) - ( attribute "VOLTAGE" "16V" - ( Units "uVoltage" "V" 1.000000) - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(-1500,-1500)" - ( Origin gFrontEnd ) - ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-1UF,16V" - ( Origin gPackager ) - ) - ( attribute "CDS_LOCATION" "C6" - ( Origin gPackager ) - ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "C6" - ( Origin gPackager ) - ) - ( attribute "SEC" "1" - ( Origin gPackager ) - ) - ( objectStatus "PAGE1_I50" ) - ( pin "a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) - ) - ) - ( pin "b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ) + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i31:\vin-\" ) - ( gate "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i51" - ( attribute "CDS_LIB" "cnpassive" - ( Origin gPackager ) - ) - ( attribute "PACK_TYPE" "0603" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "1" - ( Origin gFrontEnd ) - ) - ( attribute "ROT" "1" - ( Origin gFrontEnd ) - ) - ( attribute "SIZE" "1" - ( Origin gFrontEnd ) - ) - ( attribute "VALUE" "1UF" - ( Origin gFrontEnd ) - ) - ( attribute "VER" "1" - ( Origin gFrontEnd ) - ) - ( attribute "VOLTAGE" "16V" - ( Units "uVoltage" "V" 1.000000) - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(-3100,-1250)" - ( Origin gFrontEnd ) - ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-1UF,16V" - ( Origin gPackager ) - ) - ( attribute "CDS_LOCATION" "C2" - ( Origin gPackager ) - ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "C2" - ( Origin gPackager ) - ) - ( attribute "SEC" "1" - ( Origin gPackager ) - ) - ( objectStatus "PAGE1_I51" ) - ( pin "a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) - ) - ) - ( pin "b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ) + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i31:vout" ) - ( gate "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i52" - ( attribute "CDS_LIB" "cnpassive" - ( Origin gPackager ) - ) - ( attribute "PACK_TYPE" "0603" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "1" - ( Origin gFrontEnd ) - ) - ( attribute "ROT" "1" - ( Origin gFrontEnd ) - ) - ( attribute "SIZE" "1" - ( Origin gFrontEnd ) - ) - ( attribute "VALUE" "1UF" - ( Origin gFrontEnd ) - ) - ( attribute "VER" "1" - ( Origin gFrontEnd ) - ) - ( attribute "VOLTAGE" "16V" - ( Units "uVoltage" "V" 1.000000) - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(-3100,-1650)" - ( Origin gFrontEnd ) - ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-1UF,16V" - ( Origin gPackager ) - ) - ( attribute "CDS_LOCATION" "C3" - ( Origin gPackager ) - ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "C3" - ( Origin gPackager ) - ) - ( attribute "SEC" "1" - ( Origin gPackager ) - ) - ( objectStatus "PAGE1_I52" ) - ( pin "a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) - ) - ) - ( pin "b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ) + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i32:\vin+\" ) - ( gate "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i53" - ( attribute "CDS_LIB" "cnpassive" - ( Origin gPackager ) - ) - ( attribute "PACK_TYPE" "0603" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "1" - ( Origin gFrontEnd ) - ) - ( attribute "ROT" "1" - ( Origin gFrontEnd ) - ) - ( attribute "SIZE" "1" - ( Origin gFrontEnd ) - ) - ( attribute "VALUE" "100NF" - ( Origin gFrontEnd ) - ) - ( attribute "VER" "1" - ( Origin gFrontEnd ) - ) - ( attribute "VOLTAGE" "16V" - ( Units "uVoltage" "V" 1.000000) - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(-1750,-1500)" - ( Origin gFrontEnd ) - ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" - ( Origin gPackager ) - ) - ( attribute "CDS_LOCATION" "C5" - ( Origin gPackager ) - ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "C5" - ( Origin gPackager ) - ) - ( attribute "SEC" "1" - ( Origin gPackager ) - ) - ( objectStatus "PAGE1_I53" ) - ( pin "a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) - ) - ) - ( pin "b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ) + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i32:\vin-\" ) - ( gate "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i63" - ( attribute "CDS_LIB" "cnlinear" - ( Origin gPackager ) - ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-275,175,275,-175" - ( Origin gPackager ) - ) - ( attribute "PACK_TYPE" "TSSOP" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "1" - ( Origin gFrontEnd ) - ) - ( attribute "ROT" "0" - ( Origin gFrontEnd ) - ) - ( attribute "TYPE" "AD5665RBRUZ-1" - ( Origin gFrontEnd ) - ) - ( attribute "VER" "1" - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(-1450,1250)" - ( Origin gFrontEnd ) - ) - ( attribute "CHIPS_PART_NAME" "AD5665R" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "AD5665RBRUZ-1-GND=GND_SIGNAL;VA" - ( Origin gPackager ) - ) - ( attribute "CDS_LOCATION" "IC1" - ( Origin gPackager ) - ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "IC1" - ( Origin gPackager ) - ) - ( attribute "SEC" "1" - ( Origin gPackager ) - ) - ( objectStatus "PAGE1_I63" ) - ( pin "addr1" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ) - ( pin "addr2" - ( attribute "PN" "8" - ( Origin gPackager ) - ) - ) - ( pin "\clr*\" - ( attribute "PN" "9" - ( Origin gPackager ) - ) - ) - ( pin "\ldac*\" - ( attribute "PN" "1" - ( Origin gPackager ) - ) - ) - ( pin "por" - ( attribute "PN" "6" - ( Origin gPackager ) - ) - ) - ( pin "scl" - ( attribute "PN" "14" - ( Origin gPackager ) - ) - ) - ( pin "sda" - ( attribute "PN" "13" - ( Origin gPackager ) - ) - ) - ( pin "vouta" - ( attribute "PN" "4" - ( Origin gPackager ) - ) - ) - ( pin "voutb" - ( attribute "PN" "11" - ( Origin gPackager ) - ) - ) - ( pin "voutc" - ( attribute "PN" "5" - ( Origin gPackager ) - ) - ) - ( pin "voutd" - ( attribute "PN" "10" - ( Origin gPackager ) - ) - ) - ( pin "\vrefin/vrefout\" - ( attribute "PN" "7" - ( Origin gPackager ) - ) - ) + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i32:vout" ) - ( gate "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i66" - ( attribute "CDS_LIB" "cnpassive" - ( Origin gPackager ) - ) - ( attribute "PACK_TYPE" "0603" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "1" - ( Origin gFrontEnd ) - ) - ( attribute "ROT" "1" - ( Origin gFrontEnd ) - ) - ( attribute "SIZE" "1" - ( Origin gFrontEnd ) - ) - ( attribute "VALUE" "1UF" - ( Origin gFrontEnd ) - ) - ( attribute "VER" "1" - ( Origin gFrontEnd ) - ) - ( attribute "VOLTAGE" "16V" - ( Units "uVoltage" "V" 1.000000) - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(-2250,0)" - ( Origin gFrontEnd ) - ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-1UF,16V" - ( Origin gPackager ) - ) - ( attribute "CDS_LOCATION" "C4" - ( Origin gPackager ) - ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "C4" - ( Origin gPackager ) - ) - ( objectStatus "PAGE1_I66" ) - ( pin "a(0)" - ( attribute "PN" "#" - ( Origin gPackager ) - ) - ) - ( pin "b(0)" - ( attribute "PN" "#" - ( Origin gPackager ) - ) - ) + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1:busy_from_fpga" ) - ( gate "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i68" - ( attribute "CDS_LIB" "cnpassive" - ( Origin gPackager ) - ) - ( attribute "PACK_TYPE" "HOLE" - ( Origin gFrontEnd ) - ) - ( attribute "PART_NAME" "TP" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "1" - ( Origin gFrontEnd ) - ) - ( attribute "ROT" "0" - ( Origin gFrontEnd ) - ) - ( attribute "SIZE" "1" - ( Origin gFrontEnd ) - ) - ( attribute "SYMMAP" "TRUE" - ( Origin gFrontEnd ) - ) - ( attribute "VALUE" "0.8MM" - ( Origin gFrontEnd ) - ) - ( attribute "VER" "1" - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(-1225,500)" - ( Origin gFrontEnd ) - ) - ( attribute "CHIPS_PART_NAME" "TP" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" - ( Origin gPackager ) - ) - ( objectStatus "PAGE1_I68" ) - ( pin "a(0)" - ( attribute "PN" "#" - ( Origin gPackager ) - ) - ) + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1:busy_to_fpga" ) - ( gate "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i69" - ( attribute "CDS_LIB" "cnpassive" - ( Origin gPackager ) - ) - ( attribute "PACK_TYPE" "HOLE" - ( Origin gFrontEnd ) - ) - ( attribute "PART_NAME" "TP" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "1" - ( Origin gFrontEnd ) - ) - ( attribute "ROT" "0" - ( Origin gFrontEnd ) - ) - ( attribute "SIZE" "1" - ( Origin gFrontEnd ) - ) - ( attribute "SYMMAP" "TRUE" - ( Origin gFrontEnd ) - ) - ( attribute "VALUE" "0.8MM" - ( Origin gFrontEnd ) - ) - ( attribute "VER" "1" - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(-700,1350)" - ( Origin gFrontEnd ) - ) - ( attribute "CHIPS_PART_NAME" "TP" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" - ( Origin gPackager ) - ) - ( objectStatus "PAGE1_I69" ) - ( pin "a(0)" - ( attribute "PN" "#" - ( Origin gPackager ) - ) - ) + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1:clk_from_fpga" ) - ( gate "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i70" - ( attribute "CDS_LIB" "bris_cds_analogue" - ( Origin gPackager ) - ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,125,125,-125" - ( Origin gPackager ) - ) - ( attribute "MANUF" "TEXAS INSTRUMENTS" - ( Origin gFrontEnd ) - ) - ( attribute "PACK_TYPE" "SOT23-5" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "1" - ( Origin gFrontEnd ) - ) - ( attribute "ROT" "0" - ( Origin gFrontEnd ) - ) - ( attribute "VALUE" "1.3V" - ( Origin gFrontEnd ) - ) - ( attribute "VER" "1" - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(-2625,125)" - ( Origin gFrontEnd ) - ) - ( attribute "CHIPS_PART_NAME" "LP5951" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "LP5951_SOT23-5-1.3V,TEXAS INSTA" - ( Origin gPackager ) - ) - ( objectStatus "PAGE1_I70" ) - ( pin "gnd" - ) - ( pin "\on/off\" - ) - ( pin "vin" - ) - ( pin "vout" - ) + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1:clk_to_dut" ) - ( gate "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i73" - ( attribute "CDS_LIB" "cnpassive" - ( Origin gPackager ) - ) - ( attribute "PACK_TYPE" "0603" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "1" - ( Origin gFrontEnd ) - ) - ( attribute "ROT" "1" - ( Origin gFrontEnd ) - ) - ( attribute "SIZE" "1" - ( Origin gFrontEnd ) - ) - ( attribute "VALUE" "1UF" - ( Origin gFrontEnd ) - ) - ( attribute "VER" "1" - ( Origin gFrontEnd ) - ) - ( attribute "VOLTAGE" "16V" - ( Units "uVoltage" "V" 1.000000) - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(-2925,-125)" - ( Origin gFrontEnd ) - ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-1UF,16V" - ( Origin gPackager ) - ) - ( objectStatus "PAGE1_I73" ) - ( pin "a(0)" - ( attribute "PN" "#" - ( Origin gPackager ) - ) - ) - ( pin "b(0)" - ( attribute "PN" "#" - ( Origin gPackager ) - ) - ) + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1:\clk_to_dut*\" ) - ( pin "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i29:\vin+\" + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1:clk_to_fpga" ) - ( pin "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i29:\vin-\" + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1:cont_from_fpga" ) - ( pin "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i29:vout" + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1:cont_to_fpga" ) - ( pin "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i30:\vin+\" + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1:enable_busy_from_fpga" ) - ( pin "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i30:\vin-\" + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1:enable_clk_from_fpga" ) - ( pin "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i30:vout" + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1:enable_clk_to_dut" ) - ( pin "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i31:\vin+\" + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1:enable_cont_from_fpga" ) - ( pin "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i31:\vin-\" + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1:enable_spare_from_fpga" ) - ( pin "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i31:vout" + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1:enable_trig_from_fpga" ) - ( pin "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i32:\vin+\" + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1:hdmi_clk" ) - ( pin "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i32:\vin-\" + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1:\hdmi_clk*\" ) - ( pin "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i32:vout" + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1:hdmi_power_enable" ) - ( bus "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):vthresh" + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1:spare_from_fpga" + ) + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1:spare_to_fpga" + ) + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1:trig_from_fpga" + ) + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1:trig_to_fpga" + ) + ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\BEAM_TRIGGER0\" ( memberType ( signal ) ) - ( member ( signalRef "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):vthresh(0)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):vthresh(1)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):vthresh(2)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):vthresh(3)") ) - ( objectStatus "VTHRESH" ) + ( alias ( diffPairRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA1\") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\beam_trigger*\(0)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):beam_trigger(0)") ) + ( objectStatus "BEAM_TRIGGER0" ) ) - ( designInst "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i29" - ( attribute "BLOCK" "TRUE" - ( Origin gFrontEnd ) - ) - ( attribute "CDS_LIB" "fmc_tlu_v1_lib" - ( Origin gPackager ) - ) - ( attribute "LIBRARY1" "ieee" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "1" - ( Origin gFrontEnd ) - ) - ( attribute "ROT" "0" - ( Origin gFrontEnd ) - ) - ( attribute "USE1" "ieee.std_logic_1164.all" - ( Origin gFrontEnd ) - ) - ( attribute "USE2" "work.all" - ( Origin gFrontEnd ) - ) - ( attribute "VER" "1" - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(1450,2050)" - ( Origin gFrontEnd ) - ) - ( objectStatus "page1_i29 (pc023a_vthresh_buffer)" ) + ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA1\" + ( memberType ( signal ) ) + ( objectFlag fObjectAlias ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(1)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(1)") ) + ( objectStatus "FMC_LA1" ) ) - ( designInst "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i30" - ( attribute "BLOCK" "TRUE" - ( Origin gFrontEnd ) - ) - ( attribute "CDS_LIB" "fmc_tlu_v1_lib" - ( Origin gPackager ) - ) - ( attribute "LIBRARY1" "ieee" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "1" - ( Origin gFrontEnd ) - ) - ( attribute "ROT" "0" - ( Origin gFrontEnd ) - ) - ( attribute "USE1" "ieee.std_logic_1164.all" - ( Origin gFrontEnd ) - ) - ( attribute "USE2" "work.all" - ( Origin gFrontEnd ) - ) - ( attribute "VER" "1" - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(1450,250)" - ( Origin gFrontEnd ) - ) - ( objectStatus "page1_i30 (pc023a_vthresh_buffer)" ) + ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\BEAM_TRIGGER1\" + ( memberType ( signal ) ) + ( alias ( diffPairRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA3\") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\beam_trigger*\(1)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):beam_trigger(1)") ) + ( objectStatus "BEAM_TRIGGER1" ) ) - ( designInst "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i31" - ( attribute "BLOCK" "TRUE" - ( Origin gFrontEnd ) - ) - ( attribute "CDS_LIB" "fmc_tlu_v1_lib" - ( Origin gPackager ) - ) - ( attribute "LIBRARY1" "ieee" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "1" - ( Origin gFrontEnd ) - ) - ( attribute "ROT" "0" - ( Origin gFrontEnd ) - ) - ( attribute "USE1" "ieee.std_logic_1164.all" - ( Origin gFrontEnd ) - ) - ( attribute "USE2" "work.all" - ( Origin gFrontEnd ) - ) - ( attribute "VER" "1" - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(1450,850)" - ( Origin gFrontEnd ) - ) - ( objectStatus "page1_i31 (pc023a_vthresh_buffer)" ) + ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA3\" + ( memberType ( signal ) ) + ( objectFlag fObjectAlias ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(3)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(3)") ) + ( objectStatus "FMC_LA3" ) ) - ( designInst "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i32" - ( attribute "BLOCK" "TRUE" - ( Origin gFrontEnd ) - ) - ( attribute "CDS_LIB" "fmc_tlu_v1_lib" - ( Origin gPackager ) - ) - ( attribute "LIBRARY1" "ieee" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "1" - ( Origin gFrontEnd ) - ) - ( attribute "ROT" "0" - ( Origin gFrontEnd ) - ) - ( attribute "USE1" "ieee.std_logic_1164.all" - ( Origin gFrontEnd ) - ) - ( attribute "USE2" "work.all" - ( Origin gFrontEnd ) - ) - ( attribute "VER" "1" - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(1450,1450)" - ( Origin gFrontEnd ) - ) - ( objectStatus "page1_i32 (pc023a_vthresh_buffer)" ) + ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\BEAM_TRIGGER2\" + ( memberType ( signal ) ) + ( alias ( diffPairRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA5\") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\beam_trigger*\(2)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):beam_trigger(2)") ) + ( objectStatus "BEAM_TRIGGER2" ) ) - ) - ) - ( EndBaseCopy ) - ) - ( BaseCopy "pc036a_fmc_lpc_connector" - ( constraintHeader - ( revisionNumber - ( logicalViewRevNum 3 ) - ( physicalViewRevNum 0 ) - ( otherViewRevNum 0 ) + ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA5\" + ( memberType ( signal ) ) + ( objectFlag fObjectAlias ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(5)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(5)") ) + ( objectStatus "FMC_LA5" ) ) - ( contents - ( electricalConstraints ) - ( netClasses ) - ( properties ) + ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\BEAM_TRIGGER3\" + ( memberType ( signal ) ) + ( alias ( diffPairRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA7\") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\beam_trigger*\(3)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):beam_trigger(3)") ) + ( objectStatus "BEAM_TRIGGER3" ) ) - ) - ( designConstraints - - ( ruleChanges - ( allRules ) - ( design "pc036a_fmc_lpc_connector" - ) - ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):dp0_c2m" - ( objectStatus "dp0_c2m" ) - ) - ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\dp0_c2m*\" - ( objectStatus "dp0_c2m*" ) - ) - ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):dp0_m2c" - ( objectStatus "dp0_m2c" ) - ) - ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\dp0_m2c*\" - ( objectStatus "dp0_m2c*" ) - ) - ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_clk0_m2c" - ( objectStatus "fmc_clk0_m2c" ) - ) - ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_clk0_m2c*\" - ( objectStatus "fmc_clk0_m2c*" ) - ) - ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_clk1_m2c" - ( objectStatus "fmc_clk1_m2c" ) - ) - ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_clk1_m2c*\" - ( objectStatus "fmc_clk1_m2c*" ) - ) - ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(0)" - ( objectStatus "fmc_la<0>" ) - ) - ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(1)" - ( objectStatus "fmc_la<1>" ) - ) - ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(2)" - ( objectStatus "fmc_la<2>" ) - ) - ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(3)" - ( objectStatus "fmc_la<3>" ) - ) - ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(4)" - ( objectStatus "fmc_la<4>" ) - ) - ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(5)" - ( objectStatus "fmc_la<5>" ) - ) - ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(6)" - ( objectStatus "fmc_la<6>" ) - ) - ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(7)" - ( objectStatus "fmc_la<7>" ) - ) - ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(8)" - ( objectStatus "fmc_la<8>" ) - ) - ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(9)" - ( objectStatus "fmc_la<9>" ) - ) - ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(10)" - ( objectStatus "fmc_la<10>" ) - ) - ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(11)" - ( objectStatus "fmc_la<11>" ) - ) - ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(12)" - ( objectStatus "fmc_la<12>" ) - ) - ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(13)" - ( objectStatus "fmc_la<13>" ) - ) - ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(14)" - ( objectStatus "fmc_la<14>" ) - ) - ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(15)" - ( objectStatus "fmc_la<15>" ) - ) - ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(16)" - ( objectStatus "fmc_la<16>" ) - ) - ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(17)" - ( objectStatus "fmc_la<17>" ) - ) - ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(18)" - ( objectStatus "fmc_la<18>" ) - ) - ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(19)" - ( objectStatus "fmc_la<19>" ) - ) - ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(20)" - ( objectStatus "fmc_la<20>" ) - ) - ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(21)" - ( objectStatus "fmc_la<21>" ) - ) - ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(22)" - ( objectStatus "fmc_la<22>" ) - ) - ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(23)" - ( objectStatus "fmc_la<23>" ) - ) - ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(24)" - ( objectStatus "fmc_la<24>" ) - ) - ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(25)" - ( objectStatus "fmc_la<25>" ) - ) - ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(26)" - ( objectStatus "fmc_la<26>" ) - ) - ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(27)" - ( objectStatus "fmc_la<27>" ) - ) - ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(28)" - ( objectStatus "fmc_la<28>" ) - ) - ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(29)" - ( objectStatus "fmc_la<29>" ) - ) - ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(30)" - ( objectStatus "fmc_la<30>" ) - ) - ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(31)" - ( objectStatus "fmc_la<31>" ) - ) - ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(32)" - ( objectStatus "fmc_la<32>" ) - ) - ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(33)" - ( objectStatus "fmc_la<33>" ) - ) - ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(0)" - ( objectStatus "fmc_la*<0>" ) - ) - ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(1)" - ( objectStatus "fmc_la*<1>" ) - ) - ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(2)" - ( objectStatus "fmc_la*<2>" ) - ) - ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(3)" - ( objectStatus "fmc_la*<3>" ) - ) - ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(4)" - ( objectStatus "fmc_la*<4>" ) - ) - ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(5)" - ( objectStatus "fmc_la*<5>" ) - ) - ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(6)" - ( objectStatus "fmc_la*<6>" ) - ) - ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(7)" - ( objectStatus "fmc_la*<7>" ) - ) - ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(8)" - ( objectStatus "fmc_la*<8>" ) - ) - ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(9)" - ( objectStatus "fmc_la*<9>" ) - ) - ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(10)" - ( objectStatus "fmc_la*<10>" ) - ) - ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(11)" - ( objectStatus "fmc_la*<11>" ) - ) - ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(12)" - ( objectStatus "fmc_la*<12>" ) + ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA7\" + ( memberType ( signal ) ) + ( objectFlag fObjectAlias ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(7)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(7)") ) + ( objectStatus "FMC_LA7" ) + ) + ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA0\" + ( memberType ( signal ) ) + ( objectFlag fObjectAlias ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):cont_to_fpga(0)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):gpio_clk") ) + ( objectStatus "FMC_LA0" ) + ) + ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA10\" + ( memberType ( signal ) ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(10)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(10)") ) + ( objectStatus "FMC_LA10" ) + ) + ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\CONT2\" + ( memberType ( signal ) ) + ( alias ( diffPairRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA8\") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(8)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(8)") ) + ( objectStatus "CONT2" ) + ) + ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA8\" + ( memberType ( signal ) ) + ( objectFlag fObjectAlias ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(8)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(8)") ) + ( objectStatus "FMC_LA8" ) + ) + ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA17\" + ( memberType ( signal ) ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(17)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(17)") ) + ( objectStatus "FMC_LA17" ) + ) + ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA19\" + ( memberType ( signal ) ) + ( objectFlag fObjectAlias ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(19)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(19)") ) + ( objectStatus "FMC_LA19" ) + ) + ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA20\" + ( memberType ( signal ) ) + ( objectFlag fObjectAlias ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(20)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(20)") ) + ( objectStatus "FMC_LA20" ) + ) + ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA2\" + ( memberType ( signal ) ) + ( objectFlag fObjectAlias ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(2)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(2)") ) + ( objectStatus "FMC_LA2" ) + ) + ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA30\" + ( memberType ( signal ) ) + ( objectFlag fObjectAlias ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(30)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(30)") ) + ( objectStatus "FMC_LA30" ) + ) + ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA4\" + ( memberType ( signal ) ) + ( objectFlag fObjectAlias ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):clk_from_fpga(0)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):clk_to_fpga(0)") ) + ( objectStatus "FMC_LA4" ) + ) + ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA6\" + ( memberType ( signal ) ) + ( objectFlag fObjectAlias ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(6)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(6)") ) + ( objectStatus "FMC_LA6" ) + ) + ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\BEAM_TRIGGER_CFD0\" + ( memberType ( signal ) ) + ( alias ( diffPairRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA0\") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\beam_trigger_cfd*\(0)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):beam_trigger_cfd(0)") ) + ( objectStatus "BEAM_TRIGGER_CFD0" ) + ) + ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\BEAM_TRIGGER_CFD1\" + ( memberType ( signal ) ) + ( alias ( diffPairRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA2\") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(2)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(2)") ) + ( objectStatus "BEAM_TRIGGER_CFD1" ) + ) + ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\BEAM_TRIGGER_CFD2\" + ( memberType ( signal ) ) + ( alias ( diffPairRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA4\") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\beam_trigger_cfd*\(2)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):beam_trigger_cfd(2)") ) + ( objectStatus "BEAM_TRIGGER_CFD2" ) + ) + ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\BEAM_TRIGGER_CFD3\" + ( memberType ( signal ) ) + ( alias ( diffPairRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA6\") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\beam_trigger_cfd*\(3)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):beam_trigger_cfd(3)") ) + ( objectStatus "BEAM_TRIGGER_CFD3" ) + ) + ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\BUSY0\" + ( memberType ( signal ) ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):busy_from_fpga(0)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):busy_to_fpga(0)") ) + ( objectStatus "BUSY0" ) + ) + ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\TRIG1\" + ( memberType ( signal ) ) + ( alias ( diffPairRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA19\") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(19)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(19)") ) + ( objectStatus "TRIG1" ) + ) + ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\SPARE1\" + ( memberType ( signal ) ) + ( alias ( diffPairRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA20\") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(20)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(20)") ) + ( objectStatus "SPARE1" ) + ) + ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\TRIG0\" + ( memberType ( signal ) ) + ( alias ( diffPairRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA30\") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\beam_trigger_cfd*\(1)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):beam_trigger_cfd(1)") ) + ( objectStatus "TRIG0" ) + ) + ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FRONT_PANEL_CLK\" + ( memberType ( signal ) ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\front_panel_clk*\") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):front_panel_clk") ) + ( objectStatus "FRONT_PANEL_CLK" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la" + ( memberType ( signal ) ) + ( objectFlag fObjectAlias ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(0)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(1)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(2)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(3)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(4)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(5)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(6)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(7)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(8)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(9)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(10)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(11)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(12)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(13)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(14)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(15)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(16)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(17)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(18)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(19)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(20)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(21)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(22)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(23)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(24)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(25)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(26)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(27)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(28)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(29)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(30)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(31)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(32)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(33)") ) + ( objectStatus "FMC_LA_1" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\" + ( memberType ( signal ) ) + ( objectFlag fObjectAlias ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(0)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(1)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(2)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(3)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(4)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(5)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(6)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(7)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(8)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(9)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(10)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(11)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(12)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(13)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(14)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(15)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(16)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(17)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(18)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(19)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(20)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(21)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(22)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(23)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(24)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(25)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(26)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(27)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(28)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(29)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(30)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(31)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(32)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(33)") ) + ( objectStatus "FMC_LA*_1" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):vthresh" + ( memberType ( signal ) ) + ( alias ( busRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):vthresh") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):vthresh(0)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):vthresh(1)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):vthresh(2)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):vthresh(3)") ) + ( objectStatus "VTHRESH" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):vthresh" + ( memberType ( signal ) ) + ( objectFlag fObjectAlias ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):vthresh(0)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):vthresh(1)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):vthresh(2)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):vthresh(3)") ) + ( objectStatus "VTHRESH_1" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\in\" + ( memberType ( signal ) ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\in\(0)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\in\(1)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\in\(2)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\in\(3)") ) + ( objectStatus "IN" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la" + ( memberType ( signal ) ) + ( alias ( busRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la") ) + ( alias ( busRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la") ) + ( alias ( busRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):spare_from_fpga") ) + ( alias ( busRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):trig_from_fpga") ) + ( alias ( busRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):hdmi_power_enable") ) + ( attribute "BUS_NAME" "FMC_LA" + ( Origin gPackager ) + ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(0)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(1)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(2)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(3)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(4)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(5)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(6)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(7)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(8)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(9)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(10)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(11)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(12)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(13)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(14)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(15)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(16)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(17)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(18)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(19)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(20)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(21)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(22)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(23)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(24)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(25)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(26)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(27)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(28)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(29)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(30)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(31)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(32)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(33)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):beam_trigger(0)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):beam_trigger(1)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):beam_trigger(3)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):beam_trigger_cfd(0)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):beam_trigger_cfd(1)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):beam_trigger_cfd(2)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):beam_trigger_cfd(3)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):beam_trigger(2)") ) + ( objectStatus "FMC_LA" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la" + ( memberType ( signal ) ) + ( objectFlag fObjectAlias ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(0)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(1)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(2)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(3)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(4)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(5)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(6)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(7)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(8)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(9)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(10)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(11)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(12)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(13)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(14)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(15)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(16)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(17)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(18)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(19)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(20)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(21)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(22)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(23)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(24)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(25)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(26)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(27)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(28)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(29)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(30)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(31)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(32)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(33)") ) + ( objectStatus "PAGE1_FMC_LA" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\" + ( memberType ( signal ) ) + ( alias ( busRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\") ) + ( alias ( busRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\") ) + ( alias ( busRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):spare_to_fpga") ) + ( alias ( busRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):trig_to_fpga") ) + ( attribute "BUS_NAME" "FMC_LA*" + ( Origin gPackager ) + ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(0)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(1)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(2)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(3)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(4)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(5)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(6)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(7)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(8)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(9)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(10)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(11)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(12)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(13)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(14)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(15)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(16)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(17)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(18)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(19)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(20)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(21)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(22)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(23)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(24)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(25)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(26)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(27)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(28)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(29)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(30)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(31)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(32)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(33)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\beam_trigger*\(0)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\beam_trigger*\(1)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\beam_trigger*\(2)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\beam_trigger*\(3)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\beam_trigger_cfd*\(0)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\beam_trigger_cfd*\(1)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\beam_trigger_cfd*\(2)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\beam_trigger_cfd*\(3)") ) + ( objectStatus "FMC_LA*" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\" + ( memberType ( signal ) ) + ( objectFlag fObjectAlias ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(0)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(1)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(2)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(3)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(4)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(5)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(6)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(7)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(8)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(9)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(10)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(11)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(12)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(13)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(14)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(15)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(16)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(17)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(18)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(19)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(20)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(21)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(22)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(23)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(24)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(25)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(26)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(27)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(28)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(29)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(30)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(31)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(32)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(33)") ) + ( objectStatus "PAGE1_FMC_LA*" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1" + ( memberType ( signal ) ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):gnd_signal") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(0)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(1)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(2)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(3)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(4)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(5)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(6)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(7)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(8)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(9)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(10)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(11)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(12)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(13)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(14)") ) + ( objectStatus "VM1" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2" + ( memberType ( signal ) ) + ( attribute "BUS_NAME" "VM2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(0)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(1)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(2)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(3)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(4)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(5)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(6)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(7)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(8)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(9)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(10)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(11)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(12)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(13)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(14)") ) + ( objectStatus "VM2" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1" + ( memberType ( signal ) ) + ( attribute "BUS_NAME" "VP1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(0)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(1)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(2)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(3)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(4)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(5)") ) + ( objectStatus "VP1" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2" + ( memberType ( signal ) ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2(0)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2(1)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2(2)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2(3)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2(4)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2(5)") ) + ( objectStatus "VP2" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vi" + ( memberType ( signal ) ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vin_filtered") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vi(0)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vi(1)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vi(2)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vi(3)") ) + ( objectStatus "VI" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):busy_from_fpga" + ( memberType ( signal ) ) + ( alias ( busRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_busy_from_fpga") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):busy_from_fpga(0)") ) + ( objectStatus "BUSY_FROM_FPGA" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_busy_from_fpga" + ( memberType ( signal ) ) + ( objectFlag fObjectAlias ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_busy_from_fpga(0)") ) + ( objectStatus "PAGE1_BUSY_FROM_FPGA" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):busy_to_fpga" + ( memberType ( signal ) ) + ( alias ( busRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_busy_to_fpga") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):busy_to_fpga(0)") ) + ( objectStatus "BUSY_TO_FPGA" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_busy_to_fpga" + ( memberType ( signal ) ) + ( objectFlag fObjectAlias ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_busy_to_fpga(0)") ) + ( objectStatus "PAGE1_BUSY_TO_FPGA" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):clk_from_fpga" + ( memberType ( signal ) ) + ( alias ( busRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_clk_from_fpga") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):clk_from_fpga(0)") ) + ( objectStatus "CLK_FROM_FPGA" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_clk_from_fpga" + ( memberType ( signal ) ) + ( objectFlag fObjectAlias ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_clk_from_fpga(0)") ) + ( objectStatus "PAGE1_CLK_FROM_FPGA" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):clk_to_dut" + ( memberType ( signal ) ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):clk_to_dut(0)") ) + ( objectStatus "CLK_TO_DUT" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\clk_to_dut*\" + ( memberType ( signal ) ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\clk_to_dut*\(0)") ) + ( objectStatus "CLK_TO_DUT*" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):clk_to_fpga" + ( memberType ( signal ) ) + ( alias ( busRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_clk_to_fpga") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):clk_to_fpga(0)") ) + ( objectStatus "CLK_TO_FPGA" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_clk_to_fpga" + ( memberType ( signal ) ) + ( objectFlag fObjectAlias ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_clk_to_fpga(0)") ) + ( objectStatus "PAGE1_CLK_TO_FPGA" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):cont_from_fpga" + ( memberType ( signal ) ) + ( alias ( busRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_cont_from_fpga") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):gpio_clk") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):cont_from_fpga(0)") ) + ( objectStatus "CONT_FROM_FPGA" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_cont_from_fpga" + ( memberType ( signal ) ) + ( objectFlag fObjectAlias ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_cont_from_fpga(0)") ) + ( objectStatus "PAGE1_CONT_FROM_FPGA" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):cont_to_fpga" + ( memberType ( signal ) ) + ( alias ( busRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_cont_to_fpga") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):cont_to_fpga(0)") ) + ( objectStatus "CONT_TO_FPGA" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_cont_to_fpga" + ( memberType ( signal ) ) + ( objectFlag fObjectAlias ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_cont_to_fpga(0)") ) + ( objectStatus "PAGE1_CONT_TO_FPGA" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):enable_busy_from_fpga" + ( memberType ( signal ) ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):enable_busy_from_fpga(0)") ) + ( objectStatus "ENABLE_BUSY_FROM_FPGA" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):enable_clk_from_fpga" + ( memberType ( signal ) ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):enable_clk_from_fpga(0)") ) + ( objectStatus "ENABLE_CLK_FROM_FPGA" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):enable_clk_to_dut" + ( memberType ( signal ) ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):enable_clk_to_dut(0)") ) + ( objectStatus "ENABLE_CLK_TO_DUT" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):enable_cont_from_fpga" + ( memberType ( signal ) ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):enable_cont_from_fpga(0)") ) + ( objectStatus "ENABLE_CONT_FROM_FPGA" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):enable_spare_from_fpga" + ( memberType ( signal ) ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):enable_spare_from_fpga(0)") ) + ( objectStatus "ENABLE_SPARE_FROM_FPGA" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):enable_trig_from_fpga" + ( memberType ( signal ) ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):enable_trig_from_fpga(0)") ) + ( objectStatus "ENABLE_TRIG_FROM_FPGA" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):hdmi_clk" + ( memberType ( signal ) ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):hdmi_clk(0)") ) + ( objectStatus "HDMI_CLK" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\hdmi_clk*\" + ( memberType ( signal ) ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\hdmi_clk*\(0)") ) + ( objectStatus "HDMI_CLK*" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):spare_from_fpga" + ( memberType ( signal ) ) + ( alias ( busRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_spare_from_fpga") ) + ( objectFlag fObjectAlias ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):spare_from_fpga(0)") ) + ( objectStatus "SPARE_FROM_FPGA" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_spare_from_fpga" + ( memberType ( signal ) ) + ( objectFlag fObjectAlias ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_spare_from_fpga(0)") ) + ( objectStatus "PAGE1_SPARE_FROM_FPGA" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):spare_to_fpga" + ( memberType ( signal ) ) + ( alias ( busRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_spare_to_fpga") ) + ( objectFlag fObjectAlias ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):spare_to_fpga(0)") ) + ( objectStatus "SPARE_TO_FPGA" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_spare_to_fpga" + ( memberType ( signal ) ) + ( objectFlag fObjectAlias ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_spare_to_fpga(0)") ) + ( objectStatus "PAGE1_SPARE_TO_FPGA" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):trig_from_fpga" + ( memberType ( signal ) ) + ( alias ( busRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_trig_from_fpga") ) + ( objectFlag fObjectAlias ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):trig_from_fpga(0)") ) + ( objectStatus "TRIG_FROM_FPGA" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_trig_from_fpga" + ( memberType ( signal ) ) + ( objectFlag fObjectAlias ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_trig_from_fpga(0)") ) + ( objectStatus "PAGE1_TRIG_FROM_FPGA" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):trig_to_fpga" + ( memberType ( signal ) ) + ( alias ( busRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_trig_to_fpga") ) + ( objectFlag fObjectAlias ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):trig_to_fpga(0)") ) + ( objectStatus "TRIG_TO_FPGA" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_trig_to_fpga" + ( memberType ( signal ) ) + ( objectFlag fObjectAlias ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_trig_to_fpga(0)") ) + ( objectStatus "PAGE1_TRIG_TO_FPGA" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):hdmi_power_enable" + ( memberType ( signal ) ) + ( alias ( busRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_hdmi_power_enable") ) + ( objectFlag fObjectAlias ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):hdmi_power_enable(0)") ) + ( objectStatus "HDMI_POWER_ENABLE" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_hdmi_power_enable" + ( memberType ( signal ) ) + ( objectFlag fObjectAlias ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_hdmi_power_enable(0)") ) + ( objectStatus "PAGE1_HDMI_POWER_ENABLE" ) + ) + ( designInst "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2" + ( attribute "BLOCK" "TRUE" + ( Origin gFrontEnd ) + ) + ( attribute "CDS_LIB" "fmc_tlu_v1_lib" + ( Origin gPackager ) + ) + ( attribute "CDS_LMAN_SYM_OUTLINE" "-600,725,600,-975" + ( Origin gPackager ) + ) + ( attribute "LIBRARY1" "ieee" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "USE1" "ieee.std_logic_1164.all" + ( Origin gFrontEnd ) + ) + ( attribute "USE2" "work.all" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(4350,-350)" + ( Origin gFrontEnd ) + ) + ( objectStatus "page1_i2 (pc036a_fmc_lpc_connector)" ) + ) + ( designInst "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5" + ( attribute "BLOCK" "TRUE" + ( Origin gFrontEnd ) + ) + ( attribute "CDS_LIB" "fmc_tlu_v1_lib" + ( Origin gPackager ) + ) + ( attribute "LIBRARY1" "ieee" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "3" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "USE1" "ieee.std_logic_1164.all" + ( Origin gFrontEnd ) + ) + ( attribute "USE2" "work.all" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(-200,950)" + ( Origin gFrontEnd ) + ) + ( objectStatus "page2_i5 (pc023a_dac_vthresh)" ) + ) + ( designInst "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i29" + ( attribute "BLOCK" "TRUE" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "CDS_LIB" "fmc_tlu_v1_lib" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "LIBRARY1" "ieee" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "USE1" "ieee.std_logic_1164.all" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "USE2" "work.all" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(1450,2050)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( objectStatus "page1_i29 (pc023a_vthresh_buffer)" ) + ) + ( designInst "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i30" + ( attribute "BLOCK" "TRUE" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "CDS_LIB" "fmc_tlu_v1_lib" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "LIBRARY1" "ieee" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "USE1" "ieee.std_logic_1164.all" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "USE2" "work.all" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(1450,250)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( objectStatus "page1_i30 (pc023a_vthresh_buffer)" ) + ) + ( designInst "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i31" + ( attribute "BLOCK" "TRUE" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "CDS_LIB" "fmc_tlu_v1_lib" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "LIBRARY1" "ieee" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "USE1" "ieee.std_logic_1164.all" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "USE2" "work.all" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(1450,850)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( objectStatus "page1_i31 (pc023a_vthresh_buffer)" ) + ) + ( designInst "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i32" + ( attribute "BLOCK" "TRUE" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "CDS_LIB" "fmc_tlu_v1_lib" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "LIBRARY1" "ieee" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "USE1" "ieee.std_logic_1164.all" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "USE2" "work.all" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(1450,1450)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( objectStatus "page1_i32 (pc023a_vthresh_buffer)" ) + ) + ( designInst "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35" + ( attribute "BLOCK" "TRUE" + ( Origin gFrontEnd ) + ) + ( attribute "CDS_LIB" "fmc_tlu_v1_lib" + ( Origin gPackager ) + ) + ( attribute "LIBRARY1" "ieee" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "3" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "USE1" "ieee.std_logic_1164.all" + ( Origin gFrontEnd ) + ) + ( attribute "USE2" "work.all" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(3250,2350)" + ( Origin gFrontEnd ) + ) + ( objectStatus "page2_i35 (fmc_tlu_cfd)" ) + ) + ( designInst "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36" + ( attribute "BLOCK" "TRUE" + ( Origin gFrontEnd ) + ) + ( attribute "CDS_LIB" "fmc_tlu_v1_lib" + ( Origin gPackager ) + ) + ( attribute "LIBRARY1" "ieee" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "3" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "USE1" "ieee.std_logic_1164.all" + ( Origin gFrontEnd ) + ) + ( attribute "USE2" "work.all" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(3250,1600)" + ( Origin gFrontEnd ) + ) + ( objectStatus "page2_i36 (fmc_tlu_cfd)" ) + ) + ( designInst "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37" + ( attribute "BLOCK" "TRUE" + ( Origin gFrontEnd ) + ) + ( attribute "CDS_LIB" "fmc_tlu_v1_lib" + ( Origin gPackager ) + ) + ( attribute "LIBRARY1" "ieee" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "3" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "USE1" "ieee.std_logic_1164.all" + ( Origin gFrontEnd ) + ) + ( attribute "USE2" "work.all" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(3250,850)" + ( Origin gFrontEnd ) + ) + ( objectStatus "page2_i37 (fmc_tlu_cfd)" ) + ) + ( designInst "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38" + ( attribute "BLOCK" "TRUE" + ( Origin gFrontEnd ) + ) + ( attribute "CDS_LIB" "fmc_tlu_v1_lib" + ( Origin gPackager ) + ) + ( attribute "LIBRARY1" "ieee" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "3" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "USE1" "ieee.std_logic_1164.all" + ( Origin gFrontEnd ) + ) + ( attribute "USE2" "work.all" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(3250,100)" + ( Origin gFrontEnd ) + ) + ( objectStatus "page2_i38 (fmc_tlu_cfd)" ) + ) + ( designInst "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55" + ( attribute "BLOCK" "TRUE" + ( Origin gFrontEnd ) + ) + ( attribute "CDS_LIB" "fmc_tlu_v1_lib" + ( Origin gPackager ) + ) + ( attribute "LIBRARY1" "ieee" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "3" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "USE1" "ieee.std_logic_1164.all" + ( Origin gFrontEnd ) + ) + ( attribute "USE2" "work.all" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(50,-1250)" + ( Origin gFrontEnd ) + ) + ( objectStatus "page2_i55 (fmc_tlu_vsupply5v)" ) + ) + ( designInst "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1" + ( attribute "BLOCK" "TRUE" + ( Origin gFrontEnd ) + ) + ( attribute "CDS_LIB" "fmc_tlu_v1_lib" + ( Origin gPackager ) + ) + ( attribute "CDS_LMAN_SYM_OUTLINE" "-775,775,750,-725" + ( Origin gPackager ) + ) + ( attribute "LIBRARY1" "ieee" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "2" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "USE1" "ieee.std_logic_1164.all" + ( Origin gFrontEnd ) + ) + ( attribute "USE2" "work.all" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(775,250)" + ( Origin gFrontEnd ) + ) + ( objectStatus "\page4_i1 (fmc_tlu_hdmi_dut_connector)\" ) + ) + ( designInst "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78" + ( attribute "BLOCK" "TRUE" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "CDS_LIB" "fmc_tlu_v1_lib" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "LIBRARY1" "ieee" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "USE1" "ieee.std_logic_1164.all" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "USE2" "work.all" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(5400,-350)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ) + ) + ) + ( BaseCopy "pc023a_dac_vthresh" + ( constraintHeader + ( revisionNumber + ( logicalViewRevNum 13 ) + ( physicalViewRevNum 0 ) + ( otherViewRevNum 0 ) + ) + ( contents + ( electricalConstraints ) + ( netClasses ) + ( properties ) + ) + ) + ( designConstraints + ( ruleChanges + ( allRules ) + ( design "pc023a_dac_vthresh" + ) + ( signal "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):a0" + ( attribute "CDS_PHYS_NET_NAME" "A0" + ( Origin gPackager ) + ) + ( objectStatus "a0" ) + ) + ( signal "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):a1" + ( attribute "CDS_PHYS_NET_NAME" "A1" + ( Origin gPackager ) + ) + ( objectStatus "a1" ) + ) + ( signal "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):gnd_signal" + ( alias ( signalRef "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_gnd_signal") ) + ( objectStatus "gnd_signal" ) + ) + ( signal "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_gnd_signal" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_gnd_signal" ) + ) + ( signal "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):m5v" + ( alias ( signalRef "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_m5v") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i29@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):m5v") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i30@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):m5v") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i31@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):m5v") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i32@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):m5v") ) + ( objectStatus "m5v" ) + ) + ( signal "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_m5v" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_m5v" ) + ) + ( signal "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i29@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):m5v" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i30@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):m5v" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i31@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):m5v" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i32@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):m5v" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):p5v" + ( alias ( signalRef "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_p5v") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i29@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):p5v") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i30@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):p5v") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i31@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):p5v") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i32@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):p5v") ) + ( objectStatus "p5v" ) + ) + ( signal "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_p5v" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_p5v" ) + ) + ( signal "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i29@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):p5v" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i30@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):p5v" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i31@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):p5v" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i32@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):p5v" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):scl" + ( attribute "CDS_PHYS_NET_NAME" "SCL" + ( Origin gPackager ) + ) + ( objectStatus "scl" ) + ) + ( signal "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):sda" + ( attribute "CDS_PHYS_NET_NAME" "SDA" + ( Origin gPackager ) + ) + ( objectStatus "sda" ) + ) + ( signal "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i29@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):\vin+\" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i32@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):\vin+\" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i31@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):\vin+\" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i30@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):\vin+\" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):vref" + ( alias ( signalRef "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i29@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):\vin-\") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i30@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):\vin-\") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i31@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):\vin-\") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i32@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):\vin-\") ) + ( attribute "CDS_PHYS_NET_NAME" "VREF" + ( Origin gPackager ) + ) + ( objectStatus "vref" ) + ) + ( signal "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i29@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):\vin-\" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i30@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):\vin-\" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i31@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):\vin-\" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i32@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):\vin-\" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):vthresh(0)" + ( alias ( signalRef "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i29@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):vout") ) + ( attribute "CDS_PHYS_NET_NAME" "VTHRESH<0>" + ( Origin gPackager ) + ) + ( attribute "PNN" "VTHRESH<0>" + ( Origin gPackager ) + ) + ( objectStatus "vthresh<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i29@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):vout" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):vthresh(1)" + ( alias ( signalRef "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i32@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):vout") ) + ( attribute "CDS_PHYS_NET_NAME" "VTHRESH<1>" + ( Origin gPackager ) + ) + ( attribute "PNN" "VTHRESH<1>" + ( Origin gPackager ) + ) + ( objectStatus "vthresh<1>" ) + ) + ( signal "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i32@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):vout" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):vthresh(2)" + ( alias ( signalRef "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i31@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):vout") ) + ( attribute "CDS_PHYS_NET_NAME" "VTHRESH<2>" + ( Origin gPackager ) + ) + ( attribute "PNN" "VTHRESH<2>" + ( Origin gPackager ) + ) + ( objectStatus "vthresh<2>" ) + ) + ( signal "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i31@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):vout" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):vthresh(3)" + ( alias ( signalRef "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i30@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):vout") ) + ( attribute "CDS_PHYS_NET_NAME" "VTHRESH<3>" + ( Origin gPackager ) + ) + ( attribute "PNN" "VTHRESH<3>" + ( Origin gPackager ) + ) + ( objectStatus "vthresh<3>" ) + ) + ( signal "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i30@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):vout" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i29@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):unnamed_1_opa4277_i22_min" + ) + ( signal "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i30@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):unnamed_1_opa4277_i22_min" + ) + ( signal "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i31@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):unnamed_1_opa4277_i22_min" + ) + ( signal "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i32@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):unnamed_1_opa4277_i22_min" + ) + ( signal "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):p3v3" + ( alias ( signalRef "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_p3v3") ) + ( objectStatus "p3v3" ) + ) + ( signal "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_p3v3" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_p3v3" ) + ) + ( signal "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):unnamed_1_ad5665r_i63_vouta" + ( alias ( signalRef "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i29@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):\vin+\") ) + ( objectStatus "unnamed_1_ad5665r_i63_vouta" ) + ) + ( signal "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):unnamed_1_ad5665r_i63_voutb" + ( alias ( signalRef "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i32@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):\vin+\") ) + ( objectStatus "unnamed_1_ad5665r_i63_voutb" ) + ) + ( signal "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):unnamed_1_ad5665r_i63_voutc" + ( alias ( signalRef "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i31@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):\vin+\") ) + ( objectStatus "unnamed_1_ad5665r_i63_voutc" ) + ) + ( signal "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):unnamed_1_ad5665r_i63_voutd" + ( alias ( signalRef "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i30@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):\vin+\") ) + ( objectStatus "unnamed_1_ad5665r_i63_voutd" ) + ) + ( gate "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i29@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):page1_i22" + ( attribute "CDS_LIB" "cnlinear" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,125,125,-125" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "SOIC" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "TYPE" "OPA4277UA" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(-850,1850)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "OPA4277" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "OPA4277UA" + ( Origin gPackager ) + ) + ( pin "min(0)" + ) + ( pin "\out\(0)" + ) + ( pin "pin(0)" + ) + ( pin "\v+\" + ) + ( pin "\v-\" + ) + ) + ( gate "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i29@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):page1_i23" + ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "DIST" "FLAT" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "LOCATION" "<< NULL >>" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "MAX_TEMP" "RTMAX" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "NEGTOL" "RTOL%" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PACK_TYPE" "1/10W" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "POSTOL" "RTOL%" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "POWER" "RMAX" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "SLOPE" "RSMAX" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "TC1" "RTMPL" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "TC2" "RTMPQ" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "TOL" "1%" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "TOL_ON_OFF" "ON" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "10K" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VER" "2" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "RVMAX" + ( Units "uVoltage" "V" 1.000000) + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(-850,2400)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-10K,1%" + ( Origin gPackager ) + ) + ( pin "a(0)" + ) + ( pin "b(0)" + ) + ) + ( gate "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i29@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):page1_i24" + ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "DIST" "FLAT" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "LOCATION" "<< NULL >>" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "MAX_TEMP" "RTMAX" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "NEGTOL" "RTOL%" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PACK_TYPE" "1/10W" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "POSTOL" "RTOL%" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "POWER" "RMAX" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "SLOPE" "RSMAX" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "TC1" "RTMPL" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "TC2" "RTMPQ" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "TOL" "1%" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "TOL_ON_OFF" "ON" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "10K" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VER" "2" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "RVMAX" + ( Units "uVoltage" "V" 1.000000) + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(-2100,1800)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-10K,1%" + ( Origin gPackager ) + ) + ( pin "a(0)" + ) + ( pin "b(0)" + ) + ) + ( gate "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i30@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):page1_i22" + ( attribute "CDS_LIB" "cnlinear" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,125,125,-125" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "SOIC" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "TYPE" "OPA4277UA" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(-850,1850)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "OPA4277" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "OPA4277UA" + ( Origin gPackager ) + ) + ( pin "min(0)" + ) + ( pin "\out\(0)" + ) + ( pin "pin(0)" + ) + ( pin "\v+\" + ) + ( pin "\v-\" + ) + ) + ( gate "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i30@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):page1_i23" + ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "DIST" "FLAT" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "LOCATION" "<< NULL >>" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "MAX_TEMP" "RTMAX" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "NEGTOL" "RTOL%" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PACK_TYPE" "1/10W" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "POSTOL" "RTOL%" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "POWER" "RMAX" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "SLOPE" "RSMAX" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "TC1" "RTMPL" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "TC2" "RTMPQ" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "TOL" "1%" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "TOL_ON_OFF" "ON" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "10K" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VER" "2" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "RVMAX" + ( Units "uVoltage" "V" 1.000000) + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(-850,2400)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-10K,1%" + ( Origin gPackager ) + ) + ( pin "a(0)" + ) + ( pin "b(0)" + ) + ) + ( gate "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i30@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):page1_i24" + ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "DIST" "FLAT" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "LOCATION" "<< NULL >>" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "MAX_TEMP" "RTMAX" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "NEGTOL" "RTOL%" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PACK_TYPE" "1/10W" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "POSTOL" "RTOL%" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "POWER" "RMAX" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "SLOPE" "RSMAX" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "TC1" "RTMPL" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "TC2" "RTMPQ" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "TOL" "1%" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "TOL_ON_OFF" "ON" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "10K" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VER" "2" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "RVMAX" + ( Units "uVoltage" "V" 1.000000) + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(-2100,1800)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-10K,1%" + ( Origin gPackager ) + ) + ( pin "a(0)" + ) + ( pin "b(0)" + ) + ) + ( gate "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i31@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):page1_i22" + ( attribute "CDS_LIB" "cnlinear" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,125,125,-125" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "SOIC" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "TYPE" "OPA4277UA" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(-850,1850)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "OPA4277" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "OPA4277UA" + ( Origin gPackager ) + ) + ( pin "min(0)" + ) + ( pin "\out\(0)" + ) + ( pin "pin(0)" + ) + ( pin "\v+\" + ) + ( pin "\v-\" + ) + ) + ( gate "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i31@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):page1_i23" + ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "DIST" "FLAT" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "LOCATION" "<< NULL >>" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "MAX_TEMP" "RTMAX" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "NEGTOL" "RTOL%" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PACK_TYPE" "1/10W" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "POSTOL" "RTOL%" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "POWER" "RMAX" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "SLOPE" "RSMAX" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "TC1" "RTMPL" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "TC2" "RTMPQ" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "TOL" "1%" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "TOL_ON_OFF" "ON" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "10K" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VER" "2" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "RVMAX" + ( Units "uVoltage" "V" 1.000000) + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(-850,2400)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-10K,1%" + ( Origin gPackager ) + ) + ( pin "a(0)" + ) + ( pin "b(0)" + ) + ) + ( gate "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i31@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):page1_i24" + ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "DIST" "FLAT" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "LOCATION" "<< NULL >>" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "MAX_TEMP" "RTMAX" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "NEGTOL" "RTOL%" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PACK_TYPE" "1/10W" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "POSTOL" "RTOL%" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "POWER" "RMAX" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "SLOPE" "RSMAX" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "TC1" "RTMPL" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "TC2" "RTMPQ" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "TOL" "1%" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "TOL_ON_OFF" "ON" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "10K" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VER" "2" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "RVMAX" + ( Units "uVoltage" "V" 1.000000) + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(-2100,1800)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-10K,1%" + ( Origin gPackager ) + ) + ( pin "a(0)" + ) + ( pin "b(0)" + ) + ) + ( gate "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i32@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):page1_i22" + ( attribute "CDS_LIB" "cnlinear" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,125,125,-125" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "SOIC" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "TYPE" "OPA4277UA" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(-850,1850)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "OPA4277" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "OPA4277UA" + ( Origin gPackager ) + ) + ( pin "min(0)" + ) + ( pin "\out\(0)" + ) + ( pin "pin(0)" + ) + ( pin "\v+\" + ) + ( pin "\v-\" + ) + ) + ( gate "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i32@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):page1_i23" + ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "DIST" "FLAT" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "LOCATION" "<< NULL >>" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "MAX_TEMP" "RTMAX" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "NEGTOL" "RTOL%" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PACK_TYPE" "1/10W" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "POSTOL" "RTOL%" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "POWER" "RMAX" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "SLOPE" "RSMAX" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "TC1" "RTMPL" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "TC2" "RTMPQ" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "TOL" "1%" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "TOL_ON_OFF" "ON" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "10K" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VER" "2" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "RVMAX" + ( Units "uVoltage" "V" 1.000000) + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(-850,2400)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-10K,1%" + ( Origin gPackager ) + ) + ( pin "a(0)" + ) + ( pin "b(0)" + ) + ) + ( gate "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i32@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):page1_i24" + ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "DIST" "FLAT" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "LOCATION" "<< NULL >>" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "MAX_TEMP" "RTMAX" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "NEGTOL" "RTOL%" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PACK_TYPE" "1/10W" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "POSTOL" "RTOL%" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "POWER" "RMAX" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "SLOPE" "RSMAX" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "TC1" "RTMPL" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "TC2" "RTMPQ" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "TOL" "1%" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "TOL_ON_OFF" "ON" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "10K" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VER" "2" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "RVMAX" + ( Units "uVoltage" "V" 1.000000) + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(-2100,1800)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-10K,1%" + ( Origin gPackager ) + ) + ( pin "a(0)" + ) + ( pin "b(0)" + ) + ) + ( gate "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i50" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "0603" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "1UF" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(-1500,-1500)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C6" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "C6" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "PAGE1_I50" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ) + ) + ( gate "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i51" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "0603" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "1UF" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(-3100,-1250)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C2" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "C2" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "PAGE1_I51" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ) + ) + ( gate "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i52" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "0603" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "1UF" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(-3100,-1650)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C3" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "C3" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "PAGE1_I52" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ) + ) + ( gate "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i53" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "0603" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "100NF" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(-1750,-1500)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C5" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "C5" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "PAGE1_I53" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ) + ) + ( gate "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i63" + ( attribute "CDS_LIB" "cnlinear" + ( Origin gPackager ) + ) + ( attribute "CDS_LMAN_SYM_OUTLINE" "-275,175,275,-175" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "TSSOP" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "TYPE" "AD5665RBRUZ-1" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(-1450,1250)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "AD5665R" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "AD5665RBRUZ-1-GND=GND_SIGNAL;VA" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "IC1" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "IC1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "PAGE1_I63" ) + ( pin "addr1" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ) + ( pin "addr2" + ( attribute "PN" "8" + ( Origin gPackager ) + ) + ) + ( pin "\clr*\" + ( attribute "PN" "9" + ( Origin gPackager ) + ) + ) + ( pin "\ldac*\" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ) + ( pin "por" + ( attribute "PN" "6" + ( Origin gPackager ) + ) + ) + ( pin "scl" + ( attribute "PN" "14" + ( Origin gPackager ) + ) + ) + ( pin "sda" + ( attribute "PN" "13" + ( Origin gPackager ) + ) + ) + ( pin "vouta" + ( attribute "PN" "4" + ( Origin gPackager ) + ) + ) + ( pin "voutb" + ( attribute "PN" "11" + ( Origin gPackager ) + ) + ) + ( pin "voutc" + ( attribute "PN" "5" + ( Origin gPackager ) + ) + ) + ( pin "voutd" + ( attribute "PN" "10" + ( Origin gPackager ) + ) + ) + ( pin "\vrefin/vrefout\" + ( attribute "PN" "7" + ( Origin gPackager ) + ) + ) + ) + ( gate "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i66" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "0603" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "1UF" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(-2250,0)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C4" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "C4" + ( Origin gPackager ) + ) + ( objectStatus "PAGE1_I66" ) + ( pin "a(0)" + ( attribute "PN" "#" + ( Origin gPackager ) + ) + ) + ( pin "b(0)" + ( attribute "PN" "#" + ( Origin gPackager ) + ) + ) + ) + ( gate "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i68" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "HOLE" + ( Origin gFrontEnd ) + ) + ( attribute "PART_NAME" "TP" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SYMMAP" "TRUE" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "0.8MM" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(-1225,500)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "TP" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "TP_HOLE" + ( Origin gPackager ) + ) + ( objectStatus "PAGE1_I68" ) + ( pin "a(0)" + ( attribute "PN" "#" + ( Origin gPackager ) + ) + ) + ) + ( gate "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i69" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "HOLE" + ( Origin gFrontEnd ) + ) + ( attribute "PART_NAME" "TP" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SYMMAP" "TRUE" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "0.8MM" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(-700,1350)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "TP" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "TP_HOLE" + ( Origin gPackager ) + ) + ( objectStatus "PAGE1_I69" ) + ( pin "a(0)" + ( attribute "PN" "#" + ( Origin gPackager ) + ) + ) + ) + ( gate "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i70" + ( attribute "CDS_LIB" "bris_cds_analogue" + ( Origin gPackager ) + ) + ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,125,125,-125" + ( Origin gPackager ) + ) + ( attribute "MANUF" "TEXAS INSTRUMENTS" + ( Origin gFrontEnd ) + ) + ( attribute "PACK_TYPE" "SOT23-5" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "1.3V" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(-2625,125)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "LP5951" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "LP5951_SOT23-5-1.3V,TEXAS INSTA" + ( Origin gPackager ) + ) + ( objectStatus "PAGE1_I70" ) + ( pin "gnd" + ) + ( pin "\on/off\" + ) + ( pin "vin" + ) + ( pin "vout" + ) + ) + ( gate "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i73" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "0603" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "1UF" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(-2925,-125)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603" + ( Origin gPackager ) + ) + ( objectStatus "PAGE1_I73" ) + ( pin "a(0)" + ( attribute "PN" "#" + ( Origin gPackager ) + ) + ) + ( pin "b(0)" + ( attribute "PN" "#" + ( Origin gPackager ) + ) + ) + ) + ( pin "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i29:\vin+\" + ) + ( pin "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i29:\vin-\" + ) + ( pin "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i29:vout" + ) + ( pin "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i30:\vin+\" + ) + ( pin "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i30:\vin-\" + ) + ( pin "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i30:vout" + ) + ( pin "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i31:\vin+\" + ) + ( pin "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i31:\vin-\" + ) + ( pin "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i31:vout" + ) + ( pin "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i32:\vin+\" + ) + ( pin "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i32:\vin-\" + ) + ( pin "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i32:vout" + ) + ( bus "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):vthresh" + ( memberType ( signal ) ) + ( member ( signalRef "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):vthresh(0)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):vthresh(1)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):vthresh(2)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):vthresh(3)") ) + ( objectStatus "VTHRESH" ) + ) + ( designInst "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i29" + ( attribute "BLOCK" "TRUE" + ( Origin gFrontEnd ) + ) + ( attribute "CDS_LIB" "fmc_tlu_v1_lib" + ( Origin gPackager ) + ) + ( attribute "LIBRARY1" "ieee" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "USE1" "ieee.std_logic_1164.all" + ( Origin gFrontEnd ) + ) + ( attribute "USE2" "work.all" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(1450,2050)" + ( Origin gFrontEnd ) + ) + ( objectStatus "page1_i29 (pc023a_vthresh_buffer)" ) + ) + ( designInst "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i30" + ( attribute "BLOCK" "TRUE" + ( Origin gFrontEnd ) + ) + ( attribute "CDS_LIB" "fmc_tlu_v1_lib" + ( Origin gPackager ) + ) + ( attribute "LIBRARY1" "ieee" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "USE1" "ieee.std_logic_1164.all" + ( Origin gFrontEnd ) + ) + ( attribute "USE2" "work.all" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(1450,250)" + ( Origin gFrontEnd ) + ) + ( objectStatus "page1_i30 (pc023a_vthresh_buffer)" ) + ) + ( designInst "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i31" + ( attribute "BLOCK" "TRUE" + ( Origin gFrontEnd ) + ) + ( attribute "CDS_LIB" "fmc_tlu_v1_lib" + ( Origin gPackager ) + ) + ( attribute "LIBRARY1" "ieee" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "USE1" "ieee.std_logic_1164.all" + ( Origin gFrontEnd ) + ) + ( attribute "USE2" "work.all" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(1450,850)" + ( Origin gFrontEnd ) + ) + ( objectStatus "page1_i31 (pc023a_vthresh_buffer)" ) + ) + ( designInst "@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i32" + ( attribute "BLOCK" "TRUE" + ( Origin gFrontEnd ) + ) + ( attribute "CDS_LIB" "fmc_tlu_v1_lib" + ( Origin gPackager ) + ) + ( attribute "LIBRARY1" "ieee" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "USE1" "ieee.std_logic_1164.all" + ( Origin gFrontEnd ) + ) + ( attribute "USE2" "work.all" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(1450,1450)" + ( Origin gFrontEnd ) + ) + ( objectStatus "page1_i32 (pc023a_vthresh_buffer)" ) + ) + ) + ) + ( EndBaseCopy ) + ) + ( BaseCopy "pc036a_fmc_lpc_connector" + ( constraintHeader + ( revisionNumber + ( logicalViewRevNum 3 ) + ( physicalViewRevNum 0 ) + ( otherViewRevNum 0 ) + ) + ( contents + ( electricalConstraints ) + ( netClasses ) + ( properties ) + ) + ) + ( designConstraints + + ( ruleChanges + ( allRules ) + ( design "pc036a_fmc_lpc_connector" + ) + ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):dp0_c2m" + ( objectStatus "dp0_c2m" ) + ) + ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\dp0_c2m*\" + ( objectStatus "dp0_c2m*" ) + ) + ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):dp0_m2c" + ( objectStatus "dp0_m2c" ) + ) + ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\dp0_m2c*\" + ( objectStatus "dp0_m2c*" ) + ) + ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_clk0_m2c" + ( objectStatus "fmc_clk0_m2c" ) + ) + ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_clk0_m2c*\" + ( objectStatus "fmc_clk0_m2c*" ) + ) + ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_clk1_m2c" + ( objectStatus "fmc_clk1_m2c" ) + ) + ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_clk1_m2c*\" + ( objectStatus "fmc_clk1_m2c*" ) + ) + ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(0)" + ( objectStatus "fmc_la<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(1)" + ( objectStatus "fmc_la<1>" ) + ) + ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(2)" + ( objectStatus "fmc_la<2>" ) + ) + ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(3)" + ( objectStatus "fmc_la<3>" ) + ) + ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(4)" + ( objectStatus "fmc_la<4>" ) + ) + ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(5)" + ( objectStatus "fmc_la<5>" ) + ) + ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(6)" + ( objectStatus "fmc_la<6>" ) + ) + ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(7)" + ( objectStatus "fmc_la<7>" ) + ) + ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(8)" + ( objectStatus "fmc_la<8>" ) + ) + ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(9)" + ( objectStatus "fmc_la<9>" ) + ) + ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(10)" + ( objectStatus "fmc_la<10>" ) + ) + ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(11)" + ( objectStatus "fmc_la<11>" ) + ) + ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(12)" + ( objectStatus "fmc_la<12>" ) + ) + ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(13)" + ( objectStatus "fmc_la<13>" ) + ) + ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(14)" + ( objectStatus "fmc_la<14>" ) + ) + ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(15)" + ( objectStatus "fmc_la<15>" ) + ) + ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(16)" + ( objectStatus "fmc_la<16>" ) + ) + ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(17)" + ( objectStatus "fmc_la<17>" ) + ) + ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(18)" + ( objectStatus "fmc_la<18>" ) + ) + ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(19)" + ( objectStatus "fmc_la<19>" ) + ) + ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(20)" + ( objectStatus "fmc_la<20>" ) + ) + ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(21)" + ( objectStatus "fmc_la<21>" ) + ) + ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(22)" + ( objectStatus "fmc_la<22>" ) + ) + ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(23)" + ( objectStatus "fmc_la<23>" ) + ) + ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(24)" + ( objectStatus "fmc_la<24>" ) + ) + ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(25)" + ( objectStatus "fmc_la<25>" ) + ) + ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(26)" + ( objectStatus "fmc_la<26>" ) + ) + ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(27)" + ( objectStatus "fmc_la<27>" ) + ) + ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(28)" + ( objectStatus "fmc_la<28>" ) + ) + ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(29)" + ( objectStatus "fmc_la<29>" ) + ) + ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(30)" + ( objectStatus "fmc_la<30>" ) + ) + ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(31)" + ( objectStatus "fmc_la<31>" ) + ) + ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(32)" + ( objectStatus "fmc_la<32>" ) + ) + ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(33)" + ( objectStatus "fmc_la<33>" ) + ) + ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(0)" + ( objectStatus "fmc_la*<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(1)" + ( objectStatus "fmc_la*<1>" ) + ) + ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(2)" + ( objectStatus "fmc_la*<2>" ) + ) + ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(3)" + ( objectStatus "fmc_la*<3>" ) + ) + ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(4)" + ( objectStatus "fmc_la*<4>" ) + ) + ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(5)" + ( objectStatus "fmc_la*<5>" ) + ) + ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(6)" + ( objectStatus "fmc_la*<6>" ) + ) + ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(7)" + ( objectStatus "fmc_la*<7>" ) + ) + ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(8)" + ( objectStatus "fmc_la*<8>" ) + ) + ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(9)" + ( objectStatus "fmc_la*<9>" ) + ) + ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(10)" + ( objectStatus "fmc_la*<10>" ) + ) + ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(11)" + ( objectStatus "fmc_la*<11>" ) + ) + ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(12)" + ( objectStatus "fmc_la*<12>" ) ) ( signal "@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(13)" ( objectStatus "fmc_la*<13>" ) @@ -31508,11 +34581,3151 @@ ) ( EndBaseCopy ) ) - ( BaseCopy "fmc_tlu_cfd" + ( BaseCopy "fmc_tlu_cfd" + ( constraintHeader + ( revisionNumber + ( logicalViewRevNum 45 ) + ( physicalViewRevNum 2 ) + ( otherViewRevNum 0 ) + ) + ( contents + ( electricalConstraints ) + ( physicalConstraints ) + ( spacingConstraints ) + ( sameNetSpacingConstraints ) + ( netClasses ) + ( properties ) + ) + ) + ( designConstraints + ( ruleChanges + ( allRules ) + ( design "fmc_tlu_cfd" + ( physicalCSetRef "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):\DEFAULT\" ) + ( spacingCSetRef "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):\DEFAULT\" ) + ( sameNetSpacingCSetRef "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):\DEFAULT\" ) + ) + ( physicalCSet "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):\DEFAULT\" + ( attribute "DIFFP_PRIMARY_GAP" "0.0000,0.0000,0.0000,0.0000,0.0000,0.0000" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "DIFFP_COUPLED_PLUS" "0.0000,0.0000,0.0000,0.0000,0.0000,0.0000" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "DIFFP_COUPLED_MINUS" "0.0000,0.0000,0.0000,0.0000,0.0000,0.0000" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "DIFFP_MIN_SPACE" "0.0000,0.0000,0.0000,0.0000,0.0000,0.0000" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "MIN_LINE_WIDTH" "0.1600,0.1600,0.1600,0.1600,0.1600,0.1600" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "MAX_LINE_WIDTH" "0.0000,0.0000,0.0000,0.0000,0.0000,0.0000" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "DIFFP_NECK_GAP" "0.0000,0.0000,0.0000,0.0000,0.0000,0.0000" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "MIN_NECK_WIDTH" "0.1500,0.1500,0.1500,0.1500,0.1500,0.1500" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "MAXIMUM_NECK_LENGTH" "0.0000,0.0000,0.0000,0.0000,0.0000,0.0000" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "VIA_LIST" "VIA" + ( Origin gBackEnd ) + ) + ( attribute "ALLOW_ON_ETCH_SUBCLASS" "TRUE,TRUE,TRUE,TRUE,TRUE,TRUE" + ( Origin gBackEnd ) + ) + ( attribute "TS_ALLOWED" "ANYWHERE,ANYWHERE,ANYWHERE,ANYWHERE,ANYWHERE,ANYWHERE" + ( Origin gBackEnd ) + ) + ( attribute "MIN_BVIA_STAGGER" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "MAX_BVIA_STAGGER" "0.0000,0.0000,0.0000,0.0000,0.0000,0.0000" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "PAD_PAD_DIRECT_CONNECT" "ALL_ALLOWED,ALL_ALLOWED,ALL_ALLOWED,ALL_ALLOWED,ALL_ALLOWED,ALL_ALLOWED" + ( Origin gBackEnd ) + ) + ( objectStatus "DEFAULT" ) + ) + ( spacingCSet "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):\DEFAULT\" + ( attribute "THRUPIN_TO_THRUPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "THRUPIN_TO_SMDPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "TESTPIN_TO_THRUPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "THRUPIN_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "TESTVIA_TO_THRUPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "BBV_TO_THRUPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "THRUPIN_TO_BONDPAD_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "LINE_TO_THRUPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "THRUPIN_TO_SHAPE_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "SMDPIN_TO_SMDPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "SMDPIN_TO_TESTPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "SMDPIN_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "SMDPIN_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "BBV_TO_SMDPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "SMDPIN_TO_BONDPAD_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "LINE_TO_SMDPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "SHAPE_TO_SMDPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "TESTPIN_TO_TESTPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "TESTPIN_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "TESTPIN_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "BBV_TO_TESTPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "BONDPAD_TO_TESTPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "LINE_TO_TESTPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "SHAPE_TO_TESTPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "THRUVIA_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "TESTVIA_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "BBV_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "BONDPAD_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "LINE_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "SHAPE_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "TESTVIA_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "BBV_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "BONDPAD_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "LINE_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "SHAPE_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "BBV_TO_BBV_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "BONDPAD_TO_BBV_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "BBV_TO_LINE_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "BBV_TO_SHAPE_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "BONDPAD_TO_BONDPAD_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "BONDPAD_TO_LINE_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "BONDPAD_TO_SHAPE_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "LINE_TO_LINE_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "LINE_TO_SHAPE_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "SHAPE_TO_SHAPE_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "HOLE_TO_PIN_SPACING" "0.2032,0.2032,0.2032,0.2032,0.2032,0.2032" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "HOLE_TO_VIA_SPACING" "0.2032,0.2032,0.2032,0.2032,0.2032,0.2032" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "HOLE_TO_LINE_SPACING" "0.2032,0.2032,0.2032,0.2032,0.2032,0.2032" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "HOLE_TO_SHAPE_SPACING" "0.2032,0.2032,0.2032,0.2032,0.2032,0.2032" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "HOLE_TO_HOLE_SPACING" "0.2032,0.2032,0.2032,0.2032,0.2032,0.2032" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "MVIA_TO_MVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "MVIA_TO_THRUPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "MVIA_TO_SMDPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "MVIA_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "MVIA_TO_BBV_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "MVIA_TO_LINE_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "MVIA_TO_SHAPE_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "MVIA_TO_TESTPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "MVIA_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "BONDPAD_TO_MVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "MIN_BVIA_GAP" "0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "BONDPAD_TO_BONDPAD_DIFFP_SPC" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( objectStatus "DEFAULT" ) + ) + ( sameNetSpacingCSet "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):\DEFAULT\" + ( attribute "SAME_NET" "FALSE,FALSE,FALSE,FALSE,FALSE,FALSE" + ( Origin gBackEnd ) + ) + ( attribute "SN_THRUPIN_TO_THRUPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "SN_THRUPIN_TO_SMDPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "SN_TESTPIN_TO_THRUPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "SN_THRUPIN_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "SN_TESTVIA_TO_THRUPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "SN_BBV_TO_THRUPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "SN_THRUPIN_TO_BONDPAD_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "SN_LINE_TO_THRUPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "SN_THRUPIN_TO_SHAPE_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "SN_SMDPIN_TO_SMDPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "SN_SMDPIN_TO_TESTPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "SN_SMDPIN_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "SN_SMDPIN_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "SN_BBV_TO_SMDPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "SN_SMDPIN_TO_BONDPAD_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "SN_LINE_TO_SMDPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "SN_SHAPE_TO_SMDPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "SN_TESTPIN_TO_TESTPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "SN_TESTPIN_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "SN_TESTPIN_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "SN_BBV_TO_TESTPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "SN_BONDPAD_TO_TESTPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "SN_LINE_TO_TESTPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "SN_SHAPE_TO_TESTPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "SN_THRUVIA_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "SN_TESTVIA_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "SN_BBV_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "SN_BONDPAD_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "SN_LINE_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "SN_SHAPE_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "SN_TESTVIA_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "SN_BBV_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "SN_BONDPAD_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "SN_LINE_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "SN_SHAPE_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "SN_BBV_TO_BBV_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "SN_BONDPAD_TO_BBV_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "SN_BBV_TO_LINE_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "SN_BBV_TO_SHAPE_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "SN_BONDPAD_TO_BONDPAD_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "SN_BONDPAD_TO_LINE_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "SN_BONDPAD_TO_SHAPE_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "SN_LINE_TO_LINE_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "SN_LINE_TO_SHAPE_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "SN_SHAPE_TO_SHAPE_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "SN_HOLE_TO_PIN_SPACING" "0.2032,0.2032,0.2032,0.2032,0.2032,0.2032" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "SN_HOLE_TO_VIA_SPACING" "0.2032,0.2032,0.2032,0.2032,0.2032,0.2032" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "SN_HOLE_TO_LINE_SPACING" "0.2032,0.2032,0.2032,0.2032,0.2032,0.2032" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "SN_HOLE_TO_SHAPE_SPACING" "0.2032,0.2032,0.2032,0.2032,0.2032,0.2032" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "SN_HOLE_TO_HOLE_SPACING" "0.2032,0.2032,0.2032,0.2032,0.2032,0.2032" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "SN_MVIA_TO_MVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "SN_MVIA_TO_THRUPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "SN_MVIA_TO_SMDPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "SN_MVIA_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "SN_MVIA_TO_BBV_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "SN_MVIA_TO_LINE_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "SN_MVIA_TO_SHAPE_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "SN_MVIA_TO_TESTPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "SN_MVIA_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( attribute "SN_BONDPAD_TO_MVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) + ) + ( objectStatus "DEFAULT" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):gnd_signal" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_gnd_signal") ) + ( attribute "CDS_PHYS_NET_NAME" "GND_SIGNAL" + ( Origin gPackager ) + ) + ( attribute "WEIGHT" "0" + ( Origin gBackEnd ) + ) + ( objectStatus "GND_SIGNAL" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_gnd_signal" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_gnd_signal" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):m5v" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_m5v") ) + ( attribute "CDS_PHYS_NET_NAME" "M5V" + ( Origin gPackager ) + ) + ( attribute "WEIGHT" "0" + ( Origin gBackEnd ) + ) + ( objectStatus "M5V" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_m5v" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_m5v" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):p5v" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_p5v") ) + ( attribute "CDS_PHYS_NET_NAME" "P5V" + ( Origin gPackager ) + ) + ( attribute "WEIGHT" "0" + ( Origin gBackEnd ) + ) + ( objectStatus "P5V" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_p5v" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_p5v" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):\leading_edge_out*\" + ( attribute "CDS_PHYS_NET_NAME" "LEADING_EDGE_OUT*" + ( Origin gPackager ) + ) + ( objectStatus "LEADING_EDGE_OUT*" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):leading_edge_out" + ( attribute "CDS_PHYS_NET_NAME" "LEADING_EDGE_OUT" + ( Origin gPackager ) + ) + ( objectStatus "LEADING_EDGE_OUT" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):\cfd_out*\" + ( attribute "CDS_PHYS_NET_NAME" "CFD_OUT*" + ( Origin gPackager ) + ) + ( objectStatus "CFD_OUT*" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):unnamed_1_max9601_i1_in" + ( attribute "CDS_PHYS_NET_NAME" "UNNAMED_1_MAX9601_I1_IN" + ( Origin gPackager ) + ) + ( objectStatus "UNNAMED_1_MAX9601_I1_IN" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):vin" + ( attribute "CDS_PHYS_NET_NAME" "VIN" + ( Origin gPackager ) + ) + ( objectStatus "VIN" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):cfd_out" + ( attribute "CDS_PHYS_NET_NAME" "CFD_OUT" + ( Origin gPackager ) + ) + ( objectStatus "CFD_OUT" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):vthresh" + ( attribute "CDS_PHYS_NET_NAME" "VTHRESH" + ( Origin gPackager ) + ) + ( objectStatus "VTHRESH" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):unnamed_1_capcersmdcl2_i78_b" + ( attribute "CDS_PHYS_NET_NAME" "UNNAMED_1_CAPCERSMDCL2_I78_B" + ( Origin gPackager ) + ) + ( objectStatus "UNNAMED_1_CAPCERSMDCL2_I78_B" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):unnamed_1_max9601_i1_hys" + ( attribute "CDS_PHYS_NET_NAME" "UNNAMED_1_MAX9601_I1_HYS" + ( Origin gPackager ) + ) + ( objectStatus "UNNAMED_1_MAX9601_I1_HYS" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):unnamed_1_max9601_i1_in_1" + ( attribute "CDS_PHYS_NET_NAME" "UNNAMED_1_MAX9601_I1_IN_1" + ( Origin gPackager ) + ) + ( objectStatus "UNNAMED_1_MAX9601_I1_IN_1" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):unnamed_1_max9601_i71_hys" + ( attribute "CDS_PHYS_NET_NAME" "UNNAMED_1_MAX9601_I71_HYS" + ( Origin gPackager ) + ) + ( objectStatus "UNNAMED_1_MAX9601_I71_HYS" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):p2v5" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_p2v5") ) + ( attribute "CDS_PHYS_NET_NAME" "P2V5" + ( Origin gPackager ) + ) + ( attribute "WEIGHT" "0" + ( Origin gBackEnd ) + ) + ( objectStatus "P2V5" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_p2v5" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_p2v5" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):unnamed_1_pcoaxsmd_i168_a" + ( attribute "CDS_PHYS_NET_NAME" "UNNAMED_1_PCOAXSMD_I168_A" + ( Origin gPackager ) + ) + ( objectStatus "UNNAMED_1_PCOAXSMD_I168_A" ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i1" + ( attribute "CDS_LIB" "cnlinear" + ( Origin gPackager ) + ) + ( attribute "CDS_LMAN_SYM_OUTLINE" "-250,250,325,-250" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "TSSOP" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(1550,-1450)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "MAX9601" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "MAX9601_TSSOP" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "IC2" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "IC2" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "IC2" ) + ( pin "hys(0)" + ( attribute "PN" "8" + ( Origin gPackager ) + ) + ( objectStatus "IC2.8" ) + ) + ( pin "\in+\(0)" + ( attribute "PN" "10" + ( Origin gPackager ) + ) + ( objectStatus "IC2.10" ) + ) + ( pin "\in-\(0)" + ( attribute "PN" "9" + ( Origin gPackager ) + ) + ( objectStatus "IC2.9" ) + ) + ( pin "le(0)" + ( attribute "PN" "4" + ( Origin gPackager ) + ) + ( objectStatus "IC2.4" ) + ) + ( pin "\le*\(0)" + ( attribute "PN" "5" + ( Origin gPackager ) + ) + ( objectStatus "IC2.5" ) + ) + ( pin "q(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "IC2.1" ) + ) + ( pin "\q*\(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "IC2.2" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i123" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "0603" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "100NF" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(-350,-500)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "C1" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C1" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "C1" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "C1.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "C1.2" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i39" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "0603" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "1.0UF" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "6.3V" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(5600,-450)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "C4" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C4" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "C4" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "C4.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "C4.2" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i40" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "0603" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "100NF" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(5900,-450)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "C7" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C7" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "C7" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "C7.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "C7.2" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i41" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "0603" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "100NF" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(6200,-450)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "C10" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C10" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "C10" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "C10.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "C10.2" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i43" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "0603" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "100NF" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(6150,-1600)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "C9" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C9" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "C9" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "C9.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "C9.2" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i44" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "0603" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "1.0UF" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "6.3V" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(5850,-1600)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "C6" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C6" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "C6" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "C6.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "C6.2" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i47" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "0603" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "1.0UF" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "6.3V" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(5450,600)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "C3" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C3" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "C3" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "C3.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "C3.2" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i71" + ( attribute "CDS_LIB" "cnlinear" + ( Origin gPackager ) + ) + ( attribute "CDS_LMAN_SYM_OUTLINE" "-250,250,325,-250" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "TSSOP" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(1550,200)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "MAX9601" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "MAX9601_TSSOP" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "IC2" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "IC2" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "2" + ( Origin gPackager ) + ) + ( attribute "SEC" "2" + ( Origin gPackager ) + ) + ( objectStatus "IC2" ) + ( pin "hys(0)" + ( attribute "PN" "13" + ( Origin gPackager ) + ) + ( objectStatus "IC2.13" ) + ) + ( pin "\in+\(0)" + ( attribute "PN" "11" + ( Origin gPackager ) + ) + ( objectStatus "IC2.11" ) + ) + ( pin "\in-\(0)" + ( attribute "PN" "12" + ( Origin gPackager ) + ) + ( objectStatus "IC2.12" ) + ) + ( pin "le(0)" + ( attribute "PN" "17" + ( Origin gPackager ) + ) + ( objectStatus "IC2.17" ) + ) + ( pin "\le*\(0)" + ( attribute "PN" "16" + ( Origin gPackager ) + ) + ( objectStatus "IC2.16" ) + ) + ( pin "q(0)" + ( attribute "PN" "20" + ( Origin gPackager ) + ) + ( objectStatus "IC2.20" ) + ) + ( pin "\q*\(0)" + ( attribute "PN" "19" + ( Origin gPackager ) + ) + ( objectStatus "IC2.19" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i73" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "DIST" "FLAT" + ( Origin gFrontEnd ) + ) + ( attribute "LOCATION" "R9" + ( Origin gPackager ) + ) + ( attribute "MAX_TEMP" "RTMAX" + ( Origin gFrontEnd ) + ) + ( attribute "NEGTOL" "RTOL%" + ( Origin gFrontEnd ) + ) + ( attribute "PACK_TYPE" "1/10W" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "POSTOL" "RTOL%" + ( Origin gFrontEnd ) + ) + ( attribute "POWER" "RMAX" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SLOPE" "RSMAX" + ( Origin gFrontEnd ) + ) + ( attribute "TC1" "RTMPL" + ( Origin gFrontEnd ) + ) + ( attribute "TC2" "RTMPQ" + ( Origin gFrontEnd ) + ) + ( attribute "TOL" "1%" + ( Origin gFrontEnd ) + ) + ( attribute "TOL_ON_OFF" "ON" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "XX" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "2" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "RVMAX" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(1150,-150)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-XX,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R9" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "R9" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "R9.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "R9.2" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i78" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "0603" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "10NF" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "50V" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(750,500)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "C2" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C2" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "C2" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "C2.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "C2.2" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i79" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "DIST" "FLAT" + ( Origin gFrontEnd ) + ) + ( attribute "LOCATION" "R5" + ( Origin gPackager ) + ) + ( attribute "MAX_TEMP" "RTMAX" + ( Origin gFrontEnd ) + ) + ( attribute "NEGTOL" "RTOL%" + ( Origin gFrontEnd ) + ) + ( attribute "PACK_TYPE" "1/10W" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "POSTOL" "RTOL%" + ( Origin gFrontEnd ) + ) + ( attribute "POWER" "RMAX" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SLOPE" "RSMAX" + ( Origin gFrontEnd ) + ) + ( attribute "TC1" "RTMPL" + ( Origin gFrontEnd ) + ) + ( attribute "TC2" "RTMPQ" + ( Origin gFrontEnd ) + ) + ( attribute "TOL" "1%" + ( Origin gFrontEnd ) + ) + ( attribute "TOL_ON_OFF" "ON" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "100" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "2" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "RVMAX" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(500,700)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-100,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R5" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "R5" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "R5.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "R5.2" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i81" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "DIST" "FLAT" + ( Origin gFrontEnd ) + ) + ( attribute "LOCATION" "R10" + ( Origin gPackager ) + ) + ( attribute "MAX_TEMP" "RTMAX" + ( Origin gFrontEnd ) + ) + ( attribute "NEGTOL" "RTOL%" + ( Origin gFrontEnd ) + ) + ( attribute "PACK_TYPE" "1/10W" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "POSTOL" "RTOL%" + ( Origin gFrontEnd ) + ) + ( attribute "POWER" "RMAX" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SLOPE" "RSMAX" + ( Origin gFrontEnd ) + ) + ( attribute "TC1" "RTMPL" + ( Origin gFrontEnd ) + ) + ( attribute "TC2" "RTMPQ" + ( Origin gFrontEnd ) + ) + ( attribute "TOL" "1%" + ( Origin gFrontEnd ) + ) + ( attribute "TOL_ON_OFF" "ON" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "XX" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "2" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "RVMAX" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(1150,-1800)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-XX,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R10" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "R10" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "R10.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "R10.2" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i148" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "DIST" "FLAT" + ( Origin gFrontEnd ) + ) + ( attribute "LOCATION" "R6" + ( Origin gPackager ) + ) + ( attribute "MAX_TEMP" "RTMAX" + ( Origin gFrontEnd ) + ) + ( attribute "NEGTOL" "RTOL%" + ( Origin gFrontEnd ) + ) + ( attribute "PACK_TYPE" "125MW" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "POSTOL" "RTOL%" + ( Origin gFrontEnd ) + ) + ( attribute "POWER" "RMAX" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SLOPE" "RSMAX" + ( Origin gFrontEnd ) + ) + ( attribute "TC1" "RTMPL" + ( Origin gFrontEnd ) + ) + ( attribute "TC2" "RTMPQ" + ( Origin gFrontEnd ) + ) + ( attribute "TOL" "1%" + ( Origin gFrontEnd ) + ) + ( attribute "TOL_ON_OFF" "ON" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "100" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "2" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "RVMAX" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(700,-1800)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "RSMD0805" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "RSMD0805_125MW-100,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R6" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "R6" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "R6.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "R6.2" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i92" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "DIST" "FLAT" + ( Origin gFrontEnd ) + ) + ( attribute "LOCATION" "R7" + ( Origin gPackager ) + ) + ( attribute "MAX_TEMP" "RTMAX" + ( Origin gFrontEnd ) + ) + ( attribute "NEGTOL" "RTOL%" + ( Origin gFrontEnd ) + ) + ( attribute "PACK_TYPE" "1/10W" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "POSTOL" "RTOL%" + ( Origin gFrontEnd ) + ) + ( attribute "POWER" "RMAX" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SLOPE" "RSMAX" + ( Origin gFrontEnd ) + ) + ( attribute "TC1" "RTMPL" + ( Origin gFrontEnd ) + ) + ( attribute "TC2" "RTMPQ" + ( Origin gFrontEnd ) + ) + ( attribute "TOL" "1%" + ( Origin gFrontEnd ) + ) + ( attribute "TOL_ON_OFF" "ON" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "100" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "2" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "RVMAX" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(900,-1250)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-100,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R7" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "R7" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "R7.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "R7.2" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i93" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "DIST" "FLAT" + ( Origin gFrontEnd ) + ) + ( attribute "LOCATION" "R3" + ( Origin gPackager ) + ) + ( attribute "MAX_TEMP" "RTMAX" + ( Origin gFrontEnd ) + ) + ( attribute "NEGTOL" "RTOL%" + ( Origin gFrontEnd ) + ) + ( attribute "PACK_TYPE" "1/10W" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "POSTOL" "RTOL%" + ( Origin gFrontEnd ) + ) + ( attribute "POWER" "RMAX" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SLOPE" "RSMAX" + ( Origin gFrontEnd ) + ) + ( attribute "TC1" "RTMPL" + ( Origin gFrontEnd ) + ) + ( attribute "TC2" "RTMPQ" + ( Origin gFrontEnd ) + ) + ( attribute "TOL" "1%" + ( Origin gFrontEnd ) + ) + ( attribute "TOL_ON_OFF" "ON" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "51" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "2" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "RVMAX" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(100,-1100)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-51,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R3" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "R3" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "R3.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "R3.2" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i94" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "DIST" "FLAT" + ( Origin gFrontEnd ) + ) + ( attribute "LOCATION" "R2" + ( Origin gPackager ) + ) + ( attribute "MAX_TEMP" "RTMAX" + ( Origin gFrontEnd ) + ) + ( attribute "NEGTOL" "RTOL%" + ( Origin gFrontEnd ) + ) + ( attribute "PACK_TYPE" "125MW" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "POSTOL" "RTOL%" + ( Origin gFrontEnd ) + ) + ( attribute "POWER" "RMAX" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SLOPE" "RSMAX" + ( Origin gFrontEnd ) + ) + ( attribute "TC1" "RTMPL" + ( Origin gFrontEnd ) + ) + ( attribute "TC2" "RTMPQ" + ( Origin gFrontEnd ) + ) + ( attribute "TOL" "1%" + ( Origin gFrontEnd ) + ) + ( attribute "TOL_ON_OFF" "ON" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "12" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "2" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "RVMAX" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(-300,-950)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "RSMD0805" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "RSMD0805_125MW-12,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R2" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "R2" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "R2.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "R2.2" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i111" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "DIST" "FLAT" + ( Origin gFrontEnd ) + ) + ( attribute "LOCATION" "R13" + ( Origin gPackager ) + ) + ( attribute "MAX_TEMP" "RTMAX" + ( Origin gFrontEnd ) + ) + ( attribute "NEGTOL" "RTOL%" + ( Origin gFrontEnd ) + ) + ( attribute "PACK_TYPE" "1/10W" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "POSTOL" "RTOL%" + ( Origin gFrontEnd ) + ) + ( attribute "POWER" "RMAX" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SLOPE" "RSMAX" + ( Origin gFrontEnd ) + ) + ( attribute "TC1" "RTMPL" + ( Origin gFrontEnd ) + ) + ( attribute "TC2" "RTMPQ" + ( Origin gFrontEnd ) + ) + ( attribute "TOL" "1%" + ( Origin gFrontEnd ) + ) + ( attribute "TOL_ON_OFF" "ON" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "75" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "2" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "RVMAX" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(3800,-250)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-75,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R13" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "R13" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "R13.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "R13.2" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i113" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "DIST" "FLAT" + ( Origin gFrontEnd ) + ) + ( attribute "LOCATION" "R11" + ( Origin gPackager ) + ) + ( attribute "MAX_TEMP" "RTMAX" + ( Origin gFrontEnd ) + ) + ( attribute "NEGTOL" "RTOL%" + ( Origin gFrontEnd ) + ) + ( attribute "PACK_TYPE" "1/10W" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "POSTOL" "RTOL%" + ( Origin gFrontEnd ) + ) + ( attribute "POWER" "RMAX" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SLOPE" "RSMAX" + ( Origin gFrontEnd ) + ) + ( attribute "TC1" "RTMPL" + ( Origin gFrontEnd ) + ) + ( attribute "TC2" "RTMPQ" + ( Origin gFrontEnd ) + ) + ( attribute "TOL" "1%" + ( Origin gFrontEnd ) + ) + ( attribute "TOL_ON_OFF" "ON" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "75" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "2" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "RVMAX" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(3500,-250)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-75,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R11" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "R11" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "R11.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "R11.2" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i147" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "DIST" "FLAT" + ( Origin gFrontEnd ) + ) + ( attribute "LOCATION" "R8" + ( Origin gPackager ) + ) + ( attribute "MAX_TEMP" "RTMAX" + ( Origin gFrontEnd ) + ) + ( attribute "NEGTOL" "RTOL%" + ( Origin gFrontEnd ) + ) + ( attribute "PACK_TYPE" "125MW" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "POSTOL" "RTOL%" + ( Origin gFrontEnd ) + ) + ( attribute "POWER" "RMAX" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SLOPE" "RSMAX" + ( Origin gFrontEnd ) + ) + ( attribute "TC1" "RTMPL" + ( Origin gFrontEnd ) + ) + ( attribute "TC2" "RTMPQ" + ( Origin gFrontEnd ) + ) + ( attribute "TOL" "1%" + ( Origin gFrontEnd ) + ) + ( attribute "TOL_ON_OFF" "ON" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "100" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "2" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "RVMAX" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(900,-1800)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "RSMD0805" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "RSMD0805_125MW-100,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R8" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "R8" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "R8.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "R8.2" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i150" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "0603" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "100NF" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(5750,600)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "C5" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C5" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "C5" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "C5.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "C5.2" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i151" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "0603" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "100NF" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(6050,600)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "C8" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C8" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "C8" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "C8.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "C8.2" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i152" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "DIST" "FLAT" + ( Origin gFrontEnd ) + ) + ( attribute "LOCATION" "R14" + ( Origin gPackager ) + ) + ( attribute "MAX_TEMP" "RTMAX" + ( Origin gFrontEnd ) + ) + ( attribute "NEGTOL" "RTOL%" + ( Origin gFrontEnd ) + ) + ( attribute "PACK_TYPE" "1/10W" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "POSTOL" "RTOL%" + ( Origin gFrontEnd ) + ) + ( attribute "POWER" "RMAX" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SLOPE" "RSMAX" + ( Origin gFrontEnd ) + ) + ( attribute "TC1" "RTMPL" + ( Origin gFrontEnd ) + ) + ( attribute "TC2" "RTMPQ" + ( Origin gFrontEnd ) + ) + ( attribute "TOL" "1%" + ( Origin gFrontEnd ) + ) + ( attribute "TOL_ON_OFF" "ON" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "75" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "2" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "RVMAX" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(2450,-1750)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-75,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R14" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "R14" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "R14.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "R14.2" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i153" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "DIST" "FLAT" + ( Origin gFrontEnd ) + ) + ( attribute "LOCATION" "R12" + ( Origin gPackager ) + ) + ( attribute "MAX_TEMP" "RTMAX" + ( Origin gFrontEnd ) + ) + ( attribute "NEGTOL" "RTOL%" + ( Origin gFrontEnd ) + ) + ( attribute "PACK_TYPE" "1/10W" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "POSTOL" "RTOL%" + ( Origin gFrontEnd ) + ) + ( attribute "POWER" "RMAX" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SLOPE" "RSMAX" + ( Origin gFrontEnd ) + ) + ( attribute "TC1" "RTMPL" + ( Origin gFrontEnd ) + ) + ( attribute "TC2" "RTMPQ" + ( Origin gFrontEnd ) + ) + ( attribute "TOL" "1%" + ( Origin gFrontEnd ) + ) + ( attribute "TOL_ON_OFF" "ON" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "75" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "2" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "RVMAX" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(2150,-1750)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-75,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R12" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "R12" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "R12.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "R12.2" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i159" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "HOLE" + ( Origin gFrontEnd ) + ) + ( attribute "PART_NAME" "TP" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SYMMAP" "TRUE" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "0.8MM" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(2750,400)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "TP" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "TP_HOLE" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "TP2" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "TP2" + ( Origin gPackager ) + ) + ( objectStatus "TP2" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "TP2.1" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i160" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "HOLE" + ( Origin gFrontEnd ) + ) + ( attribute "PART_NAME" "TP" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SYMMAP" "TRUE" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "0.8MM" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(2750,200)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "TP" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "TP_HOLE" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "TP3" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "TP3" + ( Origin gPackager ) + ) + ( objectStatus "TP3" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "TP3.1" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i161" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "HOLE" + ( Origin gFrontEnd ) + ) + ( attribute "PART_NAME" "TP" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SYMMAP" "TRUE" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "0.8MM" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(2750,0)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "TP" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "TP_HOLE" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "TP4" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "TP4" + ( Origin gPackager ) + ) + ( objectStatus "TP4" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "TP4.1" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i162" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "HOLE" + ( Origin gFrontEnd ) + ) + ( attribute "PART_NAME" "TP" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SYMMAP" "TRUE" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "0.8MM" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(1000,800)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "TP" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "TP_HOLE" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "TP1" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "TP1" + ( Origin gPackager ) + ) + ( objectStatus "TP1" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "TP1.1" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i163" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "HOLE" + ( Origin gFrontEnd ) + ) + ( attribute "PART_NAME" "TP" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SYMMAP" "TRUE" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "0.8MM" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(2750,-1250)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "TP" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "TP_HOLE" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "TP5" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "TP5" + ( Origin gPackager ) + ) + ( objectStatus "TP5" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "TP5.1" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i164" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "HOLE" + ( Origin gFrontEnd ) + ) + ( attribute "PART_NAME" "TP" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SYMMAP" "TRUE" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "0.8MM" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(2750,-1550)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "TP" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "TP_HOLE" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "TP6" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "TP6" + ( Origin gPackager ) + ) + ( objectStatus "TP6" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "TP6.1" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i165" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "HOLE" + ( Origin gFrontEnd ) + ) + ( attribute "PART_NAME" "TP" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SYMMAP" "TRUE" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "0.8MM" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(2750,-1750)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "TP" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "TP_HOLE" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "TP7" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "TP7" + ( Origin gPackager ) + ) + ( objectStatus "TP7" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "TP7.1" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i120" + ( attribute "CASE" "SOT23" + ( Origin gFrontEnd ) + ) + ( attribute "CDS_LIB" "cndiscrete" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "D1" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "A1-C2-AC3" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "1" + ( Origin gFrontEnd ) + ) + ( attribute "TYPE" "HBAT-540C" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(0,-500)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "DIODE_DUAL_SERIES" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "HBAT-540C" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "D1" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "D1" ) + ( pin "a" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "D1.1" ) + ) + ( pin "ac" + ( attribute "PN" "3" + ( Origin gPackager ) + ) + ( objectStatus "D1.3" ) + ) + ( pin "c" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "D1.2" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i168" + ( attribute "CDS_LIB" "cnconnector" + ( Origin gPackager ) + ) + ( attribute "NEEDS_NO_SIZE" "TRUE" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "TYPE" "UFL_R_SMT" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(-300,-1550)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "PCOAXSMD" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "PCOAXSMD-UFL_R_SMT-GND=GND_SIGA" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "PX1" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "PX1" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "PX1" ) + ( pin "a" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "PX1.1" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i169" + ( attribute "CDS_LIB" "cnconnector" + ( Origin gPackager ) + ) + ( attribute "NEEDS_NO_SIZE" "TRUE" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "2" + ( Origin gFrontEnd ) + ) + ( attribute "TYPE" "UFL_R_SMT" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(325,-1550)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "PCOAXSMD" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "PCOAXSMD-UFL_R_SMT-GND=GND_SIGA" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "PX2" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "PX2" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "PX2" ) + ( pin "a" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "PX2.1" ) + ) + ) + ) + ) + ( EndBaseCopy ) + ) + ( BaseCopy "fmc_tlu_vsupply5v" ( constraintHeader ( revisionNumber - ( logicalViewRevNum 45 ) - ( physicalViewRevNum 2 ) + ( logicalViewRevNum 15 ) + ( physicalViewRevNum 1 ) ( otherViewRevNum 0 ) ) ( contents @@ -31527,13 +37740,13 @@ ( designConstraints ( ruleChanges ( allRules ) - ( design "fmc_tlu_cfd" - ( physicalCSetRef "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):\DEFAULT\" ) - ( spacingCSetRef "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):\DEFAULT\" ) - ( sameNetSpacingCSetRef "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):\DEFAULT\" ) + ( design "fmc_tlu_vsupply5v" + ( physicalCSetRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):\DEFAULT\" ) + ( spacingCSetRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):\DEFAULT\" ) + ( sameNetSpacingCSetRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):\DEFAULT\" ) ) - ( physicalCSet "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):\DEFAULT\" - ( attribute "DIFFP_PRIMARY_GAP" "0.0000,0.0000,0.0000,0.0000,0.0000,0.0000" + ( physicalCSet "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):\DEFAULT\" + ( attribute "DIFFP_PRIMARY_GAP" "0.2000,0.2000,0.2000,0.2000,0.2000,0.2000" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) @@ -31549,7 +37762,7 @@ ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "MIN_LINE_WIDTH" "0.1600,0.1600,0.1600,0.1600,0.1600,0.1600" + ( attribute "MIN_LINE_WIDTH" "0.2257,0.2257,0.2257,0.2257,0.2257,0.2257" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) @@ -31557,19 +37770,19 @@ ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "DIFFP_NECK_GAP" "0.0000,0.0000,0.0000,0.0000,0.0000,0.0000" + ( attribute "DIFFP_NECK_GAP" "0.1800,0.1800,0.1800,0.1800,0.1800,0.1800" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "MIN_NECK_WIDTH" "0.1500,0.1500,0.1500,0.1500,0.1500,0.1500" + ( attribute "MIN_NECK_WIDTH" "0.1800,0.1800,0.1800,0.1800,0.1800,0.1800" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "MAXIMUM_NECK_LENGTH" "0.0000,0.0000,0.0000,0.0000,0.0000,0.0000" + ( attribute "MAXIMUM_NECK_LENGTH" "5.0000,5.0000,5.0000,5.0000,5.0000,5.0000" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "VIA_LIST" "VIA" + ( attribute "VIA_LIST" "VIA,VIA32RD0-3D,VIA55-30-80,VIA36RD0-4D" ( Origin gBackEnd ) ) ( attribute "ALLOW_ON_ETCH_SUBCLASS" "TRUE,TRUE,TRUE,TRUE,TRUE,TRUE" @@ -31591,7 +37804,7 @@ ) ( objectStatus "DEFAULT" ) ) - ( spacingCSet "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):\DEFAULT\" + ( spacingCSet "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):\DEFAULT\" ( attribute "THRUPIN_TO_THRUPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) @@ -31620,7 +37833,7 @@ ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "LINE_TO_THRUPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "LINE_TO_THRUPIN_SPACING" "0.2000,0.2000,0.2000,0.2000,0.2000,0.2000" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) @@ -31652,7 +37865,7 @@ ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "LINE_TO_SMDPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "LINE_TO_SMDPIN_SPACING" "0.1800,0.2000,0.2000,0.2000,0.2000,0.2000" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) @@ -31680,7 +37893,7 @@ ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "LINE_TO_TESTPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "LINE_TO_TESTPIN_SPACING" "0.2000,0.2000,0.2000,0.2000,0.2000,0.2000" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) @@ -31704,7 +37917,7 @@ ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "LINE_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "LINE_TO_THRUVIA_SPACING" "0.2000,0.2000,0.2000,0.2000,0.2000,0.2000" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) @@ -31724,7 +37937,7 @@ ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "LINE_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "LINE_TO_TESTVIA_SPACING" "0.2000,0.2000,0.2000,0.2000,0.2000,0.2000" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) @@ -31740,7 +37953,7 @@ ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "BBV_TO_LINE_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "BBV_TO_LINE_SPACING" "0.2000,0.2000,0.2000,0.2000,0.2000,0.2000" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) @@ -31752,7 +37965,7 @@ ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "BONDPAD_TO_LINE_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "BONDPAD_TO_LINE_SPACING" "0.2000,0.2000,0.2000,0.2000,0.2000,0.2000" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) @@ -31760,11 +37973,11 @@ ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "LINE_TO_LINE_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "LINE_TO_LINE_SPACING" "0.2570,0.2000,0.2000,0.2000,0.2000,0.2570" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "LINE_TO_SHAPE_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "LINE_TO_SHAPE_SPACING" "0.2000,0.2000,0.2000,0.2000,0.2000,0.2000" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) @@ -31812,7 +38025,7 @@ ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "MVIA_TO_LINE_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "MVIA_TO_LINE_SPACING" "0.2000,0.2000,0.2000,0.2000,0.2000,0.2000" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) @@ -31842,7 +38055,7 @@ ) ( objectStatus "DEFAULT" ) ) - ( sameNetSpacingCSet "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):\DEFAULT\" + ( sameNetSpacingCSet "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):\DEFAULT\" ( attribute "SAME_NET" "FALSE,FALSE,FALSE,FALSE,FALSE,FALSE" ( Origin gBackEnd ) ) @@ -32088,362 +38301,594 @@ ) ( objectStatus "DEFAULT" ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):gnd_signal" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_gnd_signal") ) - ( attribute "CDS_PHYS_NET_NAME" "GND_SIGNAL" - ( Origin gPackager ) - ) - ( attribute "WEIGHT" "0" - ( Origin gBackEnd ) - ) - ( objectStatus "GND_SIGNAL" ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):\+5v_out\" + ( attribute "CDS_PHYS_NET_NAME" "+5V_OUT" + ( Origin gPackager ) + ) + ( objectStatus "+5V_OUT" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):\-5v_out\" + ( attribute "CDS_PHYS_NET_NAME" "-5V_OUT" + ( Origin gPackager ) + ) + ( objectStatus "-5V_OUT" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):gnd_signal" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_gnd_signal") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(1)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(1)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(2)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(2)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(3)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(3)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(4)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(4)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(5)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(5)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(6)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(6)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(7)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(7)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(8)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(8)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(9)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(9)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(10)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(10)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(11)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(11)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(12)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(12)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(13)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(13)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp2(4)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2(4)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp2(5)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2(5)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp2(3)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2(3)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp2(1)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2(1)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp2(2)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2(2)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp2(0)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2(0)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(14)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(14)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(0)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(0)") ) + ( attribute "PHYS_NET_NAME" "GND_SIGNAL" + ( Origin gPackager ) + ) + ( attribute "CDS_PHYS_NET_NAME" "GND_SIGNAL" + ( Origin gPackager ) + ) + ( objectStatus "GND_SIGNAL" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_gnd_signal" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_gnd_signal" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(1)" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_vm1<1>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(1)" + ( objectFlag fObjectAlias ) + ( objectStatus "vm1<1>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(2)" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_vm1<2>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(2)" + ( objectFlag fObjectAlias ) + ( objectStatus "vm1<2>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(3)" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_vm1<3>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(3)" + ( objectFlag fObjectAlias ) + ( objectStatus "vm1<3>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(4)" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_vm1<4>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(4)" + ( objectFlag fObjectAlias ) + ( objectStatus "vm1<4>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(5)" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_vm1<5>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(5)" + ( objectFlag fObjectAlias ) + ( objectStatus "vm1<5>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(6)" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_vm1<6>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(6)" + ( objectFlag fObjectAlias ) + ( objectStatus "vm1<6>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(7)" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_vm1<7>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(7)" + ( objectFlag fObjectAlias ) + ( objectStatus "vm1<7>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(8)" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_vm1<8>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(8)" + ( objectFlag fObjectAlias ) + ( objectStatus "vm1<8>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(9)" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_vm1<9>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(9)" + ( objectFlag fObjectAlias ) + ( objectStatus "vm1<9>" ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_gnd_signal" + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(10)" ( objectFlag fObjectAlias ) - ( objectStatus "page1_gnd_signal" ) + ( objectStatus "page1_vm1<10>" ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):m5v" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_m5v") ) - ( attribute "CDS_PHYS_NET_NAME" "M5V" - ( Origin gPackager ) - ) - ( attribute "WEIGHT" "0" - ( Origin gBackEnd ) - ) - ( objectStatus "M5V" ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(10)" + ( objectFlag fObjectAlias ) + ( objectStatus "vm1<10>" ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_m5v" + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(11)" ( objectFlag fObjectAlias ) - ( objectStatus "page1_m5v" ) + ( objectStatus "page1_vm1<11>" ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):p5v" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_p5v") ) - ( attribute "CDS_PHYS_NET_NAME" "P5V" - ( Origin gPackager ) - ) - ( attribute "WEIGHT" "0" - ( Origin gBackEnd ) - ) - ( objectStatus "P5V" ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(11)" + ( objectFlag fObjectAlias ) + ( objectStatus "vm1<11>" ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_p5v" + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(12)" ( objectFlag fObjectAlias ) - ( objectStatus "page1_p5v" ) + ( objectStatus "page1_vm1<12>" ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):\leading_edge_out*\" - ( attribute "CDS_PHYS_NET_NAME" "LEADING_EDGE_OUT*" - ( Origin gPackager ) - ) - ( objectStatus "LEADING_EDGE_OUT*" ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(12)" + ( objectFlag fObjectAlias ) + ( objectStatus "vm1<12>" ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):leading_edge_out" - ( attribute "CDS_PHYS_NET_NAME" "LEADING_EDGE_OUT" - ( Origin gPackager ) - ) - ( objectStatus "LEADING_EDGE_OUT" ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(13)" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_vm1<13>" ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):\cfd_out*\" - ( attribute "CDS_PHYS_NET_NAME" "CFD_OUT*" - ( Origin gPackager ) - ) - ( objectStatus "CFD_OUT*" ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(13)" + ( objectFlag fObjectAlias ) + ( objectStatus "vm1<13>" ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):unnamed_1_max9601_i1_in" - ( attribute "CDS_PHYS_NET_NAME" "UNNAMED_1_MAX9601_I1_IN" - ( Origin gPackager ) - ) - ( objectStatus "UNNAMED_1_MAX9601_I1_IN" ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp2(4)" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_vp2<4>" ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):vin" - ( attribute "CDS_PHYS_NET_NAME" "VIN" - ( Origin gPackager ) - ) - ( objectStatus "VIN" ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2(4)" + ( objectFlag fObjectAlias ) + ( objectStatus "vp2<4>" ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):cfd_out" - ( attribute "CDS_PHYS_NET_NAME" "CFD_OUT" - ( Origin gPackager ) - ) - ( objectStatus "CFD_OUT" ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp2(5)" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_vp2<5>" ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):vthresh" - ( attribute "CDS_PHYS_NET_NAME" "VTHRESH" - ( Origin gPackager ) - ) - ( objectStatus "VTHRESH" ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2(5)" + ( objectFlag fObjectAlias ) + ( objectStatus "vp2<5>" ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):unnamed_1_capcersmdcl2_i78_b" - ( attribute "CDS_PHYS_NET_NAME" "UNNAMED_1_CAPCERSMDCL2_I78_B" - ( Origin gPackager ) - ) - ( objectStatus "UNNAMED_1_CAPCERSMDCL2_I78_B" ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp2(3)" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_vp2<3>" ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):unnamed_1_max9601_i1_hys" - ( attribute "CDS_PHYS_NET_NAME" "UNNAMED_1_MAX9601_I1_HYS" - ( Origin gPackager ) - ) - ( objectStatus "UNNAMED_1_MAX9601_I1_HYS" ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2(3)" + ( objectFlag fObjectAlias ) + ( objectStatus "vp2<3>" ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):unnamed_1_max9601_i1_in_1" - ( attribute "CDS_PHYS_NET_NAME" "UNNAMED_1_MAX9601_I1_IN_1" - ( Origin gPackager ) - ) - ( objectStatus "UNNAMED_1_MAX9601_I1_IN_1" ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp2(1)" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_vp2<1>" ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):unnamed_1_max9601_i71_hys" - ( attribute "CDS_PHYS_NET_NAME" "UNNAMED_1_MAX9601_I71_HYS" - ( Origin gPackager ) - ) - ( objectStatus "UNNAMED_1_MAX9601_I71_HYS" ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2(1)" + ( objectFlag fObjectAlias ) + ( objectStatus "vp2<1>" ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):p2v5" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_p2v5") ) - ( attribute "CDS_PHYS_NET_NAME" "P2V5" - ( Origin gPackager ) - ) - ( attribute "WEIGHT" "0" - ( Origin gBackEnd ) - ) - ( objectStatus "P2V5" ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp2(2)" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_vp2<2>" ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_p2v5" + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2(2)" ( objectFlag fObjectAlias ) - ( objectStatus "page1_p2v5" ) + ( objectStatus "vp2<2>" ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):unnamed_1_pcoaxsmd_i168_a" - ( attribute "CDS_PHYS_NET_NAME" "UNNAMED_1_PCOAXSMD_I168_A" - ( Origin gPackager ) - ) - ( objectStatus "UNNAMED_1_PCOAXSMD_I168_A" ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp2(0)" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_vp2<0>" ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i1" - ( attribute "CDS_LIB" "cnlinear" - ( Origin gPackager ) - ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-250,250,325,-250" - ( Origin gPackager ) - ) - ( attribute "PACK_TYPE" "TSSOP" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "1" - ( Origin gFrontEnd ) - ) - ( attribute "ROT" "0" - ( Origin gFrontEnd ) - ) - ( attribute "VER" "1" - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(1550,-1450)" - ( Origin gFrontEnd ) - ) - ( attribute "CHIPS_PART_NAME" "MAX9601" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "MAX9601_TSSOP" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "IC2" - ( Origin gPackager ) - ) - ( attribute "CDS_LOCATION" "IC2" - ( Origin gPackager ) - ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) - ) - ( attribute "SEC" "1" - ( Origin gPackager ) - ) - ( objectStatus "IC2" ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2(0)" + ( objectFlag fObjectAlias ) + ( objectStatus "vp2<0>" ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i123" - ( attribute "CDS_LIB" "cnpassive" - ( Origin gPackager ) - ) - ( attribute "PACK_TYPE" "0603" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "1" - ( Origin gFrontEnd ) - ) - ( attribute "ROT" "1" - ( Origin gFrontEnd ) - ) - ( attribute "SIZE" "1" - ( Origin gFrontEnd ) - ) - ( attribute "VALUE" "100NF" - ( Origin gFrontEnd ) - ) - ( attribute "VER" "1" - ( Origin gFrontEnd ) - ) - ( attribute "VOLTAGE" "16V" - ( Units "uVoltage" "V" 1.000000) - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(-350,-500)" - ( Origin gFrontEnd ) - ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "C1" + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(14)" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_vm1<14>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(14)" + ( objectFlag fObjectAlias ) + ( objectStatus "vm1<14>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(0)" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_vm1<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(0)" + ( objectFlag fObjectAlias ) + ( objectStatus "vm1<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vin_filtered" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vin_filtered") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vi(0)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vi(0)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vi(3)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vi(3)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vi(2)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vi(2)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vi(1)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vi(1)") ) + ( attribute "PHYS_NET_NAME" "VIN_FILTERED" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "C1" + ( attribute "CDS_PHYS_NET_NAME" "VIN_FILTERED" ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" + ( objectStatus "VIN_FILTERED" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vin_filtered" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_vin_filtered" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vi(0)" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_vi<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vi(0)" + ( objectFlag fObjectAlias ) + ( objectStatus "vi<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vi(3)" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_vi<3>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vi(3)" + ( objectFlag fObjectAlias ) + ( objectStatus "vi<3>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vi(2)" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_vi<2>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vi(2)" + ( objectFlag fObjectAlias ) + ( objectStatus "vi<2>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vi(1)" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_vi<1>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vi(1)" + ( objectFlag fObjectAlias ) + ( objectStatus "vi<1>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):m5v7" + ( attribute "CDS_PHYS_NET_NAME" "M5V7" ( Origin gPackager ) ) - ( attribute "SEC" "1" + ( objectStatus "M5V7" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):p5v7" + ( attribute "CDS_PHYS_NET_NAME" "P5V7" ( Origin gPackager ) ) - ( objectStatus "C1" ) + ( objectStatus "P5V7" ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i39" - ( attribute "CDS_LIB" "cnpassive" + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vin" + ( attribute "PHYS_NET_NAME" "VIN_1" ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "0603" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "1" - ( Origin gFrontEnd ) - ) - ( attribute "ROT" "1" - ( Origin gFrontEnd ) - ) - ( attribute "SIZE" "1" - ( Origin gFrontEnd ) - ) - ( attribute "VALUE" "1.0UF" - ( Origin gFrontEnd ) - ) - ( attribute "VER" "1" - ( Origin gFrontEnd ) - ) - ( attribute "VOLTAGE" "6.3V" - ( Units "uVoltage" "V" 1.000000) - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(5600,-450)" - ( Origin gFrontEnd ) - ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( attribute "CDS_PHYS_NET_NAME" "VIN" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-1.0UF,6.3V" + ( objectStatus "VIN" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):unnamed_1_ltm8047_i70_adj" + ( attribute "CDS_PHYS_NET_NAME" "UNNAMED_1_LTM8047_I70_ADJ" ( Origin gPackager ) ) - ( attribute "LOCATION" "C4" + ( objectStatus "UNNAMED_1_LTM8047_I70_ADJ" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):unnamed_1_ltm8047_i82_adj" + ( attribute "CDS_PHYS_NET_NAME" "UNNAMED_1_LTM8047_I82_ADJ" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "C4" + ( objectStatus "UNNAMED_1_LTM8047_I82_ADJ" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(0)" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(0)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(3)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(2)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(4)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(5)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(7)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(8)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(9)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(10)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(11)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(12)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(13)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(14)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(1)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(6)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(6)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(14)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(13)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(12)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(11)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(10)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(9)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(8)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(7)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(5)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(4)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(2)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(3)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(1)") ) + ( attribute "PHYS_NET_NAME" "VM2<0>" ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" + ( attribute "CDS_PHYS_NET_NAME" "VM2<0>" ( Origin gPackager ) ) - ( attribute "SEC" "1" + ( attribute "PNN" "VM2<0>" ( Origin gPackager ) ) - ( objectStatus "C4" ) + ( objectStatus "VM2<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(0)" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_vm2<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(3)" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_vm2<3>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(2)" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_vm2<2>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(4)" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_vm2<4>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(5)" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_vm2<5>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(7)" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_vm2<7>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(8)" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_vm2<8>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(9)" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_vm2<9>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(10)" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_vm2<10>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(11)" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_vm2<11>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(12)" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_vm2<12>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(13)" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_vm2<13>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(14)" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_vm2<14>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(1)" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_vm2<1>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(6)" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_vm2<6>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(6)" + ( objectFlag fObjectAlias ) + ( objectStatus "vm2<6>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(14)" + ( objectFlag fObjectAlias ) + ( objectStatus "vm2<14>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(13)" + ( objectFlag fObjectAlias ) + ( objectStatus "vm2<13>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(12)" + ( objectFlag fObjectAlias ) + ( objectStatus "vm2<12>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(11)" + ( objectFlag fObjectAlias ) + ( objectStatus "vm2<11>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(10)" + ( objectFlag fObjectAlias ) + ( objectStatus "vm2<10>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(9)" + ( objectFlag fObjectAlias ) + ( objectStatus "vm2<9>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(8)" + ( objectFlag fObjectAlias ) + ( objectStatus "vm2<8>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(7)" + ( objectFlag fObjectAlias ) + ( objectStatus "vm2<7>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(5)" + ( objectFlag fObjectAlias ) + ( objectStatus "vm2<5>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(4)" + ( objectFlag fObjectAlias ) + ( objectStatus "vm2<4>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(2)" + ( objectFlag fObjectAlias ) + ( objectStatus "vm2<2>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(3)" + ( objectFlag fObjectAlias ) + ( objectStatus "vm2<3>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(1)" + ( objectFlag fObjectAlias ) + ( objectStatus "vm2<1>" ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i40" - ( attribute "CDS_LIB" "cnpassive" - ( Origin gPackager ) - ) - ( attribute "PACK_TYPE" "0603" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "1" - ( Origin gFrontEnd ) - ) - ( attribute "ROT" "1" - ( Origin gFrontEnd ) - ) - ( attribute "SIZE" "1" - ( Origin gFrontEnd ) - ) - ( attribute "VALUE" "100NF" - ( Origin gFrontEnd ) - ) - ( attribute "VER" "1" - ( Origin gFrontEnd ) - ) - ( attribute "VOLTAGE" "16V" - ( Units "uVoltage" "V" 1.000000) - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(5900,-450)" - ( Origin gFrontEnd ) - ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "C7" + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(0)" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1(0)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1(1)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(1)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1(5)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(5)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1(4)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(4)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1(3)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(3)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1(2)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(2)") ) + ( attribute "PHYS_NET_NAME" "VP1<0>" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "C7" + ( attribute "CDS_PHYS_NET_NAME" "VP1<0>" ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" + ( attribute "PNN" "VP1<0>" ( Origin gPackager ) ) - ( attribute "SEC" "1" + ( objectStatus "VP1<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1(0)" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_vp1<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1(1)" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_vp1<1>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(1)" + ( objectFlag fObjectAlias ) + ( objectStatus "vp1<1>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1(5)" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_vp1<5>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(5)" + ( objectFlag fObjectAlias ) + ( objectStatus "vp1<5>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1(4)" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_vp1<4>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(4)" + ( objectFlag fObjectAlias ) + ( objectStatus "vp1<4>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1(3)" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_vp1<3>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(3)" + ( objectFlag fObjectAlias ) + ( objectStatus "vp1<3>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1(2)" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_vp1<2>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(2)" + ( objectFlag fObjectAlias ) + ( objectStatus "vp1<2>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):unnamed_1_capcersmdcl2_i81_a" + ( attribute "CDS_PHYS_NET_NAME" "UNNAMED_1_CAPCERSMDCL2_I81_A" ( Origin gPackager ) ) - ( objectStatus "C7" ) + ( objectStatus "UNNAMED_1_CAPCERSMDCL2_I81_A" ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i41" - ( attribute "CDS_LIB" "cnpassive" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i40" + ( attribute "CDS_LIB" "bris_cds_special" ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "0603" - ( Origin gFrontEnd ) + ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,125,125,-125" + ( Origin gPackager ) ) - ( attribute "PHYS_PAGE" "1" + ( attribute "MANUF" "LINEAR TECHNOLOGY" ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "PACK_TYPE" "SOT_223" ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" + ( attribute "PHYS_PAGE" "1" ( Origin gFrontEnd ) ) - ( attribute "VALUE" "100NF" + ( attribute "ROT" "6" ( Origin gFrontEnd ) ) ( attribute "VER" "1" ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "16V" - ( Units "uVoltage" "V" 1.000000) - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(6200,-450)" + ( attribute "XY" "(1700,825)" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( attribute "CHIPS_PART_NAME" "LT1175" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( attribute "CDS_PART_NAME" "LT1175_SOT_223" ( Origin gPackager ) ) - ( attribute "LOCATION" "C10" + ( attribute "CDS_LOCATION" "REG1" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "C10" + ( attribute "LOCATION" "REG1" ( Origin gPackager ) ) ( attribute "CDS_SEC" "1" @@ -32452,96 +38897,67 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "C10" ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i43" - ( attribute "CDS_LIB" "cnpassive" - ( Origin gPackager ) - ) - ( attribute "PACK_TYPE" "0603" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "1" - ( Origin gFrontEnd ) - ) - ( attribute "ROT" "1" - ( Origin gFrontEnd ) - ) - ( attribute "SIZE" "1" - ( Origin gFrontEnd ) - ) - ( attribute "VALUE" "100NF" - ( Origin gFrontEnd ) - ) - ( attribute "VER" "1" - ( Origin gFrontEnd ) - ) - ( attribute "VOLTAGE" "16V" - ( Units "uVoltage" "V" 1.000000) - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(6150,-1600)" - ( Origin gFrontEnd ) - ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "C9" - ( Origin gPackager ) + ( objectStatus "REG1" ) + ( pin "gnd" + ( attribute "PN" "3" + ( Origin gPackager ) + ) + ( objectStatus "REG1.3" ) ) - ( attribute "CDS_LOCATION" "C9" - ( Origin gPackager ) + ( pin "v_in0" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "REG1.2" ) ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) + ( pin "v_in1" + ( attribute "PN" "4" + ( Origin gPackager ) + ) + ( objectStatus "REG1.4" ) ) - ( attribute "SEC" "1" - ( Origin gPackager ) + ( pin "v_out" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "REG1.1" ) ) - ( objectStatus "C9" ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i44" - ( attribute "CDS_LIB" "cnpassive" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i42" + ( attribute "CDS_LIB" "cndiscrete" ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "0603" + ( attribute "MODEL" "WURTH" ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" + ( attribute "PACK_TYPE" "SMD" ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "PHYS_PAGE" "1" ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" + ( attribute "ROT" "0" ( Origin gFrontEnd ) ) - ( attribute "VALUE" "1.0UF" + ( attribute "VALUE" "7427921" ( Origin gFrontEnd ) ) ( attribute "VER" "1" ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "6.3V" - ( Units "uVoltage" "V" 1.000000) - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(5850,-1600)" + ( attribute "XY" "(625,2900)" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( attribute "CHIPS_PART_NAME" "FERRITE" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-1.0UF,6.3V" + ( attribute "CDS_PART_NAME" "FERRITE_SMD-7427921,WURTH" ( Origin gPackager ) ) - ( attribute "LOCATION" "C6" + ( attribute "LOCATION" "L2" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "C6" + ( attribute "CDS_LOCATION" "L2" ( Origin gPackager ) ) ( attribute "CDS_SEC" "1" @@ -32550,47 +38966,43 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "C6" ) + ( objectStatus "L2" ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i47" - ( attribute "CDS_LIB" "cnpassive" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i44" + ( attribute "CDS_LIB" "cndiscrete" ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "0603" + ( attribute "MODEL" "WURTH" ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" + ( attribute "PACK_TYPE" "SMD" ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "PHYS_PAGE" "1" ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" + ( attribute "ROT" "0" ( Origin gFrontEnd ) ) - ( attribute "VALUE" "1.0UF" + ( attribute "VALUE" "7427921" ( Origin gFrontEnd ) ) ( attribute "VER" "1" ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "6.3V" - ( Units "uVoltage" "V" 1.000000) - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(5450,600)" + ( attribute "XY" "(625,875)" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( attribute "CHIPS_PART_NAME" "FERRITE" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-1.0UF,6.3V" + ( attribute "CDS_PART_NAME" "FERRITE_SMD-7427921,WURTH" ( Origin gPackager ) ) - ( attribute "LOCATION" "C3" + ( attribute "LOCATION" "L3" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "C3" + ( attribute "CDS_LOCATION" "L3" ( Origin gPackager ) ) ( attribute "CDS_SEC" "1" @@ -32599,119 +39011,61 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "C3" ) + ( objectStatus "L3" ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i71" - ( attribute "CDS_LIB" "cnlinear" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i50" + ( attribute "CDS_LIB" "bris_cds_analogue" ( Origin gPackager ) ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-250,250,325,-250" + ( attribute "CDS_LMAN_SYM_OUTLINE" "-150,200,150,-150" ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "TSSOP" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "1" - ( Origin gFrontEnd ) - ) - ( attribute "ROT" "0" - ( Origin gFrontEnd ) - ) - ( attribute "VER" "1" + ( attribute "KL_COMMENTS" "-" ( Origin gFrontEnd ) ) - ( attribute "XY" "(1550,200)" + ( attribute "MANUF" "LINEAR" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "MAX9601" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "MAX9601_TSSOP" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "IC2" - ( Origin gPackager ) - ) - ( attribute "CDS_LOCATION" "IC2" - ( Origin gPackager ) - ) - ( attribute "CDS_SEC" "2" - ( Origin gPackager ) - ) - ( attribute "SEC" "2" - ( Origin gPackager ) - ) - ( objectStatus "IC2" ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i73" - ( attribute "CDS_LIB" "cnpassive" - ( Origin gPackager ) - ) - ( attribute "DIST" "FLAT" + ( attribute "OL_COMMENTS" "-" ( Origin gFrontEnd ) ) - ( attribute "LOCATION" "R9" - ( Origin gPackager ) - ) - ( attribute "MAX_TEMP" "RTMAX" + ( attribute "PACK_TYPE" "SOT223" ( Origin gFrontEnd ) ) - ( attribute "NEGTOL" "RTOL%" + ( attribute "PART_NAME" "lt1129CST-5" ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "1/10W" + ( attribute "PHYS_DES_PREFIX" "VR" ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" ( Origin gFrontEnd ) ) - ( attribute "POSTOL" "RTOL%" - ( Origin gFrontEnd ) - ) - ( attribute "POWER" "RMAX" + ( attribute "PL_COMMENTS" "-" ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "ROT" "0" ( Origin gFrontEnd ) ) ( attribute "SIZE" "1" ( Origin gFrontEnd ) ) - ( attribute "SLOPE" "RSMAX" - ( Origin gFrontEnd ) - ) - ( attribute "TC1" "RTMPL" - ( Origin gFrontEnd ) - ) - ( attribute "TC2" "RTMPQ" - ( Origin gFrontEnd ) - ) - ( attribute "TOL" "1%" - ( Origin gFrontEnd ) - ) - ( attribute "TOL_ON_OFF" "ON" - ( Origin gFrontEnd ) - ) - ( attribute "VALUE" "XX" - ( Origin gFrontEnd ) - ) - ( attribute "VER" "2" + ( attribute "VER" "1" ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "RVMAX" - ( Units "uVoltage" "V" 1.000000) + ( attribute "XY" "(1700,2850)" ( Origin gFrontEnd ) ) - ( attribute "XY" "(1150,-150)" - ( Origin gFrontEnd ) + ( attribute "CHIPS_PART_NAME" "LT1129CST-5" + ( Origin gPackager ) ) - ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( attribute "CDS_PART_NAME" "LT1129CST-5_SOT223-LINEAR" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-XX,1%" + ( attribute "LOCATION" "VR1" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "R9" + ( attribute "CDS_LOCATION" "VR1" ( Origin gPackager ) ) ( attribute "CDS_SEC" "1" @@ -32720,13 +39074,25 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "R9" ) + ( objectStatus "VR1" ) + ( pin "gnd" + ( objectStatus "VR1.2" ) + ) + ( pin "tab" + ( objectStatus "VR1.4" ) + ) + ( pin "vin" + ( objectStatus "VR1.1" ) + ) + ( pin "vout" + ( objectStatus "VR1.3" ) + ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i78" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i52" ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "0603" + ( attribute "PACK_TYPE" "0805" ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" @@ -32738,108 +39104,29 @@ ( attribute "SIZE" "1" ( Origin gFrontEnd ) ) - ( attribute "VALUE" "10NF" + ( attribute "VALUE" "4.7UF" ( Origin gFrontEnd ) ) ( attribute "VER" "1" ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "50V" + ( attribute "VOLTAGE" "10V" ( Units "uVoltage" "V" 1.000000) ( Origin gFrontEnd ) ) - ( attribute "XY" "(750,500)" + ( attribute "XY" "(1100,2750)" ( Origin gFrontEnd ) ) ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-10NF,50V" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "C2" - ( Origin gPackager ) - ) - ( attribute "CDS_LOCATION" "C2" - ( Origin gPackager ) - ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) - ) - ( attribute "SEC" "1" - ( Origin gPackager ) - ) - ( objectStatus "C2" ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i79" - ( attribute "CDS_LIB" "cnpassive" - ( Origin gPackager ) - ) - ( attribute "DIST" "FLAT" - ( Origin gFrontEnd ) - ) - ( attribute "LOCATION" "R5" - ( Origin gPackager ) - ) - ( attribute "MAX_TEMP" "RTMAX" - ( Origin gFrontEnd ) - ) - ( attribute "NEGTOL" "RTOL%" - ( Origin gFrontEnd ) - ) - ( attribute "PACK_TYPE" "1/10W" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "1" - ( Origin gFrontEnd ) - ) - ( attribute "POSTOL" "RTOL%" - ( Origin gFrontEnd ) - ) - ( attribute "POWER" "RMAX" - ( Origin gFrontEnd ) - ) - ( attribute "ROT" "0" - ( Origin gFrontEnd ) - ) - ( attribute "SIZE" "1" - ( Origin gFrontEnd ) - ) - ( attribute "SLOPE" "RSMAX" - ( Origin gFrontEnd ) - ) - ( attribute "TC1" "RTMPL" - ( Origin gFrontEnd ) - ) - ( attribute "TC2" "RTMPQ" - ( Origin gFrontEnd ) - ) - ( attribute "TOL" "1%" - ( Origin gFrontEnd ) - ) - ( attribute "TOL_ON_OFF" "ON" - ( Origin gFrontEnd ) - ) - ( attribute "VALUE" "100" - ( Origin gFrontEnd ) - ) - ( attribute "VER" "2" - ( Origin gFrontEnd ) - ) - ( attribute "VOLTAGE" "RVMAX" - ( Units "uVoltage" "V" 1.000000) - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(500,700)" - ( Origin gFrontEnd ) - ) - ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0805" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-100,1%" + ( attribute "LOCATION" "C9" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "R5" + ( attribute "CDS_LOCATION" "C9" ( Origin gPackager ) ) ( attribute "CDS_SEC" "1" @@ -32848,77 +39135,59 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "R5" ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i81" - ( attribute "CDS_LIB" "cnpassive" - ( Origin gPackager ) - ) - ( attribute "DIST" "FLAT" - ( Origin gFrontEnd ) - ) - ( attribute "LOCATION" "R10" - ( Origin gPackager ) - ) - ( attribute "MAX_TEMP" "RTMAX" - ( Origin gFrontEnd ) - ) - ( attribute "NEGTOL" "RTOL%" - ( Origin gFrontEnd ) - ) - ( attribute "PACK_TYPE" "1/10W" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "1" - ( Origin gFrontEnd ) - ) - ( attribute "POSTOL" "RTOL%" - ( Origin gFrontEnd ) - ) - ( attribute "POWER" "RMAX" - ( Origin gFrontEnd ) - ) - ( attribute "ROT" "1" - ( Origin gFrontEnd ) + ( objectStatus "C9" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "C9.1" ) ) - ( attribute "SIZE" "1" - ( Origin gFrontEnd ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "C9.2" ) ) - ( attribute "SLOPE" "RSMAX" - ( Origin gFrontEnd ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i54" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) ) - ( attribute "TC1" "RTMPL" + ( attribute "PACK_TYPE" "0805" ( Origin gFrontEnd ) ) - ( attribute "TC2" "RTMPQ" + ( attribute "PHYS_PAGE" "1" ( Origin gFrontEnd ) ) - ( attribute "TOL" "1%" + ( attribute "ROT" "1" ( Origin gFrontEnd ) ) - ( attribute "TOL_ON_OFF" "ON" + ( attribute "SIZE" "1" ( Origin gFrontEnd ) ) - ( attribute "VALUE" "XX" + ( attribute "VALUE" "4.7UF" ( Origin gFrontEnd ) ) - ( attribute "VER" "2" + ( attribute "VER" "1" ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "RVMAX" + ( attribute "VOLTAGE" "10V" ( Units "uVoltage" "V" 1.000000) ( Origin gFrontEnd ) ) - ( attribute "XY" "(1150,-1800)" + ( attribute "XY" "(1100,725)" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-XX,1%" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0805" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "R10" + ( attribute "LOCATION" "C10" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C10" ( Origin gPackager ) ) ( attribute "CDS_SEC" "1" @@ -32927,77 +39196,120 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "R10" ) + ( objectStatus "C10" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "C10.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "C10.2" ) + ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i148" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i56" ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "DIST" "FLAT" + ( attribute "PACK_TYPE" "0805" ( Origin gFrontEnd ) ) - ( attribute "LOCATION" "R6" - ( Origin gPackager ) - ) - ( attribute "MAX_TEMP" "RTMAX" + ( attribute "PHYS_PAGE" "1" ( Origin gFrontEnd ) ) - ( attribute "NEGTOL" "RTOL%" + ( attribute "ROT" "1" ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "125MW" + ( attribute "SIZE" "1" ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" + ( attribute "VALUE" "4.7UF" ( Origin gFrontEnd ) ) - ( attribute "POSTOL" "RTOL%" + ( attribute "VER" "1" ( Origin gFrontEnd ) ) - ( attribute "POWER" "RMAX" + ( attribute "VOLTAGE" "10V" + ( Units "uVoltage" "V" 1.000000) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "XY" "(2475,2750)" ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" - ( Origin gFrontEnd ) + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Origin gPackager ) ) - ( attribute "SLOPE" "RSMAX" - ( Origin gFrontEnd ) + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0805" + ( Origin gPackager ) ) - ( attribute "TC1" "RTMPL" + ( attribute "LOCATION" "C12" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C12" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "C12" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "C12.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "C12.2" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i58" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "0805" ( Origin gFrontEnd ) ) - ( attribute "TC2" "RTMPQ" + ( attribute "PHYS_PAGE" "1" ( Origin gFrontEnd ) ) - ( attribute "TOL" "1%" + ( attribute "ROT" "1" ( Origin gFrontEnd ) ) - ( attribute "TOL_ON_OFF" "ON" + ( attribute "SIZE" "1" ( Origin gFrontEnd ) ) - ( attribute "VALUE" "100" + ( attribute "VALUE" "4.7UF" ( Origin gFrontEnd ) ) - ( attribute "VER" "2" + ( attribute "VER" "1" ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "RVMAX" + ( attribute "VOLTAGE" "10V" ( Units "uVoltage" "V" 1.000000) ( Origin gFrontEnd ) ) - ( attribute "XY" "(700,-1800)" + ( attribute "XY" "(2450,675)" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "RSMD0805" + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "RSMD0805_125MW-100,1%" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0805" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "R6" + ( attribute "LOCATION" "C11" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C11" ( Origin gPackager ) ) ( attribute "CDS_SEC" "1" @@ -33006,77 +39318,97 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "R6" ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i92" - ( attribute "CDS_LIB" "cnpassive" - ( Origin gPackager ) + ( objectStatus "C11" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "C11.1" ) ) - ( attribute "DIST" "FLAT" - ( Origin gFrontEnd ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "C11.2" ) ) - ( attribute "LOCATION" "R7" + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i66" + ( attribute "CDS_LIB" "cndiscrete" ( Origin gPackager ) ) - ( attribute "MAX_TEMP" "RTMAX" - ( Origin gFrontEnd ) - ) - ( attribute "NEGTOL" "RTOL%" + ( attribute "MODEL" "MURATA" ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "1/10W" + ( attribute "PACK_TYPE" "SMD" ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" ( Origin gFrontEnd ) ) - ( attribute "POSTOL" "RTOL%" + ( attribute "ROT" "0" ( Origin gFrontEnd ) ) - ( attribute "POWER" "RMAX" + ( attribute "VALUE" "BLM41P800S" ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "VER" "1" ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" + ( attribute "XY" "(-3100,2375)" ( Origin gFrontEnd ) ) - ( attribute "SLOPE" "RSMAX" - ( Origin gFrontEnd ) + ( attribute "CHIPS_PART_NAME" "FERRITE" + ( Origin gPackager ) ) - ( attribute "TC1" "RTMPL" - ( Origin gFrontEnd ) + ( attribute "CDS_PART_NAME" "FERRITE_SMD-BLM41P800S,MURATA" + ( Origin gPackager ) ) - ( attribute "TC2" "RTMPQ" - ( Origin gFrontEnd ) + ( attribute "LOCATION" "L1" + ( Origin gPackager ) ) - ( attribute "TOL" "1%" + ( attribute "CDS_LOCATION" "L1" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "L1" ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i70" + ( attribute "CDS_LIB" "cnlinear" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "BGA" ( Origin gFrontEnd ) ) - ( attribute "TOL_ON_OFF" "ON" + ( attribute "PHYS_PAGE" "1" ( Origin gFrontEnd ) ) - ( attribute "VALUE" "100" + ( attribute "ROT" "0" ( Origin gFrontEnd ) ) - ( attribute "VER" "2" + ( attribute "TYPE" "LTM8047EY#PBF" ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "RVMAX" - ( Units "uVoltage" "V" 1.000000) + ( attribute "VER" "1" ( Origin gFrontEnd ) ) - ( attribute "XY" "(900,-1250)" + ( attribute "XY" "(-725,1550)" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( attribute "CHIPS_PART_NAME" "LTM8047" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-100,1%" + ( attribute "CDS_PART_NAME" "LTM8047EY#PBF" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "R7" + ( attribute "CDS_LOCATION" "RG1" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "RG1" ( Origin gPackager ) ) ( attribute "CDS_SEC" "1" @@ -33085,156 +39417,317 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "R7" ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i93" - ( attribute "CDS_LIB" "cnpassive" - ( Origin gPackager ) + ( objectStatus "RG1" ) + ( pin "adj" + ( attribute "PN" "G7" + ( Origin gPackager ) + ) + ( objectStatus "RG1.G7" ) ) - ( attribute "DIST" "FLAT" - ( Origin gFrontEnd ) + ( pin "bias" + ( attribute "PN" "H5" + ( Origin gPackager ) + ) + ( objectStatus "RG1.H5" ) ) - ( attribute "LOCATION" "R3" - ( Origin gPackager ) + ( pin "gnd(0)" + ( attribute "PN" "E1" + ( Origin gPackager ) + ) + ( objectStatus "RG1.E1" ) ) - ( attribute "MAX_TEMP" "RTMAX" - ( Origin gFrontEnd ) + ( pin "gnd(1)" + ( attribute "PN" "E2" + ( Origin gPackager ) + ) + ( objectStatus "RG1.E2" ) ) - ( attribute "NEGTOL" "RTOL%" - ( Origin gFrontEnd ) + ( pin "gnd(2)" + ( attribute "PN" "E3" + ( Origin gPackager ) + ) + ( objectStatus "RG1.E3" ) ) - ( attribute "PACK_TYPE" "1/10W" - ( Origin gFrontEnd ) + ( pin "gnd(3)" + ( attribute "PN" "E4" + ( Origin gPackager ) + ) + ( objectStatus "RG1.E4" ) ) - ( attribute "PHYS_PAGE" "1" - ( Origin gFrontEnd ) + ( pin "gnd(4)" + ( attribute "PN" "E5" + ( Origin gPackager ) + ) + ( objectStatus "RG1.E5" ) ) - ( attribute "POSTOL" "RTOL%" - ( Origin gFrontEnd ) + ( pin "gnd(5)" + ( attribute "PN" "E6" + ( Origin gPackager ) + ) + ( objectStatus "RG1.E6" ) ) - ( attribute "POWER" "RMAX" - ( Origin gFrontEnd ) + ( pin "gnd(6)" + ( attribute "PN" "E7" + ( Origin gPackager ) + ) + ( objectStatus "RG1.E7" ) ) - ( attribute "ROT" "0" - ( Origin gFrontEnd ) + ( pin "gnd(7)" + ( attribute "PN" "F4" + ( Origin gPackager ) + ) + ( objectStatus "RG1.F4" ) ) - ( attribute "SIZE" "1" - ( Origin gFrontEnd ) + ( pin "gnd(8)" + ( attribute "PN" "F5" + ( Origin gPackager ) + ) + ( objectStatus "RG1.F5" ) ) - ( attribute "SLOPE" "RSMAX" - ( Origin gFrontEnd ) + ( pin "gnd(9)" + ( attribute "PN" "F6" + ( Origin gPackager ) + ) + ( objectStatus "RG1.F6" ) ) - ( attribute "TC1" "RTMPL" - ( Origin gFrontEnd ) + ( pin "gnd(10)" + ( attribute "PN" "F7" + ( Origin gPackager ) + ) + ( objectStatus "RG1.F7" ) ) - ( attribute "TC2" "RTMPQ" - ( Origin gFrontEnd ) + ( pin "gnd(11)" + ( attribute "PN" "G4" + ( Origin gPackager ) + ) + ( objectStatus "RG1.G4" ) ) - ( attribute "TOL" "1%" - ( Origin gFrontEnd ) + ( pin "gnd(12)" + ( attribute "PN" "G5" + ( Origin gPackager ) + ) + ( objectStatus "RG1.G5" ) ) - ( attribute "TOL_ON_OFF" "ON" - ( Origin gFrontEnd ) + ( pin "gnd(13)" + ( attribute "PN" "G6" + ( Origin gPackager ) + ) + ( objectStatus "RG1.G6" ) ) - ( attribute "VALUE" "51" - ( Origin gFrontEnd ) + ( pin "gnd(14)" + ( attribute "PN" "H4" + ( Origin gPackager ) + ) + ( objectStatus "RG1.H4" ) ) - ( attribute "VER" "2" - ( Origin gFrontEnd ) + ( pin "gnd(15)" + ( attribute "PN" "H7" + ( Origin gPackager ) + ) + ( objectStatus "RG1.H7" ) ) - ( attribute "VOLTAGE" "RVMAX" - ( Units "uVoltage" "V" 1.000000) - ( Origin gFrontEnd ) + ( pin "run" + ( attribute "PN" "F3" + ( Origin gPackager ) + ) + ( objectStatus "RG1.F3" ) ) - ( attribute "XY" "(100,-1100)" - ( Origin gFrontEnd ) + ( pin "ss" + ( attribute "PN" "H6" + ( Origin gPackager ) + ) + ( objectStatus "RG1.H6" ) ) - ( attribute "CHIPS_PART_NAME" "RSMD0603" - ( Origin gPackager ) + ( pin "vin(0)" + ( attribute "PN" "H1" + ( Origin gPackager ) + ) + ( objectStatus "RG1.H1" ) ) - ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-51,1%" - ( Origin gPackager ) + ( pin "vin(1)" + ( attribute "PN" "H2" + ( Origin gPackager ) + ) + ( objectStatus "RG1.H2" ) ) - ( attribute "CDS_LOCATION" "R3" - ( Origin gPackager ) + ( pin "vin(2)" + ( attribute "PN" "G1" + ( Origin gPackager ) + ) + ( objectStatus "RG1.G1" ) ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) + ( pin "vin(3)" + ( attribute "PN" "G2" + ( Origin gPackager ) + ) + ( objectStatus "RG1.G2" ) ) - ( attribute "SEC" "1" - ( Origin gPackager ) + ( pin "vout(0)" + ( attribute "PN" "A6" + ( Origin gPackager ) + ) + ( objectStatus "RG1.A6" ) ) - ( objectStatus "R3" ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i94" - ( attribute "CDS_LIB" "cnpassive" - ( Origin gPackager ) + ( pin "vout(1)" + ( attribute "PN" "A7" + ( Origin gPackager ) + ) + ( objectStatus "RG1.A7" ) ) - ( attribute "DIST" "FLAT" - ( Origin gFrontEnd ) + ( pin "vout(2)" + ( attribute "PN" "B6" + ( Origin gPackager ) + ) + ( objectStatus "RG1.B6" ) ) - ( attribute "LOCATION" "R2" - ( Origin gPackager ) + ( pin "vout(3)" + ( attribute "PN" "B7" + ( Origin gPackager ) + ) + ( objectStatus "RG1.B7" ) ) - ( attribute "MAX_TEMP" "RTMAX" - ( Origin gFrontEnd ) + ( pin "vout(4)" + ( attribute "PN" "C6" + ( Origin gPackager ) + ) + ( objectStatus "RG1.C6" ) ) - ( attribute "NEGTOL" "RTOL%" - ( Origin gFrontEnd ) + ( pin "vout(5)" + ( attribute "PN" "C7" + ( Origin gPackager ) + ) + ( objectStatus "RG1.C7" ) ) - ( attribute "PACK_TYPE" "125MW" - ( Origin gFrontEnd ) + ( pin "\vout-\(0)" + ( attribute "PN" "A1" + ( Origin gPackager ) + ) + ( objectStatus "RG1.A1" ) ) - ( attribute "PHYS_PAGE" "1" - ( Origin gFrontEnd ) + ( pin "\vout-\(1)" + ( attribute "PN" "A2" + ( Origin gPackager ) + ) + ( objectStatus "RG1.A2" ) ) - ( attribute "POSTOL" "RTOL%" - ( Origin gFrontEnd ) + ( pin "\vout-\(2)" + ( attribute "PN" "A3" + ( Origin gPackager ) + ) + ( objectStatus "RG1.A3" ) ) - ( attribute "POWER" "RMAX" - ( Origin gFrontEnd ) + ( pin "\vout-\(3)" + ( attribute "PN" "A4" + ( Origin gPackager ) + ) + ( objectStatus "RG1.A4" ) ) - ( attribute "ROT" "0" - ( Origin gFrontEnd ) + ( pin "\vout-\(4)" + ( attribute "PN" "A5" + ( Origin gPackager ) + ) + ( objectStatus "RG1.A5" ) + ) + ( pin "\vout-\(5)" + ( attribute "PN" "B1" + ( Origin gPackager ) + ) + ( objectStatus "RG1.B1" ) + ) + ( pin "\vout-\(6)" + ( attribute "PN" "B2" + ( Origin gPackager ) + ) + ( objectStatus "RG1.B2" ) + ) + ( pin "\vout-\(7)" + ( attribute "PN" "B3" + ( Origin gPackager ) + ) + ( objectStatus "RG1.B3" ) + ) + ( pin "\vout-\(8)" + ( attribute "PN" "B4" + ( Origin gPackager ) + ) + ( objectStatus "RG1.B4" ) + ) + ( pin "\vout-\(9)" + ( attribute "PN" "B5" + ( Origin gPackager ) + ) + ( objectStatus "RG1.B5" ) + ) + ( pin "\vout-\(10)" + ( attribute "PN" "C1" + ( Origin gPackager ) + ) + ( objectStatus "RG1.C1" ) + ) + ( pin "\vout-\(11)" + ( attribute "PN" "C2" + ( Origin gPackager ) + ) + ( objectStatus "RG1.C2" ) + ) + ( pin "\vout-\(12)" + ( attribute "PN" "C3" + ( Origin gPackager ) + ) + ( objectStatus "RG1.C3" ) ) - ( attribute "SIZE" "1" - ( Origin gFrontEnd ) + ( pin "\vout-\(13)" + ( attribute "PN" "C4" + ( Origin gPackager ) + ) + ( objectStatus "RG1.C4" ) ) - ( attribute "SLOPE" "RSMAX" - ( Origin gFrontEnd ) + ( pin "\vout-\(14)" + ( attribute "PN" "C5" + ( Origin gPackager ) + ) + ( objectStatus "RG1.C5" ) ) - ( attribute "TC1" "RTMPL" + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i72" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "1210" ( Origin gFrontEnd ) ) - ( attribute "TC2" "RTMPQ" + ( attribute "PHYS_PAGE" "1" ( Origin gFrontEnd ) ) - ( attribute "TOL" "1%" + ( attribute "ROT" "1" ( Origin gFrontEnd ) ) - ( attribute "TOL_ON_OFF" "ON" + ( attribute "SIZE" "1" ( Origin gFrontEnd ) ) - ( attribute "VALUE" "12" + ( attribute "VALUE" "4.7UF" ( Origin gFrontEnd ) ) - ( attribute "VER" "2" + ( attribute "VER" "1" ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "RVMAX" + ( attribute "VOLTAGE" "50V" ( Units "uVoltage" "V" 1.000000) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-300,-950)" + ( attribute "XY" "(-1825,1525)" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "RSMD0805" + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "RSMD0805_125MW-12,1%" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "R2" + ( attribute "CDS_LOCATION" "C5" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "C5" ( Origin gPackager ) ) ( attribute "CDS_SEC" "1" @@ -33243,18 +39736,27 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "R2" ) + ( objectStatus "C5" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "C5.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "C5.2" ) + ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i111" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i74" ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) ( attribute "DIST" "FLAT" ( Origin gFrontEnd ) ) - ( attribute "LOCATION" "R13" - ( Origin gPackager ) - ) ( attribute "MAX_TEMP" "RTMAX" ( Origin gFrontEnd ) ) @@ -33294,7 +39796,7 @@ ( attribute "TOL_ON_OFF" "ON" ( Origin gFrontEnd ) ) - ( attribute "VALUE" "75" + ( attribute "VALUE" "6.19K" ( Origin gFrontEnd ) ) ( attribute "VER" "2" @@ -33304,16 +39806,19 @@ ( Units "uVoltage" "V" 1.000000) ( Origin gFrontEnd ) ) - ( attribute "XY" "(3800,-250)" + ( attribute "XY" "(-1300,1250)" ( Origin gFrontEnd ) ) ( attribute "CHIPS_PART_NAME" "RSMD0603" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-75,1%" + ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-6.19K,1%" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "R13" + ( attribute "CDS_LOCATION" "R2" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "R2" ( Origin gPackager ) ) ( attribute "CDS_SEC" "1" @@ -33322,77 +39827,59 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "R13" ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i113" - ( attribute "CDS_LIB" "cnpassive" - ( Origin gPackager ) + ( objectStatus "R2" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "R2.1" ) ) - ( attribute "DIST" "FLAT" - ( Origin gFrontEnd ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "R2.2" ) ) - ( attribute "LOCATION" "R11" + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i79" + ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "MAX_TEMP" "RTMAX" - ( Origin gFrontEnd ) - ) - ( attribute "NEGTOL" "RTOL%" - ( Origin gFrontEnd ) - ) - ( attribute "PACK_TYPE" "1/10W" + ( attribute "PACK_TYPE" "1210" ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" ( Origin gFrontEnd ) ) - ( attribute "POSTOL" "RTOL%" - ( Origin gFrontEnd ) - ) - ( attribute "POWER" "RMAX" - ( Origin gFrontEnd ) - ) ( attribute "ROT" "1" ( Origin gFrontEnd ) ) ( attribute "SIZE" "1" ( Origin gFrontEnd ) ) - ( attribute "SLOPE" "RSMAX" - ( Origin gFrontEnd ) - ) - ( attribute "TC1" "RTMPL" - ( Origin gFrontEnd ) - ) - ( attribute "TC2" "RTMPQ" - ( Origin gFrontEnd ) - ) - ( attribute "TOL" "1%" - ( Origin gFrontEnd ) - ) - ( attribute "TOL_ON_OFF" "ON" - ( Origin gFrontEnd ) - ) - ( attribute "VALUE" "75" + ( attribute "VALUE" "4.7UF" ( Origin gFrontEnd ) ) - ( attribute "VER" "2" + ( attribute "VER" "1" ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "RVMAX" + ( attribute "VOLTAGE" "50V" ( Units "uVoltage" "V" 1.000000) ( Origin gFrontEnd ) ) - ( attribute "XY" "(3500,-250)" + ( attribute "XY" "(-3550,2075)" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-75,1%" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "R11" + ( attribute "CDS_LOCATION" "C1" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "C1" ( Origin gPackager ) ) ( attribute "CDS_SEC" "1" @@ -33401,87 +39888,59 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "R11" ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i87" - ( attribute "CHIPS_PART_NAME" "MC100EL29" - ( Origin gPackager ) + ( objectStatus "C1" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "C1.1" ) ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i58" - ( attribute "CHIPS_PART_NAME" "MC100EL29" - ( Origin gPackager ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "C1.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i147" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i81" ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "DIST" "FLAT" - ( Origin gFrontEnd ) - ) - ( attribute "LOCATION" "R8" - ( Origin gPackager ) - ) - ( attribute "MAX_TEMP" "RTMAX" - ( Origin gFrontEnd ) - ) - ( attribute "NEGTOL" "RTOL%" - ( Origin gFrontEnd ) - ) - ( attribute "PACK_TYPE" "125MW" + ( attribute "PACK_TYPE" "1210" ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" ( Origin gFrontEnd ) ) - ( attribute "POSTOL" "RTOL%" - ( Origin gFrontEnd ) - ) - ( attribute "POWER" "RMAX" - ( Origin gFrontEnd ) - ) ( attribute "ROT" "1" ( Origin gFrontEnd ) ) ( attribute "SIZE" "1" ( Origin gFrontEnd ) ) - ( attribute "SLOPE" "RSMAX" - ( Origin gFrontEnd ) - ) - ( attribute "TC1" "RTMPL" - ( Origin gFrontEnd ) - ) - ( attribute "TC2" "RTMPQ" - ( Origin gFrontEnd ) - ) - ( attribute "TOL" "1%" - ( Origin gFrontEnd ) - ) - ( attribute "TOL_ON_OFF" "ON" - ( Origin gFrontEnd ) - ) - ( attribute "VALUE" "100" + ( attribute "VALUE" "4.7UF" ( Origin gFrontEnd ) ) - ( attribute "VER" "2" + ( attribute "VER" "1" ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "RVMAX" + ( attribute "VOLTAGE" "50V" ( Units "uVoltage" "V" 1.000000) ( Origin gFrontEnd ) ) - ( attribute "XY" "(900,-1800)" + ( attribute "XY" "(-2725,2200)" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "RSMD0805" + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "RSMD0805_125MW-100,1%" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "R8" + ( attribute "CDS_LOCATION" "C2" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "C2" ( Origin gPackager ) ) ( attribute "CDS_SEC" "1" @@ -33490,47 +39949,52 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "R8" ) + ( objectStatus "C2" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "C2.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "C2.2" ) + ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i150" - ( attribute "CDS_LIB" "cnpassive" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i82" + ( attribute "CDS_LIB" "cnlinear" ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "0603" + ( attribute "PACK_TYPE" "BGA" ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" - ( Origin gFrontEnd ) - ) - ( attribute "SIZE" "1" + ( attribute "ROT" "0" ( Origin gFrontEnd ) ) - ( attribute "VALUE" "100NF" + ( attribute "TYPE" "LTM8047EY#PBF" ( Origin gFrontEnd ) ) ( attribute "VER" "1" ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "16V" - ( Units "uVoltage" "V" 1.000000) - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(5750,600)" + ( attribute "XY" "(-700,2700)" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( attribute "CHIPS_PART_NAME" "LTM8047" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( attribute "CDS_PART_NAME" "LTM8047EY#PBF" ( Origin gPackager ) ) - ( attribute "LOCATION" "C5" + ( attribute "CDS_LOCATION" "RG2" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "C5" + ( attribute "LOCATION" "RG2" ( Origin gPackager ) ) ( attribute "CDS_SEC" "1" @@ -33539,126 +40003,317 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "C5" ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i151" - ( attribute "CDS_LIB" "cnpassive" - ( Origin gPackager ) + ( objectStatus "RG2" ) + ( pin "adj" + ( attribute "PN" "G7" + ( Origin gPackager ) + ) + ( objectStatus "RG2.G7" ) ) - ( attribute "PACK_TYPE" "0603" - ( Origin gFrontEnd ) + ( pin "bias" + ( attribute "PN" "H5" + ( Origin gPackager ) + ) + ( objectStatus "RG2.H5" ) ) - ( attribute "PHYS_PAGE" "1" - ( Origin gFrontEnd ) + ( pin "gnd(0)" + ( attribute "PN" "E1" + ( Origin gPackager ) + ) + ( objectStatus "RG2.E1" ) ) - ( attribute "ROT" "1" - ( Origin gFrontEnd ) + ( pin "gnd(1)" + ( attribute "PN" "E2" + ( Origin gPackager ) + ) + ( objectStatus "RG2.E2" ) ) - ( attribute "SIZE" "1" - ( Origin gFrontEnd ) + ( pin "gnd(2)" + ( attribute "PN" "E3" + ( Origin gPackager ) + ) + ( objectStatus "RG2.E3" ) ) - ( attribute "VALUE" "100NF" - ( Origin gFrontEnd ) + ( pin "gnd(3)" + ( attribute "PN" "E4" + ( Origin gPackager ) + ) + ( objectStatus "RG2.E4" ) ) - ( attribute "VER" "1" - ( Origin gFrontEnd ) + ( pin "gnd(4)" + ( attribute "PN" "E5" + ( Origin gPackager ) + ) + ( objectStatus "RG2.E5" ) ) - ( attribute "VOLTAGE" "16V" - ( Units "uVoltage" "V" 1.000000) - ( Origin gFrontEnd ) + ( pin "gnd(5)" + ( attribute "PN" "E6" + ( Origin gPackager ) + ) + ( objectStatus "RG2.E6" ) ) - ( attribute "XY" "(6050,600)" - ( Origin gFrontEnd ) + ( pin "gnd(6)" + ( attribute "PN" "E7" + ( Origin gPackager ) + ) + ( objectStatus "RG2.E7" ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" - ( Origin gPackager ) + ( pin "gnd(7)" + ( attribute "PN" "F4" + ( Origin gPackager ) + ) + ( objectStatus "RG2.F4" ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" - ( Origin gPackager ) + ( pin "gnd(8)" + ( attribute "PN" "F5" + ( Origin gPackager ) + ) + ( objectStatus "RG2.F5" ) + ) + ( pin "gnd(9)" + ( attribute "PN" "F6" + ( Origin gPackager ) + ) + ( objectStatus "RG2.F6" ) + ) + ( pin "gnd(10)" + ( attribute "PN" "F7" + ( Origin gPackager ) + ) + ( objectStatus "RG2.F7" ) + ) + ( pin "gnd(11)" + ( attribute "PN" "G4" + ( Origin gPackager ) + ) + ( objectStatus "RG2.G4" ) + ) + ( pin "gnd(12)" + ( attribute "PN" "G5" + ( Origin gPackager ) + ) + ( objectStatus "RG2.G5" ) + ) + ( pin "gnd(13)" + ( attribute "PN" "G6" + ( Origin gPackager ) + ) + ( objectStatus "RG2.G6" ) + ) + ( pin "gnd(14)" + ( attribute "PN" "H4" + ( Origin gPackager ) + ) + ( objectStatus "RG2.H4" ) + ) + ( pin "gnd(15)" + ( attribute "PN" "H7" + ( Origin gPackager ) + ) + ( objectStatus "RG2.H7" ) + ) + ( pin "run" + ( attribute "PN" "F3" + ( Origin gPackager ) + ) + ( objectStatus "RG2.F3" ) + ) + ( pin "ss" + ( attribute "PN" "H6" + ( Origin gPackager ) + ) + ( objectStatus "RG2.H6" ) + ) + ( pin "vin(0)" + ( attribute "PN" "H1" + ( Origin gPackager ) + ) + ( objectStatus "RG2.H1" ) + ) + ( pin "vin(1)" + ( attribute "PN" "H2" + ( Origin gPackager ) + ) + ( objectStatus "RG2.H2" ) + ) + ( pin "vin(2)" + ( attribute "PN" "G1" + ( Origin gPackager ) + ) + ( objectStatus "RG2.G1" ) + ) + ( pin "vin(3)" + ( attribute "PN" "G2" + ( Origin gPackager ) + ) + ( objectStatus "RG2.G2" ) + ) + ( pin "vout(0)" + ( attribute "PN" "A6" + ( Origin gPackager ) + ) + ( objectStatus "RG2.A6" ) + ) + ( pin "vout(1)" + ( attribute "PN" "A7" + ( Origin gPackager ) + ) + ( objectStatus "RG2.A7" ) + ) + ( pin "vout(2)" + ( attribute "PN" "B6" + ( Origin gPackager ) + ) + ( objectStatus "RG2.B6" ) + ) + ( pin "vout(3)" + ( attribute "PN" "B7" + ( Origin gPackager ) + ) + ( objectStatus "RG2.B7" ) + ) + ( pin "vout(4)" + ( attribute "PN" "C6" + ( Origin gPackager ) + ) + ( objectStatus "RG2.C6" ) + ) + ( pin "vout(5)" + ( attribute "PN" "C7" + ( Origin gPackager ) + ) + ( objectStatus "RG2.C7" ) + ) + ( pin "\vout-\(0)" + ( attribute "PN" "A1" + ( Origin gPackager ) + ) + ( objectStatus "RG2.A1" ) + ) + ( pin "\vout-\(1)" + ( attribute "PN" "A2" + ( Origin gPackager ) + ) + ( objectStatus "RG2.A2" ) + ) + ( pin "\vout-\(2)" + ( attribute "PN" "A3" + ( Origin gPackager ) + ) + ( objectStatus "RG2.A3" ) + ) + ( pin "\vout-\(3)" + ( attribute "PN" "A4" + ( Origin gPackager ) + ) + ( objectStatus "RG2.A4" ) + ) + ( pin "\vout-\(4)" + ( attribute "PN" "A5" + ( Origin gPackager ) + ) + ( objectStatus "RG2.A5" ) + ) + ( pin "\vout-\(5)" + ( attribute "PN" "B1" + ( Origin gPackager ) + ) + ( objectStatus "RG2.B1" ) + ) + ( pin "\vout-\(6)" + ( attribute "PN" "B2" + ( Origin gPackager ) + ) + ( objectStatus "RG2.B2" ) + ) + ( pin "\vout-\(7)" + ( attribute "PN" "B3" + ( Origin gPackager ) + ) + ( objectStatus "RG2.B3" ) + ) + ( pin "\vout-\(8)" + ( attribute "PN" "B4" + ( Origin gPackager ) + ) + ( objectStatus "RG2.B4" ) + ) + ( pin "\vout-\(9)" + ( attribute "PN" "B5" + ( Origin gPackager ) + ) + ( objectStatus "RG2.B5" ) + ) + ( pin "\vout-\(10)" + ( attribute "PN" "C1" + ( Origin gPackager ) + ) + ( objectStatus "RG2.C1" ) ) - ( attribute "LOCATION" "C8" - ( Origin gPackager ) + ( pin "\vout-\(11)" + ( attribute "PN" "C2" + ( Origin gPackager ) + ) + ( objectStatus "RG2.C2" ) ) - ( attribute "CDS_LOCATION" "C8" - ( Origin gPackager ) + ( pin "\vout-\(12)" + ( attribute "PN" "C3" + ( Origin gPackager ) + ) + ( objectStatus "RG2.C3" ) ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) + ( pin "\vout-\(13)" + ( attribute "PN" "C4" + ( Origin gPackager ) + ) + ( objectStatus "RG2.C4" ) ) - ( attribute "SEC" "1" - ( Origin gPackager ) + ( pin "\vout-\(14)" + ( attribute "PN" "C5" + ( Origin gPackager ) + ) + ( objectStatus "RG2.C5" ) ) - ( objectStatus "C8" ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i152" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i83" ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "DIST" "FLAT" - ( Origin gFrontEnd ) - ) - ( attribute "LOCATION" "R14" - ( Origin gPackager ) - ) - ( attribute "MAX_TEMP" "RTMAX" - ( Origin gFrontEnd ) - ) - ( attribute "NEGTOL" "RTOL%" - ( Origin gFrontEnd ) - ) - ( attribute "PACK_TYPE" "1/10W" + ( attribute "PACK_TYPE" "1210" ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" ( Origin gFrontEnd ) ) - ( attribute "POSTOL" "RTOL%" - ( Origin gFrontEnd ) - ) - ( attribute "POWER" "RMAX" - ( Origin gFrontEnd ) - ) ( attribute "ROT" "1" ( Origin gFrontEnd ) ) ( attribute "SIZE" "1" ( Origin gFrontEnd ) ) - ( attribute "SLOPE" "RSMAX" - ( Origin gFrontEnd ) - ) - ( attribute "TC1" "RTMPL" - ( Origin gFrontEnd ) - ) - ( attribute "TC2" "RTMPQ" - ( Origin gFrontEnd ) - ) - ( attribute "TOL" "1%" - ( Origin gFrontEnd ) - ) - ( attribute "TOL_ON_OFF" "ON" - ( Origin gFrontEnd ) - ) - ( attribute "VALUE" "75" + ( attribute "VALUE" "4.7UF" ( Origin gFrontEnd ) ) - ( attribute "VER" "2" + ( attribute "VER" "1" ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "RVMAX" + ( attribute "VOLTAGE" "50V" ( Units "uVoltage" "V" 1.000000) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2450,-1750)" + ( attribute "XY" "(-1800,2675)" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-75,1%" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "R14" + ( attribute "CDS_LOCATION" "C6" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "C6" ( Origin gPackager ) ) ( attribute "CDS_SEC" "1" @@ -33667,18 +40322,27 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "R14" ) + ( objectStatus "C6" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "C6.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "C6.2" ) + ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i153" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i85" ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) ( attribute "DIST" "FLAT" ( Origin gFrontEnd ) ) - ( attribute "LOCATION" "R12" - ( Origin gPackager ) - ) ( attribute "MAX_TEMP" "RTMAX" ( Origin gFrontEnd ) ) @@ -33718,7 +40382,7 @@ ( attribute "TOL_ON_OFF" "ON" ( Origin gFrontEnd ) ) - ( attribute "VALUE" "75" + ( attribute "VALUE" "6.19K" ( Origin gFrontEnd ) ) ( attribute "VER" "2" @@ -33728,64 +40392,19 @@ ( Units "uVoltage" "V" 1.000000) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2150,-1750)" + ( attribute "XY" "(-1275,2400)" ( Origin gFrontEnd ) ) ( attribute "CHIPS_PART_NAME" "RSMD0603" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-75,1%" - ( Origin gPackager ) - ) - ( attribute "CDS_LOCATION" "R12" - ( Origin gPackager ) - ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) - ) - ( attribute "SEC" "1" - ( Origin gPackager ) - ) - ( objectStatus "R12" ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i159" - ( attribute "CDS_LIB" "cnpassive" - ( Origin gPackager ) - ) - ( attribute "PACK_TYPE" "HOLE" - ( Origin gFrontEnd ) - ) - ( attribute "PART_NAME" "TP" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "1" - ( Origin gFrontEnd ) - ) - ( attribute "ROT" "0" - ( Origin gFrontEnd ) - ) - ( attribute "SIZE" "1" - ( Origin gFrontEnd ) - ) - ( attribute "SYMMAP" "TRUE" - ( Origin gFrontEnd ) - ) - ( attribute "VALUE" "0.8MM" - ( Origin gFrontEnd ) - ) - ( attribute "VER" "1" - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(2750,400)" - ( Origin gFrontEnd ) - ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-6.19K,1%" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_LOCATION" "R3" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "TP2" + ( attribute "LOCATION" "R3" ( Origin gPackager ) ) ( attribute "CDS_SEC" "1" @@ -33794,100 +40413,59 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP2" - ( Origin gPackager ) + ( objectStatus "R3" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "R3.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "R3.2" ) ) - ( objectStatus "TP2" ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i160" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i88" ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Origin gFrontEnd ) - ) - ( attribute "PART_NAME" "TP" + ( attribute "PACK_TYPE" "1210" ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "ROT" "1" ( Origin gFrontEnd ) ) ( attribute "SIZE" "1" ( Origin gFrontEnd ) ) - ( attribute "SYMMAP" "TRUE" - ( Origin gFrontEnd ) - ) - ( attribute "VALUE" "0.8MM" + ( attribute "VALUE" "22UF" ( Origin gFrontEnd ) ) ( attribute "VER" "1" ( Origin gFrontEnd ) ) - ( attribute "XY" "(2750,200)" - ( Origin gFrontEnd ) - ) - ( attribute "CHIPS_PART_NAME" "TP" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" - ( Origin gPackager ) - ) - ( attribute "CDS_LOCATION" "TP3" - ( Origin gPackager ) - ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) - ) - ( attribute "SEC" "1" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "TP3" - ( Origin gPackager ) - ) - ( objectStatus "TP3" ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i161" - ( attribute "CDS_LIB" "cnpassive" - ( Origin gPackager ) - ) - ( attribute "PACK_TYPE" "HOLE" - ( Origin gFrontEnd ) - ) - ( attribute "PART_NAME" "TP" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "1" - ( Origin gFrontEnd ) - ) - ( attribute "ROT" "0" - ( Origin gFrontEnd ) - ) - ( attribute "SIZE" "1" - ( Origin gFrontEnd ) - ) - ( attribute "SYMMAP" "TRUE" - ( Origin gFrontEnd ) - ) - ( attribute "VALUE" "0.8MM" + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "XY" "(250,650)" ( Origin gFrontEnd ) ) - ( attribute "XY" "(2750,0)" - ( Origin gFrontEnd ) + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Origin gPackager ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_LOCATION" "C8" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "TP4" + ( attribute "LOCATION" "C8" ( Origin gPackager ) ) ( attribute "CDS_SEC" "1" @@ -33896,100 +40474,59 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP4" - ( Origin gPackager ) + ( objectStatus "C8" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "C8.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "C8.2" ) ) - ( objectStatus "TP4" ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i162" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i89" ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Origin gFrontEnd ) - ) - ( attribute "PART_NAME" "TP" + ( attribute "PACK_TYPE" "1210" ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "ROT" "1" ( Origin gFrontEnd ) ) ( attribute "SIZE" "1" ( Origin gFrontEnd ) ) - ( attribute "SYMMAP" "TRUE" - ( Origin gFrontEnd ) - ) - ( attribute "VALUE" "0.8MM" + ( attribute "VALUE" "22UF" ( Origin gFrontEnd ) ) ( attribute "VER" "1" ( Origin gFrontEnd ) ) - ( attribute "XY" "(1000,800)" - ( Origin gFrontEnd ) - ) - ( attribute "CHIPS_PART_NAME" "TP" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" - ( Origin gPackager ) - ) - ( attribute "CDS_LOCATION" "TP1" - ( Origin gPackager ) - ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) - ) - ( attribute "SEC" "1" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "TP1" - ( Origin gPackager ) - ) - ( objectStatus "TP1" ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i163" - ( attribute "CDS_LIB" "cnpassive" - ( Origin gPackager ) - ) - ( attribute "PACK_TYPE" "HOLE" - ( Origin gFrontEnd ) - ) - ( attribute "PART_NAME" "TP" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "1" - ( Origin gFrontEnd ) - ) - ( attribute "ROT" "0" - ( Origin gFrontEnd ) - ) - ( attribute "SIZE" "1" - ( Origin gFrontEnd ) - ) - ( attribute "SYMMAP" "TRUE" - ( Origin gFrontEnd ) - ) - ( attribute "VALUE" "0.8MM" + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "XY" "(250,2675)" ( Origin gFrontEnd ) ) - ( attribute "XY" "(2750,-1250)" - ( Origin gFrontEnd ) + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Origin gPackager ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_LOCATION" "C7" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "TP5" + ( attribute "LOCATION" "C7" ( Origin gPackager ) ) ( attribute "CDS_SEC" "1" @@ -33998,49 +40535,59 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP5" - ( Origin gPackager ) + ( objectStatus "C7" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "C7.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "C7.2" ) ) - ( objectStatus "TP5" ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i164" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i142" ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Origin gFrontEnd ) - ) - ( attribute "PART_NAME" "TP" + ( attribute "PACK_TYPE" "1210" ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "ROT" "1" ( Origin gFrontEnd ) ) ( attribute "SIZE" "1" ( Origin gFrontEnd ) ) - ( attribute "SYMMAP" "TRUE" + ( attribute "VALUE" "4.7UF" ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" + ( attribute "VER" "1" ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VOLTAGE" "50V" + ( Units "uVoltage" "V" 1.000000) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2750,-1550)" + ( attribute "XY" "(-2150,2675)" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "TP6" + ( attribute "CDS_LOCATION" "C3" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "C3" ( Origin gPackager ) ) ( attribute "CDS_SEC" "1" @@ -34049,181 +40596,150 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP6" - ( Origin gPackager ) + ( objectStatus "C3" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "C3.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "C3.2" ) ) - ( objectStatus "TP6" ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i165" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i144" ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Origin gFrontEnd ) - ) - ( attribute "PART_NAME" "TP" + ( attribute "PACK_TYPE" "1210" ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "ROT" "1" ( Origin gFrontEnd ) ) ( attribute "SIZE" "1" ( Origin gFrontEnd ) ) - ( attribute "SYMMAP" "TRUE" + ( attribute "VALUE" "4.7UF" ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" + ( attribute "VER" "1" ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VOLTAGE" "50V" + ( Units "uVoltage" "V" 1.000000) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2750,-1750)" + ( attribute "XY" "(-2150,1525)" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "TP7" + ( attribute "CDS_LOCATION" "C4" ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" + ( attribute "LOCATION" "C4" ( Origin gPackager ) ) - ( attribute "SEC" "1" + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP7" + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "TP7" ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i120" - ( attribute "CASE" "SOT23" - ( Origin gFrontEnd ) + ( objectStatus "C4" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "C4.1" ) ) - ( attribute "CDS_LIB" "cndiscrete" - ( Origin gPackager ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "C4.2" ) ) - ( attribute "LOCATION" "D1" + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i146" + ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "A1-C2-AC3" + ( attribute "DIST" "FLAT" ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" + ( attribute "MAX_TEMP" "RTMAX" ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "NEGTOL" "RTOL%" ( Origin gFrontEnd ) ) - ( attribute "TYPE" "HBAT-540C" + ( attribute "PACK_TYPE" "1/10W" ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "PHYS_PAGE" "1" ( Origin gFrontEnd ) ) - ( attribute "XY" "(0,-500)" + ( attribute "POSTOL" "RTOL%" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "DIODE_DUAL_SERIES" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "HBAT-540C" - ( Origin gPackager ) - ) - ( attribute "CDS_LOCATION" "D1" - ( Origin gPackager ) - ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) - ) - ( attribute "SEC" "1" - ( Origin gPackager ) - ) - ( objectStatus "D1" ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i168" - ( attribute "CDS_LIB" "cnconnector" - ( Origin gPackager ) - ) - ( attribute "NEEDS_NO_SIZE" "TRUE" + ( attribute "POWER" "RMAX" ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" + ( attribute "ROT" "1" ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "SIZE" "1" ( Origin gFrontEnd ) ) - ( attribute "TYPE" "UFL_R_SMT" + ( attribute "SLOPE" "RSMAX" ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "TC1" "RTMPL" ( Origin gFrontEnd ) ) - ( attribute "XY" "(-300,-1550)" + ( attribute "TC2" "RTMPQ" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PCOAXSMD" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "PCOAXSMD-UFL_R_SMT-GND=GND_SIGA" - ( Origin gPackager ) - ) - ( attribute "CDS_LOCATION" "PX1" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "PX1" - ( Origin gPackager ) - ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) - ) - ( attribute "SEC" "1" - ( Origin gPackager ) - ) - ( objectStatus "PX1" ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i169" - ( attribute "CDS_LIB" "cnconnector" - ( Origin gPackager ) - ) - ( attribute "NEEDS_NO_SIZE" "TRUE" + ( attribute "TOL" "1%" ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" + ( attribute "TOL_ON_OFF" "ON" ( Origin gFrontEnd ) ) - ( attribute "ROT" "2" + ( attribute "VALUE" "3.3" ( Origin gFrontEnd ) ) - ( attribute "TYPE" "UFL_R_SMT" + ( attribute "VER" "2" ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VOLTAGE" "RVMAX" + ( Units "uVoltage" "V" 1.000000) ( Origin gFrontEnd ) ) - ( attribute "XY" "(325,-1550)" + ( attribute "XY" "(-2725,1900)" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PCOAXSMD" + ( attribute "CHIPS_PART_NAME" "RSMD0603" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "PCOAXSMD-UFL_R_SMT-GND=GND_SIGA" + ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-3.3,1%" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "PX2" + ( attribute "CDS_LOCATION" "R1" ( Origin gPackager ) ) - ( attribute "LOCATION" "PX2" + ( attribute "LOCATION" "R1" ( Origin gPackager ) ) ( attribute "CDS_SEC" "1" @@ -34232,498 +40748,662 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "PX2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i1:hys(0)" - ( attribute "PN" "8" - ( Origin gPackager ) + ( objectStatus "R1" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "R1.1" ) ) - ( objectStatus "IC2.8" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i1:\in+\(0)" - ( attribute "PN" "10" - ( Origin gPackager ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "R1.2" ) ) - ( objectStatus "IC2.10" ) ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i1:\in-\(0)" - ( attribute "PN" "9" - ( Origin gPackager ) - ) - ( objectStatus "IC2.9" ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1" + ( memberType ( signal ) ) + ( alias ( busRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(0)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(1)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(2)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(3)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(4)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(5)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(6)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(7)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(8)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(9)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(10)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(11)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(12)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(13)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(14)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):gnd_signal") ) + ( objectStatus "VM1" ) ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i1:le(0)" - ( attribute "PN" "4" - ( Origin gPackager ) - ) - ( objectStatus "IC2.4" ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1" + ( memberType ( signal ) ) + ( objectFlag fObjectAlias ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(0)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(1)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(2)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(3)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(4)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(5)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(6)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(7)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(8)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(9)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(10)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(11)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(12)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(13)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(14)") ) + ( objectStatus "PAGE1_VM1" ) ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i1:\le*\(0)" - ( attribute "PN" "5" + ( bus "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2" + ( memberType ( signal ) ) + ( alias ( busRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2") ) + ( attribute "BUS_NAME" "VM2" ( Origin gPackager ) ) - ( objectStatus "IC2.5" ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(0)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(1)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(2)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(3)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(4)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(5)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(6)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(7)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(8)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(9)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(10)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(11)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(12)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(13)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(14)") ) + ( objectStatus "VM2" ) ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i1:q(0)" - ( attribute "PN" "1" - ( Origin gPackager ) - ) - ( objectStatus "IC2.1" ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2" + ( memberType ( signal ) ) + ( objectFlag fObjectAlias ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(0)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(1)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(2)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(3)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(4)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(5)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(6)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(7)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(8)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(9)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(10)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(11)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(12)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(13)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(14)") ) + ( objectStatus "PAGE1_VM2" ) ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i1:\q*\(0)" - ( attribute "PN" "2" + ( bus "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1" + ( memberType ( signal ) ) + ( alias ( busRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1") ) + ( attribute "BUS_NAME" "VP1" ( Origin gPackager ) ) - ( objectStatus "IC2.2" ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(0)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(1)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(2)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(3)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(4)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(5)") ) + ( objectStatus "VP1" ) ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i111:b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "R13.2" ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1" + ( memberType ( signal ) ) + ( objectFlag fObjectAlias ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1(0)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1(1)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1(2)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1(3)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1(4)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1(5)") ) + ( objectStatus "PAGE1_VP1" ) ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i111:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) - ) - ( objectStatus "R13.1" ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2" + ( memberType ( signal ) ) + ( alias ( busRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp2") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2(0)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2(1)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2(2)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2(3)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2(4)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2(5)") ) + ( objectStatus "VP2" ) ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i123:b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "C1.2" ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp2" + ( memberType ( signal ) ) + ( objectFlag fObjectAlias ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp2(0)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp2(1)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp2(2)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp2(3)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp2(4)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp2(5)") ) + ( objectStatus "PAGE1_VP2" ) ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i123:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) - ) - ( objectStatus "C1.1" ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vi" + ( memberType ( signal ) ) + ( alias ( busRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vi") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vi(0)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vi(1)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vi(2)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vi(3)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vin_filtered") ) + ( objectStatus "VI" ) ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i39:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) - ) - ( objectStatus "C4.1" ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vi" + ( memberType ( signal ) ) + ( objectFlag fObjectAlias ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vi(0)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vi(1)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vi(2)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vi(3)") ) + ( objectStatus "PAGE1_VI" ) ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i39:b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "C4.2" ) + ) + ) + ( EndBaseCopy ) + ) + ( BaseCopy "fmc_tlu_hdmi_dut_connector" + ( constraintHeader + ( revisionNumber + ( logicalViewRevNum 11 ) + ( physicalViewRevNum 0 ) + ( otherViewRevNum 0 ) ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i40:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) - ) - ( objectStatus "C7.1" ) + ( contents + ( electricalConstraints ) + ( netClasses ) + ( properties ) ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i40:b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "C7.2" ) + ) + ( designConstraints + ( ruleChanges + ( allRules ) + ( design "fmc_tlu_hdmi_dut_connector" ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i41:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) - ) - ( objectStatus "C10.1" ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):sig2_p" + ( objectFlag fObjectAlias ) ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i41:b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "C10.2" ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):sig2_n" + ( objectFlag fObjectAlias ) ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i43:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) - ) - ( objectStatus "C9.1" ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):sig1_p" + ( objectFlag fObjectAlias ) ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i43:b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "C9.2" ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):sig1_n" + ( objectFlag fObjectAlias ) ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i44:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) - ) - ( objectStatus "C6.1" ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):sig0_p" + ( objectFlag fObjectAlias ) ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i44:b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "C6.2" ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):sig0_n" + ( objectFlag fObjectAlias ) ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i47:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) - ) - ( objectStatus "C3.1" ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):gnd_signal" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_gnd_signal") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):gnd_signal") ) + ( objectStatus "gnd_signal" ) ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i47:b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "C3.2" ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_gnd_signal" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_gnd_signal" ) ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i71:hys(0)" - ( attribute "PN" "13" - ( Origin gPackager ) - ) - ( objectStatus "IC2.13" ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):gnd_signal" + ( objectFlag fObjectAlias ) ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i71:\in+\(0)" - ( attribute "PN" "11" - ( Origin gPackager ) - ) - ( objectStatus "IC2.11" ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):vclamp" + ( objectFlag fObjectAlias ) ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i71:\in-\(0)" - ( attribute "PN" "12" - ( Origin gPackager ) - ) - ( objectStatus "IC2.12" ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_p3v3" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_p3v3" ) ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i71:le(0)" - ( attribute "PN" "17" - ( Origin gPackager ) - ) - ( objectStatus "IC2.17" ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):busy_from_fpga" + ( objectStatus "busy_from_fpga" ) ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i71:\le*\(0)" - ( attribute "PN" "16" - ( Origin gPackager ) - ) - ( objectStatus "IC2.16" ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):clk_from_fpga" + ( objectStatus "clk_from_fpga" ) ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i71:q(0)" - ( attribute "PN" "20" + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):p3v3" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_p3v3") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):vclamp") ) + ( attribute "PHYS_NET_NAME" "P3V3" ( Origin gPackager ) ) - ( objectStatus "IC2.20" ) + ( objectStatus "p3v3" ) ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i71:\q*\(0)" - ( attribute "PN" "19" - ( Origin gPackager ) - ) - ( objectStatus "IC2.19" ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):spare_from_fpga" + ( objectStatus "spare_from_fpga" ) ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i73:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) - ) - ( objectStatus "R9.1" ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):p5v" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_p5v") ) + ( objectStatus "p5v" ) ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i73:b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "R9.2" ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_p5v" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_p5v" ) ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i78:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) - ) - ( objectStatus "C2.1" ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):sig4_n" + ( objectFlag fObjectAlias ) ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i78:b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "C2.2" ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):sig4_p" + ( objectFlag fObjectAlias ) ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i79:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) - ) - ( objectStatus "R5.1" ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):sig3_p" + ( objectFlag fObjectAlias ) ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i79:b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "R5.2" ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):enable_spare_from_fpga" + ( objectStatus "enable_spare_from_fpga" ) ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i81:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) - ) - ( objectStatus "R10.1" ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_commonmodelinefilter_i80_2" + ( objectStatus "unnamed_1_commonmodelinefilter_i80_2" ) ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i81:b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "R10.2" ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_commonmodelinefilter_i80_1" + ( objectStatus "unnamed_1_commonmodelinefilter_i80_1" ) ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i148:b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "R6.2" ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_capcersmdcl2_i8_b" + ( objectStatus "unnamed_1_capcersmdcl2_i8_b" ) ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i148:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) - ) - ( objectStatus "R6.1" ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_capcersmdcl2_i9_b" + ( objectStatus "unnamed_1_capcersmdcl2_i9_b" ) ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i92:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) - ) - ( objectStatus "R7.1" ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):enable_clk_to_dut" + ( objectStatus "enable_clk_to_dut" ) ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i92:b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "R7.2" ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_capn4i_i83_a" + ( objectStatus "unnamed_1_capn4i_i83_a" ) ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i93:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) - ) - ( objectStatus "R3.1" ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):sig3_n" + ( objectFlag fObjectAlias ) ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i93:b(0)" - ( attribute "PN" "2" + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):busy" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):sig2_n") ) + ( attribute "PHYS_NET_NAME" "BUSY" ( Origin gPackager ) ) - ( objectStatus "R3.2" ) + ( objectStatus "busy" ) ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i94:b(0)" - ( attribute "PN" "2" + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):\busy*\" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):sig2_p") ) + ( attribute "PHYS_NET_NAME" "BUSY*" ( Origin gPackager ) ) - ( objectStatus "R2.2" ) + ( objectStatus "busy*" ) ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i94:a(0)" - ( attribute "PN" "1" + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):clk" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):sig1_n") ) + ( attribute "PHYS_NET_NAME" "CLK" ( Origin gPackager ) ) - ( objectStatus "R2.1" ) + ( objectStatus "clk" ) ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i113:a(0)" - ( attribute "PN" "1" + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):\clk*\" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):sig1_p") ) + ( attribute "PHYS_NET_NAME" "CLK*" ( Origin gPackager ) ) - ( objectStatus "R11.1" ) + ( objectStatus "clk*" ) ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i113:b(0)" - ( attribute "PN" "2" + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):cont" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):sig3_p") ) + ( attribute "PHYS_NET_NAME" "CONT" ( Origin gPackager ) ) - ( objectStatus "R11.2" ) + ( objectStatus "cont" ) ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i147:b(0)" - ( attribute "PN" "2" + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):\cont*\" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):sig3_n") ) + ( attribute "PHYS_NET_NAME" "CONT*" ( Origin gPackager ) ) - ( objectStatus "R8.2" ) + ( objectStatus "cont*" ) ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i147:a(0)" - ( attribute "PN" "1" + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):spare" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):sig0_p") ) + ( attribute "PHYS_NET_NAME" "SPARE" ( Origin gPackager ) ) - ( objectStatus "R8.1" ) + ( objectStatus "spare" ) ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i150:a(0)" - ( attribute "PN" "1" + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):\spare*\" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):sig0_n") ) + ( attribute "PHYS_NET_NAME" "SPARE*" ( Origin gPackager ) ) - ( objectStatus "C5.1" ) + ( objectStatus "spare*" ) ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i150:b(0)" - ( attribute "PN" "2" + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):trig" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):sig4_p") ) + ( attribute "PHYS_NET_NAME" "TRIG" ( Origin gPackager ) ) - ( objectStatus "C5.2" ) + ( objectStatus "trig" ) ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i151:a(0)" - ( attribute "PN" "1" + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):\trig*\" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):sig4_n") ) + ( attribute "PHYS_NET_NAME" "TRIG*" ( Origin gPackager ) ) - ( objectStatus "C8.1" ) + ( objectStatus "trig*" ) ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i151:b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "C8.2" ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):clk_to_dut" + ( objectStatus "clk_to_dut" ) ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i152:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) - ) - ( objectStatus "R14.1" ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):\clk_to_dut*\" + ( objectStatus "clk_to_dut*" ) ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i152:b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "R14.2" ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):hdmi_power" + ( objectStatus "hdmi_power" ) ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i153:a(0)" - ( attribute "PN" "1" + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):hdmi_power_enable" + ( objectStatus "hdmi_power_enable" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):busy_to_fpga" + ( objectStatus "busy_to_fpga" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_capcersmdcl2_i88_a" + ( objectStatus "unnamed_1_capcersmdcl2_i88_a" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):trig_to_fpga" + ( objectStatus "trig_to_fpga" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):clk_to_fpga" + ( objectStatus "clk_to_fpga" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):cont_from_fpga" + ( objectStatus "cont_from_fpga" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):gnd_hdmi" + ( objectStatus "gnd_hdmi" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):enable_busy_from_fpga" + ( objectStatus "enable_busy_from_fpga" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):enable_clk_from_fpga" + ( objectStatus "enable_clk_from_fpga" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):enable_cont_from_fpga" + ( objectStatus "enable_cont_from_fpga" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):enable_trig_from_fpga" + ( objectStatus "enable_trig_from_fpga" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):hdmi_clk" + ( objectStatus "hdmi_clk" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):\hdmi_clk*\" + ( objectStatus "hdmi_clk*" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):spare_to_fpga" + ( objectStatus "spare_to_fpga" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):trig_from_fpga" + ( objectStatus "trig_from_fpga" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):cont_to_fpga" + ( objectStatus "cont_to_fpga" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_capn4i_i112_a" + ( objectStatus "unnamed_1_capn4i_i112_a" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_capn4i_i124_a" + ( objectStatus "unnamed_1_capn4i_i124_a" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_capn4i_i136_a" + ( objectStatus "unnamed_1_capn4i_i136_a" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_capn4i_i148_a" + ( objectStatus "unnamed_1_capn4i_i148_a" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_commonmodelinefilter_i109_1" + ( objectStatus "unnamed_1_commonmodelinefilter_i109_1" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_commonmodelinefilter_i109_2" + ( objectStatus "unnamed_1_commonmodelinefilter_i109_2" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_commonmodelinefilter_i121_1" + ( objectStatus "unnamed_1_commonmodelinefilter_i121_1" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_commonmodelinefilter_i121_2" + ( objectStatus "unnamed_1_commonmodelinefilter_i121_2" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_commonmodelinefilter_i133_1" + ( objectStatus "unnamed_1_commonmodelinefilter_i133_1" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_commonmodelinefilter_i133_2" + ( objectStatus "unnamed_1_commonmodelinefilter_i133_2" ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i2" + ( attribute "CDS_LIB" "cnconnector" ( Origin gPackager ) ) - ( objectStatus "R12.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i153:b(0)" - ( attribute "PN" "2" + ( attribute "CDS_LMAN_SYM_OUTLINE" "0,25,150,-475" ( Origin gPackager ) ) - ( objectStatus "R12.2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i159:a(0)" - ( attribute "PN" "1" + ( attribute "CDS_LOCATION" "J1" ( Origin gPackager ) ) - ( objectStatus "TP2.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i160:a(0)" - ( attribute "PN" "1" + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( objectStatus "TP3.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i161:a(0)" - ( attribute "PN" "1" + ( attribute "LOCATION" "J1" ( Origin gPackager ) ) - ( objectStatus "TP4.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i162:a(0)" - ( attribute "PN" "1" + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "TYPE" "HDMI-19-01-X-SM" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(3550,875)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CON19P" ( Origin gPackager ) ) - ( objectStatus "TP1.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i163:a(0)" - ( attribute "PN" "1" + ( attribute "CDS_PART_NAME" "CON19P-HDMI-19-01-X-SM-GND=GNDA" ( Origin gPackager ) ) - ( objectStatus "TP5.1" ) + ( objectStatus "PAGE1_I2" ) + ( pin "a(0)" + ( attribute "PN" "#" + ( Origin gPackager ) + ) + ) + ( pin "a(1)" + ( attribute "PN" "#" + ( Origin gPackager ) + ) + ) + ( pin "a(2)" + ( attribute "PN" "#" + ( Origin gPackager ) + ) + ) + ( pin "a(3)" + ( attribute "PN" "#" + ( Origin gPackager ) + ) + ) + ( pin "a(4)" + ( attribute "PN" "#" + ( Origin gPackager ) + ) + ) + ( pin "a(5)" + ( attribute "PN" "#" + ( Origin gPackager ) + ) + ) + ( pin "a(6)" + ( attribute "PN" "#" + ( Origin gPackager ) + ) + ) + ( pin "a(7)" + ( attribute "PN" "#" + ( Origin gPackager ) + ) + ) + ( pin "a(8)" + ( attribute "PN" "#" + ( Origin gPackager ) + ) + ) + ( pin "a(9)" + ( attribute "PN" "#" + ( Origin gPackager ) + ) + ) + ( pin "a(10)" + ( attribute "PN" "#" + ( Origin gPackager ) + ) + ) + ( pin "a(11)" + ( attribute "PN" "#" + ( Origin gPackager ) + ) + ) + ( pin "a(12)" + ( attribute "PN" "#" + ( Origin gPackager ) + ) + ) + ( pin "a(13)" + ( attribute "PN" "#" + ( Origin gPackager ) + ) + ) + ( pin "a(14)" + ( attribute "PN" "#" + ( Origin gPackager ) + ) + ) + ( pin "a(15)" + ( attribute "PN" "#" + ( Origin gPackager ) + ) + ) + ( pin "a(16)" + ( attribute "PN" "#" + ( Origin gPackager ) + ) + ) + ( pin "a(17)" + ( attribute "PN" "#" + ( Origin gPackager ) + ) + ) + ( pin "a(18)" + ( attribute "PN" "#" + ( Origin gPackager ) + ) + ) ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i164:a(0)" - ( attribute "PN" "1" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i8" + ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( objectStatus "TP6.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i165:a(0)" - ( attribute "PN" "1" + ( attribute "CDS_LOCATION" "C9" ( Origin gPackager ) ) - ( objectStatus "TP7.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i120:a" - ( attribute "PN" "1" + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( objectStatus "D1.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i120:ac" - ( attribute "PN" "3" + ( attribute "LOCATION" "C9" ( Origin gPackager ) ) - ( objectStatus "D1.3" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i120:c" - ( attribute "PN" "2" + ( attribute "PACK_TYPE" "0603" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "D1.2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i168:a" - ( attribute "PN" "1" + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "100NF" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(5625,675)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Origin gPackager ) ) - ( objectStatus "PX1.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i169:a" - ( attribute "PN" "1" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" ( Origin gPackager ) ) - ( objectStatus "PX2.1" ) - ) - ) - ) - ( EndBaseCopy ) - ) - ( BaseCopy "fmc_tlu_diode_clamp" - ( constraintHeader - ( revisionNumber - ( logicalViewRevNum 16 ) - ( physicalViewRevNum 0 ) - ( otherViewRevNum 0 ) - ) - ( contents - ( electricalConstraints ) - ( netClasses ) - ( properties ) - ) - ) - ( designConstraints - ( ruleChanges - ( allRules ) - ( design "fmc_tlu_diode_clamp" - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig5" - ( objectStatus "sig5" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig7" - ( objectStatus "sig7" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig4" - ( objectStatus "sig4" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig6" - ( objectStatus "sig6" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig0" - ( objectStatus "sig0" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig1" - ( objectStatus "sig1" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig3" - ( objectStatus "sig3" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig2" - ( objectStatus "sig2" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):gnd_signal" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_gnd_signal") ) - ( objectStatus "gnd_signal" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_gnd_signal" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_gnd_signal" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):vclamp" - ( objectStatus "vclamp" ) + ( objectStatus "PAGE1_I8" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i9" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i9" ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) + ( attribute "CDS_LOCATION" "C8" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "C8" + ( Origin gPackager ) + ) ( attribute "PACK_TYPE" "0603" ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "ROT" "0" ( Origin gFrontEnd ) ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) ( attribute "SIZE" "1" ( Origin gFrontEnd ) ) @@ -34737,7 +41417,7 @@ ( Units "uVoltage" "V" 1.000000) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2175,-1875)" + ( attribute "XY" "(5625,875)" ( Origin gFrontEnd ) ) ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" @@ -34748,1354 +41428,1130 @@ ) ( objectStatus "PAGE1_I9" ) ( pin "a(0)" - ( attribute "PN" "#" + ( attribute "PN" "1" ( Origin gPackager ) ) ) ( pin "b(0)" - ( attribute "PN" "#" + ( attribute "PN" "2" ( Origin gPackager ) ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i48" - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Origin gPackager ) - ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i47" - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Origin gPackager ) - ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i46" - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Origin gPackager ) - ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i45" - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i10" + ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i44" - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" + ( attribute "CDS_LOCATION" "R11" ( Origin gPackager ) ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i43" - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i7" - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Origin gPackager ) + ( attribute "DIST" "FLAT" + ( Origin gFrontEnd ) ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i56" - ( attribute "CDS_LIB" "cndiscrete" + ( attribute "LOCATION" "R11" ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "SC88" + ( attribute "MAX_TEMP" "RTMAX" ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" + ( attribute "NEGTOL" "RTOL%" ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "PACK_TYPE" "1/10W" ( Origin gFrontEnd ) ) - ( attribute "TYPE" "PRTR5V0U4Y" + ( attribute "PHYS_PAGE" "1" ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "POSTOL" "RTOL%" ( Origin gFrontEnd ) ) - ( attribute "XY" "(1050,-2450)" + ( attribute "POWER" "RMAX" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PRTR5V0U4Y" - ( Origin gPackager ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) ) - ( attribute "CDS_PART_NAME" "PRTR5V0U4Y" + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "PAGE1_I56" ) - ( pin "esd1" - ) - ( pin "esd2" - ) - ( pin "esd3" - ) - ( pin "esd4" + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) ) - ( pin "gnd" + ( attribute "SLOPE" "RSMAX" + ( Origin gFrontEnd ) ) - ( pin "vcc" + ( attribute "TC1" "RTMPL" + ( Origin gFrontEnd ) ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i55" - ( attribute "CDS_LIB" "cndiscrete" - ( Origin gPackager ) + ( attribute "TC2" "RTMPQ" + ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "SC88" + ( attribute "TOL" "1%" ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" + ( attribute "TOL_ON_OFF" "ON" ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "VALUE" "51" ( Origin gFrontEnd ) ) - ( attribute "TYPE" "PRTR5V0U4Y" + ( attribute "VER" "2" ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VOLTAGE" "RVMAX" + ( Units "uVoltage" "V" 1.000000) ( Origin gFrontEnd ) ) - ( attribute "XY" "(1025,-250)" + ( attribute "XY" "(5925,875)" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PRTR5V0U4Y" + ( attribute "CHIPS_PART_NAME" "RSMD0603" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "PRTR5V0U4Y" + ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-51,1%" ( Origin gPackager ) ) - ( objectStatus "PAGE1_I55" ) - ( pin "esd1" - ) - ( pin "esd2" - ) - ( pin "esd3" - ) - ( pin "esd4" - ) - ( pin "gnd" + ( objectStatus "PAGE1_I10" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) ) - ( pin "vcc" + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i50" - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i11" + ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ) - ) - ) - ( EndBaseCopy ) - ) - ( BaseCopy "fmc_tlu_vsupply5v" - ( constraintHeader - ( revisionNumber - ( logicalViewRevNum 15 ) - ( physicalViewRevNum 1 ) - ( otherViewRevNum 0 ) - ) - ( contents - ( electricalConstraints ) - ( physicalConstraints ) - ( spacingConstraints ) - ( sameNetSpacingConstraints ) - ( netClasses ) - ( properties ) - ) - ) - ( designConstraints - ( ruleChanges - ( allRules ) - ( design "fmc_tlu_vsupply5v" - ( physicalCSetRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):\DEFAULT\" ) - ( spacingCSetRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):\DEFAULT\" ) - ( sameNetSpacingCSetRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):\DEFAULT\" ) - ) - ( physicalCSet "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):\DEFAULT\" - ( attribute "DIFFP_PRIMARY_GAP" "0.2000,0.2000,0.2000,0.2000,0.2000,0.2000" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "DIFFP_COUPLED_PLUS" "0.0000,0.0000,0.0000,0.0000,0.0000,0.0000" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "DIFFP_COUPLED_MINUS" "0.0000,0.0000,0.0000,0.0000,0.0000,0.0000" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "DIFFP_MIN_SPACE" "0.0000,0.0000,0.0000,0.0000,0.0000,0.0000" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "MIN_LINE_WIDTH" "0.2257,0.2257,0.2257,0.2257,0.2257,0.2257" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "MAX_LINE_WIDTH" "0.0000,0.0000,0.0000,0.0000,0.0000,0.0000" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "DIFFP_NECK_GAP" "0.1800,0.1800,0.1800,0.1800,0.1800,0.1800" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "MIN_NECK_WIDTH" "0.1800,0.1800,0.1800,0.1800,0.1800,0.1800" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "MAXIMUM_NECK_LENGTH" "5.0000,5.0000,5.0000,5.0000,5.0000,5.0000" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "VIA_LIST" "VIA,VIA32RD0-3D,VIA55-30-80,VIA36RD0-4D" - ( Origin gBackEnd ) - ) - ( attribute "ALLOW_ON_ETCH_SUBCLASS" "TRUE,TRUE,TRUE,TRUE,TRUE,TRUE" - ( Origin gBackEnd ) - ) - ( attribute "TS_ALLOWED" "ANYWHERE,ANYWHERE,ANYWHERE,ANYWHERE,ANYWHERE,ANYWHERE" - ( Origin gBackEnd ) - ) - ( attribute "MIN_BVIA_STAGGER" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "MAX_BVIA_STAGGER" "0.0000,0.0000,0.0000,0.0000,0.0000,0.0000" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "PAD_PAD_DIRECT_CONNECT" "ALL_ALLOWED,ALL_ALLOWED,ALL_ALLOWED,ALL_ALLOWED,ALL_ALLOWED,ALL_ALLOWED" - ( Origin gBackEnd ) - ) - ( objectStatus "DEFAULT" ) - ) - ( spacingCSet "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):\DEFAULT\" - ( attribute "THRUPIN_TO_THRUPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "THRUPIN_TO_SMDPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "TESTPIN_TO_THRUPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "THRUPIN_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "TESTVIA_TO_THRUPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "BBV_TO_THRUPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "THRUPIN_TO_BONDPAD_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "LINE_TO_THRUPIN_SPACING" "0.2000,0.2000,0.2000,0.2000,0.2000,0.2000" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "THRUPIN_TO_SHAPE_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SMDPIN_TO_SMDPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SMDPIN_TO_TESTPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SMDPIN_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SMDPIN_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "BBV_TO_SMDPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SMDPIN_TO_BONDPAD_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "LINE_TO_SMDPIN_SPACING" "0.1800,0.2000,0.2000,0.2000,0.2000,0.2000" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SHAPE_TO_SMDPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "TESTPIN_TO_TESTPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "TESTPIN_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "TESTPIN_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "BBV_TO_TESTPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "BONDPAD_TO_TESTPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "LINE_TO_TESTPIN_SPACING" "0.2000,0.2000,0.2000,0.2000,0.2000,0.2000" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SHAPE_TO_TESTPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "THRUVIA_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "TESTVIA_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "BBV_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "BONDPAD_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "LINE_TO_THRUVIA_SPACING" "0.2000,0.2000,0.2000,0.2000,0.2000,0.2000" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SHAPE_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) + ( attribute "CDS_LOCATION" "R12" + ( Origin gPackager ) ) - ( attribute "TESTVIA_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) ) - ( attribute "BBV_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) + ( attribute "DIST" "FLAT" + ( Origin gFrontEnd ) ) - ( attribute "BONDPAD_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) + ( attribute "LOCATION" "R12" + ( Origin gPackager ) ) - ( attribute "LINE_TO_TESTVIA_SPACING" "0.2000,0.2000,0.2000,0.2000,0.2000,0.2000" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) + ( attribute "MAX_TEMP" "RTMAX" + ( Origin gFrontEnd ) ) - ( attribute "SHAPE_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) + ( attribute "NEGTOL" "RTOL%" + ( Origin gFrontEnd ) ) - ( attribute "BBV_TO_BBV_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) + ( attribute "PACK_TYPE" "1/10W" + ( Origin gFrontEnd ) ) - ( attribute "BONDPAD_TO_BBV_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) ) - ( attribute "BBV_TO_LINE_SPACING" "0.2000,0.2000,0.2000,0.2000,0.2000,0.2000" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) + ( attribute "POSTOL" "RTOL%" + ( Origin gFrontEnd ) ) - ( attribute "BBV_TO_SHAPE_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) + ( attribute "POWER" "RMAX" + ( Origin gFrontEnd ) ) - ( attribute "BONDPAD_TO_BONDPAD_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) ) - ( attribute "BONDPAD_TO_LINE_SPACING" "0.2000,0.2000,0.2000,0.2000,0.2000,0.2000" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) + ( attribute "SEC" "1" + ( Origin gPackager ) ) - ( attribute "BONDPAD_TO_SHAPE_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) ) - ( attribute "LINE_TO_LINE_SPACING" "0.2570,0.2000,0.2000,0.2000,0.2000,0.2570" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) + ( attribute "SLOPE" "RSMAX" + ( Origin gFrontEnd ) ) - ( attribute "LINE_TO_SHAPE_SPACING" "0.2000,0.2000,0.2000,0.2000,0.2000,0.2000" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) + ( attribute "TC1" "RTMPL" + ( Origin gFrontEnd ) ) - ( attribute "SHAPE_TO_SHAPE_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) + ( attribute "TC2" "RTMPQ" + ( Origin gFrontEnd ) ) - ( attribute "HOLE_TO_PIN_SPACING" "0.2032,0.2032,0.2032,0.2032,0.2032,0.2032" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) + ( attribute "TOL" "1%" + ( Origin gFrontEnd ) ) - ( attribute "HOLE_TO_VIA_SPACING" "0.2032,0.2032,0.2032,0.2032,0.2032,0.2032" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) + ( attribute "TOL_ON_OFF" "ON" + ( Origin gFrontEnd ) ) - ( attribute "HOLE_TO_LINE_SPACING" "0.2032,0.2032,0.2032,0.2032,0.2032,0.2032" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) + ( attribute "VALUE" "51" + ( Origin gFrontEnd ) ) - ( attribute "HOLE_TO_SHAPE_SPACING" "0.2032,0.2032,0.2032,0.2032,0.2032,0.2032" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) + ( attribute "VER" "2" + ( Origin gFrontEnd ) ) - ( attribute "HOLE_TO_HOLE_SPACING" "0.2032,0.2032,0.2032,0.2032,0.2032,0.2032" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) + ( attribute "VOLTAGE" "RVMAX" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) ) - ( attribute "MVIA_TO_MVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) + ( attribute "XY" "(5925,675)" + ( Origin gFrontEnd ) ) - ( attribute "MVIA_TO_THRUPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) + ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( Origin gPackager ) ) - ( attribute "MVIA_TO_SMDPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) + ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-51,1%" + ( Origin gPackager ) ) - ( attribute "MVIA_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) + ( objectStatus "PAGE1_I11" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) ) - ( attribute "MVIA_TO_BBV_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) ) - ( attribute "MVIA_TO_LINE_SPACING" "0.2000,0.2000,0.2000,0.2000,0.2000,0.2000" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i88" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) ) - ( attribute "MVIA_TO_SHAPE_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) + ( attribute "CDS_LOCATION" "C1" + ( Origin gPackager ) ) - ( attribute "MVIA_TO_TESTPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) ) - ( attribute "MVIA_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) + ( attribute "LOCATION" "C1" + ( Origin gPackager ) ) - ( attribute "BONDPAD_TO_MVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) + ( attribute "PACK_TYPE" "0603" + ( Origin gFrontEnd ) ) - ( attribute "MIN_BVIA_GAP" "0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) ) - ( attribute "BONDPAD_TO_BONDPAD_DIFFP_SPC" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) ) - ( objectStatus "DEFAULT" ) - ) - ( sameNetSpacingCSet "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):\DEFAULT\" - ( attribute "SAME_NET" "FALSE,FALSE,FALSE,FALSE,FALSE,FALSE" - ( Origin gBackEnd ) + ( attribute "SEC" "1" + ( Origin gPackager ) ) - ( attribute "SN_THRUPIN_TO_THRUPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) ) - ( attribute "SN_THRUPIN_TO_SMDPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) + ( attribute "VALUE" "100NF" + ( Origin gFrontEnd ) ) - ( attribute "SN_TESTPIN_TO_THRUPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) ) - ( attribute "SN_THRUPIN_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) ) - ( attribute "SN_TESTVIA_TO_THRUPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) + ( attribute "XY" "(5800,-1450)" + ( Origin gFrontEnd ) ) - ( attribute "SN_BBV_TO_THRUPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Origin gPackager ) ) - ( attribute "SN_THRUPIN_TO_BONDPAD_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( Origin gPackager ) ) - ( attribute "SN_LINE_TO_THRUPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) + ( objectStatus "PAGE1_I88" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) ) - ( attribute "SN_THRUPIN_TO_SHAPE_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) ) - ( attribute "SN_SMDPIN_TO_SMDPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i87" + ( attribute "CDS_LIB" "cninterface" + ( Origin gPackager ) ) - ( attribute "SN_SMDPIN_TO_TESTPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) + ( attribute "PACK_TYPE" "LLP" + ( Origin gFrontEnd ) ) - ( attribute "SN_SMDPIN_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) ) - ( attribute "SN_SMDPIN_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) + ( attribute "ROT" "2" + ( Origin gFrontEnd ) ) - ( attribute "SN_BBV_TO_SMDPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) + ( attribute "TYPE" "DS92001TLD" + ( Origin gFrontEnd ) ) - ( attribute "SN_SMDPIN_TO_BONDPAD_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) ) - ( attribute "SN_LINE_TO_SMDPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) + ( attribute "XY" "(3050,-1225)" + ( Origin gFrontEnd ) ) - ( attribute "SN_SHAPE_TO_SMDPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) + ( attribute "CHIPS_PART_NAME" "DS92001" + ( Origin gPackager ) ) - ( attribute "SN_TESTPIN_TO_TESTPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) + ( attribute "CDS_PART_NAME" "DS92001TLD-GND=GND_SIGNAL;VCC=A" + ( Origin gPackager ) ) - ( attribute "SN_TESTPIN_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) + ( objectStatus "PAGE1_I87" ) + ( pin "en" ) - ( attribute "SN_TESTPIN_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) + ( pin "\in+\" ) - ( attribute "SN_BBV_TO_TESTPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) + ( pin "\in-\" ) - ( attribute "SN_BONDPAD_TO_TESTPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) + ( pin "\out+\" ) - ( attribute "SN_LINE_TO_TESTPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) + ( pin "\out-\" ) - ( attribute "SN_SHAPE_TO_TESTPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i85" + ( attribute "CDS_LIB" "cnlinear" + ( Origin gPackager ) ) - ( attribute "SN_THRUVIA_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) + ( attribute "PACK_TYPE" "SOT223" + ( Origin gFrontEnd ) ) - ( attribute "SN_TESTVIA_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) ) - ( attribute "SN_BBV_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) ) - ( attribute "SN_BONDPAD_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) + ( attribute "TYPE" "TPS78633DCQ" + ( Origin gFrontEnd ) ) - ( attribute "SN_LINE_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) ) - ( attribute "SN_SHAPE_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) + ( attribute "XY" "(5375,-1675)" + ( Origin gFrontEnd ) ) - ( attribute "SN_TESTVIA_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) + ( attribute "CHIPS_PART_NAME" "TPS786XX" + ( Origin gPackager ) ) - ( attribute "SN_BBV_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) + ( attribute "CDS_PART_NAME" "TPS78633DCQ" + ( Origin gPackager ) ) - ( attribute "SN_BONDPAD_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) + ( objectStatus "PAGE1_I85" ) + ( pin "en" ) - ( attribute "SN_LINE_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) + ( pin "fb" ) - ( attribute "SN_SHAPE_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) + ( pin "gnd(0)" ) - ( attribute "SN_BBV_TO_BBV_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) + ( pin "gnd(1)" ) - ( attribute "SN_BONDPAD_TO_BBV_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) + ( pin "\in\" ) - ( attribute "SN_BBV_TO_LINE_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) + ( pin "\out\" ) - ( attribute "SN_BBV_TO_SHAPE_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i83" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) ) - ( attribute "SN_BONDPAD_TO_BONDPAD_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) + ( attribute "DIELECTRIC" "X5R" + ( Origin gFrontEnd ) ) - ( attribute "SN_BONDPAD_TO_LINE_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) ) - ( attribute "SN_BONDPAD_TO_SHAPE_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) ) - ( attribute "SN_LINE_TO_LINE_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) ) - ( attribute "SN_LINE_TO_SHAPE_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) + ( attribute "TYPE" "GNM21" + ( Origin gFrontEnd ) ) - ( attribute "SN_SHAPE_TO_SHAPE_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) + ( attribute "VALUE" "1UF" + ( Origin gFrontEnd ) ) - ( attribute "SN_HOLE_TO_PIN_SPACING" "0.2032,0.2032,0.2032,0.2032,0.2032,0.2032" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) ) - ( attribute "SN_HOLE_TO_VIA_SPACING" "0.2032,0.2032,0.2032,0.2032,0.2032,0.2032" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) ) - ( attribute "SN_HOLE_TO_LINE_SPACING" "0.2032,0.2032,0.2032,0.2032,0.2032,0.2032" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) + ( attribute "XY" "(-200,825)" + ( Origin gFrontEnd ) ) - ( attribute "SN_HOLE_TO_SHAPE_SPACING" "0.2032,0.2032,0.2032,0.2032,0.2032,0.2032" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) + ( attribute "CHIPS_PART_NAME" "CAPN4I" + ( Origin gPackager ) ) - ( attribute "SN_HOLE_TO_HOLE_SPACING" "0.2032,0.2032,0.2032,0.2032,0.2032,0.2032" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) + ( attribute "CDS_PART_NAME" "CAPN4I-1UF,16V,X5R,GNM21" + ( Origin gPackager ) ) - ( attribute "SN_MVIA_TO_MVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) + ( objectStatus "PAGE1_I83" ) + ( pin "a(0)" ) - ( attribute "SN_MVIA_TO_THRUPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) + ( pin "b(0)" ) - ( attribute "SN_MVIA_TO_SMDPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i82" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) ) - ( attribute "SN_MVIA_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) + ( attribute "PACK_TYPE" "1206_TC164" + ( Origin gFrontEnd ) ) - ( attribute "SN_MVIA_TO_BBV_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) ) - ( attribute "SN_MVIA_TO_LINE_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) ) - ( attribute "SN_MVIA_TO_SHAPE_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) ) - ( attribute "SN_MVIA_TO_TESTPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) + ( attribute "TOL" "1%" + ( Origin gFrontEnd ) ) - ( attribute "SN_MVIA_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) + ( attribute "VALUE" "47" + ( Origin gFrontEnd ) ) - ( attribute "SN_BONDPAD_TO_MVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) ) - ( objectStatus "DEFAULT" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):\+5v_out\" - ( attribute "CDS_PHYS_NET_NAME" "+5V_OUT" + ( attribute "XY" "(-475,775)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "RES_ARRAY_X4" ( Origin gPackager ) ) - ( objectStatus "+5V_OUT" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):\-5v_out\" - ( attribute "CDS_PHYS_NET_NAME" "-5V_OUT" + ( attribute "CDS_PART_NAME" "RES_ARRAY_X4_1206_TC164-47,1%" ( Origin gPackager ) ) - ( objectStatus "-5V_OUT" ) + ( objectStatus "PAGE1_I82" ) + ( pin "a(0)" + ) + ( pin "b(0)" + ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):gnd_signal" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_gnd_signal") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(1)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(1)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(2)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(2)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(3)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(3)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(4)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(4)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(5)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(5)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(6)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(6)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(7)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(7)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(8)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(8)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(9)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(9)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(10)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(10)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(11)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(11)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(12)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(12)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(13)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(13)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp2(4)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2(4)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp2(5)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2(5)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp2(3)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2(3)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp2(1)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2(1)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp2(2)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2(2)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp2(0)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2(0)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(14)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(14)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(0)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(0)") ) - ( attribute "PHYS_NET_NAME" "GND_SIGNAL" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i81" + ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "CDS_PHYS_NET_NAME" "GND_SIGNAL" - ( Origin gPackager ) + ( attribute "PACK_TYPE" "1206_TC164" + ( Origin gFrontEnd ) ) - ( objectStatus "GND_SIGNAL" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_gnd_signal" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_gnd_signal" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(1)" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_vm1<1>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(1)" - ( objectFlag fObjectAlias ) - ( objectStatus "vm1<1>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(2)" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_vm1<2>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(2)" - ( objectFlag fObjectAlias ) - ( objectStatus "vm1<2>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(3)" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_vm1<3>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(3)" - ( objectFlag fObjectAlias ) - ( objectStatus "vm1<3>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(4)" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_vm1<4>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(4)" - ( objectFlag fObjectAlias ) - ( objectStatus "vm1<4>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(5)" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_vm1<5>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(5)" - ( objectFlag fObjectAlias ) - ( objectStatus "vm1<5>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(6)" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_vm1<6>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(6)" - ( objectFlag fObjectAlias ) - ( objectStatus "vm1<6>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(7)" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_vm1<7>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(7)" - ( objectFlag fObjectAlias ) - ( objectStatus "vm1<7>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(8)" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_vm1<8>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(8)" - ( objectFlag fObjectAlias ) - ( objectStatus "vm1<8>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(9)" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_vm1<9>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(9)" - ( objectFlag fObjectAlias ) - ( objectStatus "vm1<9>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(10)" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_vm1<10>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(10)" - ( objectFlag fObjectAlias ) - ( objectStatus "vm1<10>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(11)" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_vm1<11>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(11)" - ( objectFlag fObjectAlias ) - ( objectStatus "vm1<11>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(12)" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_vm1<12>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(12)" - ( objectFlag fObjectAlias ) - ( objectStatus "vm1<12>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(13)" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_vm1<13>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(13)" - ( objectFlag fObjectAlias ) - ( objectStatus "vm1<13>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp2(4)" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_vp2<4>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2(4)" - ( objectFlag fObjectAlias ) - ( objectStatus "vp2<4>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp2(5)" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_vp2<5>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2(5)" - ( objectFlag fObjectAlias ) - ( objectStatus "vp2<5>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp2(3)" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_vp2<3>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2(3)" - ( objectFlag fObjectAlias ) - ( objectStatus "vp2<3>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp2(1)" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_vp2<1>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2(1)" - ( objectFlag fObjectAlias ) - ( objectStatus "vp2<1>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp2(2)" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_vp2<2>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2(2)" - ( objectFlag fObjectAlias ) - ( objectStatus "vp2<2>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp2(0)" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_vp2<0>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2(0)" - ( objectFlag fObjectAlias ) - ( objectStatus "vp2<0>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(14)" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_vm1<14>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(14)" - ( objectFlag fObjectAlias ) - ( objectStatus "vm1<14>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(0)" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_vm1<0>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(0)" - ( objectFlag fObjectAlias ) - ( objectStatus "vm1<0>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vin_filtered" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vin_filtered") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vi(0)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vi(0)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vi(3)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vi(3)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vi(2)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vi(2)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vi(1)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vi(1)") ) - ( attribute "PHYS_NET_NAME" "VIN_FILTERED" + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "TOL" "1%" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "47" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(-625,875)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "RES_ARRAY_X4" ( Origin gPackager ) ) - ( attribute "CDS_PHYS_NET_NAME" "VIN_FILTERED" + ( attribute "CDS_PART_NAME" "RES_ARRAY_X4_1206_TC164-47,1%" ( Origin gPackager ) ) - ( objectStatus "VIN_FILTERED" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vin_filtered" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_vin_filtered" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vi(0)" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_vi<0>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vi(0)" - ( objectFlag fObjectAlias ) - ( objectStatus "vi<0>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vi(3)" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_vi<3>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vi(3)" - ( objectFlag fObjectAlias ) - ( objectStatus "vi<3>" ) + ( objectStatus "PAGE1_I81" ) + ( pin "a(0)" + ) + ( pin "b(0)" + ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vi(2)" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_vi<2>" ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i106" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "DIST" "FLAT" + ( Origin gFrontEnd ) + ) + ( attribute "MAX_TEMP" "RTMAX" + ( Origin gFrontEnd ) + ) + ( attribute "NEGTOL" "RTOL%" + ( Origin gFrontEnd ) + ) + ( attribute "PACK_TYPE" "1/10W" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "POSTOL" "RTOL%" + ( Origin gFrontEnd ) + ) + ( attribute "POWER" "RMAX" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SLOPE" "RSMAX" + ( Origin gFrontEnd ) + ) + ( attribute "TC1" "RTMPL" + ( Origin gFrontEnd ) + ) + ( attribute "TC2" "RTMPQ" + ( Origin gFrontEnd ) + ) + ( attribute "TOL" "1%" + ( Origin gFrontEnd ) + ) + ( attribute "TOL_ON_OFF" "ON" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "100" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "RVMAX" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(3475,-1075)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-100,1%" + ( Origin gPackager ) + ) + ( objectStatus "PAGE1_I106" ) + ( pin "a(0)" + ) + ( pin "b(0)" + ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vi(2)" - ( objectFlag fObjectAlias ) - ( objectStatus "vi<2>" ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i80" + ( attribute "CDS_LIB" "cndiscrete" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "4312" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "TYPE" "744231091" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "90OHM" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(-1025,825)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "COMMON_MODE_LINE_FILTER" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "COMMON_MODE_LINE_FILTER_4312-7A" + ( Origin gPackager ) + ) + ( objectStatus "PAGE1_I80" ) + ( pin "\1\(0)" + ) + ( pin "\1dot\(0)" + ) + ( pin "\2\(0)" + ) + ( pin "\2dot\(0)" + ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vi(1)" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_vi<1>" ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i79" + ( attribute "CDS_LIB" "cninterface" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "VQFN" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "2" + ( Origin gFrontEnd ) + ) + ( attribute "TYPE" "SN65MLVD040RGZ" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(475,800)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "SN65MLVD040" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "SN65MLVD040RGZ-GND=GND_SIGNAL;A" + ( Origin gPackager ) + ) + ( objectStatus "PAGE1_I79" ) + ( pin "a(0)" + ) + ( pin "\b*\(0)" + ) + ( pin "d(0)" + ) + ( pin "de(0)" + ) + ( pin "fsen(0)" + ) + ( pin "pdn" + ) + ( pin "r(0)" + ) + ( pin "\re*\(0)" + ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vi(1)" - ( objectFlag fObjectAlias ) - ( objectStatus "vi<1>" ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78" + ( attribute "CHIPS_PART_NAME" "CON8P" + ( Origin gPackager ) + ) + ( pin "sig0_n" + ) + ( pin "sig0_p" + ) + ( pin "sig1_n" + ) + ( pin "sig1_p" + ) + ( pin "sig2_n" + ) + ( pin "sig2_p" + ) + ( pin "sig3_n" + ) + ( pin "sig3_p" + ) + ( pin "sig4_n" + ) + ( pin "sig4_p" + ) + ( pin "vclamp" + ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):m5v7" - ( attribute "CDS_PHYS_NET_NAME" "M5V7" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i40" + ( attribute "CHIPS_PART_NAME" "74LVC1G07" ( Origin gPackager ) ) - ( objectStatus "M5V7" ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):p5v7" - ( attribute "CDS_PHYS_NET_NAME" "P5V7" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i3" + ( attribute "CHIPS_PART_NAME" "CON19P" ( Origin gPackager ) ) - ( objectStatus "P5V7" ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vin" - ( attribute "PHYS_NET_NAME" "VIN_1" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):page1_i67" + ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PHYS_NET_NAME" "VIN" + ( attribute "PACK_TYPE" "0603" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "100NF" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(5975,0)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "VIN" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):unnamed_1_ltm8047_i70_adj" - ( attribute "CDS_PHYS_NET_NAME" "UNNAMED_1_LTM8047_I70_ADJ" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" ( Origin gPackager ) ) - ( objectStatus "UNNAMED_1_LTM8047_I70_ADJ" ) + ( pin "a(0)" + ( attribute "PN" "#" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "page1_i67.a<0>" ) + ) + ( pin "b(0)" + ( attribute "PN" "#" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "page1_i67.b<0>" ) + ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):unnamed_1_ltm8047_i82_adj" - ( attribute "CDS_PHYS_NET_NAME" "UNNAMED_1_LTM8047_I82_ADJ" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):page1_i70" + ( attribute "CDS_LIB" "cndiscrete" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "UNNAMED_1_LTM8047_I82_ADJ" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(0)" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(0)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(3)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(2)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(4)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(5)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(7)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(8)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(9)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(10)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(11)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(12)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(13)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(14)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(1)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(6)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(6)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(14)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(13)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(12)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(11)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(10)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(9)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(8)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(7)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(5)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(4)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(2)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(3)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(1)") ) - ( attribute "PHYS_NET_NAME" "VM2<0>" + ( attribute "LOCATION" "D3" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PHYS_NET_NAME" "VM2<0>" + ( attribute "PACK_TYPE" "SOT23" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "TYPE" "USBLC6-2SC6" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(5025,175)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "USBLC6-2" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PNN" "VM2<0>" + ( attribute "CDS_PART_NAME" "USBLC6-2SC6" ( Origin gPackager ) ) - ( objectStatus "VM2<0>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(0)" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_vm2<0>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(3)" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_vm2<3>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(2)" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_vm2<2>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(4)" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_vm2<4>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(5)" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_vm2<5>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(7)" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_vm2<7>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(8)" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_vm2<8>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(9)" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_vm2<9>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(10)" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_vm2<10>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(11)" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_vm2<11>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(12)" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_vm2<12>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(13)" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_vm2<13>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(14)" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_vm2<14>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(6)" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_vm2<6>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(14)" - ( objectFlag fObjectAlias ) - ( objectStatus "vm2<14>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(13)" - ( objectFlag fObjectAlias ) - ( objectStatus "vm2<13>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(12)" - ( objectFlag fObjectAlias ) - ( objectStatus "vm2<12>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(11)" - ( objectFlag fObjectAlias ) - ( objectStatus "vm2<11>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(10)" - ( objectFlag fObjectAlias ) - ( objectStatus "vm2<10>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(9)" - ( objectFlag fObjectAlias ) - ( objectStatus "vm2<9>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(8)" - ( objectFlag fObjectAlias ) - ( objectStatus "vm2<8>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(7)" - ( objectFlag fObjectAlias ) - ( objectStatus "vm2<7>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(6)" - ( objectFlag fObjectAlias ) - ( objectStatus "vm2<6>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(5)" - ( objectFlag fObjectAlias ) - ( objectStatus "vm2<5>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(4)" - ( objectFlag fObjectAlias ) - ( objectStatus "vm2<4>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(3)" - ( objectFlag fObjectAlias ) - ( objectStatus "vm2<3>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(2)" - ( objectFlag fObjectAlias ) - ( objectStatus "vm2<2>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(1)" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_vm2<1>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(1)" - ( objectFlag fObjectAlias ) - ( objectStatus "vm2<1>" ) + ( pin "gnd" + ( objectStatus "D3.gnd" ) + ) + ( pin "\i/o1\(0)" + ( objectStatus "D3.i/o1<0>" ) + ) + ( pin "\i/o1\(1)" + ( objectStatus "D3.i/o1<1>" ) + ) + ( pin "\i/o2\(0)" + ( objectStatus "D3.i/o2<0>" ) + ) + ( pin "\i/o2\(1)" + ( objectStatus "D3.i/o2<1>" ) + ) + ( pin "vbus" + ( objectStatus "D3.vbus" ) + ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(0)" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1(0)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1(1)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(1)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1(5)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(5)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1(4)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(4)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1(3)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(3)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1(2)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(2)") ) - ( attribute "PHYS_NET_NAME" "VP1<0>" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):page1_i58" + ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "0603" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "100NF" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(2575,-1875)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( Origin gPackager ) + ) + ( pin "a(0)" + ( attribute "PN" "#" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "page1_i58.a<0>" ) + ) + ( pin "b(0)" + ( attribute "PN" "#" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "page1_i58.b<0>" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):page1_i56" + ( attribute "CDS_LIB" "cndiscrete" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PHYS_NET_NAME" "VP1<0>" + ( attribute "PACK_TYPE" "SC88" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "TYPE" "PRTR5V0U4Y" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(1050,-2450)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "PRTR5V0U4Y" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PNN" "VP1<0>" + ( attribute "CDS_PART_NAME" "PRTR5V0U4Y" ( Origin gPackager ) ) - ( objectStatus "VP1<0>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1(0)" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_vp1<0>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1(1)" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_vp1<1>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(1)" - ( objectFlag fObjectAlias ) - ( objectStatus "vp1<1>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1(5)" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_vp1<5>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(5)" - ( objectFlag fObjectAlias ) - ( objectStatus "vp1<5>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1(4)" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_vp1<4>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(4)" - ( objectFlag fObjectAlias ) - ( objectStatus "vp1<4>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1(3)" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_vp1<3>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(3)" - ( objectFlag fObjectAlias ) - ( objectStatus "vp1<3>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1(2)" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_vp1<2>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(2)" - ( objectFlag fObjectAlias ) - ( objectStatus "vp1<2>" ) + ( pin "esd1" + ( objectStatus "page1_i56.esd1" ) + ) + ( pin "esd2" + ( objectStatus "page1_i56.esd2" ) + ) + ( pin "esd3" + ( objectStatus "page1_i56.esd3" ) + ) + ( pin "esd4" + ( objectStatus "page1_i56.esd4" ) + ) + ( pin "gnd" + ( objectStatus "page1_i56.gnd" ) + ) + ( pin "vcc" + ( objectStatus "page1_i56.vcc" ) + ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):unnamed_1_capcersmdcl2_i81_a" - ( attribute "CDS_PHYS_NET_NAME" "UNNAMED_1_CAPCERSMDCL2_I81_A" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):page1_i55" + ( attribute "CDS_LIB" "cndiscrete" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "UNNAMED_1_CAPCERSMDCL2_I81_A" ) + ( attribute "PACK_TYPE" "SC88" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "TYPE" "PRTR5V0U4Y" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(1025,-250)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "PRTR5V0U4Y" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "PRTR5V0U4Y" + ( Origin gPackager ) + ) + ( pin "esd1" + ( objectStatus "page1_i55.esd1" ) + ) + ( pin "esd2" + ( objectStatus "page1_i55.esd2" ) + ) + ( pin "esd3" + ( objectStatus "page1_i55.esd3" ) + ) + ( pin "esd4" + ( objectStatus "page1_i55.esd4" ) + ) + ( pin "gnd" + ( objectStatus "page1_i55.gnd" ) + ) + ( pin "vcc" + ( objectStatus "page1_i55.vcc" ) + ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i40" - ( attribute "CDS_LIB" "bris_cds_special" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i65" + ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,125,125,-125" + ( attribute "LOCATION" "TP11" ( Origin gPackager ) ) - ( attribute "MANUF" "LINEAR TECHNOLOGY" + ( attribute "PACK_TYPE" "HOLE" ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "SOT_223" + ( attribute "PART_NAME" "TP" ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" ( Origin gFrontEnd ) ) - ( attribute "ROT" "6" + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "0.8MM" ( Origin gFrontEnd ) ) ( attribute "VER" "1" ( Origin gFrontEnd ) ) - ( attribute "XY" "(1700,825)" + ( attribute "XY" "(4500,475)" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "LT1175" + ( attribute "CHIPS_PART_NAME" "TP" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "LT1175_SOT_223" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "REG1" + ( objectStatus "PAGE1_I65" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i66" + ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "LOCATION" "REG1" + ( attribute "LOCATION" "TP12" ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" + ( attribute "PACK_TYPE" "HOLE" + ( Origin gFrontEnd ) + ) + ( attribute "PART_NAME" "TP" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "0.8MM" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(4500,375)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "TP" ( Origin gPackager ) ) - ( attribute "SEC" "1" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( objectStatus "REG1" ) - ( pin "gnd" - ( attribute "PN" "3" + ( objectStatus "PAGE1_I66" ) + ( pin "a(0)" + ( attribute "PN" "1" ( Origin gPackager ) ) - ( objectStatus "REG1.3" ) ) - ( pin "v_in0" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "REG1.2" ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i67" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) ) - ( pin "v_in1" - ( attribute "PN" "4" + ( attribute "LOCATION" "TP4" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "HOLE" + ( Origin gFrontEnd ) + ) + ( attribute "PART_NAME" "TP" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "0.8MM" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(3050,-425)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "TP" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( Origin gPackager ) + ) + ( objectStatus "PAGE1_I67" ) + ( pin "a(0)" + ( attribute "PN" "1" ( Origin gPackager ) ) - ( objectStatus "REG1.4" ) ) - ( pin "v_out" + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i68" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "TP9" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "HOLE" + ( Origin gFrontEnd ) + ) + ( attribute "PART_NAME" "TP" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "0.8MM" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(4150,475)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "TP" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( Origin gPackager ) + ) + ( objectStatus "PAGE1_I68" ) + ( pin "a(0)" ( attribute "PN" "1" ( Origin gPackager ) ) - ( objectStatus "REG1.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i42" - ( attribute "CDS_LIB" "cndiscrete" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i69" + ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "MODEL" "WURTH" + ( attribute "LOCATION" "TP10" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "HOLE" ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "SMD" + ( attribute "PART_NAME" "TP" ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" @@ -36104,43 +42560,86 @@ ( attribute "ROT" "0" ( Origin gFrontEnd ) ) - ( attribute "VALUE" "7427921" + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "0.8MM" ( Origin gFrontEnd ) ) ( attribute "VER" "1" ( Origin gFrontEnd ) ) - ( attribute "XY" "(625,2900)" + ( attribute "XY" "(4150,375)" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "FERRITE" + ( attribute "CHIPS_PART_NAME" "TP" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "FERRITE_SMD-7427921,WURTH" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "LOCATION" "L2" + ( objectStatus "PAGE1_I69" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i70" + ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "L2" + ( attribute "LOCATION" "TP3" ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" + ( attribute "PACK_TYPE" "HOLE" + ( Origin gFrontEnd ) + ) + ( attribute "PART_NAME" "TP" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "0.8MM" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(2900,-425)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "TP" ( Origin gPackager ) ) - ( attribute "SEC" "1" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( objectStatus "L2" ) + ( objectStatus "PAGE1_I70" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i44" - ( attribute "CDS_LIB" "cndiscrete" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i71" + ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "MODEL" "WURTH" + ( attribute "LOCATION" "TP7" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "HOLE" ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "SMD" + ( attribute "PART_NAME" "TP" ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" @@ -36149,236 +42648,323 @@ ( attribute "ROT" "0" ( Origin gFrontEnd ) ) - ( attribute "VALUE" "7427921" + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "0.8MM" ( Origin gFrontEnd ) ) ( attribute "VER" "1" ( Origin gFrontEnd ) ) - ( attribute "XY" "(625,875)" + ( attribute "XY" "(3550,-475)" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "FERRITE" + ( attribute "CHIPS_PART_NAME" "TP" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "FERRITE_SMD-7427921,WURTH" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "LOCATION" "L3" + ( objectStatus "PAGE1_I71" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i72" + ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "L3" + ( attribute "LOCATION" "TP8" ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" + ( attribute "PACK_TYPE" "HOLE" + ( Origin gFrontEnd ) + ) + ( attribute "PART_NAME" "TP" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "2" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "0.8MM" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(3700,-550)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "TP" ( Origin gPackager ) ) - ( attribute "SEC" "1" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( objectStatus "L3" ) + ( objectStatus "PAGE1_I72" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i50" - ( attribute "CDS_LIB" "bris_cds_analogue" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i73" + ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-150,200,150,-150" + ( attribute "LOCATION" "TP5" ( Origin gPackager ) ) - ( attribute "KL_COMMENTS" "-" + ( attribute "PACK_TYPE" "HOLE" ( Origin gFrontEnd ) ) - ( attribute "MANUF" "LINEAR" + ( attribute "PART_NAME" "TP" ( Origin gFrontEnd ) ) - ( attribute "OL_COMMENTS" "-" + ( attribute "PHYS_PAGE" "1" ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "SOT223" + ( attribute "ROT" "2" ( Origin gFrontEnd ) ) - ( attribute "PART_NAME" "lt1129CST-5" + ( attribute "SIZE" "1" ( Origin gFrontEnd ) ) - ( attribute "PHYS_DES_PREFIX" "VR" + ( attribute "VALUE" "0.8MM" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(3200,225)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "TP" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( Origin gPackager ) + ) + ( objectStatus "PAGE1_I73" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i74" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "TP6" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "HOLE" + ( Origin gFrontEnd ) + ) + ( attribute "PART_NAME" "TP" ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" ( Origin gFrontEnd ) ) - ( attribute "PL_COMMENTS" "-" + ( attribute "ROT" "2" ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "0.8MM" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(3200,125)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "TP" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( Origin gPackager ) + ) + ( objectStatus "PAGE1_I74" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i75" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "TP1" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "HOLE" + ( Origin gFrontEnd ) + ) + ( attribute "PART_NAME" "TP" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "2" ( Origin gFrontEnd ) ) ( attribute "SIZE" "1" ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VALUE" "0.8MM" ( Origin gFrontEnd ) ) - ( attribute "XY" "(1700,2850)" + ( attribute "VER" "1" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "LT1129CST-5" - ( Origin gPackager ) + ( attribute "XY" "(2850,25)" + ( Origin gFrontEnd ) ) - ( attribute "CDS_PART_NAME" "LT1129CST-5_SOT223-LINEAR" + ( attribute "CHIPS_PART_NAME" "TP" ( Origin gPackager ) ) - ( attribute "LOCATION" "VR1" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "VR1" - ( Origin gPackager ) + ( objectStatus "PAGE1_I75" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) ) - ( attribute "CDS_SEC" "1" + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i76" + ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "SEC" "1" + ( attribute "LOCATION" "TP2" ( Origin gPackager ) ) - ( objectStatus "VR1" ) - ( pin "gnd" - ( objectStatus "VR1.2" ) - ) - ( pin "tab" - ( objectStatus "VR1.4" ) - ) - ( pin "vin" - ( objectStatus "VR1.1" ) - ) - ( pin "vout" - ( objectStatus "VR1.3" ) - ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i52" - ( attribute "CDS_LIB" "cnpassive" - ( Origin gPackager ) + ( attribute "PACK_TYPE" "HOLE" + ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "0805" + ( attribute "PART_NAME" "TP" ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "ROT" "2" ( Origin gFrontEnd ) ) ( attribute "SIZE" "1" ( Origin gFrontEnd ) ) - ( attribute "VALUE" "4.7UF" + ( attribute "VALUE" "0.8MM" ( Origin gFrontEnd ) ) ( attribute "VER" "1" ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "10V" - ( Units "uVoltage" "V" 1.000000) - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(1100,2750)" + ( attribute "XY" "(2850,125)" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0805-4.7UF,10V" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "C9" - ( Origin gPackager ) - ) - ( attribute "CDS_LOCATION" "C9" - ( Origin gPackager ) - ) - ( attribute "CDS_SEC" "1" + ( attribute "CHIPS_PART_NAME" "TP" ( Origin gPackager ) ) - ( attribute "SEC" "1" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( objectStatus "C9" ) + ( objectStatus "PAGE1_I76" ) ( pin "a(0)" ( attribute "PN" "1" ( Origin gPackager ) ) - ( objectStatus "C9.1" ) - ) - ( pin "b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "C9.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i54" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):page1_i9" ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "0805" + ( attribute "PACK_TYPE" "0603" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "ROT" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "4.7UF" + ( attribute "VALUE" "100NF" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "10V" + ( attribute "VOLTAGE" "16V" ( Units "uVoltage" "V" 1.000000) + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(1100,725)" + ( attribute "XY" "(2175,-1875)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0805-4.7UF,10V" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "C10" - ( Origin gPackager ) - ) - ( attribute "CDS_LOCATION" "C10" - ( Origin gPackager ) - ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) - ) - ( attribute "SEC" "1" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" ( Origin gPackager ) ) - ( objectStatus "C10" ) ( pin "a(0)" - ( attribute "PN" "1" + ( attribute "PN" "#" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C10.1" ) + ( objectStatus "page1_i9.a<0>" ) ) ( pin "b(0)" - ( attribute "PN" "2" + ( attribute "PN" "#" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C10.2" ) + ( objectStatus "page1_i9.b<0>" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i56" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i28" + ( attribute "CHIPS_PART_NAME" "74LVC1G07" + ( Origin gPackager ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i90" ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) + ( attribute "LOCATION" "C12" + ( Origin gPackager ) + ) ( attribute "PACK_TYPE" "0805" ( Origin gFrontEnd ) ) @@ -36401,7 +42987,7 @@ ( Units "uVoltage" "V" 1.000000) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2475,2750)" + ( attribute "XY" "(5950,-1900)" ( Origin gFrontEnd ) ) ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" @@ -36410,36 +42996,25 @@ ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0805-4.7UF,10V" ( Origin gPackager ) ) - ( attribute "LOCATION" "C12" - ( Origin gPackager ) - ) - ( attribute "CDS_LOCATION" "C12" - ( Origin gPackager ) - ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) - ) - ( attribute "SEC" "1" - ( Origin gPackager ) - ) - ( objectStatus "C12" ) + ( objectStatus "PAGE1_I90" ) ( pin "a(0)" ( attribute "PN" "1" ( Origin gPackager ) ) - ( objectStatus "C12.1" ) ) ( pin "b(0)" ( attribute "PN" "2" ( Origin gPackager ) ) - ( objectStatus "C12.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i58" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i91" ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) + ( attribute "LOCATION" "C12" + ( Origin gPackager ) + ) ( attribute "PACK_TYPE" "0805" ( Origin gFrontEnd ) ) @@ -36462,7 +43037,7 @@ ( Units "uVoltage" "V" 1.000000) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2450,675)" + ( attribute "XY" "(4675,-1425)" ( Origin gFrontEnd ) ) ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" @@ -36471,40 +43046,23 @@ ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0805-4.7UF,10V" ( Origin gPackager ) ) - ( attribute "LOCATION" "C11" - ( Origin gPackager ) - ) - ( attribute "CDS_LOCATION" "C11" - ( Origin gPackager ) - ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) - ) - ( attribute "SEC" "1" - ( Origin gPackager ) - ) - ( objectStatus "C11" ) + ( objectStatus "PAGE1_I91" ) ( pin "a(0)" ( attribute "PN" "1" ( Origin gPackager ) ) - ( objectStatus "C11.1" ) ) ( pin "b(0)" ( attribute "PN" "2" ( Origin gPackager ) ) - ( objectStatus "C11.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i66" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i109" ( attribute "CDS_LIB" "cndiscrete" ( Origin gPackager ) ) - ( attribute "MODEL" "MURATA" - ( Origin gFrontEnd ) - ) - ( attribute "PACK_TYPE" "SMD" + ( attribute "PACK_TYPE" "4312" ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" @@ -36513,45 +43071,39 @@ ( attribute "ROT" "0" ( Origin gFrontEnd ) ) - ( attribute "VALUE" "BLM41P800S" + ( attribute "TYPE" "744231091" ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VALUE" "90OHM" ( Origin gFrontEnd ) ) - ( attribute "XY" "(-3100,2375)" + ( attribute "VER" "1" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "FERRITE" - ( Origin gPackager ) + ( attribute "XY" "(-1025,-2375)" + ( Origin gFrontEnd ) ) - ( attribute "CDS_PART_NAME" "FERRITE_SMD-BLM41P800S,MURATA" + ( attribute "CHIPS_PART_NAME" "COMMON_MODE_LINE_FILTER" ( Origin gPackager ) ) - ( attribute "LOCATION" "L1" + ( attribute "CDS_PART_NAME" "COMMON_MODE_LINE_FILTER_4312-7A" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "L1" - ( Origin gPackager ) + ( objectStatus "PAGE1_I109" ) + ( pin "\1\(0)" ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) + ( pin "\1dot\(0)" ) - ( attribute "SEC" "1" - ( Origin gPackager ) + ( pin "\2\(0)" ) - ( objectStatus "L1" ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i1" - ( attribute "CHIPS_PART_NAME" "LT3471" - ( Origin gPackager ) + ( pin "\2dot\(0)" ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i70" - ( attribute "CDS_LIB" "cnlinear" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i110" + ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "BGA" + ( attribute "PACK_TYPE" "1206_TC164" ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" @@ -36560,584 +43112,500 @@ ( attribute "ROT" "0" ( Origin gFrontEnd ) ) - ( attribute "TYPE" "LTM8047EY#PBF" + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "TOL" "1%" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "47" ( Origin gFrontEnd ) ) ( attribute "VER" "1" ( Origin gFrontEnd ) ) - ( attribute "XY" "(-725,1550)" + ( attribute "XY" "(-625,-2325)" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "LTM8047" + ( attribute "CHIPS_PART_NAME" "RES_ARRAY_X4" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "LTM8047EY#PBF" + ( attribute "CDS_PART_NAME" "RES_ARRAY_X4_1206_TC164-47,1%" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "RG1" - ( Origin gPackager ) + ( objectStatus "PAGE1_I110" ) + ( pin "a(0)" ) - ( attribute "LOCATION" "RG1" + ( pin "b(0)" + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i111" + ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" + ( attribute "PACK_TYPE" "1206_TC164" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "TOL" "1%" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "47" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(-475,-2425)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "RES_ARRAY_X4" ( Origin gPackager ) ) - ( attribute "SEC" "1" + ( attribute "CDS_PART_NAME" "RES_ARRAY_X4_1206_TC164-47,1%" ( Origin gPackager ) ) - ( objectStatus "RG1" ) - ( pin "adj" - ( attribute "PN" "G7" - ( Origin gPackager ) - ) - ( objectStatus "RG1.G7" ) + ( objectStatus "PAGE1_I111" ) + ( pin "a(0)" ) - ( pin "bias" - ( attribute "PN" "H5" - ( Origin gPackager ) - ) - ( objectStatus "RG1.H5" ) + ( pin "b(0)" ) - ( pin "gnd(0)" - ( attribute "PN" "E1" - ( Origin gPackager ) - ) - ( objectStatus "RG1.E1" ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i112" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) ) - ( pin "gnd(1)" - ( attribute "PN" "E2" - ( Origin gPackager ) - ) - ( objectStatus "RG1.E2" ) + ( attribute "DIELECTRIC" "X5R" + ( Origin gFrontEnd ) ) - ( pin "gnd(2)" - ( attribute "PN" "E3" - ( Origin gPackager ) - ) - ( objectStatus "RG1.E3" ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) ) - ( pin "gnd(3)" - ( attribute "PN" "E4" - ( Origin gPackager ) - ) - ( objectStatus "RG1.E4" ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) ) - ( pin "gnd(4)" - ( attribute "PN" "E5" - ( Origin gPackager ) - ) - ( objectStatus "RG1.E5" ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) ) - ( pin "gnd(5)" - ( attribute "PN" "E6" - ( Origin gPackager ) - ) - ( objectStatus "RG1.E6" ) + ( attribute "TYPE" "GNM21" + ( Origin gFrontEnd ) ) - ( pin "gnd(6)" - ( attribute "PN" "E7" - ( Origin gPackager ) - ) - ( objectStatus "RG1.E7" ) + ( attribute "VALUE" "1UF" + ( Origin gFrontEnd ) ) - ( pin "gnd(7)" - ( attribute "PN" "F4" - ( Origin gPackager ) - ) - ( objectStatus "RG1.F4" ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) ) - ( pin "gnd(8)" - ( attribute "PN" "F5" - ( Origin gPackager ) - ) - ( objectStatus "RG1.F5" ) + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) ) - ( pin "gnd(9)" - ( attribute "PN" "F6" - ( Origin gPackager ) - ) - ( objectStatus "RG1.F6" ) + ( attribute "XY" "(-200,-2375)" + ( Origin gFrontEnd ) ) - ( pin "gnd(10)" - ( attribute "PN" "F7" - ( Origin gPackager ) - ) - ( objectStatus "RG1.F7" ) + ( attribute "CHIPS_PART_NAME" "CAPN4I" + ( Origin gPackager ) ) - ( pin "gnd(11)" - ( attribute "PN" "G4" - ( Origin gPackager ) - ) - ( objectStatus "RG1.G4" ) + ( attribute "CDS_PART_NAME" "CAPN4I-1UF,16V,X5R,GNM21" + ( Origin gPackager ) ) - ( pin "gnd(12)" - ( attribute "PN" "G5" - ( Origin gPackager ) - ) - ( objectStatus "RG1.G5" ) + ( objectStatus "PAGE1_I112" ) + ( pin "a(0)" ) - ( pin "gnd(13)" - ( attribute "PN" "G6" - ( Origin gPackager ) - ) - ( objectStatus "RG1.G6" ) + ( pin "b(0)" ) - ( pin "gnd(14)" - ( attribute "PN" "H4" - ( Origin gPackager ) - ) - ( objectStatus "RG1.H4" ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i116" + ( attribute "CDS_LIB" "cninterface" + ( Origin gPackager ) ) - ( pin "gnd(15)" - ( attribute "PN" "H7" - ( Origin gPackager ) - ) - ( objectStatus "RG1.H7" ) + ( attribute "PACK_TYPE" "VQFN" + ( Origin gFrontEnd ) ) - ( pin "run" - ( attribute "PN" "F3" - ( Origin gPackager ) - ) - ( objectStatus "RG1.F3" ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) ) - ( pin "ss" - ( attribute "PN" "H6" - ( Origin gPackager ) - ) - ( objectStatus "RG1.H6" ) + ( attribute "ROT" "2" + ( Origin gFrontEnd ) ) - ( pin "vin(0)" - ( attribute "PN" "H1" - ( Origin gPackager ) - ) - ( objectStatus "RG1.H1" ) + ( attribute "TYPE" "SN65MLVD040RGZ" + ( Origin gFrontEnd ) ) - ( pin "vin(1)" - ( attribute "PN" "H2" - ( Origin gPackager ) - ) - ( objectStatus "RG1.H2" ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) ) - ( pin "vin(2)" - ( attribute "PN" "G1" - ( Origin gPackager ) - ) - ( objectStatus "RG1.G1" ) + ( attribute "XY" "(475,-2400)" + ( Origin gFrontEnd ) ) - ( pin "vin(3)" - ( attribute "PN" "G2" - ( Origin gPackager ) - ) - ( objectStatus "RG1.G2" ) + ( attribute "CHIPS_PART_NAME" "SN65MLVD040" + ( Origin gPackager ) ) - ( pin "vout(0)" - ( attribute "PN" "A6" - ( Origin gPackager ) - ) - ( objectStatus "RG1.A6" ) + ( attribute "CDS_PART_NAME" "SN65MLVD040RGZ-GND=GND_SIGNAL;A" + ( Origin gPackager ) ) - ( pin "vout(1)" - ( attribute "PN" "A7" - ( Origin gPackager ) - ) - ( objectStatus "RG1.A7" ) + ( objectStatus "PAGE1_I116" ) + ( pin "a(0)" ) - ( pin "vout(2)" - ( attribute "PN" "B6" - ( Origin gPackager ) - ) - ( objectStatus "RG1.B6" ) + ( pin "\b*\(0)" ) - ( pin "vout(3)" - ( attribute "PN" "B7" - ( Origin gPackager ) - ) - ( objectStatus "RG1.B7" ) + ( pin "d(0)" ) - ( pin "vout(4)" - ( attribute "PN" "C6" - ( Origin gPackager ) - ) - ( objectStatus "RG1.C6" ) + ( pin "de(0)" ) - ( pin "vout(5)" - ( attribute "PN" "C7" - ( Origin gPackager ) - ) - ( objectStatus "RG1.C7" ) + ( pin "fsen(0)" ) - ( pin "\vout-\(0)" - ( attribute "PN" "A1" - ( Origin gPackager ) - ) - ( objectStatus "RG1.A1" ) + ( pin "pdn" ) - ( pin "\vout-\(1)" - ( attribute "PN" "A2" - ( Origin gPackager ) - ) - ( objectStatus "RG1.A2" ) + ( pin "r(0)" ) - ( pin "\vout-\(2)" - ( attribute "PN" "A3" - ( Origin gPackager ) - ) - ( objectStatus "RG1.A3" ) + ( pin "\re*\(0)" ) - ( pin "\vout-\(3)" - ( attribute "PN" "A4" - ( Origin gPackager ) - ) - ( objectStatus "RG1.A4" ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i121" + ( attribute "CDS_LIB" "cndiscrete" + ( Origin gPackager ) ) - ( pin "\vout-\(4)" - ( attribute "PN" "A5" - ( Origin gPackager ) - ) - ( objectStatus "RG1.A5" ) + ( attribute "PACK_TYPE" "4312" + ( Origin gFrontEnd ) ) - ( pin "\vout-\(5)" - ( attribute "PN" "B1" - ( Origin gPackager ) - ) - ( objectStatus "RG1.B1" ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) ) - ( pin "\vout-\(6)" - ( attribute "PN" "B2" - ( Origin gPackager ) - ) - ( objectStatus "RG1.B2" ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) ) - ( pin "\vout-\(7)" - ( attribute "PN" "B3" - ( Origin gPackager ) - ) - ( objectStatus "RG1.B3" ) + ( attribute "TYPE" "744231091" + ( Origin gFrontEnd ) ) - ( pin "\vout-\(8)" - ( attribute "PN" "B4" - ( Origin gPackager ) - ) - ( objectStatus "RG1.B4" ) + ( attribute "VALUE" "90OHM" + ( Origin gFrontEnd ) ) - ( pin "\vout-\(9)" - ( attribute "PN" "B5" - ( Origin gPackager ) - ) - ( objectStatus "RG1.B5" ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) ) - ( pin "\vout-\(10)" - ( attribute "PN" "C1" - ( Origin gPackager ) - ) - ( objectStatus "RG1.C1" ) + ( attribute "XY" "(-1025,-1575)" + ( Origin gFrontEnd ) ) - ( pin "\vout-\(11)" - ( attribute "PN" "C2" - ( Origin gPackager ) - ) - ( objectStatus "RG1.C2" ) + ( attribute "CHIPS_PART_NAME" "COMMON_MODE_LINE_FILTER" + ( Origin gPackager ) ) - ( pin "\vout-\(12)" - ( attribute "PN" "C3" - ( Origin gPackager ) - ) - ( objectStatus "RG1.C3" ) + ( attribute "CDS_PART_NAME" "COMMON_MODE_LINE_FILTER_4312-7A" + ( Origin gPackager ) ) - ( pin "\vout-\(13)" - ( attribute "PN" "C4" - ( Origin gPackager ) - ) - ( objectStatus "RG1.C4" ) + ( objectStatus "PAGE1_I121" ) + ( pin "\1\(0)" ) - ( pin "\vout-\(14)" - ( attribute "PN" "C5" - ( Origin gPackager ) - ) - ( objectStatus "RG1.C5" ) + ( pin "\1dot\(0)" + ) + ( pin "\2\(0)" + ) + ( pin "\2dot\(0)" ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i72" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i122" ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "1210" + ( attribute "PACK_TYPE" "1206_TC164" ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "ROT" "0" ( Origin gFrontEnd ) ) ( attribute "SIZE" "1" ( Origin gFrontEnd ) ) - ( attribute "VALUE" "4.7UF" + ( attribute "TOL" "1%" ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VALUE" "47" ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "50V" - ( Units "uVoltage" "V" 1.000000) + ( attribute "VER" "1" ( Origin gFrontEnd ) ) - ( attribute "XY" "(-1825,1525)" + ( attribute "XY" "(-625,-1525)" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210-4.7UF,50V" - ( Origin gPackager ) - ) - ( attribute "CDS_LOCATION" "C5" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "C5" - ( Origin gPackager ) - ) - ( attribute "CDS_SEC" "1" + ( attribute "CHIPS_PART_NAME" "RES_ARRAY_X4" ( Origin gPackager ) ) - ( attribute "SEC" "1" + ( attribute "CDS_PART_NAME" "RES_ARRAY_X4_1206_TC164-47,1%" ( Origin gPackager ) ) - ( objectStatus "C5" ) + ( objectStatus "PAGE1_I122" ) ( pin "a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) - ) - ( objectStatus "C5.1" ) ) ( pin "b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "C5.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i74" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i123" ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "DIST" "FLAT" + ( attribute "PACK_TYPE" "1206_TC164" ( Origin gFrontEnd ) ) - ( attribute "MAX_TEMP" "RTMAX" + ( attribute "PHYS_PAGE" "1" ( Origin gFrontEnd ) ) - ( attribute "NEGTOL" "RTOL%" + ( attribute "ROT" "0" ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "1/10W" + ( attribute "SIZE" "1" ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" + ( attribute "TOL" "1%" ( Origin gFrontEnd ) ) - ( attribute "POSTOL" "RTOL%" + ( attribute "VALUE" "47" ( Origin gFrontEnd ) ) - ( attribute "POWER" "RMAX" + ( attribute "VER" "1" ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "XY" "(-475,-1625)" ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" - ( Origin gFrontEnd ) + ( attribute "CHIPS_PART_NAME" "RES_ARRAY_X4" + ( Origin gPackager ) ) - ( attribute "SLOPE" "RSMAX" + ( attribute "CDS_PART_NAME" "RES_ARRAY_X4_1206_TC164-47,1%" + ( Origin gPackager ) + ) + ( objectStatus "PAGE1_I123" ) + ( pin "a(0)" + ) + ( pin "b(0)" + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i124" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "DIELECTRIC" "X5R" ( Origin gFrontEnd ) ) - ( attribute "TC1" "RTMPL" + ( attribute "PHYS_PAGE" "1" ( Origin gFrontEnd ) ) - ( attribute "TC2" "RTMPQ" + ( attribute "ROT" "0" ( Origin gFrontEnd ) ) - ( attribute "TOL" "1%" + ( attribute "SIZE" "1" ( Origin gFrontEnd ) ) - ( attribute "TOL_ON_OFF" "ON" + ( attribute "TYPE" "GNM21" ( Origin gFrontEnd ) ) - ( attribute "VALUE" "6.19K" + ( attribute "VALUE" "1UF" ( Origin gFrontEnd ) ) - ( attribute "VER" "2" + ( attribute "VER" "1" ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "RVMAX" + ( attribute "VOLTAGE" "16V" ( Units "uVoltage" "V" 1.000000) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-1300,1250)" + ( attribute "XY" "(-200,-1575)" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( attribute "CHIPS_PART_NAME" "CAPN4I" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-6.19K,1%" + ( attribute "CDS_PART_NAME" "CAPN4I-1UF,16V,X5R,GNM21" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "R2" - ( Origin gPackager ) + ( objectStatus "PAGE1_I124" ) + ( pin "a(0)" ) - ( attribute "LOCATION" "R2" + ( pin "b(0)" + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i128" + ( attribute "CDS_LIB" "cninterface" ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" + ( attribute "PACK_TYPE" "VQFN" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "2" + ( Origin gFrontEnd ) + ) + ( attribute "TYPE" "SN65MLVD040RGZ" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(475,-1600)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "SN65MLVD040" ( Origin gPackager ) ) - ( attribute "SEC" "1" + ( attribute "CDS_PART_NAME" "SN65MLVD040RGZ-GND=GND_SIGNAL;A" ( Origin gPackager ) ) - ( objectStatus "R2" ) + ( objectStatus "PAGE1_I128" ) ( pin "a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) - ) - ( objectStatus "R2.1" ) ) - ( pin "b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "R2.2" ) + ( pin "\b*\(0)" + ) + ( pin "d(0)" + ) + ( pin "de(0)" + ) + ( pin "fsen(0)" + ) + ( pin "pdn" + ) + ( pin "r(0)" + ) + ( pin "\re*\(0)" ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i79" - ( attribute "CDS_LIB" "cnpassive" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i133" + ( attribute "CDS_LIB" "cndiscrete" ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "1210" + ( attribute "PACK_TYPE" "4312" ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "ROT" "0" ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" + ( attribute "TYPE" "744231091" ( Origin gFrontEnd ) ) - ( attribute "VALUE" "4.7UF" + ( attribute "VALUE" "90OHM" ( Origin gFrontEnd ) ) ( attribute "VER" "1" ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "50V" - ( Units "uVoltage" "V" 1.000000) - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(-3550,2075)" + ( attribute "XY" "(-1025,-775)" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210-4.7UF,50V" - ( Origin gPackager ) - ) - ( attribute "CDS_LOCATION" "C1" + ( attribute "CHIPS_PART_NAME" "COMMON_MODE_LINE_FILTER" ( Origin gPackager ) ) - ( attribute "LOCATION" "C1" + ( attribute "CDS_PART_NAME" "COMMON_MODE_LINE_FILTER_4312-7A" ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) + ( objectStatus "PAGE1_I133" ) + ( pin "\1\(0)" ) - ( attribute "SEC" "1" - ( Origin gPackager ) + ( pin "\1dot\(0)" ) - ( objectStatus "C1" ) - ( pin "a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) - ) - ( objectStatus "C1.1" ) + ( pin "\2\(0)" ) - ( pin "b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "C1.2" ) + ( pin "\2dot\(0)" ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i81" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i134" ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "1210" + ( attribute "PACK_TYPE" "1206_TC164" ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "ROT" "0" ( Origin gFrontEnd ) ) ( attribute "SIZE" "1" ( Origin gFrontEnd ) ) - ( attribute "VALUE" "4.7UF" + ( attribute "TOL" "1%" ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VALUE" "47" ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "50V" - ( Units "uVoltage" "V" 1.000000) + ( attribute "VER" "1" ( Origin gFrontEnd ) ) - ( attribute "XY" "(-2725,2200)" + ( attribute "XY" "(-625,-725)" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( attribute "CHIPS_PART_NAME" "RES_ARRAY_X4" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210-4.7UF,50V" + ( attribute "CDS_PART_NAME" "RES_ARRAY_X4_1206_TC164-47,1%" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "C2" - ( Origin gPackager ) + ( objectStatus "PAGE1_I134" ) + ( pin "a(0)" ) - ( attribute "LOCATION" "C2" + ( pin "b(0)" + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i135" + ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" + ( attribute "PACK_TYPE" "1206_TC164" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "TOL" "1%" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "47" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(-475,-825)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "RES_ARRAY_X4" ( Origin gPackager ) ) - ( attribute "SEC" "1" + ( attribute "CDS_PART_NAME" "RES_ARRAY_X4_1206_TC164-47,1%" ( Origin gPackager ) ) - ( objectStatus "C2" ) + ( objectStatus "PAGE1_I135" ) ( pin "a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) - ) - ( objectStatus "C2.1" ) ) ( pin "b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "C2.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i82" - ( attribute "CDS_LIB" "cnlinear" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i136" + ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "BGA" + ( attribute "DIELECTRIC" "X5R" ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" @@ -37146,462 +43614,367 @@ ( attribute "ROT" "0" ( Origin gFrontEnd ) ) - ( attribute "TYPE" "LTM8047EY#PBF" + ( attribute "SIZE" "1" ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "TYPE" "GNM21" ( Origin gFrontEnd ) ) - ( attribute "XY" "(-700,2700)" + ( attribute "VALUE" "1UF" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "LTM8047" - ( Origin gPackager ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) ) - ( attribute "CDS_PART_NAME" "LTM8047EY#PBF" - ( Origin gPackager ) + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) ) - ( attribute "CDS_LOCATION" "RG2" - ( Origin gPackager ) + ( attribute "XY" "(-200,-775)" + ( Origin gFrontEnd ) ) - ( attribute "LOCATION" "RG2" + ( attribute "CHIPS_PART_NAME" "CAPN4I" ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" + ( attribute "CDS_PART_NAME" "CAPN4I-1UF,16V,X5R,GNM21" ( Origin gPackager ) ) - ( attribute "SEC" "1" - ( Origin gPackager ) + ( objectStatus "PAGE1_I136" ) + ( pin "a(0)" ) - ( objectStatus "RG2" ) - ( pin "adj" - ( attribute "PN" "G7" - ( Origin gPackager ) - ) - ( objectStatus "RG2.G7" ) + ( pin "b(0)" ) - ( pin "bias" - ( attribute "PN" "H5" - ( Origin gPackager ) - ) - ( objectStatus "RG2.H5" ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i140" + ( attribute "CDS_LIB" "cninterface" + ( Origin gPackager ) ) - ( pin "gnd(0)" - ( attribute "PN" "E1" - ( Origin gPackager ) - ) - ( objectStatus "RG2.E1" ) + ( attribute "PACK_TYPE" "VQFN" + ( Origin gFrontEnd ) ) - ( pin "gnd(1)" - ( attribute "PN" "E2" - ( Origin gPackager ) - ) - ( objectStatus "RG2.E2" ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) ) - ( pin "gnd(2)" - ( attribute "PN" "E3" - ( Origin gPackager ) - ) - ( objectStatus "RG2.E3" ) + ( attribute "ROT" "2" + ( Origin gFrontEnd ) ) - ( pin "gnd(3)" - ( attribute "PN" "E4" - ( Origin gPackager ) - ) - ( objectStatus "RG2.E4" ) + ( attribute "TYPE" "SN65MLVD040RGZ" + ( Origin gFrontEnd ) ) - ( pin "gnd(4)" - ( attribute "PN" "E5" - ( Origin gPackager ) - ) - ( objectStatus "RG2.E5" ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) ) - ( pin "gnd(5)" - ( attribute "PN" "E6" - ( Origin gPackager ) - ) - ( objectStatus "RG2.E6" ) + ( attribute "XY" "(475,-800)" + ( Origin gFrontEnd ) ) - ( pin "gnd(6)" - ( attribute "PN" "E7" - ( Origin gPackager ) - ) - ( objectStatus "RG2.E7" ) + ( attribute "CHIPS_PART_NAME" "SN65MLVD040" + ( Origin gPackager ) ) - ( pin "gnd(7)" - ( attribute "PN" "F4" - ( Origin gPackager ) - ) - ( objectStatus "RG2.F4" ) + ( attribute "CDS_PART_NAME" "SN65MLVD040RGZ-GND=GND_SIGNAL;A" + ( Origin gPackager ) ) - ( pin "gnd(8)" - ( attribute "PN" "F5" - ( Origin gPackager ) - ) - ( objectStatus "RG2.F5" ) + ( objectStatus "PAGE1_I140" ) + ( pin "a(0)" ) - ( pin "gnd(9)" - ( attribute "PN" "F6" - ( Origin gPackager ) - ) - ( objectStatus "RG2.F6" ) + ( pin "\b*\(0)" ) - ( pin "gnd(10)" - ( attribute "PN" "F7" - ( Origin gPackager ) - ) - ( objectStatus "RG2.F7" ) + ( pin "d(0)" ) - ( pin "gnd(11)" - ( attribute "PN" "G4" - ( Origin gPackager ) - ) - ( objectStatus "RG2.G4" ) + ( pin "de(0)" ) - ( pin "gnd(12)" - ( attribute "PN" "G5" - ( Origin gPackager ) - ) - ( objectStatus "RG2.G5" ) + ( pin "fsen(0)" ) - ( pin "gnd(13)" - ( attribute "PN" "G6" - ( Origin gPackager ) - ) - ( objectStatus "RG2.G6" ) + ( pin "pdn" ) - ( pin "gnd(14)" - ( attribute "PN" "H4" - ( Origin gPackager ) - ) - ( objectStatus "RG2.H4" ) + ( pin "r(0)" ) - ( pin "gnd(15)" - ( attribute "PN" "H7" - ( Origin gPackager ) - ) - ( objectStatus "RG2.H7" ) + ( pin "\re*\(0)" ) - ( pin "run" - ( attribute "PN" "F3" - ( Origin gPackager ) - ) - ( objectStatus "RG2.F3" ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i145" + ( attribute "CDS_LIB" "cndiscrete" + ( Origin gPackager ) ) - ( pin "ss" - ( attribute "PN" "H6" - ( Origin gPackager ) - ) - ( objectStatus "RG2.H6" ) + ( attribute "PACK_TYPE" "4312" + ( Origin gFrontEnd ) ) - ( pin "vin(0)" - ( attribute "PN" "H1" - ( Origin gPackager ) - ) - ( objectStatus "RG2.H1" ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) ) - ( pin "vin(1)" - ( attribute "PN" "H2" - ( Origin gPackager ) - ) - ( objectStatus "RG2.H2" ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) ) - ( pin "vin(2)" - ( attribute "PN" "G1" - ( Origin gPackager ) - ) - ( objectStatus "RG2.G1" ) + ( attribute "TYPE" "744231091" + ( Origin gFrontEnd ) ) - ( pin "vin(3)" - ( attribute "PN" "G2" - ( Origin gPackager ) - ) - ( objectStatus "RG2.G2" ) + ( attribute "VALUE" "90OHM" + ( Origin gFrontEnd ) ) - ( pin "vout(0)" - ( attribute "PN" "A6" - ( Origin gPackager ) - ) - ( objectStatus "RG2.A6" ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) ) - ( pin "vout(1)" - ( attribute "PN" "A7" - ( Origin gPackager ) - ) - ( objectStatus "RG2.A7" ) + ( attribute "XY" "(-1025,25)" + ( Origin gFrontEnd ) ) - ( pin "vout(2)" - ( attribute "PN" "B6" - ( Origin gPackager ) - ) - ( objectStatus "RG2.B6" ) + ( attribute "CHIPS_PART_NAME" "COMMON_MODE_LINE_FILTER" + ( Origin gPackager ) ) - ( pin "vout(3)" - ( attribute "PN" "B7" - ( Origin gPackager ) - ) - ( objectStatus "RG2.B7" ) + ( attribute "CDS_PART_NAME" "COMMON_MODE_LINE_FILTER_4312-7A" + ( Origin gPackager ) ) - ( pin "vout(4)" - ( attribute "PN" "C6" - ( Origin gPackager ) - ) - ( objectStatus "RG2.C6" ) + ( objectStatus "PAGE1_I145" ) + ( pin "\1\(0)" ) - ( pin "vout(5)" - ( attribute "PN" "C7" - ( Origin gPackager ) - ) - ( objectStatus "RG2.C7" ) + ( pin "\1dot\(0)" ) - ( pin "\vout-\(0)" - ( attribute "PN" "A1" - ( Origin gPackager ) - ) - ( objectStatus "RG2.A1" ) + ( pin "\2\(0)" ) - ( pin "\vout-\(1)" - ( attribute "PN" "A2" - ( Origin gPackager ) - ) - ( objectStatus "RG2.A2" ) + ( pin "\2dot\(0)" ) - ( pin "\vout-\(2)" - ( attribute "PN" "A3" - ( Origin gPackager ) - ) - ( objectStatus "RG2.A3" ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i146" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) ) - ( pin "\vout-\(3)" - ( attribute "PN" "A4" - ( Origin gPackager ) - ) - ( objectStatus "RG2.A4" ) + ( attribute "PACK_TYPE" "1206_TC164" + ( Origin gFrontEnd ) ) - ( pin "\vout-\(4)" - ( attribute "PN" "A5" - ( Origin gPackager ) - ) - ( objectStatus "RG2.A5" ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) ) - ( pin "\vout-\(5)" - ( attribute "PN" "B1" - ( Origin gPackager ) - ) - ( objectStatus "RG2.B1" ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) ) - ( pin "\vout-\(6)" - ( attribute "PN" "B2" - ( Origin gPackager ) - ) - ( objectStatus "RG2.B2" ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) ) - ( pin "\vout-\(7)" - ( attribute "PN" "B3" - ( Origin gPackager ) - ) - ( objectStatus "RG2.B3" ) + ( attribute "TOL" "1%" + ( Origin gFrontEnd ) ) - ( pin "\vout-\(8)" - ( attribute "PN" "B4" - ( Origin gPackager ) - ) - ( objectStatus "RG2.B4" ) + ( attribute "VALUE" "47" + ( Origin gFrontEnd ) ) - ( pin "\vout-\(9)" - ( attribute "PN" "B5" - ( Origin gPackager ) - ) - ( objectStatus "RG2.B5" ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) ) - ( pin "\vout-\(10)" - ( attribute "PN" "C1" - ( Origin gPackager ) - ) - ( objectStatus "RG2.C1" ) + ( attribute "XY" "(-625,75)" + ( Origin gFrontEnd ) ) - ( pin "\vout-\(11)" - ( attribute "PN" "C2" - ( Origin gPackager ) - ) - ( objectStatus "RG2.C2" ) + ( attribute "CHIPS_PART_NAME" "RES_ARRAY_X4" + ( Origin gPackager ) ) - ( pin "\vout-\(12)" - ( attribute "PN" "C3" - ( Origin gPackager ) - ) - ( objectStatus "RG2.C3" ) + ( attribute "CDS_PART_NAME" "RES_ARRAY_X4_1206_TC164-47,1%" + ( Origin gPackager ) ) - ( pin "\vout-\(13)" - ( attribute "PN" "C4" - ( Origin gPackager ) - ) - ( objectStatus "RG2.C4" ) + ( objectStatus "PAGE1_I146" ) + ( pin "a(0)" ) - ( pin "\vout-\(14)" - ( attribute "PN" "C5" - ( Origin gPackager ) - ) - ( objectStatus "RG2.C5" ) + ( pin "b(0)" ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i83" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i147" ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "1210" + ( attribute "PACK_TYPE" "1206_TC164" ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "ROT" "0" ( Origin gFrontEnd ) ) ( attribute "SIZE" "1" ( Origin gFrontEnd ) ) - ( attribute "VALUE" "4.7UF" + ( attribute "TOL" "1%" ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VALUE" "47" ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "50V" - ( Units "uVoltage" "V" 1.000000) + ( attribute "VER" "1" ( Origin gFrontEnd ) ) - ( attribute "XY" "(-1800,2675)" + ( attribute "XY" "(-475,-25)" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210-4.7UF,50V" - ( Origin gPackager ) - ) - ( attribute "CDS_LOCATION" "C6" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "C6" - ( Origin gPackager ) - ) - ( attribute "CDS_SEC" "1" + ( attribute "CHIPS_PART_NAME" "RES_ARRAY_X4" ( Origin gPackager ) ) - ( attribute "SEC" "1" + ( attribute "CDS_PART_NAME" "RES_ARRAY_X4_1206_TC164-47,1%" ( Origin gPackager ) ) - ( objectStatus "C6" ) + ( objectStatus "PAGE1_I147" ) ( pin "a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) - ) - ( objectStatus "C6.1" ) ) ( pin "b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "C6.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i85" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i148" ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "DIST" "FLAT" + ( attribute "DIELECTRIC" "X5R" ( Origin gFrontEnd ) ) - ( attribute "MAX_TEMP" "RTMAX" + ( attribute "PHYS_PAGE" "1" ( Origin gFrontEnd ) ) - ( attribute "NEGTOL" "RTOL%" + ( attribute "ROT" "0" ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "1/10W" + ( attribute "SIZE" "1" ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" + ( attribute "TYPE" "GNM21" ( Origin gFrontEnd ) ) - ( attribute "POSTOL" "RTOL%" + ( attribute "VALUE" "1UF" ( Origin gFrontEnd ) ) - ( attribute "POWER" "RMAX" + ( attribute "VER" "1" ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" + ( attribute "XY" "(-200,25)" ( Origin gFrontEnd ) ) - ( attribute "SLOPE" "RSMAX" - ( Origin gFrontEnd ) + ( attribute "CHIPS_PART_NAME" "CAPN4I" + ( Origin gPackager ) ) - ( attribute "TC1" "RTMPL" - ( Origin gFrontEnd ) + ( attribute "CDS_PART_NAME" "CAPN4I-1UF,16V,X5R,GNM21" + ( Origin gPackager ) ) - ( attribute "TC2" "RTMPQ" - ( Origin gFrontEnd ) + ( objectStatus "PAGE1_I148" ) + ( pin "a(0)" ) - ( attribute "TOL" "1%" + ( pin "b(0)" + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i152" + ( attribute "CDS_LIB" "cninterface" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "VQFN" ( Origin gFrontEnd ) ) - ( attribute "TOL_ON_OFF" "ON" + ( attribute "PHYS_PAGE" "1" ( Origin gFrontEnd ) ) - ( attribute "VALUE" "6.19K" + ( attribute "ROT" "2" ( Origin gFrontEnd ) ) - ( attribute "VER" "2" + ( attribute "TYPE" "SN65MLVD040RGZ" ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "RVMAX" - ( Units "uVoltage" "V" 1.000000) + ( attribute "VER" "1" ( Origin gFrontEnd ) ) - ( attribute "XY" "(-1275,2400)" + ( attribute "XY" "(475,0)" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( attribute "CHIPS_PART_NAME" "SN65MLVD040" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-6.19K,1%" + ( attribute "CDS_PART_NAME" "SN65MLVD040RGZ-GND=GND_SIGNAL;A" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "R3" + ( objectStatus "PAGE1_I152" ) + ( pin "a(0)" + ) + ( pin "\b*\(0)" + ) + ( pin "d(0)" + ) + ( pin "de(0)" + ) + ( pin "fsen(0)" + ) + ( pin "pdn" + ) + ( pin "r(0)" + ) + ( pin "\re*\(0)" + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i157" + ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "LOCATION" "R3" + ( attribute "CDS_LOCATION" "C1" ( Origin gPackager ) ) ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) + ( attribute "LOCATION" "C1" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "0603" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "R3" ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "100NF" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(3425,-2075)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( Origin gPackager ) + ) + ( objectStatus "PAGE1_I157" ) ( pin "a(0)" ( attribute "PN" "1" ( Origin gPackager ) ) - ( objectStatus "R3.1" ) ) ( pin "b(0)" ( attribute "PN" "2" ( Origin gPackager ) ) - ( objectStatus "R3.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i88" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i160" ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "1210" + ( attribute "CDS_LOCATION" "C1" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "C1" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "0603" ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" @@ -37610,10 +43983,13 @@ ( attribute "ROT" "1" ( Origin gFrontEnd ) ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) ( attribute "SIZE" "1" ( Origin gFrontEnd ) ) - ( attribute "VALUE" "22UF" + ( attribute "VALUE" "100NF" ( Origin gFrontEnd ) ) ( attribute "VER" "1" @@ -37623,46 +43999,41 @@ ( Units "uVoltage" "V" 1.000000) ( Origin gFrontEnd ) ) - ( attribute "XY" "(250,650)" + ( attribute "XY" "(-1000,-3175)" ( Origin gFrontEnd ) ) ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210-22UF,16V" - ( Origin gPackager ) - ) - ( attribute "CDS_LOCATION" "C8" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "C8" - ( Origin gPackager ) - ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) - ) - ( attribute "SEC" "1" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" ( Origin gPackager ) ) - ( objectStatus "C8" ) + ( objectStatus "PAGE1_I160" ) ( pin "a(0)" ( attribute "PN" "1" ( Origin gPackager ) ) - ( objectStatus "C8.1" ) ) ( pin "b(0)" ( attribute "PN" "2" ( Origin gPackager ) ) - ( objectStatus "C8.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i89" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i161" ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "1210" + ( attribute "CDS_LOCATION" "C1" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "C1" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "0603" ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" @@ -37671,10 +44042,13 @@ ( attribute "ROT" "1" ( Origin gFrontEnd ) ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) ( attribute "SIZE" "1" ( Origin gFrontEnd ) ) - ( attribute "VALUE" "22UF" + ( attribute "VALUE" "100NF" ( Origin gFrontEnd ) ) ( attribute "VER" "1" @@ -37684,46 +44058,41 @@ ( Units "uVoltage" "V" 1.000000) ( Origin gFrontEnd ) ) - ( attribute "XY" "(250,2675)" + ( attribute "XY" "(0,-3175)" ( Origin gFrontEnd ) ) ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210-22UF,16V" - ( Origin gPackager ) - ) - ( attribute "CDS_LOCATION" "C7" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "C7" - ( Origin gPackager ) - ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) - ) - ( attribute "SEC" "1" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" ( Origin gPackager ) ) - ( objectStatus "C7" ) + ( objectStatus "PAGE1_I161" ) ( pin "a(0)" ( attribute "PN" "1" ( Origin gPackager ) ) - ( objectStatus "C7.1" ) ) ( pin "b(0)" ( attribute "PN" "2" ( Origin gPackager ) ) - ( objectStatus "C7.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i142" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i162" ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "1210" + ( attribute "CDS_LOCATION" "C1" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "C1" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "0603" ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" @@ -37732,59 +44101,57 @@ ( attribute "ROT" "1" ( Origin gFrontEnd ) ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) ( attribute "SIZE" "1" ( Origin gFrontEnd ) ) - ( attribute "VALUE" "4.7UF" + ( attribute "VALUE" "100NF" ( Origin gFrontEnd ) ) ( attribute "VER" "1" ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "50V" + ( attribute "VOLTAGE" "16V" ( Units "uVoltage" "V" 1.000000) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-2150,2675)" + ( attribute "XY" "(-250,-3175)" ( Origin gFrontEnd ) ) ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210-4.7UF,50V" - ( Origin gPackager ) - ) - ( attribute "CDS_LOCATION" "C3" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "C3" - ( Origin gPackager ) - ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) - ) - ( attribute "SEC" "1" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" ( Origin gPackager ) ) - ( objectStatus "C3" ) + ( objectStatus "PAGE1_I162" ) ( pin "a(0)" ( attribute "PN" "1" ( Origin gPackager ) ) - ( objectStatus "C3.1" ) ) ( pin "b(0)" ( attribute "PN" "2" ( Origin gPackager ) ) - ( objectStatus "C3.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i144" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i163" ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "1210" + ( attribute "CDS_LOCATION" "C1" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "C1" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "0603" ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" @@ -37793,330 +44160,131 @@ ( attribute "ROT" "1" ( Origin gFrontEnd ) ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) ( attribute "SIZE" "1" ( Origin gFrontEnd ) ) - ( attribute "VALUE" "4.7UF" + ( attribute "VALUE" "100NF" ( Origin gFrontEnd ) ) ( attribute "VER" "1" ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "50V" + ( attribute "VOLTAGE" "16V" ( Units "uVoltage" "V" 1.000000) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-2150,1525)" + ( attribute "XY" "(-500,-3175)" ( Origin gFrontEnd ) ) ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210-4.7UF,50V" - ( Origin gPackager ) - ) - ( attribute "CDS_LOCATION" "C4" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "C4" - ( Origin gPackager ) - ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) - ) - ( attribute "SEC" "1" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" ( Origin gPackager ) ) - ( objectStatus "C4" ) + ( objectStatus "PAGE1_I163" ) ( pin "a(0)" ( attribute "PN" "1" ( Origin gPackager ) ) - ( objectStatus "C4.1" ) ) ( pin "b(0)" ( attribute "PN" "2" ( Origin gPackager ) ) - ( objectStatus "C4.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i146" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i164" ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "DIST" "FLAT" - ( Origin gFrontEnd ) + ( attribute "CDS_LOCATION" "C1" + ( Origin gPackager ) ) - ( attribute "MAX_TEMP" "RTMAX" - ( Origin gFrontEnd ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) ) - ( attribute "NEGTOL" "RTOL%" - ( Origin gFrontEnd ) + ( attribute "LOCATION" "C1" + ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "1/10W" + ( attribute "PACK_TYPE" "0603" ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" ( Origin gFrontEnd ) ) - ( attribute "POSTOL" "RTOL%" - ( Origin gFrontEnd ) - ) - ( attribute "POWER" "RMAX" - ( Origin gFrontEnd ) - ) ( attribute "ROT" "1" ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" - ( Origin gFrontEnd ) - ) - ( attribute "SLOPE" "RSMAX" - ( Origin gFrontEnd ) - ) - ( attribute "TC1" "RTMPL" - ( Origin gFrontEnd ) - ) - ( attribute "TC2" "RTMPQ" - ( Origin gFrontEnd ) - ) - ( attribute "TOL" "1%" - ( Origin gFrontEnd ) + ( attribute "SEC" "1" + ( Origin gPackager ) ) - ( attribute "TOL_ON_OFF" "ON" + ( attribute "SIZE" "1" ( Origin gFrontEnd ) ) - ( attribute "VALUE" "3.3" + ( attribute "VALUE" "100NF" ( Origin gFrontEnd ) ) - ( attribute "VER" "2" + ( attribute "VER" "1" ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "RVMAX" + ( attribute "VOLTAGE" "16V" ( Units "uVoltage" "V" 1.000000) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-2725,1900)" + ( attribute "XY" "(-750,-3175)" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "RSMD0603" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-3.3,1%" - ( Origin gPackager ) - ) - ( attribute "CDS_LOCATION" "R1" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "R1" - ( Origin gPackager ) - ) - ( attribute "CDS_SEC" "1" + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Origin gPackager ) ) - ( attribute "SEC" "1" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" ( Origin gPackager ) ) - ( objectStatus "R1" ) + ( objectStatus "PAGE1_I164" ) ( pin "a(0)" ( attribute "PN" "1" ( Origin gPackager ) ) - ( objectStatus "R1.1" ) ) ( pin "b(0)" ( attribute "PN" "2" ( Origin gPackager ) ) - ( objectStatus "R1.2" ) ) ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i42:a" - ( attribute "PN" "1" - ( Origin gPackager ) + ( designInst "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78" + ( attribute "BLOCK" "TRUE" + ( Origin gFrontEnd ) ) - ( objectStatus "L2.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i42:b" - ( attribute "PN" "2" + ( attribute "CDS_LIB" "fmc_tlu_v1_lib" ( Origin gPackager ) ) - ( objectStatus "L2.2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i44:a" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "LIBRARY1" "ieee" + ( Origin gFrontEnd ) ) - ( objectStatus "L3.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i44:b" - ( attribute "PN" "2" - ( Origin gPackager ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) ) - ( objectStatus "L3.2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i66:a" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) ) - ( objectStatus "L1.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i66:b" - ( attribute "PN" "2" - ( Origin gPackager ) + ( attribute "USE1" "ieee.std_logic_1164.all" + ( Origin gFrontEnd ) ) - ( objectStatus "L1.2" ) - ) - ( bus "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1" - ( memberType ( signal ) ) - ( alias ( busRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(0)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(1)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(2)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(3)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(4)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(5)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(6)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(7)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(8)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(9)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(10)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(11)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(12)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(13)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(14)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):gnd_signal") ) - ( objectStatus "VM1" ) - ) - ( bus "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1" - ( memberType ( signal ) ) - ( objectFlag fObjectAlias ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(0)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(1)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(2)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(3)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(4)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(5)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(6)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(7)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(8)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(9)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(10)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(11)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(12)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(13)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(14)") ) - ( objectStatus "PAGE1_VM1" ) - ) - ( bus "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2" - ( memberType ( signal ) ) - ( alias ( busRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2") ) - ( attribute "BUS_NAME" "VM2" - ( Origin gPackager ) + ( attribute "USE2" "work.all" + ( Origin gFrontEnd ) ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(0)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(1)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(2)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(3)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(4)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(5)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(6)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(7)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(8)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(9)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(10)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(11)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(12)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(13)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(14)") ) - ( objectStatus "VM2" ) - ) - ( bus "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2" - ( memberType ( signal ) ) - ( objectFlag fObjectAlias ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(0)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(1)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(2)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(3)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(4)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(5)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(6)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(7)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(8)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(9)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(10)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(11)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(12)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(13)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(14)") ) - ( objectStatus "PAGE1_VM2" ) - ) - ( bus "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1" - ( memberType ( signal ) ) - ( alias ( busRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1") ) - ( attribute "BUS_NAME" "VP1" - ( Origin gPackager ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(0)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(1)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(2)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(3)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(4)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(5)") ) - ( objectStatus "VP1" ) - ) - ( bus "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1" - ( memberType ( signal ) ) - ( objectFlag fObjectAlias ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1(0)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1(1)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1(2)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1(3)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1(4)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1(5)") ) - ( objectStatus "PAGE1_VP1" ) - ) - ( bus "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2" - ( memberType ( signal ) ) - ( alias ( busRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp2") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2(0)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2(1)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2(2)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2(3)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2(4)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2(5)") ) - ( objectStatus "VP2" ) - ) - ( bus "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp2" - ( memberType ( signal ) ) - ( objectFlag fObjectAlias ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp2(0)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp2(1)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp2(2)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp2(3)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp2(4)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp2(5)") ) - ( objectStatus "PAGE1_VP2" ) - ) - ( bus "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vi" - ( memberType ( signal ) ) - ( alias ( busRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vi") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vi(0)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vi(1)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vi(2)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vi(3)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vin_filtered") ) - ( objectStatus "VI" ) - ) - ( bus "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vi" - ( memberType ( signal ) ) - ( objectFlag fObjectAlias ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vi(0)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vi(1)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vi(2)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vi(3)") ) - ( objectStatus "PAGE1_VI" ) + ( attribute "XY" "(5400,-350)" + ( Origin gFrontEnd ) + ) + ( objectStatus "\page1_i78 (fmc_tlu_diode_clamp_b)\" ) ) ) ) diff --git a/circuit_board/Cadence/worklib/fmc_tlu_toplevel_c/sch_1/fmc_tlu_toplevel_c.dcf,1 b/circuit_board/Cadence/worklib/fmc_tlu_toplevel_c/sch_1/fmc_tlu_toplevel_c.dcf,1 index a03d0c0865c14e2dbcbffd89889e66cc1b4cd0f4..a15788067ab3cf1f15111485a5740cf6b96f3d81 100644 --- a/circuit_board/Cadence/worklib/fmc_tlu_toplevel_c/sch_1/fmc_tlu_toplevel_c.dcf,1 +++ b/circuit_board/Cadence/worklib/fmc_tlu_toplevel_c/sch_1/fmc_tlu_toplevel_c.dcf,1 @@ -7,7 +7,7 @@ ( 16.6 ) ) ( revisionNumber - ( logicalViewRevNum 170 ) + ( logicalViewRevNum 180 ) ( physicalViewRevNum 6 ) ( otherViewRevNum 0 ) ) @@ -541,6 +541,22 @@ ( Analysis ) ) + ( Attribute + ( Name "DIELECTRIC" ) + ( Description " " ) + ( Value + ( DataType ( dString ) ) + ( Status sProperty sPackage ) + ) + ( Objects + ( ValidObjects oGate oGateDefn oBlock oPart oDesign oSystem oPartDefn ) + ( NoInherit + ( oGate oPin ) + ) + ) + ( Analysis + ) + ) ( WorkbookCustomizations ( GridConfigurationVersion ( 16.5 ) @@ -1084,7 +1100,8 @@ ( Status sBlockFlattened ) ( Origin gBackEnd ) ) - ( attribute "CDS_LAYER_DIELECTRIC_CONSTANT" "1.000" + ( attribute "CDS_LAYER_DIELECTRIC_CONSTANT" "4.500" + ( Status sBlockFlattened ) ( Origin gBackEnd ) ) ( attribute "CDS_LAYER_LOSS_TANGENT" "0.03500" @@ -1723,7 +1740,6 @@ ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):gnd_signal" ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_gnd_signal") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_gnd_signal") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_gnd_signal") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):a0") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):a1") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):gnd_signal") ) @@ -1732,10 +1748,8 @@ ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):gnd_signal") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):gnd") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):gnd_signal") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):gnd_signal") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):gnd_signal") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):gnd_signal") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):gnd_signal") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):gnd_signal") ) ( attribute "WEIGHT" "0" ( Status sBlockFlattened ) ( Origin gBackEnd ) @@ -1758,10 +1772,6 @@ ( objectFlag fObjectAlias ) ( objectStatus "page2_gnd_signal" ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_gnd_signal" - ( objectFlag fObjectAlias ) - ( objectStatus "page4_gnd_signal" ) - ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):a0" ( objectFlag fObjectAlias ) ( objectStatus "a0" ) @@ -1796,60 +1806,27 @@ ( objectFlag fObjectAlias ) ( objectStatus "gnd_signal" ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):gnd_signal" - ( objectFlag fObjectAlias ) - ( objectStatus "gnd_signal" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):gnd_signal" - ( objectFlag fObjectAlias ) - ( objectStatus "gnd_signal" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):gnd_signal" - ( objectFlag fObjectAlias ) - ( objectStatus "gnd_signal" ) - ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):gnd_signal" ( objectFlag fObjectAlias ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):busy2" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig5") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_busy2") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(12)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(12)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(12)") ) - ( attribute "CDS_PHYS_NET_NAME" "BUSY2" - ( Origin gPackager ) - ) - ( attribute "PNN" "FMC_LA<7>" - ( Status sAliasFlattened ) - ( Status sAliasConflict ) - ( Origin gPackager ) - ) - ( attribute "PHYS_NET_NAME" "BUSY2<10>" - ( Origin gPackager ) - ) - ( attribute "DIFFERENTIAL_PAIR" "CONT1" - ( Origin gFrontEnd ) - ) - ( objectStatus "BUSY2" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig5" + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):gnd_signal" ( objectFlag fObjectAlias ) - ( objectStatus "sig5" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_busy2" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_busy2" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(12)" ( objectFlag fObjectAlias ) ( objectStatus "page1_fmc_la<12>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(12)" - ( attribute "BUS_NAME" "FMC_LA" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(12)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(12)") ) + ( attribute "DIFFERENTIAL_PAIR" "BUSY2" + ( Status sResolvedConflict ) ( Origin gFrontEnd ) ) - ( objectFlag fObjectAlias ) + ( attribute "PNN" "FMC_LA<12>" + ( Status sAliasFlattened ) + ( Origin gPackager ) + ) ( objectStatus "FMC_LA<12>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(12)" @@ -1898,7 +1875,7 @@ ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(10)" ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(10)") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(10)") ) - ( attribute "DIFFERENTIAL_PAIR" "TRIG2" + ( attribute "DIFFERENTIAL_PAIR" "FMC_LA10" ( Origin gFrontEnd ) ) ( attribute "PNN" "FMC_LA<10>" @@ -1914,12 +1891,6 @@ ( objectStatus "FMC_LA<10>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(10)" - ( attribute "PNN" "FMC_LA<10>" - ( Origin gPackager ) - ) - ( attribute "DIFFERENTIAL_PAIR" "TRIG2" - ( Origin gPackager ) - ) ( objectFlag fObjectAlias ) ( objectStatus "FMC_LA<10>" ) ) @@ -1928,19 +1899,21 @@ ( objectStatus "page1_fmc_la<7>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(7)" - ( attribute "BUS_NAME" "FMC_LA" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(7)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(7)") ) + ( attribute "DIFFERENTIAL_PAIR" "FMC_LA7" ( Origin gFrontEnd ) ) - ( objectFlag fObjectAlias ) + ( attribute "PNN" "FMC_LA<7>" + ( Status sAliasFlattened ) + ( Origin gPackager ) + ) ( objectStatus "FMC_LA<7>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(7)" ( attribute "PNN" "FMC_LA<7>" ( Origin gPackager ) ) - ( attribute "DIFFERENTIAL_PAIR" "BEAM_TRIGGER3" - ( Origin gPackager ) - ) ( objectFlag fObjectAlias ) ( objectStatus "FMC_LA<7>" ) ) @@ -1951,10 +1924,6 @@ ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):p2v5") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):p2v5") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):p2v5") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_p2v5") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):p2v5") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):p2v5") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):p2v5") ) ( attribute "CDS_PHYS_NET_NAME" "P2V5" ( Origin gPackager ) ) @@ -2003,27 +1972,11 @@ ( objectFlag fObjectAlias ) ( objectStatus "p2v5" ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_p2v5" - ( objectFlag fObjectAlias ) - ( objectStatus "page4_p2v5" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):p2v5" - ( objectFlag fObjectAlias ) - ( objectStatus "p2v5" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):p2v5" - ( objectFlag fObjectAlias ) - ( objectStatus "p2v5" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):p2v5" - ( objectFlag fObjectAlias ) - ( objectStatus "p2v5" ) - ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):p3v3" ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_p3v3") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):p3v3") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):p3v3") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_p3v3") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):p3v3") ) ( attribute "CDS_PHYS_NET_NAME" "P3V3" ( Origin gPackager ) ) @@ -2031,6 +1984,11 @@ ( Status sAliasFlattened ) ( Origin gPackager ) ) + ( attribute "PHYS_NET_NAME" "P3V3" + ( Status sBlockFlattened ) + ( Status sAliasFlattened ) + ( Origin gPackager ) + ) ( objectStatus "P3V3" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_p3v3" @@ -2045,9 +2003,8 @@ ( objectFlag fObjectAlias ) ( objectStatus "p3v3" ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_p3v3" + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):p3v3" ( objectFlag fObjectAlias ) - ( objectStatus "page4_p3v3" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):scl" ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):iic_scl_main") ) @@ -2101,9 +2058,6 @@ ( attribute "PNN" "FMC_LA<0>" ( Origin gPackager ) ) - ( attribute "DIFFERENTIAL_PAIR" "BEAM_TRIGGER_CFD0" - ( Origin gPackager ) - ) ( objectFlag fObjectAlias ) ( objectStatus "FMC_LA<0>" ) ) @@ -2111,9 +2065,6 @@ ( attribute "PNN" "FMC_LA<1>" ( Origin gPackager ) ) - ( attribute "DIFFERENTIAL_PAIR" "BEAM_TRIGGER0" - ( Origin gPackager ) - ) ( objectFlag fObjectAlias ) ( objectStatus "FMC_LA<1>" ) ) @@ -2141,9 +2092,6 @@ ( attribute "PNN" "FMC_LA<4>" ( Origin gPackager ) ) - ( attribute "DIFFERENTIAL_PAIR" "BEAM_TRIGGER_CFD2" - ( Origin gPackager ) - ) ( objectFlag fObjectAlias ) ( objectStatus "FMC_LA<4>" ) ) @@ -2151,9 +2099,6 @@ ( attribute "PNN" "FMC_LA<5>" ( Origin gPackager ) ) - ( attribute "DIFFERENTIAL_PAIR" "BEAM_TRIGGER2" - ( Origin gPackager ) - ) ( objectFlag fObjectAlias ) ( objectStatus "FMC_LA<5>" ) ) @@ -2220,12 +2165,6 @@ ( objectStatus "FMC_LA<16>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(17)" - ( attribute "PNN" "FMC_LA<17>" - ( Origin gPackager ) - ) - ( attribute "DIFFERENTIAL_PAIR" "SPARE2" - ( Origin gPackager ) - ) ( objectFlag fObjectAlias ) ( objectStatus "FMC_LA<17>" ) ) @@ -2353,9 +2292,6 @@ ( attribute "PNN" "FMC_LA*<0>" ( Origin gPackager ) ) - ( attribute "DIFFERENTIAL_PAIR" "BEAM_TRIGGER_CFD0" - ( Origin gPackager ) - ) ( objectFlag fObjectAlias ) ( objectStatus "FMC_LA*<0>" ) ) @@ -2363,9 +2299,6 @@ ( attribute "PNN" "FMC_LA*<1>" ( Origin gPackager ) ) - ( attribute "DIFFERENTIAL_PAIR" "BEAM_TRIGGER0" - ( Origin gPackager ) - ) ( objectFlag fObjectAlias ) ( objectStatus "FMC_LA*<1>" ) ) @@ -2393,9 +2326,6 @@ ( attribute "PNN" "FMC_LA*<4>" ( Origin gPackager ) ) - ( attribute "DIFFERENTIAL_PAIR" "BEAM_TRIGGER_CFD2" - ( Origin gPackager ) - ) ( objectFlag fObjectAlias ) ( objectStatus "FMC_LA*<4>" ) ) @@ -2423,9 +2353,6 @@ ( attribute "PNN" "FMC_LA*<7>" ( Origin gPackager ) ) - ( attribute "DIFFERENTIAL_PAIR" "BEAM_TRIGGER3" - ( Origin gPackager ) - ) ( objectFlag fObjectAlias ) ( objectStatus "FMC_LA*<7>" ) ) @@ -2447,12 +2374,6 @@ ( objectStatus "FMC_LA*<9>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(10)" - ( attribute "PNN" "FMC_LA*<10>" - ( Origin gPackager ) - ) - ( attribute "DIFFERENTIAL_PAIR" "TRIG2" - ( Origin gPackager ) - ) ( objectFlag fObjectAlias ) ( objectStatus "FMC_LA*<10>" ) ) @@ -2464,9 +2385,6 @@ ( attribute "PNN" "FMC_LA*<12>" ( Origin gPackager ) ) - ( attribute "DIFFERENTIAL_PAIR" "FMC_LA16" - ( Origin gPackager ) - ) ( objectFlag fObjectAlias ) ( objectStatus "FMC_LA*<12>" ) ) @@ -2488,9 +2406,6 @@ ( attribute "PNN" "FMC_LA*<15>" ( Origin gPackager ) ) - ( attribute "DIFFERENTIAL_PAIR" "BUSY1" - ( Origin gPackager ) - ) ( objectFlag fObjectAlias ) ( objectStatus "FMC_LA*<15>" ) ) @@ -2502,12 +2417,6 @@ ( objectStatus "FMC_LA*<16>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(17)" - ( attribute "PNN" "FMC_LA*<17>" - ( Origin gPackager ) - ) - ( attribute "DIFFERENTIAL_PAIR" "SPARE2" - ( Origin gPackager ) - ) ( objectFlag fObjectAlias ) ( objectStatus "FMC_LA*<17>" ) ) @@ -2805,10 +2714,19 @@ ( objectStatus "page1_fmc_la<2>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(2)" - ( attribute "BUS_NAME" "FMC_LA" - ( Origin gFrontEnd ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(2)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(2)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_trig_from_fpga(0)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):trig_from_fpga(0)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):trig_from_fpga") ) + ( attribute "PNN" "FMC_LA<2>" + ( Status sAliasFlattened ) + ( Origin gPackager ) + ) + ( attribute "DIFFERENTIAL_PAIR" "BEAM_TRIGGER_CFD1" + ( Status sAliasFlattened ) + ( Origin gPackager ) ) - ( objectFlag fObjectAlias ) ( objectStatus "fmc_la<2>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(1)" @@ -2818,19 +2736,22 @@ ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(1)" ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(1)") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(1)") ) - ( attribute "DIFFERENTIAL_PAIR" "BEAM_TRIGGER0" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_spare_from_fpga(0)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):spare_from_fpga(0)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):spare_from_fpga") ) + ( attribute "DIFFERENTIAL_PAIR" "FMC_LA1" ( Origin gFrontEnd ) ) - ( attribute "PNN" "FMC_LA<1>" - ( Status sAliasFlattened ) - ( Origin gPackager ) - ) ( attribute "PHYS_NET_NAME" "FMC_LA<1>" ( Origin gPackager ) ) ( attribute "CDS_PHYS_NET_NAME" "FMC_LA<1>" ( Origin gPackager ) ) + ( attribute "PNN" "FMC_LA<1>" + ( Status sAliasFlattened ) + ( Origin gPackager ) + ) ( objectStatus "FMC_LA<1>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):beam_trigger(1)" @@ -2954,13 +2875,11 @@ ( objectStatus "page1_fmc_la<11>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(11)" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(11)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(11)") ) ( attribute "PNN" "FMC_LA<11>" ( Origin gPackager ) ) - ( attribute "BUS_NAME" "FMC_LA" - ( Origin gFrontEnd ) - ) - ( objectFlag fObjectAlias ) ( objectStatus "FMC_LA<11>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(8)" @@ -2968,10 +2887,15 @@ ( objectStatus "page1_fmc_la<8>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(8)" - ( attribute "BUS_NAME" "FMC_LA" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(8)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(8)") ) + ( attribute "DIFFERENTIAL_PAIR" "CONT2" ( Origin gFrontEnd ) ) - ( objectFlag fObjectAlias ) + ( attribute "PNN" "FMC_LA<8>" + ( Status sAliasFlattened ) + ( Origin gPackager ) + ) ( objectStatus "FMC_LA<8>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(5)" @@ -2981,19 +2905,22 @@ ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(5)" ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(5)") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(5)") ) - ( attribute "DIFFERENTIAL_PAIR" "BEAM_TRIGGER2" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_hdmi_power_enable(0)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):hdmi_power_enable(0)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):hdmi_power_enable") ) + ( attribute "DIFFERENTIAL_PAIR" "FMC_LA5" ( Origin gFrontEnd ) ) - ( attribute "PNN" "FMC_LA<5>" - ( Status sAliasFlattened ) - ( Origin gPackager ) - ) ( attribute "PHYS_NET_NAME" "FMC_LA<5>" ( Origin gPackager ) ) ( attribute "CDS_PHYS_NET_NAME" "FMC_LA<5>" ( Origin gPackager ) ) + ( attribute "PNN" "FMC_LA<5>" + ( Status sAliasFlattened ) + ( Origin gPackager ) + ) ( objectStatus "FMC_LA<5>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):beam_trigger(3)" @@ -3041,10 +2968,12 @@ ( objectStatus "page1_fmc_la<16>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(16)" - ( attribute "BUS_NAME" "FMC_LA" - ( Origin gFrontEnd ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(16)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(16)") ) + ( attribute "PNN" "FMC_LA<16>" + ( Status sAliasFlattened ) + ( Origin gPackager ) ) - ( objectFlag fObjectAlias ) ( objectStatus "FMC_LA<16>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\beam_trigger*\(0)" @@ -3103,10 +3032,19 @@ ( objectStatus "page1_fmc_la*<2>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(2)" - ( attribute "BUS_NAME" "FMC_LA*" - ( Origin gFrontEnd ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(2)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(2)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_trig_to_fpga(0)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):trig_to_fpga(0)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):trig_to_fpga") ) + ( attribute "PNN" "FMC_LA*<2>" + ( Status sAliasFlattened ) + ( Origin gPackager ) + ) + ( attribute "DIFFERENTIAL_PAIR" "BEAM_TRIGGER_CFD1" + ( Status sAliasFlattened ) + ( Origin gPackager ) ) - ( objectFlag fObjectAlias ) ( objectStatus "fmc_la*<2>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(1)" @@ -3116,20 +3054,23 @@ ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(1)" ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(1)") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(1)") ) - ( attribute "DIFFERENTIAL_PAIR" "BEAM_TRIGGER0" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_spare_to_fpga(0)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):spare_to_fpga(0)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):spare_to_fpga") ) + ( attribute "DIFFERENTIAL_PAIR" "FMC_LA1" ( Status sResolvedConflict ) ( Origin gFrontEnd ) ) - ( attribute "PNN" "FMC_LA*<1>" - ( Status sAliasFlattened ) - ( Origin gPackager ) - ) ( attribute "PHYS_NET_NAME" "FMC_LA*<1>" ( Origin gPackager ) ) ( attribute "CDS_PHYS_NET_NAME" "FMC_LA*<1>" ( Origin gPackager ) ) + ( attribute "PNN" "FMC_LA*<1>" + ( Status sAliasFlattened ) + ( Origin gPackager ) + ) ( objectStatus "FMC_LA*<1>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\beam_trigger*\(1)" @@ -3166,21 +3107,16 @@ ( objectStatus "page1_fmc_la*<4>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(4)" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(4)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(4)") ) - ( attribute "DIFFERENTIAL_PAIR" "BEAM_TRIGGER_CFD2" - ( Origin gFrontEnd ) - ) - ( attribute "PNN" "FMC_LA*<4>" - ( Status sAliasFlattened ) - ( Origin gPackager ) - ) ( attribute "PHYS_NET_NAME" "FMC_LA*<4>" ( Origin gPackager ) ) ( attribute "CDS_PHYS_NET_NAME" "FMC_LA*<4>" ( Origin gPackager ) ) + ( attribute "BUS_NAME" "FMC_LA*" + ( Origin gFrontEnd ) + ) + ( objectFlag fObjectAlias ) ( objectStatus "FMC_LA*<4>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(3)" @@ -3261,13 +3197,11 @@ ( objectStatus "page1_fmc_la*<11>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(11)" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(11)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(11)") ) ( attribute "PNN" "FMC_LA*<11>" ( Origin gPackager ) ) - ( attribute "BUS_NAME" "FMC_LA*" - ( Origin gFrontEnd ) - ) - ( objectFlag fObjectAlias ) ( objectStatus "FMC_LA*<11>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(8)" @@ -3275,10 +3209,15 @@ ( objectStatus "page1_fmc_la*<8>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(8)" - ( attribute "BUS_NAME" "FMC_LA*" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(8)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(8)") ) + ( attribute "DIFFERENTIAL_PAIR" "CONT2" ( Origin gFrontEnd ) ) - ( objectFlag fObjectAlias ) + ( attribute "PNN" "FMC_LA*<8>" + ( Status sAliasFlattened ) + ( Origin gPackager ) + ) ( objectStatus "FMC_LA*<8>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(12)" @@ -3286,10 +3225,15 @@ ( objectStatus "page1_fmc_la*<12>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(12)" - ( attribute "BUS_NAME" "FMC_LA*" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(12)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(12)") ) + ( attribute "DIFFERENTIAL_PAIR" "FMC_LA12" ( Origin gFrontEnd ) ) - ( objectFlag fObjectAlias ) + ( attribute "PNN" "FMC_LA*<12>" + ( Status sAliasFlattened ) + ( Origin gPackager ) + ) ( objectStatus "FMC_LA*<12>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(23)" @@ -3381,10 +3325,15 @@ ( objectStatus "page1_fmc_la*<7>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(7)" - ( attribute "BUS_NAME" "FMC_LA*" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(7)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(7)") ) + ( attribute "DIFFERENTIAL_PAIR" "FMC_LA7" ( Origin gFrontEnd ) ) - ( objectFlag fObjectAlias ) + ( attribute "PNN" "FMC_LA*<7>" + ( Status sAliasFlattened ) + ( Origin gPackager ) + ) ( objectStatus "FMC_LA*<7>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(16)" @@ -3392,10 +3341,15 @@ ( objectStatus "page1_fmc_la*<16>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(16)" - ( attribute "BUS_NAME" "FMC_LA*" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(16)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(16)") ) + ( attribute "DIFFERENTIAL_PAIR" "TRIG2" ( Origin gFrontEnd ) ) - ( objectFlag fObjectAlias ) + ( attribute "PNN" "FMC_LA*<16>" + ( Status sAliasFlattened ) + ( Origin gPackager ) + ) ( objectStatus "FMC_LA*<16>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\beam_trigger_cfd*\(3)" @@ -3447,10 +3401,16 @@ ( objectStatus "page1_fmc_la*<27>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(27)" - ( attribute "BUS_NAME" "FMC_LA*" - ( Origin gFrontEnd ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(27)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(27)") ) + ( attribute "PNN" "FMC_LA*<27>" + ( Status sAliasFlattened ) + ( Origin gPackager ) + ) + ( attribute "DIFFERENTIAL_PAIR" "FMC_LA32" + ( Status sAliasFlattened ) + ( Origin gPackager ) ) - ( objectFlag fObjectAlias ) ( objectStatus "FMC_LA*<27>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(27)" @@ -3458,10 +3418,16 @@ ( objectStatus "page1_fmc_la<27>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(27)" - ( attribute "BUS_NAME" "FMC_LA" - ( Origin gFrontEnd ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(27)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(27)") ) + ( attribute "PNN" "FMC_LA<27>" + ( Status sAliasFlattened ) + ( Origin gPackager ) + ) + ( attribute "DIFFERENTIAL_PAIR" "FMC_LA32" + ( Status sAliasFlattened ) + ( Origin gPackager ) ) - ( objectFlag fObjectAlias ) ( objectStatus "FMC_LA<27>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(6)" @@ -3531,10 +3497,12 @@ ( objectStatus "page1_fmc_la*<18>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(18)" - ( attribute "BUS_NAME" "FMC_LA*" - ( Origin gFrontEnd ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(18)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(18)") ) + ( attribute "PNN" "FMC_LA*<18>" + ( Status sAliasFlattened ) + ( Origin gPackager ) ) - ( objectFlag fObjectAlias ) ( objectStatus "fmc_la*<18>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(18)" @@ -3542,10 +3510,12 @@ ( objectStatus "page1_fmc_la<18>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(18)" - ( attribute "BUS_NAME" "FMC_LA" - ( Origin gFrontEnd ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(18)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(18)") ) + ( attribute "PNN" "FMC_LA<18>" + ( Status sAliasFlattened ) + ( Origin gPackager ) ) - ( objectFlag fObjectAlias ) ( objectStatus "fmc_la<18>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):beam_trigger_cfd(3)" @@ -3667,10 +3637,12 @@ ( objectStatus "page1_fmc_la*<14>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(14)" - ( attribute "BUS_NAME" "FMC_LA*" - ( Origin gFrontEnd ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(14)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(14)") ) + ( attribute "PNN" "FMC_LA*<14>" + ( Status sAliasFlattened ) + ( Origin gPackager ) ) - ( objectFlag fObjectAlias ) ( objectStatus "fmc_la*<14>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(14)" @@ -3678,10 +3650,12 @@ ( objectStatus "page1_fmc_la<14>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(14)" - ( attribute "BUS_NAME" "FMC_LA" - ( Origin gFrontEnd ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(14)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(14)") ) + ( attribute "PNN" "FMC_LA<14>" + ( Status sAliasFlattened ) + ( Origin gPackager ) ) - ( objectFlag fObjectAlias ) ( objectStatus "fmc_la<14>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):beam_trigger_cfd(2)" @@ -3755,22 +3729,16 @@ ( objectStatus "page1_fmc_la*<0>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(0)" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(0)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(0)") ) - ( attribute "PNN" "FMC_LA*<0>" - ( Status sAliasFlattened ) - ( Origin gPackager ) - ) - ( attribute "DIFFERENTIAL_PAIR" "BEAM_TRIGGER_CFD0" - ( Status sAliasFlattened ) - ( Origin gPackager ) - ) ( attribute "PHYS_NET_NAME" "FMC_LA*<0>" ( Origin gPackager ) ) ( attribute "CDS_PHYS_NET_NAME" "FMC_LA*<0>" ( Origin gPackager ) ) + ( attribute "BUS_NAME" "FMC_LA*" + ( Origin gFrontEnd ) + ) + ( objectFlag fObjectAlias ) ( objectStatus "FMC_LA*<0>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):beam_trigger_cfd(1)" @@ -4074,10 +4042,10 @@ ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):p5v") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):p5v") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):p5v") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_p5v") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_p5v") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_p5v") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):\+5v_out\") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):p5v") ) ( attribute "CDS_PHYS_NET_NAME" "P5V" ( Origin gPackager ) ) @@ -4129,10 +4097,6 @@ ( objectFlag fObjectAlias ) ( objectStatus "p5v" ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_p5v" - ( objectFlag fObjectAlias ) - ( objectStatus "page4_p5v" ) - ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_p5v" ( objectFlag fObjectAlias ) ( objectStatus "page2_p5v" ) @@ -4144,11 +4108,8 @@ ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):\+5v_out\" ( objectFlag fObjectAlias ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):unnamed_4_capcersmdcl2_i9_b" - ( attribute "CDS_PHYS_NET_NAME" "UNNAMED_4_CAPCERSMDCL2_I9_B" - ( Origin gPackager ) - ) - ( objectStatus "UNNAMED_4_CAPCERSMDCL2_I9_B" ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):p5v" + ( objectFlag fObjectAlias ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):unnamed_1_ad5665r_i63_voutb" ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i32@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):\vin+\") ) @@ -4161,12 +4122,6 @@ ( objectFlag fObjectAlias ) ( objectStatus "vin+" ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):unnamed_4_capcersmdcl2_i8_b" - ( attribute "CDS_PHYS_NET_NAME" "UNNAMED_4_CAPCERSMDCL2_I8_B" - ( Origin gPackager ) - ) - ( objectStatus "UNNAMED_4_CAPCERSMDCL2_I8_B" ) - ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):unnamed_1_ad5665r_i63_voutc" ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i31@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):\vin+\") ) ( attribute "CDS_PHYS_NET_NAME" "UNNAMED_1_AD5665R_I63_VOUTC" @@ -4457,41 +4412,6 @@ ) ( objectStatus "IN<3>" ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):frame" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_frame") ) - ( attribute "CDS_PHYS_NET_NAME" "FRAME" - ( Origin gPackager ) - ) - ( objectStatus "FRAME" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_frame" - ( objectFlag fObjectAlias ) - ( objectStatus "page4_frame" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):gnd_hdmi1" - ( attribute "CDS_PHYS_NET_NAME" "GND_HDMI1" - ( Origin gPackager ) - ) - ( objectStatus "GND_HDMI1" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):gnd_hdmi2" - ( attribute "CDS_PHYS_NET_NAME" "GND_HDMI2" - ( Origin gPackager ) - ) - ( objectStatus "GND_HDMI2" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):unnamed_4_capcersmdcl2_i19_b" - ( attribute "CDS_PHYS_NET_NAME" "UNNAMED_4_CAPCERSMDCL2_I19_B" - ( Origin gPackager ) - ) - ( objectStatus "UNNAMED_4_CAPCERSMDCL2_I19_B" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):unnamed_4_capcersmdcl2_i22_b" - ( attribute "CDS_PHYS_NET_NAME" "UNNAMED_4_CAPCERSMDCL2_I22_B" - ( Origin gPackager ) - ) - ( objectStatus "UNNAMED_4_CAPCERSMDCL2_I22_B" ) - ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):unnamed_1_ad5665r_i63_voutd" ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i30@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):\vin+\") ) ( attribute "CDS_PHYS_NET_NAME" "UNNAMED_1_AD5665R_I63_VOUTD" @@ -4510,70 +4430,18 @@ ) ( objectStatus "UNNAMED_1_AD5665R_I63_VOUTA" ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\cont0*\" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig4") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_cont0*\") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(22)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(22)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(22)") ) - ( attribute "CDS_PHYS_NET_NAME" "CONT0*" - ( Origin gPackager ) - ) - ( attribute "PNN" "FMC_LA*<33>" - ( Status sAliasFlattened ) - ( Status sAliasConflict ) - ( Origin gPackager ) - ) - ( attribute "PHYS_NET_NAME" "CONT0*<32>" - ( Origin gPackager ) - ) - ( attribute "DIFFERENTIAL_PAIR" "FMC_LA22" - ( Origin gFrontEnd ) - ) - ( objectStatus "CONT0*" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig4" - ( objectFlag fObjectAlias ) - ( objectStatus "sig4" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_cont0*\" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_cont0*" ) - ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(22)" ( objectFlag fObjectAlias ) ( objectStatus "page1_fmc_la*<22>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(22)" - ( attribute "BUS_NAME" "FMC_LA*" - ( Origin gFrontEnd ) - ) - ( objectFlag fObjectAlias ) - ( objectStatus "FMC_LA*<22>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_trig1*\" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_trig1*" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\trig1*\" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_trig1*\") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(3)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(3)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(3)") ) - ( attribute "PNN" "FMC_LA*<32>" - ( Status sResolvedConflict ) - ( Origin gPackager ) - ) - ( attribute "PHYS_NET_NAME" "TRIG1*" - ( Origin gPackager ) - ) - ( attribute "CDS_PHYS_NET_NAME" "TRIG1*" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(22)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(22)") ) + ( attribute "PNN" "FMC_LA*<22>" + ( Status sAliasFlattened ) ( Origin gPackager ) ) - ( attribute "DIFFERENTIAL_PAIR" "BEAM_TRIGGER1" - ( Origin gFrontEnd ) - ) - ( objectStatus "TRIG1*" ) + ( objectStatus "FMC_LA*<22>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(26)" ( objectFlag fObjectAlias ) @@ -4619,100 +4487,18 @@ ) ( objectStatus "FMC_LA<26>" ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):cont0" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig3") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_cont0") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(22)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(22)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(22)") ) - ( attribute "CDS_PHYS_NET_NAME" "CONT0" - ( Origin gPackager ) - ) - ( attribute "PNN" "FMC_LA<33>" - ( Status sAliasFlattened ) - ( Status sAliasConflict ) - ( Origin gPackager ) - ) - ( attribute "PHYS_NET_NAME" "CONT0<32>" - ( Origin gPackager ) - ) - ( attribute "DIFFERENTIAL_PAIR" "FMC_LA22" - ( Origin gFrontEnd ) - ) - ( objectStatus "CONT0" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig3" - ( objectFlag fObjectAlias ) - ( objectStatus "sig3" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_cont0" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_cont0" ) - ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(22)" ( objectFlag fObjectAlias ) ( objectStatus "page1_fmc_la<22>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(22)" - ( attribute "BUS_NAME" "FMC_LA" - ( Origin gFrontEnd ) - ) - ( objectFlag fObjectAlias ) - ( objectStatus "FMC_LA<22>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_trig1" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_trig1" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):trig1" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_trig1") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(3)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(3)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(3)") ) - ( attribute "PNN" "FMC_LA<32>" - ( Status sResolvedConflict ) - ( Origin gPackager ) - ) - ( attribute "PHYS_NET_NAME" "TRIG1" - ( Origin gPackager ) - ) - ( attribute "CDS_PHYS_NET_NAME" "TRIG1" - ( Origin gPackager ) - ) - ( attribute "DIFFERENTIAL_PAIR" "BEAM_TRIGGER1" - ( Origin gFrontEnd ) - ) - ( objectStatus "TRIG1" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\clk1*\" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig3") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_clk1*\") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(27)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(27)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(27)") ) - ( attribute "CDS_PHYS_NET_NAME" "CLK1*" - ( Origin gPackager ) - ) - ( attribute "PNN" "FMC_LA*<21>" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(22)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(22)") ) + ( attribute "PNN" "FMC_LA<22>" ( Status sAliasFlattened ) - ( Status sAliasConflict ) ( Origin gPackager ) ) - ( attribute "PHYS_NET_NAME" "CLK1*<17>" - ( Origin gPackager ) - ) - ( attribute "DIFFERENTIAL_PAIR" "FMC_LA32" - ( Origin gFrontEnd ) - ) - ( objectStatus "CLK1*" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig3" - ( objectFlag fObjectAlias ) - ( objectStatus "sig3" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_clk1*\" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_clk1*" ) + ( objectStatus "FMC_LA<22>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(17)" ( objectFlag fObjectAlias ) @@ -4721,7 +4507,7 @@ ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(17)" ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(17)") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(17)") ) - ( attribute "DIFFERENTIAL_PAIR" "SPARE2" + ( attribute "DIFFERENTIAL_PAIR" "FMC_LA17" ( Origin gFrontEnd ) ) ( attribute "PNN" "FMC_LA*<17>" @@ -4741,10 +4527,12 @@ ( objectStatus "page1_fmc_la*<21>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(21)" - ( attribute "BUS_NAME" "FMC_LA*" - ( Origin gFrontEnd ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(21)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(21)") ) + ( attribute "PNN" "FMC_LA*<21>" + ( Status sAliasFlattened ) + ( Origin gPackager ) ) - ( objectFlag fObjectAlias ) ( objectStatus "FMC_LA*<21>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(21)" @@ -4752,41 +4540,13 @@ ( objectStatus "page1_fmc_la<21>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(21)" - ( attribute "BUS_NAME" "FMC_LA" - ( Origin gFrontEnd ) - ) - ( objectFlag fObjectAlias ) - ( objectStatus "FMC_LA<21>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):clk1" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig2") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_clk1") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(27)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(27)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(27)") ) - ( attribute "CDS_PHYS_NET_NAME" "CLK1" - ( Origin gPackager ) - ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(21)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(21)") ) ( attribute "PNN" "FMC_LA<21>" ( Status sAliasFlattened ) - ( Status sAliasConflict ) - ( Origin gPackager ) - ) - ( attribute "PHYS_NET_NAME" "CLK1<17>" ( Origin gPackager ) ) - ( attribute "DIFFERENTIAL_PAIR" "FMC_LA32" - ( Origin gFrontEnd ) - ) - ( objectStatus "CLK1" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig2" - ( objectFlag fObjectAlias ) - ( objectStatus "sig2" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_clk1" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_clk1" ) + ( objectStatus "FMC_LA<21>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(17)" ( objectFlag fObjectAlias ) @@ -4795,7 +4555,7 @@ ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(17)" ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(17)") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(17)") ) - ( attribute "DIFFERENTIAL_PAIR" "SPARE2" + ( attribute "DIFFERENTIAL_PAIR" "FMC_LA17" ( Origin gFrontEnd ) ) ( attribute "PNN" "FMC_LA<17>" @@ -4810,36 +4570,6 @@ ) ( objectStatus "FMC_LA<17>" ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\busy1*\" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig4") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_busy1*\") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(14)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(14)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(14)") ) - ( attribute "CDS_PHYS_NET_NAME" "BUSY1*" - ( Origin gPackager ) - ) - ( attribute "PNN" "FMC_LA*<28>" - ( Status sAliasFlattened ) - ( Status sAliasConflict ) - ( Origin gPackager ) - ) - ( attribute "PHYS_NET_NAME" "BUSY1*<23>" - ( Origin gPackager ) - ) - ( attribute "DIFFERENTIAL_PAIR" "FMC_LA14" - ( Origin gFrontEnd ) - ) - ( objectStatus "BUSY1*" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig4" - ( objectFlag fObjectAlias ) - ( objectStatus "sig4" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_busy1*\" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_busy1*" ) - ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(10)" ( objectFlag fObjectAlias ) ( objectStatus "page1_fmc_la*<10>" ) @@ -4847,7 +4577,7 @@ ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(10)" ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(10)") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(10)") ) - ( attribute "DIFFERENTIAL_PAIR" "TRIG2" + ( attribute "DIFFERENTIAL_PAIR" "FMC_LA10" ( Origin gFrontEnd ) ) ( attribute "PNN" "FMC_LA*<10>" @@ -4867,41 +4597,16 @@ ( objectStatus "page1_fmc_la*<15>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(15)" - ( attribute "BUS_NAME" "FMC_LA*" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(15)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(15)") ) + ( attribute "DIFFERENTIAL_PAIR" "FMC_LA15" ( Origin gFrontEnd ) ) - ( objectFlag fObjectAlias ) - ( objectStatus "FMC_LA*<15>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):busy1" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig5") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_busy1") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(14)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(14)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(14)") ) - ( attribute "CDS_PHYS_NET_NAME" "BUSY1" - ( Origin gPackager ) - ) - ( attribute "PNN" "FMC_LA<28>" + ( attribute "PNN" "FMC_LA*<15>" ( Status sAliasFlattened ) - ( Status sAliasConflict ) ( Origin gPackager ) ) - ( attribute "PHYS_NET_NAME" "BUSY1<23>" - ( Origin gPackager ) - ) - ( attribute "DIFFERENTIAL_PAIR" "FMC_LA14" - ( Origin gFrontEnd ) - ) - ( objectStatus "BUSY1" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig5" - ( objectFlag fObjectAlias ) - ( objectStatus "sig5" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_busy1" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_busy1" ) + ( objectStatus "FMC_LA*<15>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(15)" ( objectFlag fObjectAlias ) @@ -4925,121 +4630,39 @@ ) ( objectStatus "FMC_LA<15>" ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\busy0*\" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig5") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_busy0*\") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(19)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(19)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(19)") ) - ( attribute "CDS_PHYS_NET_NAME" "BUSY0*" - ( Origin gPackager ) - ) - ( attribute "PNN" "FMC_LA*<6>" - ( Status sAliasFlattened ) - ( Status sAliasConflict ) - ( Origin gPackager ) - ) - ( attribute "DIFFERENTIAL_PAIR" "BEAM_TRIGGER_CFD3" - ( Status sAliasFlattened ) - ( Status sAliasConflict ) - ( Origin gPackager ) - ) - ( attribute "PHYS_NET_NAME" "BUSY0*<31>" - ( Origin gPackager ) - ) - ( objectStatus "BUSY0*" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig5" - ( objectFlag fObjectAlias ) - ( objectStatus "sig5" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_busy0*\" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_busy0*" ) - ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(19)" ( objectFlag fObjectAlias ) ( objectStatus "page1_fmc_la*<19>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(19)" - ( attribute "BUS_NAME" "FMC_LA*" - ( Origin gFrontEnd ) - ) - ( objectFlag fObjectAlias ) - ( objectStatus "FMC_LA*<19>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):busy0" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig2") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_busy0") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(19)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(19)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(19)") ) - ( attribute "CDS_PHYS_NET_NAME" "BUSY0" - ( Origin gPackager ) - ) - ( attribute "PNN" "FMC_LA<6>" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(19)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(19)") ) + ( attribute "PNN" "FMC_LA*<19>" ( Status sAliasFlattened ) - ( Status sAliasConflict ) ( Origin gPackager ) ) - ( attribute "DIFFERENTIAL_PAIR" "BEAM_TRIGGER_CFD3" + ( attribute "DIFFERENTIAL_PAIR" "TRIG1" ( Status sAliasFlattened ) - ( Status sAliasConflict ) - ( Origin gPackager ) - ) - ( attribute "PHYS_NET_NAME" "BUSY0<31>" ( Origin gPackager ) ) - ( objectStatus "BUSY0" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig2" - ( objectFlag fObjectAlias ) - ( objectStatus "sig2" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_busy0" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_busy0" ) + ( objectStatus "FMC_LA*<19>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(19)" ( objectFlag fObjectAlias ) ( objectStatus "page1_fmc_la<19>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(19)" - ( attribute "BUS_NAME" "FMC_LA" - ( Origin gFrontEnd ) - ) - ( objectFlag fObjectAlias ) - ( objectStatus "FMC_LA<19>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\spare1*\" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig1") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_spare1*\") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(8)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(8)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(8)") ) - ( attribute "CDS_PHYS_NET_NAME" "SPARE1*" - ( Origin gPackager ) - ) - ( attribute "PNN" "FMC_LA*<30>" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(19)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(19)") ) + ( attribute "PNN" "FMC_LA<19>" ( Status sAliasFlattened ) - ( Status sAliasConflict ) ( Origin gPackager ) ) - ( attribute "PHYS_NET_NAME" "SPARE1*<26>" + ( attribute "DIFFERENTIAL_PAIR" "TRIG1" + ( Status sAliasFlattened ) ( Origin gPackager ) ) - ( attribute "DIFFERENTIAL_PAIR" "CONT2" - ( Origin gFrontEnd ) - ) - ( objectStatus "SPARE1*" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig1" - ( objectFlag fObjectAlias ) - ( objectStatus "sig1" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_spare1*\" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_spare1*" ) + ( objectStatus "FMC_LA<19>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(9)" ( objectFlag fObjectAlias ) @@ -5068,41 +4691,16 @@ ( objectStatus "page1_fmc_la*<20>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(20)" - ( attribute "BUS_NAME" "FMC_LA*" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(20)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(20)") ) + ( attribute "DIFFERENTIAL_PAIR" "SPARE1" ( Origin gFrontEnd ) ) - ( objectFlag fObjectAlias ) - ( objectStatus "FMC_LA*<20>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):spare1" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig0") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_spare1") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(8)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(8)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(8)") ) - ( attribute "CDS_PHYS_NET_NAME" "SPARE1" - ( Origin gPackager ) - ) - ( attribute "PNN" "FMC_LA<30>" + ( attribute "PNN" "FMC_LA*<20>" ( Status sAliasFlattened ) - ( Status sAliasConflict ) - ( Origin gPackager ) - ) - ( attribute "PHYS_NET_NAME" "SPARE1<26>" ( Origin gPackager ) ) - ( attribute "DIFFERENTIAL_PAIR" "CONT2" - ( Origin gFrontEnd ) - ) - ( objectStatus "SPARE1" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig0" - ( objectFlag fObjectAlias ) - ( objectStatus "sig0" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_spare1" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_spare1" ) + ( objectStatus "FMC_LA*<20>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(9)" ( objectFlag fObjectAlias ) @@ -5131,535 +4729,24 @@ ( objectStatus "page1_fmc_la<20>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(20)" - ( attribute "BUS_NAME" "FMC_LA" - ( Origin gFrontEnd ) - ) - ( objectFlag fObjectAlias ) - ( objectStatus "FMC_LA<20>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):unnamed_4_con19p_i2_a" - ( attribute "CDS_PHYS_NET_NAME" "UNNAMED_4_CON19P_I2_A" - ( Origin gPackager ) - ) - ( objectStatus "UNNAMED_4_CON19P_I2_A" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):cont1" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig6") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_cont1") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(18)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(18)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(18)") ) - ( attribute "CDS_PHYS_NET_NAME" "CONT1" - ( Origin gPackager ) - ) - ( attribute "PNN" "FMC_LA<24>" - ( Status sAliasFlattened ) - ( Status sAliasConflict ) - ( Origin gPackager ) - ) - ( attribute "PHYS_NET_NAME" "CONT1<18>" - ( Origin gPackager ) - ) - ( attribute "DIFFERENTIAL_PAIR" "FMC_LA18" - ( Origin gFrontEnd ) - ) - ( objectStatus "CONT1" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig6" - ( objectFlag fObjectAlias ) - ( objectStatus "sig6" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_cont1" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_cont1" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\cont1*\" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig7") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_cont1*\") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(18)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(18)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(18)") ) - ( attribute "CDS_PHYS_NET_NAME" "CONT1*" - ( Origin gPackager ) - ) - ( attribute "PNN" "FMC_LA*<16>" - ( Status sAliasFlattened ) - ( Status sAliasConflict ) - ( Origin gPackager ) - ) - ( attribute "PHYS_NET_NAME" "CONT1*<18>" - ( Origin gPackager ) - ) - ( attribute "DIFFERENTIAL_PAIR" "FMC_LA18" - ( Origin gFrontEnd ) - ) - ( objectStatus "CONT1*" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig7" - ( objectFlag fObjectAlias ) - ( objectStatus "sig7" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_cont1*\" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_cont1*" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):dut_clk0" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig6") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_dut_clk0") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(21)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(21)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(21)") ) - ( attribute "CDS_PHYS_NET_NAME" "DUT_CLK0" - ( Origin gPackager ) - ) - ( attribute "PNN" "FMC_LA<31>" - ( Status sAliasFlattened ) - ( Status sAliasConflict ) - ( Origin gPackager ) - ) - ( attribute "PHYS_NET_NAME" "DUT_CLK0<33>" - ( Origin gPackager ) - ) - ( attribute "DIFFERENTIAL_PAIR" "FMC_LA21" - ( Origin gFrontEnd ) - ) - ( objectStatus "DUT_CLK0" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig6" - ( objectFlag fObjectAlias ) - ( objectStatus "sig6" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_dut_clk0" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_dut_clk0" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\dut_clk0*\" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig7") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_dut_clk0*\") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(21)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(21)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(21)") ) - ( attribute "CDS_PHYS_NET_NAME" "DUT_CLK0*" - ( Origin gPackager ) - ) - ( attribute "PNN" "FMC_LA*<31>" - ( Status sAliasFlattened ) - ( Status sAliasConflict ) - ( Origin gPackager ) - ) - ( attribute "PHYS_NET_NAME" "DUT_CLK0*<33>" - ( Origin gPackager ) - ) - ( attribute "DIFFERENTIAL_PAIR" "FMC_LA21" - ( Origin gFrontEnd ) - ) - ( objectStatus "DUT_CLK0*" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig7" - ( objectFlag fObjectAlias ) - ( objectStatus "sig7" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_dut_clk0*\" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_dut_clk0*" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):trig0" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig0") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_trig0") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(20)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(20)") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(20)") ) - ( attribute "CDS_PHYS_NET_NAME" "TRIG0" - ( Origin gPackager ) - ) - ( attribute "PNN" "FMC_LA<10>" - ( Status sAliasFlattened ) - ( Status sAliasConflict ) - ( Origin gPackager ) - ) - ( attribute "PHYS_NET_NAME" "TRIG0<30>" - ( Origin gPackager ) - ) - ( attribute "DIFFERENTIAL_PAIR" "SPARE1" - ( Origin gFrontEnd ) - ) - ( objectStatus "TRIG0" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig0" - ( objectFlag fObjectAlias ) - ( objectStatus "sig0" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_trig0" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_trig0" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\trig0*\" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig1") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_trig0*\") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(20)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(20)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(20)") ) - ( attribute "CDS_PHYS_NET_NAME" "TRIG0*" - ( Origin gPackager ) - ) - ( attribute "PNN" "FMC_LA*<10>" - ( Status sAliasFlattened ) - ( Status sAliasConflict ) - ( Origin gPackager ) - ) - ( attribute "PHYS_NET_NAME" "TRIG0*<30>" - ( Origin gPackager ) - ) ( attribute "DIFFERENTIAL_PAIR" "SPARE1" ( Origin gFrontEnd ) ) - ( objectStatus "TRIG0*" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig1" - ( objectFlag fObjectAlias ) - ( objectStatus "sig1" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_trig0*\" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_trig0*" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):hdmi_power_enable1" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_hdmi_power_enable1") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(4)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(4)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(4)") ) - ( attribute "CDS_PHYS_NET_NAME" "HDMI_POWER_ENABLE1" - ( Origin gPackager ) - ) - ( attribute "PHYS_NET_NAME" "HDMI_POWER_ENABLE1<11>" - ( Origin gPackager ) - ) - ( attribute "PNN" "FMC_LA<11>" - ( Status sAliasFlattened ) - ( Status sAliasConflict ) - ( Origin gPackager ) - ) - ( attribute "DIFFERENTIAL_PAIR" "BEAM_TRIGGER_CFD2" - ( Origin gFrontEnd ) - ) - ( objectStatus "HDMI_POWER_ENABLE1" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_hdmi_power_enable1" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_hdmi_power_enable1" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):unnamed_4_74lvc1g07_i28_y" - ( attribute "CDS_PHYS_NET_NAME" "UNNAMED_4_74LVC1G07_I28_Y" - ( Origin gPackager ) - ) - ( objectStatus "UNNAMED_4_74LVC1G07_I28_Y" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\busy2*\" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig4") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_busy2*\") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(12)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(12)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(12)") ) - ( attribute "CDS_PHYS_NET_NAME" "BUSY2*" - ( Origin gPackager ) - ) - ( attribute "PNN" "FMC_LA*<7>" - ( Status sAliasFlattened ) - ( Status sAliasConflict ) - ( Origin gPackager ) - ) - ( attribute "PHYS_NET_NAME" "BUSY2*<10>" - ( Origin gPackager ) - ) - ( attribute "DIFFERENTIAL_PAIR" "CONT1" - ( Status sResolvedConflict ) - ( Origin gFrontEnd ) - ) - ( objectStatus "BUSY2*" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig4" - ( objectFlag fObjectAlias ) - ( objectStatus "sig4" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_busy2*\" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_busy2*" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):clk2" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig2") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_clk2") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(2)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(2)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(2)") ) - ( attribute "CDS_PHYS_NET_NAME" "CLK2" - ( Origin gPackager ) - ) - ( attribute "PNN" "FMC_LA<4>" - ( Status sAliasFlattened ) - ( Status sAliasConflict ) - ( Origin gPackager ) - ) - ( attribute "DIFFERENTIAL_PAIR" "BEAM_TRIGGER_CFD2" - ( Status sAliasFlattened ) - ( Status sAliasConflict ) - ( Origin gPackager ) - ) - ( attribute "PHYS_NET_NAME" "CLK2<6>" - ( Origin gPackager ) - ) - ( objectStatus "CLK2" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig2" - ( objectFlag fObjectAlias ) - ( objectStatus "sig2" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_clk2" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_clk2" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\clk2*\" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig3") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_clk2*\") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(2)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(2)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(2)") ) - ( attribute "CDS_PHYS_NET_NAME" "CLK2*" - ( Origin gPackager ) - ) - ( attribute "PNN" "FMC_LA*<4>" - ( Status sAliasFlattened ) - ( Status sAliasConflict ) - ( Origin gPackager ) - ) - ( attribute "DIFFERENTIAL_PAIR" "BEAM_TRIGGER_CFD2" - ( Status sAliasFlattened ) - ( Status sAliasConflict ) - ( Origin gPackager ) - ) - ( attribute "PHYS_NET_NAME" "CLK2*<6>" - ( Origin gPackager ) - ) - ( objectStatus "CLK2*" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig3" - ( objectFlag fObjectAlias ) - ( objectStatus "sig3" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_clk2*\" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_clk2*" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):cont2" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig6") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_cont2") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(7)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(7)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(7)") ) - ( attribute "CDS_PHYS_NET_NAME" "CONT2" - ( Origin gPackager ) - ) - ( attribute "PNN" "FMC_LA<8>" - ( Status sAliasFlattened ) - ( Status sAliasConflict ) - ( Origin gPackager ) - ) - ( attribute "PHYS_NET_NAME" "CONT2<9>" - ( Origin gPackager ) - ) - ( attribute "DIFFERENTIAL_PAIR" "BEAM_TRIGGER3" - ( Origin gFrontEnd ) - ) - ( objectStatus "CONT2" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig6" - ( objectFlag fObjectAlias ) - ( objectStatus "sig6" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_cont2" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_cont2" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\cont2*\" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig7") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_cont2*\") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(7)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(7)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(7)") ) - ( attribute "CDS_PHYS_NET_NAME" "CONT2*" - ( Origin gPackager ) - ) - ( attribute "PNN" "FMC_LA*<8>" - ( Status sAliasFlattened ) - ( Status sAliasConflict ) - ( Origin gPackager ) - ) - ( attribute "PHYS_NET_NAME" "CONT2*<9>" - ( Origin gPackager ) - ) - ( attribute "DIFFERENTIAL_PAIR" "BEAM_TRIGGER3" - ( Origin gFrontEnd ) - ) - ( objectStatus "CONT2*" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig7" - ( objectFlag fObjectAlias ) - ( objectStatus "sig7" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_cont2*\" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_cont2*" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):hdmi_power_enable2" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_hdmi_power_enable2") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(15)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(15)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(15)") ) - ( attribute "CDS_PHYS_NET_NAME" "HDMI_POWER_ENABLE2" - ( Origin gPackager ) - ) - ( attribute "PHYS_NET_NAME" "HDMI_POWER_ENABLE2<11>" - ( Origin gPackager ) - ) - ( attribute "DIFFERENTIAL_PAIR" "BUSY1" - ( Origin gFrontEnd ) - ) - ( attribute "PNN" "FMC_LA*<15>" - ( Status sAliasFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "HDMI_POWER_ENABLE2" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_hdmi_power_enable2" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_hdmi_power_enable2" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):spare2" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig0") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_spare2") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(11)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(11)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(11)") ) - ( attribute "CDS_PHYS_NET_NAME" "SPARE2" - ( Origin gPackager ) - ) - ( attribute "PNN" "FMC_LA<17>" - ( Status sAliasFlattened ) - ( Status sAliasConflict ) - ( Origin gPackager ) - ) - ( attribute "PHYS_NET_NAME" "SPARE2<13>" - ( Origin gPackager ) - ) - ( attribute "DIFFERENTIAL_PAIR" "FMC_LA11" - ( Origin gFrontEnd ) - ) - ( objectStatus "SPARE2" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig0" - ( objectFlag fObjectAlias ) - ( objectStatus "sig0" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_spare2" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_spare2" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\spare2*\" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig1") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_spare2*\") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(11)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(11)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(11)") ) - ( attribute "CDS_PHYS_NET_NAME" "SPARE2*" - ( Origin gPackager ) - ) - ( attribute "PNN" "FMC_LA*<17>" - ( Status sAliasFlattened ) - ( Status sAliasConflict ) - ( Origin gPackager ) - ) - ( attribute "PHYS_NET_NAME" "SPARE2*<13>" - ( Origin gPackager ) - ) - ( objectStatus "SPARE2*" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig1" - ( objectFlag fObjectAlias ) - ( objectStatus "sig1" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_spare2*\" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_spare2*" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):trig2" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_trig2") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(16)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(16)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(16)") ) - ( attribute "CDS_PHYS_NET_NAME" "TRIG2" - ( Origin gPackager ) - ) - ( attribute "PNN" "FMC_LA<9>" + ( attribute "PNN" "FMC_LA<20>" ( Status sAliasFlattened ) - ( Status sAliasConflict ) - ( Origin gPackager ) - ) - ( attribute "PHYS_NET_NAME" "TRIG2<14>" ( Origin gPackager ) ) - ( attribute "DIFFERENTIAL_PAIR" "FMC_LA16" - ( Origin gFrontEnd ) - ) - ( objectStatus "TRIG2" ) + ( objectStatus "FMC_LA<20>" ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_trig2" + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_hdmi_power_enable(0)" ( objectFlag fObjectAlias ) - ( objectStatus "page1_trig2" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\trig2*\" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_trig2*\") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(16)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(16)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(16)") ) - ( attribute "CDS_PHYS_NET_NAME" "TRIG2*" - ( Origin gPackager ) - ) - ( attribute "PNN" "FMC_LA*<9>" - ( Status sAliasFlattened ) - ( Status sAliasConflict ) - ( Origin gPackager ) - ) - ( attribute "PHYS_NET_NAME" "TRIG2*<14>" - ( Origin gPackager ) - ) - ( objectStatus "TRIG2*" ) + ( objectStatus "page1_hdmi_power_enable<0>" ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_trig2*\" + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):hdmi_power_enable(0)" ( objectFlag fObjectAlias ) - ( objectStatus "page1_trig2*" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):unnamed_4_74lvc1g07_i40_y" - ( attribute "CDS_PHYS_NET_NAME" "UNNAMED_4_74LVC1G07_I40_Y" - ( Origin gPackager ) - ) - ( objectStatus "UNNAMED_4_74LVC1G07_I40_Y" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):unnamed_4_capcersmdcl2_i41_b" - ( attribute "CDS_PHYS_NET_NAME" "UNNAMED_4_CAPCERSMDCL2_I41_B" - ( Origin gPackager ) - ) - ( objectStatus "UNNAMED_4_CAPCERSMDCL2_I41_B" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):unnamed_4_capcersmdcl2_i42_b" - ( attribute "CDS_PHYS_NET_NAME" "UNNAMED_4_CAPCERSMDCL2_I42_B" - ( Origin gPackager ) - ) - ( objectStatus "UNNAMED_4_CAPCERSMDCL2_I42_B" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):unnamed_4_con19p_i3_a" - ( attribute "CDS_PHYS_NET_NAME" "UNNAMED_4_CON19P_I3_A" - ( Origin gPackager ) - ) - ( objectStatus "UNNAMED_4_CON19P_I3_A" ) + ( objectStatus "hdmi_power_enable<0>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_vbus" ( objectStatus "page4_vbus" ) @@ -5682,30 +4769,6 @@ ) ( objectStatus "UNNAMED_1_24AA025E48_I8_A2" ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):ctrig1" - ( attribute "CDS_PHYS_NET_NAME" "CTRIG1" - ( Origin gPackager ) - ) - ( objectStatus "CTRIG1" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\ctrig1*\" - ( attribute "CDS_PHYS_NET_NAME" "CTRIG1*" - ( Origin gPackager ) - ) - ( objectStatus "CTRIG1*" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):ctrig2" - ( attribute "CDS_PHYS_NET_NAME" "CTRIG2" - ( Origin gPackager ) - ) - ( objectStatus "CTRIG2" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\ctrig2*\" - ( attribute "CDS_PHYS_NET_NAME" "CTRIG2*" - ( Origin gPackager ) - ) - ( objectStatus "CTRIG2*" ) - ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):front_panel_clk" ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_clk0_m2c") ) ( attribute "CDS_PHYS_NET_NAME" "FRONT_PANEL_CLK" @@ -5763,6 +4826,9 @@ ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(0)") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(0)") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(0)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_cont_from_fpga(0)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):cont_from_fpga(0)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):cont_from_fpga") ) ( attribute "PHYS_NET_NAME" "GPIO_CLK<29>" ( Origin gPackager ) ) @@ -5773,7 +4839,7 @@ ( attribute "CDS_PHYS_NET_NAME" "FMC_LA<29>" ( Origin gPackager ) ) - ( attribute "DIFFERENTIAL_PAIR" "BEAM_TRIGGER_CFD0" + ( attribute "DIFFERENTIAL_PAIR" "FMC_LA0" ( Origin gFrontEnd ) ) ( objectStatus "FMC_LA<29>" ) @@ -5927,106 +4993,445 @@ ) ( objectStatus "UNNAMED_1_CAPCERSMDCL2_I81_A_6" ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):page1_i1" - ( attribute "CDS_LIB" "cnconnector" + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):busy_from_fpga" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):clk_from_fpga" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):spare_from_fpga" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):enable_spare_from_fpga" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_commonmodelinefilter_i80_2" + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_commonmodelinefilter_i80_1" + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_capcersmdcl2_i8_b" + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_capcersmdcl2_i9_b" + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):enable_clk_to_dut" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_capn4i_i83_a" + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):busy" + ( attribute "PHYS_NET_NAME" "BUSY" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-150,1000,150,-1050" + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):\busy*\" + ( attribute "PHYS_NET_NAME" "BUSY*" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "<< NULL >>" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "1" + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):clk" + ( attribute "PHYS_NET_NAME" "CLK" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) - ( attribute "ROT" "0" + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):\clk*\" + ( attribute "PHYS_NET_NAME" "CLK*" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) - ( attribute "TYPE" "ASP-134606-01" + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):cont" + ( attribute "PHYS_NET_NAME" "CONT" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) - ( attribute "VER" "2" + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):\cont*\" + ( attribute "PHYS_NET_NAME" "CONT*" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) - ( attribute "XY" "(1525,3625)" + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):spare" + ( attribute "PHYS_NET_NAME" "SPARE" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) - ( attribute "CHIPS_PART_NAME" "CON160P_40CDGH" + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):\spare*\" + ( attribute "PHYS_NET_NAME" "SPARE*" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "ASP-134606-01" + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):trig" + ( attribute "PHYS_NET_NAME" "TRIG" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_SEC" "2" + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):\trig*\" + ( attribute "PHYS_NET_NAME" "TRIG*" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "LOCATION" "J4" + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):clk_to_dut" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):\clk_to_dut*\" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):hdmi_power" + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):hdmi_power_enable" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):busy_to_fpga" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_capcersmdcl2_i88_a" + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):trig_to_fpga" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):clk_to_fpga" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):cont_from_fpga" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):gnd_hdmi" + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):enable_busy_from_fpga" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):enable_clk_from_fpga" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):enable_cont_from_fpga" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):enable_trig_from_fpga" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):hdmi_clk" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):\hdmi_clk*\" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):spare_to_fpga" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):trig_from_fpga" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):cont_to_fpga" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_capn4i_i112_a" + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_capn4i_i124_a" + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_capn4i_i136_a" + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_capn4i_i148_a" + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_commonmodelinefilter_i109_1" + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_commonmodelinefilter_i109_2" + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_commonmodelinefilter_i121_1" + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_commonmodelinefilter_i121_2" + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_commonmodelinefilter_i133_1" + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_commonmodelinefilter_i133_2" + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):busy_from_fpga(0)" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):busy_from_fpga") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_busy_from_fpga(0)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(3)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(3)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(3)") ) + ( attribute "DIFFERENTIAL_PAIR" "BUSY0" + ( Status sResolvedConflict ) ( Origin gFrontEnd ) ) - ( attribute "SEC" "2" - ( Status sBlockFlattened ) + ( attribute "PNN" "FMC_LA<3>" + ( Status sAliasFlattened ) ( Origin gPackager ) ) - ( objectStatus "J4" ) - ( pin "g(1)" - ( attribute "PN" "G1" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "J4.G1" ) - ) - ( pin "g(2)" - ( attribute "PN" "G2" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "J4.G2" ) + ( objectStatus "busy_from_fpga<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):busy_to_fpga(0)" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):busy_to_fpga") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_busy_to_fpga(0)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(3)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(3)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(3)") ) + ( attribute "DIFFERENTIAL_PAIR" "BUSY0" + ( Status sResolvedConflict ) + ( Origin gFrontEnd ) ) - ( pin "g(3)" - ( attribute "PN" "G3" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "J4.G3" ) + ( attribute "PNN" "FMC_LA*<3>" + ( Status sAliasFlattened ) + ( Origin gPackager ) ) - ( pin "g(4)" - ( attribute "PN" "G4" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "J4.G4" ) + ( objectStatus "busy_to_fpga<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):clk_from_fpga(0)" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):clk_from_fpga") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_clk_from_fpga(0)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(4)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(4)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(4)") ) + ( attribute "DIFFERENTIAL_PAIR" "FMC_LA4" + ( Origin gFrontEnd ) ) - ( pin "g(5)" - ( attribute "PN" "G5" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "J4.G5" ) + ( attribute "PNN" "FMC_LA<4>" + ( Status sAliasFlattened ) + ( Origin gPackager ) ) - ( pin "g(6)" - ( attribute "PN" "G6" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "J4.G6" ) + ( objectStatus "clk_from_fpga<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):clk_to_dut(0)" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):clk_to_dut") ) + ( objectStatus "clk_to_dut<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\clk_to_dut*\(0)" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):\clk_to_dut*\") ) + ( objectStatus "clk_to_dut*<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):clk_to_fpga(0)" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):clk_to_fpga") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_clk_to_fpga(0)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(4)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(4)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(4)") ) + ( attribute "DIFFERENTIAL_PAIR" "FMC_LA4" + ( Origin gFrontEnd ) ) - ( pin "g(7)" - ( attribute "PN" "G7" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "J4.G7" ) + ( attribute "PNN" "FMC_LA*<4>" + ( Status sAliasFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "clk_to_fpga<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):cont_from_fpga(0)" + ( objectFlag fObjectAlias ) + ( objectStatus "cont_from_fpga<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):cont_to_fpga(0)" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):cont_to_fpga") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_cont_to_fpga(0)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(0)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(0)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(0)") ) + ( attribute "DIFFERENTIAL_PAIR" "FMC_LA0" + ( Origin gFrontEnd ) + ) + ( attribute "PNN" "FMC_LA*<0>" + ( Status sAliasFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "cont_to_fpga<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):enable_busy_from_fpga(0)" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):enable_busy_from_fpga") ) + ( objectStatus "enable_busy_from_fpga<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):enable_clk_from_fpga(0)" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):enable_clk_from_fpga") ) + ( objectStatus "enable_clk_from_fpga<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):enable_clk_to_dut(0)" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):enable_clk_to_dut") ) + ( objectStatus "enable_clk_to_dut<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):enable_cont_from_fpga(0)" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):enable_cont_from_fpga") ) + ( objectStatus "enable_cont_from_fpga<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):enable_spare_from_fpga(0)" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):enable_spare_from_fpga") ) + ( objectStatus "enable_spare_from_fpga<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):enable_trig_from_fpga(0)" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):enable_trig_from_fpga") ) + ( objectStatus "enable_trig_from_fpga<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):hdmi_clk(0)" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):hdmi_clk") ) + ( objectStatus "hdmi_clk<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\hdmi_clk*\(0)" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):\hdmi_clk*\") ) + ( objectStatus "hdmi_clk*<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\@fmc_tlu_v1_lib.fmc_tlu_toplevel_c\(sch_1):hdmi_power_enable(0)" + ( objectStatus "hdmi_power_enable" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):spare_from_fpga(0)" + ( objectFlag fObjectAlias ) + ( objectStatus "spare_from_fpga<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):spare_to_fpga(0)" + ( objectFlag fObjectAlias ) + ( objectStatus "spare_to_fpga<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):trig_from_fpga(0)" + ( objectFlag fObjectAlias ) + ( objectStatus "trig_from_fpga<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):trig_to_fpga(0)" + ( objectFlag fObjectAlias ) + ( objectStatus "trig_to_fpga<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_busy_from_fpga(0)" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_busy_from_fpga<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_busy_to_fpga(0)" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_busy_to_fpga<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_clk_from_fpga(0)" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_clk_from_fpga<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_clk_to_fpga(0)" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_clk_to_fpga<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_cont_from_fpga(0)" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_cont_from_fpga<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_cont_to_fpga(0)" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_cont_to_fpga<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_spare_from_fpga(0)" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_spare_from_fpga<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_spare_to_fpga(0)" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_spare_to_fpga<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_trig_from_fpga(0)" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_trig_from_fpga<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_trig_to_fpga(0)" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_trig_to_fpga<0>" ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):page1_i1" + ( attribute "CDS_LIB" "cnconnector" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_LMAN_SYM_OUTLINE" "-150,1000,150,-1050" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "<< NULL >>" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "TYPE" "ASP-134606-01" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VER" "2" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(1525,3625)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CON160P_40CDGH" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "ASP-134606-01" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "LOCATION" "J4" + ( Origin gFrontEnd ) + ) + ( attribute "SEC" "2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "J4" ) + ( pin "g(1)" + ( attribute "PN" "G1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "J4.G1" ) + ) + ( pin "g(2)" + ( attribute "PN" "G2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "J4.G2" ) + ) + ( pin "g(3)" + ( attribute "PN" "G3" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "J4.G3" ) + ) + ( pin "g(4)" + ( attribute "PN" "G4" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "J4.G4" ) + ) + ( pin "g(5)" + ( attribute "PN" "G5" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "J4.G5" ) + ) + ( pin "g(6)" + ( attribute "PN" "G6" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "J4.G6" ) + ) + ( pin "g(7)" + ( attribute "PN" "G7" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "J4.G7" ) ) ( pin "g(8)" ( attribute "PN" "G8" @@ -9050,164 +8455,6 @@ ( objectStatus "IC2_1.15" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i31" - ( attribute "CHIPS_PART_NAME" "PCOAX" - ( Origin gPackager ) - ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i2" - ( attribute "CDS_LIB" "cnconnector" - ( Origin gPackager ) - ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "0,25,150,-475" - ( Origin gPackager ) - ) - ( attribute "PHYS_PAGE" "2" - ( Origin gFrontEnd ) - ) - ( attribute "ROT" "0" - ( Origin gFrontEnd ) - ) - ( attribute "TYPE" "MHDMI-19-02-H-TH-L-TR" - ( Origin gFrontEnd ) - ) - ( attribute "VER" "1" - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(-250,250)" - ( Origin gFrontEnd ) - ) - ( attribute "CHIPS_PART_NAME" "CON19P" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "CON19P-MHDMI-19-02-H-TH-L-TR-GA" - ( Origin gPackager ) - ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "J1" - ( Origin gPackager ) - ) - ( attribute "CDS_LOCATION" "J1" - ( Origin gPackager ) - ) - ( objectStatus "J1" ) - ( pin "a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) - ) - ( objectStatus "J1.1" ) - ) - ( pin "a(1)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "J1.2" ) - ) - ( pin "a(2)" - ( attribute "PN" "3" - ( Origin gPackager ) - ) - ( objectStatus "J1.3" ) - ) - ( pin "a(3)" - ( attribute "PN" "4" - ( Origin gPackager ) - ) - ( objectStatus "J1.4" ) - ) - ( pin "a(4)" - ( attribute "PN" "5" - ( Origin gPackager ) - ) - ( objectStatus "J1.5" ) - ) - ( pin "a(5)" - ( attribute "PN" "6" - ( Origin gPackager ) - ) - ( objectStatus "J1.6" ) - ) - ( pin "a(6)" - ( attribute "PN" "7" - ( Origin gPackager ) - ) - ( objectStatus "J1.7" ) - ) - ( pin "a(7)" - ( attribute "PN" "8" - ( Origin gPackager ) - ) - ( objectStatus "J1.8" ) - ) - ( pin "a(8)" - ( attribute "PN" "9" - ( Origin gPackager ) - ) - ( objectStatus "J1.9" ) - ) - ( pin "a(9)" - ( attribute "PN" "10" - ( Origin gPackager ) - ) - ( objectStatus "J1.10" ) - ) - ( pin "a(10)" - ( attribute "PN" "11" - ( Origin gPackager ) - ) - ( objectStatus "J1.11" ) - ) - ( pin "a(11)" - ( attribute "PN" "12" - ( Origin gPackager ) - ) - ( objectStatus "J1.12" ) - ) - ( pin "a(12)" - ( attribute "PN" "13" - ( Origin gPackager ) - ) - ( objectStatus "J1.13" ) - ) - ( pin "a(13)" - ( attribute "PN" "14" - ( Origin gPackager ) - ) - ( objectStatus "J1.14" ) - ) - ( pin "a(14)" - ( attribute "PN" "15" - ( Origin gPackager ) - ) - ( objectStatus "J1.15" ) - ) - ( pin "a(15)" - ( attribute "PN" "16" - ( Origin gPackager ) - ) - ( objectStatus "J1.16" ) - ) - ( pin "a(16)" - ( attribute "PN" "17" - ( Origin gPackager ) - ) - ( objectStatus "J1.17" ) - ) - ( pin "a(17)" - ( attribute "PN" "18" - ( Origin gPackager ) - ) - ( objectStatus "J1.18" ) - ) - ( pin "a(18)" - ( attribute "PN" "19" - ( Origin gPackager ) - ) - ( objectStatus "J1.19" ) - ) - ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i7" ( attribute "CDS_LIB" "cnconnector" ( Origin gPackager ) @@ -9265,56 +8512,13 @@ ( objectStatus "PX1.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i34" - ( attribute "CHIPS_PART_NAME" "PCOAX" - ( Origin gPackager ) - ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i33" - ( attribute "CHIPS_PART_NAME" "PCOAX" - ( Origin gPackager ) - ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i32" - ( attribute "CHIPS_PART_NAME" "PCOAX" - ( Origin gPackager ) - ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i78" - ( attribute "CDS_LIB" "cnconnector" - ( Origin gPackager ) - ) - ( attribute "CDS_LOCATION" "J3" - ( Origin gPackager ) - ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) - ) - ( attribute "PHYS_PAGE" "2" - ( Origin gFrontEnd ) - ) - ( attribute "ROT" "0" - ( Origin gFrontEnd ) - ) - ( attribute "TYPE" "44661-1011" - ( Origin gFrontEnd ) - ) - ( attribute "VER" "1" - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(150,-2800)" - ( Origin gFrontEnd ) - ) - ( attribute "CHIPS_PART_NAME" "CON8P" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "CON8P-44661-1011-GND=FRAME" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i2" + ( attribute "CHIPS_PART_NAME" "CON19P" ( Origin gPackager ) ) - ( attribute "LOCATION" "J3" + ( attribute "CDS_PART_NAME" "CON19P" ( Origin gPackager ) ) - ( objectStatus "J3" ) ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i153" ( attribute "CDS_LIB" "cnpassive" @@ -9900,25 +9104,6 @@ ( objectStatus "C3_1.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i58" - ( attribute "CHIPS_PART_NAME" "MC100EL29" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_LOCATION" "U2" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "U2" - ( Origin gPackager ) - ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) - ) - ( attribute "SEC" "1" - ( Origin gPackager ) - ) - ( objectStatus "U2" ) - ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i71" ( attribute "CDS_LIB" "cnlinear" ( Status sBlockFlattened ) @@ -10436,25 +9621,6 @@ ( objectStatus "R10_1.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i87" - ( attribute "CHIPS_PART_NAME" "MC100EL29" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_LOCATION" "U2" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "U2" - ( Origin gPackager ) - ) - ( attribute "CDS_SEC" "2" - ( Origin gPackager ) - ) - ( attribute "SEC" "2" - ( Origin gPackager ) - ) - ( objectStatus "U2" ) - ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i148" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) @@ -12168,25 +11334,6 @@ ( objectStatus "C3_2.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i58" - ( attribute "CHIPS_PART_NAME" "MC100EL29" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_LOCATION" "U4" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "U4" - ( Origin gPackager ) - ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) - ) - ( attribute "SEC" "1" - ( Origin gPackager ) - ) - ( objectStatus "U4" ) - ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i71" ( attribute "CDS_LIB" "cnlinear" ( Status sBlockFlattened ) @@ -12704,25 +11851,6 @@ ( objectStatus "R10_2.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i87" - ( attribute "CHIPS_PART_NAME" "MC100EL29" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_LOCATION" "U4" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "U4" - ( Origin gPackager ) - ) - ( attribute "CDS_SEC" "2" - ( Origin gPackager ) - ) - ( attribute "SEC" "2" - ( Origin gPackager ) - ) - ( objectStatus "U4" ) - ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i148" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) @@ -14030,11 +13158,6 @@ ( objectStatus "C1_3.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1" - ( attribute "CHIPS_PART_NAME" "CON8P" - ( Origin gPackager ) - ) - ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i39" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) @@ -14443,25 +13566,6 @@ ( objectStatus "C3_3.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i58" - ( attribute "CHIPS_PART_NAME" "MC100EL29" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_LOCATION" "U6" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "U6" - ( Origin gPackager ) - ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) - ) - ( attribute "SEC" "1" - ( Origin gPackager ) - ) - ( objectStatus "U6" ) - ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i71" ( attribute "CDS_LIB" "cnlinear" ( Status sBlockFlattened ) @@ -14979,25 +14083,6 @@ ( objectStatus "R10_3.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i87" - ( attribute "CHIPS_PART_NAME" "MC100EL29" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_LOCATION" "U6" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "U6" - ( Origin gPackager ) - ) - ( attribute "CDS_SEC" "2" - ( Origin gPackager ) - ) - ( attribute "SEC" "2" - ( Origin gPackager ) - ) - ( objectStatus "U6" ) - ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i148" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) @@ -16357,25 +15442,6 @@ ( objectStatus "C3_4.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i58" - ( attribute "CHIPS_PART_NAME" "MC100EL29" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_LOCATION" "U8" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "U8" - ( Origin gPackager ) - ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) - ) - ( attribute "SEC" "1" - ( Origin gPackager ) - ) - ( objectStatus "U8" ) - ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i71" ( attribute "CDS_LIB" "cnlinear" ( Status sBlockFlattened ) @@ -16893,26 +15959,115 @@ ( objectStatus "R10_4.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i87" - ( attribute "CHIPS_PART_NAME" "MC100EL29" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i148" + ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "U8" + ( attribute "DIST" "FLAT" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "LOCATION" "R6_4" + ( Origin gFrontEnd ) + ) + ( attribute "MAX_TEMP" "RTMAX" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "NEGTOL" "RTOL%" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PACK_TYPE" "125MW" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "POSTOL" "RTOL%" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "POWER" "RMAX" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "SLOPE" "RSMAX" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "TC1" "RTMPL" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "TC2" "RTMPQ" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "TOL" "1%" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "TOL_ON_OFF" "ON" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "100" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VER" "2" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "RVMAX" + ( Units "uVoltage" "V" 1.000000) + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(700,-1800)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "RSMD0805" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "LOCATION" "U8" + ( attribute "CDS_PART_NAME" "RSMD0805_125MW-100,1%" ( Origin gPackager ) ) - ( attribute "CDS_SEC" "2" + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( attribute "SEC" "2" + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "U8" ) + ( objectStatus "R6_4" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "R6_4.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "R6_4.2" ) + ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i148" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i92" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) @@ -16921,7 +16076,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "LOCATION" "R6_4" + ( attribute "LOCATION" "R7_4" ( Origin gFrontEnd ) ) ( attribute "MAX_TEMP" "RTMAX" @@ -16932,7 +16087,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "125MW" + ( attribute "PACK_TYPE" "1/10W" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -16989,15 +16144,15 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(700,-1800)" + ( attribute "XY" "(900,-1250)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "RSMD0805" + ( attribute "CHIPS_PART_NAME" "RSMD0603" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "RSMD0805_125MW-100,1%" + ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-100,1%" ( Origin gPackager ) ) ( attribute "CDS_SEC" "1" @@ -17006,21 +16161,21 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "R6_4" ) + ( objectStatus "R7_4" ) ( pin "a(0)" ( attribute "PN" "1" ( Origin gPackager ) ) - ( objectStatus "R6_4.1" ) + ( objectStatus "R7_4.1" ) ) ( pin "b(0)" ( attribute "PN" "2" ( Origin gPackager ) ) - ( objectStatus "R6_4.2" ) + ( objectStatus "R7_4.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i92" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i93" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) @@ -17029,7 +16184,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "LOCATION" "R7_4" + ( attribute "LOCATION" "R3_4" ( Origin gFrontEnd ) ) ( attribute "MAX_TEMP" "RTMAX" @@ -17056,7 +16211,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "ROT" "0" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -17084,7 +16239,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "100" + ( attribute "VALUE" "51" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -17097,7 +16252,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(900,-1250)" + ( attribute "XY" "(100,-1100)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -17105,7 +16260,7 @@ ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-100,1%" + ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-51,1%" ( Origin gPackager ) ) ( attribute "CDS_SEC" "1" @@ -17114,21 +16269,21 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "R7_4" ) + ( objectStatus "R3_4" ) ( pin "a(0)" ( attribute "PN" "1" ( Origin gPackager ) ) - ( objectStatus "R7_4.1" ) + ( objectStatus "R3_4.1" ) ) ( pin "b(0)" ( attribute "PN" "2" ( Origin gPackager ) ) - ( objectStatus "R7_4.2" ) + ( objectStatus "R3_4.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i93" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i94" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) @@ -17137,7 +16292,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "LOCATION" "R3_4" + ( attribute "LOCATION" "R2_4" ( Origin gFrontEnd ) ) ( attribute "MAX_TEMP" "RTMAX" @@ -17148,7 +16303,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "1/10W" + ( attribute "PACK_TYPE" "125MW" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -17192,7 +16347,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "51" + ( attribute "VALUE" "12" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -17205,38 +16360,40 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(100,-1100)" + ( attribute "XY" "(-300,-950)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( attribute "CHIPS_PART_NAME" "RSMD0805" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-51,1%" + ( attribute "CDS_PART_NAME" "RSMD0805_125MW-12,1%" ( Origin gPackager ) ) ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "R3_4" ) + ( objectStatus "R2_4" ) ( pin "a(0)" ( attribute "PN" "1" ( Origin gPackager ) ) - ( objectStatus "R3_4.1" ) + ( objectStatus "R2_4.1" ) ) ( pin "b(0)" ( attribute "PN" "2" ( Origin gPackager ) ) - ( objectStatus "R3_4.2" ) + ( objectStatus "R2_4.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i94" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i111" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) @@ -17245,7 +16402,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "LOCATION" "R2_4" + ( attribute "LOCATION" "R13_4" ( Origin gFrontEnd ) ) ( attribute "MAX_TEMP" "RTMAX" @@ -17256,7 +16413,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "125MW" + ( attribute "PACK_TYPE" "1/10W" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -17272,7 +16429,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "ROT" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -17300,7 +16457,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "12" + ( attribute "VALUE" "75" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -17313,40 +16470,38 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-300,-950)" + ( attribute "XY" "(3800,-250)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "RSMD0805" + ( attribute "CHIPS_PART_NAME" "RSMD0603" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "RSMD0805_125MW-12,1%" + ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-75,1%" ( Origin gPackager ) ) ( attribute "CDS_SEC" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "R2_4" ) + ( objectStatus "R13_4" ) ( pin "a(0)" ( attribute "PN" "1" ( Origin gPackager ) ) - ( objectStatus "R2_4.1" ) + ( objectStatus "R13_4.1" ) ) ( pin "b(0)" ( attribute "PN" "2" ( Origin gPackager ) ) - ( objectStatus "R2_4.2" ) + ( objectStatus "R13_4.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i111" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i113" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) @@ -17355,7 +16510,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "LOCATION" "R13_4" + ( attribute "LOCATION" "R11_4" ( Origin gFrontEnd ) ) ( attribute "MAX_TEMP" "RTMAX" @@ -17423,7 +16578,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(3800,-250)" + ( attribute "XY" "(3500,-250)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -17440,21 +16595,72 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "R13_4" ) + ( objectStatus "R11_4" ) ( pin "a(0)" ( attribute "PN" "1" ( Origin gPackager ) ) - ( objectStatus "R13_4.1" ) + ( objectStatus "R11_4.1" ) ) ( pin "b(0)" ( attribute "PN" "2" ( Origin gPackager ) ) - ( objectStatus "R13_4.2" ) + ( objectStatus "R11_4.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i113" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i54" + ( attribute "ABBREV" "PT6911" + ( Origin gFrontEnd ) + ) + ( attribute "CDS_LIB" "cnconnector" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "PX2" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "NEEDS_NO_SIZE" "TRUE" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "3" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "2" + ( Origin gFrontEnd ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( attribute "TYPE" "PLEMO00C" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(1750,2300)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "PCOAX" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "PCOAX-PLEMO00C-GND=GND_SIGNAL" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "PX2" + ( Origin gPackager ) + ) + ( objectStatus "PX2" ) + ( pin "a" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "PX2.1" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i147" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) @@ -17463,7 +16669,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "LOCATION" "R11_4" + ( attribute "LOCATION" "R8_4" ( Origin gFrontEnd ) ) ( attribute "MAX_TEMP" "RTMAX" @@ -17474,7 +16680,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "1/10W" + ( attribute "PACK_TYPE" "125MW" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -17518,7 +16724,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "75" + ( attribute "VALUE" "100" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -17531,15 +16737,15 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(3500,-250)" + ( attribute "XY" "(900,-1800)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( attribute "CHIPS_PART_NAME" "RSMD0805" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-75,1%" + ( attribute "CDS_PART_NAME" "RSMD0805_125MW-100,1%" ( Origin gPackager ) ) ( attribute "CDS_SEC" "1" @@ -17548,72 +16754,161 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "R11_4" ) + ( objectStatus "R8_4" ) ( pin "a(0)" ( attribute "PN" "1" ( Origin gPackager ) ) - ( objectStatus "R11_4.1" ) + ( objectStatus "R8_4.1" ) ) ( pin "b(0)" ( attribute "PN" "2" ( Origin gPackager ) ) - ( objectStatus "R11_4.2" ) + ( objectStatus "R8_4.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i54" - ( attribute "ABBREV" "PT6911" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i150" + ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "0603" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CDS_LIB" "cnconnector" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "100NF" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(5750,600)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "PX2" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" ( Origin gPackager ) ) + ( attribute "LOCATION" "C5_1" + ( Origin gFrontEnd ) + ) ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( attribute "NEEDS_NO_SIZE" "TRUE" + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "C5_1" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "C5_1.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "C5_1.2" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i151" + ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "0603" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "3" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "2" + ( attribute "ROT" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SEC" "1" - ( Origin gPackager ) + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( attribute "TYPE" "PLEMO00C" + ( attribute "VALUE" "100NF" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(1750,2300)" + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PCOAX" + ( attribute "XY" "(6050,600)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "PCOAX-PLEMO00C-GND=GND_SIGNAL" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" ( Origin gPackager ) ) - ( attribute "LOCATION" "PX2" + ( attribute "LOCATION" "C8_1" + ( Origin gFrontEnd ) + ) + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( objectStatus "PX2" ) - ( pin "a" + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "C8_1" ) + ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "PX2.1" ) + ( objectStatus "C8_1.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "C8_1.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i147" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i152" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) @@ -17622,7 +16917,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "LOCATION" "R8_4" + ( attribute "LOCATION" "R14_1" ( Origin gFrontEnd ) ) ( attribute "MAX_TEMP" "RTMAX" @@ -17633,7 +16928,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "125MW" + ( attribute "PACK_TYPE" "1/10W" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -17677,7 +16972,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "100" + ( attribute "VALUE" "75" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -17690,15 +16985,15 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(900,-1800)" + ( attribute "XY" "(2450,-1750)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "RSMD0805" + ( attribute "CHIPS_PART_NAME" "RSMD0603" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "RSMD0805_125MW-100,1%" + ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-75,1%" ( Origin gPackager ) ) ( attribute "CDS_SEC" "1" @@ -17707,174 +17002,271 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "R8_4" ) + ( objectStatus "R14_1" ) ( pin "a(0)" ( attribute "PN" "1" ( Origin gPackager ) ) - ( objectStatus "R8_4.1" ) + ( objectStatus "R14_1.1" ) ) ( pin "b(0)" ( attribute "PN" "2" ( Origin gPackager ) ) - ( objectStatus "R8_4.2" ) + ( objectStatus "R14_1.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i3" - ( attribute "CDS_LIB" "cnconnector" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i153" + ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "0,25,150,-475" - ( Origin gPackager ) + ( attribute "DIST" "FLAT" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "2" + ( attribute "LOCATION" "R12_1" ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "MAX_TEMP" "RTMAX" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TYPE" "MHDMI-19-02-H-TH-L-TR" + ( attribute "NEGTOL" "RTOL%" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "PACK_TYPE" "1/10W" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(3300,250)" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CON19P" - ( Origin gPackager ) + ( attribute "POSTOL" "RTOL%" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "POWER" "RMAX" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "SLOPE" "RSMAX" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "TC1" "RTMPL" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "TC2" "RTMPQ" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "TOL" "1%" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "TOL_ON_OFF" "ON" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "75" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VER" "2" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "RVMAX" + ( Units "uVoltage" "V" 1.000000) + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(2150,-1750)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( attribute "CDS_PART_NAME" "CON19P-MHDMI-19-02-H-TH-L-TR-GB" + ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "J2" + ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-75,1%" ( Origin gPackager ) ) ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( attribute "LOCATION" "J2" + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "J2" ) + ( objectStatus "R12_1" ) ( pin "a(0)" ( attribute "PN" "1" ( Origin gPackager ) ) - ( objectStatus "J2.1" ) + ( objectStatus "R12_1.1" ) ) - ( pin "a(1)" + ( pin "b(0)" ( attribute "PN" "2" ( Origin gPackager ) ) - ( objectStatus "J2.2" ) + ( objectStatus "R12_1.2" ) ) - ( pin "a(2)" - ( attribute "PN" "3" - ( Origin gPackager ) - ) - ( objectStatus "J2.3" ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i63" + ( attribute "CHIPS_PART_NAME" "AD5665R" + ( Origin gPackager ) ) - ( pin "a(3)" - ( attribute "PN" "4" - ( Origin gPackager ) - ) - ( objectStatus "J2.4" ) + ( attribute "CDS_LIB" "cnlinear" + ( Status sBlockFlattened ) + ( Origin gPackager ) ) - ( pin "a(4)" - ( attribute "PN" "5" - ( Origin gPackager ) - ) - ( objectStatus "J2.5" ) + ( attribute "CDS_LMAN_SYM_OUTLINE" "-275,175,275,-175" + ( Status sBlockFlattened ) + ( Origin gPackager ) ) - ( pin "a(5)" - ( attribute "PN" "6" - ( Origin gPackager ) - ) - ( objectStatus "J2.6" ) + ( attribute "PACK_TYPE" "TSSOP" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( pin "a(6)" - ( attribute "PN" "7" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "TYPE" "AD5665RBRUZ-1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(-1450,1250)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "CDS_PART_NAME" "AD5665RBRUZ-1-GND=GND_SIGNAL;VA" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "LOCATION" "IC8" + ( Origin gFrontEnd ) + ) + ( attribute "SEC" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "IC8" + ( Origin gPackager ) + ) + ( objectStatus "IC8" ) + ( pin "addr1" + ( attribute "PN" "2" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "J2.7" ) + ( objectStatus "IC8.2" ) ) - ( pin "a(7)" + ( pin "addr2" ( attribute "PN" "8" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "J2.8" ) + ( objectStatus "IC8.8" ) ) - ( pin "a(8)" + ( pin "\clr*\" ( attribute "PN" "9" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "J2.9" ) + ( objectStatus "IC8.9" ) ) - ( pin "a(9)" - ( attribute "PN" "10" + ( pin "\ldac*\" + ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "J2.10" ) + ( objectStatus "IC8.1" ) ) - ( pin "a(10)" - ( attribute "PN" "11" + ( pin "por" + ( attribute "PN" "6" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "J2.11" ) + ( objectStatus "IC8.6" ) ) - ( pin "a(11)" - ( attribute "PN" "12" + ( pin "scl" + ( attribute "PN" "14" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "J2.12" ) + ( objectStatus "IC8.14" ) ) - ( pin "a(12)" + ( pin "sda" ( attribute "PN" "13" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "J2.13" ) - ) - ( pin "a(13)" - ( attribute "PN" "14" - ( Origin gPackager ) - ) - ( objectStatus "J2.14" ) + ( objectStatus "IC8.13" ) ) - ( pin "a(14)" - ( attribute "PN" "15" + ( pin "vouta" + ( attribute "PN" "4" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "J2.15" ) + ( objectStatus "IC8.4" ) ) - ( pin "a(15)" - ( attribute "PN" "16" + ( pin "voutb" + ( attribute "PN" "11" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "J2.16" ) + ( objectStatus "IC8.11" ) ) - ( pin "a(16)" - ( attribute "PN" "17" + ( pin "voutc" + ( attribute "PN" "5" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "J2.17" ) + ( objectStatus "IC8.5" ) ) - ( pin "a(17)" - ( attribute "PN" "18" + ( pin "voutd" + ( attribute "PN" "10" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "J2.18" ) + ( objectStatus "IC8.10" ) ) - ( pin "a(18)" - ( attribute "PN" "19" + ( pin "\vrefin/vrefout\" + ( attribute "PN" "7" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "J2.19" ) + ( objectStatus "IC8.7" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i150" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i66" + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Origin gPackager ) + ) ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) @@ -17895,7 +17287,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "100NF" + ( attribute "VALUE" "1UF" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -17908,48 +17300,50 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(5750,600)" + ( attribute "XY" "(-2250,0)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" - ( Status sBlockFlattened ) + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-1UF,16V" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "LOCATION" "C5_1" + ( attribute "LOCATION" "C71" ( Origin gFrontEnd ) ) - ( attribute "CDS_SEC" "1" + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "SEC" "1" + ( attribute "CDS_LOCATION" "C71" ( Origin gPackager ) ) - ( objectStatus "C5_1" ) + ( objectStatus "C71" ) ( pin "a(0)" ( attribute "PN" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C5_1.1" ) + ( objectStatus "C71.1" ) ) ( pin "b(0)" ( attribute "PN" "2" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C5_1.2" ) + ( objectStatus "C71.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i151" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i159" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "0603" + ( attribute "PACK_TYPE" "HOLE" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -17957,7 +17351,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "ROT" "0" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -17965,31 +17359,30 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "100NF" + ( attribute "SYMMAP" "TRUE" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VALUE" "0.8MM" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "16V" - ( Units "uVoltage" "V" 1.000000) + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(6050,600)" + ( attribute "XY" "(2750,400)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( attribute "CHIPS_PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "LOCATION" "C8_1" + ( attribute "LOCATION" "TP2_1" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" @@ -17998,981 +17391,949 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "C8_1" ) + ( objectStatus "TP2_1" ) ( pin "a(0)" ( attribute "PN" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C8_1.1" ) - ) - ( pin "b(0)" - ( attribute "PN" "2" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "C8_1.2" ) + ( objectStatus "TP2_1.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i152" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i160" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "DIST" "FLAT" + ( attribute "PACK_TYPE" "HOLE" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "LOCATION" "R14_1" + ( attribute "PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "MAX_TEMP" "RTMAX" + ( attribute "PHYS_PAGE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "NEGTOL" "RTOL%" + ( attribute "ROT" "0" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "1/10W" + ( attribute "SIZE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" + ( attribute "SYMMAP" "TRUE" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POSTOL" "RTOL%" + ( attribute "VALUE" "0.8MM" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POWER" "RMAX" + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "XY" "(2750,200)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" + ( attribute "CHIPS_PART_NAME" "TP" ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "TP3_1" ( Origin gFrontEnd ) ) - ( attribute "SLOPE" "RSMAX" + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "TP3_1" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "TP3_1.1" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i161" + ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "HOLE" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TC1" "RTMPL" + ( attribute "PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TC2" "RTMPQ" + ( attribute "PHYS_PAGE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TOL" "1%" + ( attribute "ROT" "0" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TOL_ON_OFF" "ON" + ( attribute "SIZE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "75" + ( attribute "SYMMAP" "TRUE" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "2" + ( attribute "VALUE" "0.8MM" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "RVMAX" - ( Units "uVoltage" "V" 1.000000) + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2450,-1750)" + ( attribute "XY" "(2750,0)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( attribute "CHIPS_PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-75,1%" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) + ( attribute "LOCATION" "TP4_1" + ( Origin gFrontEnd ) + ) ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "R14_1" ) + ( objectStatus "TP4_1" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "R14_1.1" ) - ) - ( pin "b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "R14_1.2" ) + ( objectStatus "TP4_1.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i153" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i162" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "DIST" "FLAT" + ( attribute "PACK_TYPE" "HOLE" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "LOCATION" "R12_1" + ( attribute "PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "MAX_TEMP" "RTMAX" + ( attribute "PHYS_PAGE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "NEGTOL" "RTOL%" + ( attribute "ROT" "0" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "1/10W" + ( attribute "SIZE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" + ( attribute "SYMMAP" "TRUE" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POSTOL" "RTOL%" + ( attribute "VALUE" "0.8MM" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POWER" "RMAX" + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "XY" "(1000,800)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" + ( attribute "CHIPS_PART_NAME" "TP" ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "TP1_1" ( Origin gFrontEnd ) ) - ( attribute "SLOPE" "RSMAX" + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "TP1_1" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "TP1_1.1" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i163" + ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "HOLE" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TC1" "RTMPL" + ( attribute "PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TC2" "RTMPQ" + ( attribute "PHYS_PAGE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TOL" "1%" + ( attribute "ROT" "0" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TOL_ON_OFF" "ON" + ( attribute "SIZE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "75" + ( attribute "SYMMAP" "TRUE" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "2" + ( attribute "VALUE" "0.8MM" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "RVMAX" - ( Units "uVoltage" "V" 1.000000) + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2150,-1750)" + ( attribute "XY" "(2750,-1250)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( attribute "CHIPS_PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-75,1%" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) + ( attribute "LOCATION" "TP5_1" + ( Origin gFrontEnd ) + ) ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "R12_1" ) + ( objectStatus "TP5_1" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "R12_1.1" ) - ) - ( pin "b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "R12_1.2" ) + ( objectStatus "TP5_1.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i8" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i164" ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "0603" + ( attribute "PACK_TYPE" "HOLE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "2" + ( attribute "PART_NAME" "TP" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "100NF" + ( attribute "SYMMAP" "TRUE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VALUE" "0.8MM" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "16V" - ( Units "uVoltage" "V" 1.000000) + ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(1450,150)" + ( attribute "XY" "(2750,-1550)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( attribute "CHIPS_PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) + ( attribute "LOCATION" "TP6_1" + ( Origin gFrontEnd ) ) - ( attribute "LOCATION" "C9" + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "C9" - ( Origin gPackager ) - ) - ( objectStatus "C49" ) + ( objectStatus "TP6_1" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C49.1" ) - ) - ( pin "b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "C49.2" ) + ( objectStatus "TP6_1.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i9" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i165" ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "0603" + ( attribute "PACK_TYPE" "HOLE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "2" + ( attribute "PART_NAME" "TP" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "100NF" + ( attribute "SYMMAP" "TRUE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VALUE" "0.8MM" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "16V" - ( Units "uVoltage" "V" 1.000000) + ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(1450,350)" + ( attribute "XY" "(2750,-1750)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( attribute "CHIPS_PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) + ( attribute "LOCATION" "TP7_1" + ( Origin gFrontEnd ) ) - ( attribute "LOCATION" "C8" + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "C8" - ( Origin gPackager ) - ) - ( objectStatus "C48" ) + ( objectStatus "TP7_1" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C48.1" ) - ) - ( pin "b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "C48.2" ) + ( objectStatus "TP7_1.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i10" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i159" ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "DIST" "FLAT" - ( Origin gFrontEnd ) - ) - ( attribute "MAX_TEMP" "RTMAX" - ( Origin gFrontEnd ) - ) - ( attribute "NEGTOL" "RTOL%" - ( Origin gFrontEnd ) - ) - ( attribute "PACK_TYPE" "1/10W" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "2" + ( attribute "PACK_TYPE" "HOLE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POSTOL" "RTOL%" + ( attribute "PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POWER" "RMAX" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SLOPE" "RSMAX" - ( Origin gFrontEnd ) - ) - ( attribute "TC1" "RTMPL" - ( Origin gFrontEnd ) - ) - ( attribute "TC2" "RTMPQ" - ( Origin gFrontEnd ) - ) - ( attribute "TOL" "1%" - ( Origin gFrontEnd ) - ) - ( attribute "TOL_ON_OFF" "ON" - ( Origin gFrontEnd ) - ) - ( attribute "VALUE" "51" + ( attribute "SYMMAP" "TRUE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "2" + ( attribute "VALUE" "0.8MM" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "RVMAX" - ( Units "uVoltage" "V" 1.000000) + ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(1750,350)" + ( attribute "XY" "(2750,400)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( attribute "CHIPS_PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-51,1%" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) + ( attribute "LOCATION" "TP2_2" + ( Origin gFrontEnd ) ) - ( attribute "LOCATION" "R11" + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "R11" - ( Origin gPackager ) - ) - ( objectStatus "R66" ) + ( objectStatus "TP2_2" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "R66.1" ) - ) - ( pin "b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "R66.2" ) + ( objectStatus "TP2_2.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i11" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i160" ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "DIST" "FLAT" - ( Origin gFrontEnd ) - ) - ( attribute "MAX_TEMP" "RTMAX" - ( Origin gFrontEnd ) - ) - ( attribute "NEGTOL" "RTOL%" - ( Origin gFrontEnd ) - ) - ( attribute "PACK_TYPE" "1/10W" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "2" + ( attribute "PACK_TYPE" "HOLE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POSTOL" "RTOL%" + ( attribute "PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POWER" "RMAX" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SLOPE" "RSMAX" - ( Origin gFrontEnd ) - ) - ( attribute "TC1" "RTMPL" - ( Origin gFrontEnd ) - ) - ( attribute "TC2" "RTMPQ" - ( Origin gFrontEnd ) - ) - ( attribute "TOL" "1%" - ( Origin gFrontEnd ) - ) - ( attribute "TOL_ON_OFF" "ON" - ( Origin gFrontEnd ) - ) - ( attribute "VALUE" "51" + ( attribute "SYMMAP" "TRUE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "2" + ( attribute "VALUE" "0.8MM" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "RVMAX" - ( Units "uVoltage" "V" 1.000000) + ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(1750,150)" + ( attribute "XY" "(2750,200)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( attribute "CHIPS_PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-51,1%" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) + ( attribute "LOCATION" "TP3_2" + ( Origin gFrontEnd ) ) - ( attribute "LOCATION" "R12" + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "R12" - ( Origin gPackager ) - ) - ( objectStatus "R67" ) + ( objectStatus "TP3_2" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "R67.1" ) - ) - ( pin "b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "R67.2" ) + ( objectStatus "TP3_2.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i60" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i161" ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "C13" - ( Origin gPackager ) + ( attribute "PACK_TYPE" "HOLE" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "0603" + ( attribute "PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "2" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SEC" "1" - ( Origin gPackager ) - ) ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "100NF" + ( attribute "SYMMAP" "TRUE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VALUE" "0.8MM" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "16V" - ( Units "uVoltage" "V" 1.000000) + ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(5400,1050)" + ( attribute "XY" "(2750,0)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( attribute "CHIPS_PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "TP4_2" + ( Origin gFrontEnd ) + ) + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "C13" + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "C50" ) + ( objectStatus "TP4_2" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C50.1" ) - ) - ( pin "b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "C50.2" ) + ( objectStatus "TP4_2.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i56" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i162" ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "C1" - ( Origin gPackager ) + ( attribute "PACK_TYPE" "HOLE" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "0603" + ( attribute "PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "2" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SEC" "1" - ( Origin gPackager ) - ) ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "100NF" + ( attribute "SYMMAP" "TRUE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VALUE" "0.8MM" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "16V" - ( Units "uVoltage" "V" 1.000000) + ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(1900,1050)" + ( attribute "XY" "(1000,800)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( attribute "CHIPS_PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "C1" + ( attribute "LOCATION" "TP1_2" + ( Origin gFrontEnd ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "C51" ) + ( objectStatus "TP1_2" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C51.1" ) - ) - ( pin "b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "C51.2" ) + ( objectStatus "TP1_2.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i18" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i163" ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "DIST" "FLAT" - ( Origin gFrontEnd ) - ) - ( attribute "MAX_TEMP" "RTMAX" - ( Origin gFrontEnd ) - ) - ( attribute "NEGTOL" "RTOL%" - ( Origin gFrontEnd ) - ) - ( attribute "PACK_TYPE" "1/10W" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "2" + ( attribute "PACK_TYPE" "HOLE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POSTOL" "RTOL%" + ( attribute "PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POWER" "RMAX" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SLOPE" "RSMAX" - ( Origin gFrontEnd ) - ) - ( attribute "TC1" "RTMPL" - ( Origin gFrontEnd ) - ) - ( attribute "TC2" "RTMPQ" - ( Origin gFrontEnd ) - ) - ( attribute "TOL" "1%" - ( Origin gFrontEnd ) - ) - ( attribute "TOL_ON_OFF" "ON" - ( Origin gFrontEnd ) - ) - ( attribute "VALUE" "51" + ( attribute "SYMMAP" "TRUE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "2" + ( attribute "VALUE" "0.8MM" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "RVMAX" - ( Units "uVoltage" "V" 1.000000) + ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(300,-3400)" + ( attribute "XY" "(2750,-1250)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( attribute "CHIPS_PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-51,1%" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) + ( attribute "LOCATION" "TP5_2" + ( Origin gFrontEnd ) ) - ( attribute "LOCATION" "R13" + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "R13" - ( Origin gPackager ) - ) - ( objectStatus "R70" ) + ( objectStatus "TP5_2" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "R70.1" ) - ) - ( pin "b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "R70.2" ) + ( objectStatus "TP5_2.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i19" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i164" ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "0603" + ( attribute "PACK_TYPE" "HOLE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "2" + ( attribute "PART_NAME" "TP" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "100NF" + ( attribute "SYMMAP" "TRUE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VALUE" "0.8MM" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "16V" - ( Units "uVoltage" "V" 1.000000) + ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(0,-3400)" + ( attribute "XY" "(2750,-1550)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( attribute "CHIPS_PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) + ( attribute "LOCATION" "TP6_2" + ( Origin gFrontEnd ) ) - ( attribute "LOCATION" "C10" + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "C10" - ( Origin gPackager ) - ) - ( objectStatus "C52" ) + ( objectStatus "TP6_2" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C52.1" ) - ) - ( pin "b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "C52.2" ) + ( objectStatus "TP6_2.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i21" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i165" ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "DIST" "FLAT" - ( Origin gFrontEnd ) - ) - ( attribute "MAX_TEMP" "RTMAX" - ( Origin gFrontEnd ) - ) - ( attribute "NEGTOL" "RTOL%" - ( Origin gFrontEnd ) - ) - ( attribute "PACK_TYPE" "1/10W" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "2" + ( attribute "PACK_TYPE" "HOLE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POSTOL" "RTOL%" + ( attribute "PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POWER" "RMAX" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SLOPE" "RSMAX" - ( Origin gFrontEnd ) - ) - ( attribute "TC1" "RTMPL" - ( Origin gFrontEnd ) - ) - ( attribute "TC2" "RTMPQ" - ( Origin gFrontEnd ) - ) - ( attribute "TOL" "1%" - ( Origin gFrontEnd ) - ) - ( attribute "TOL_ON_OFF" "ON" - ( Origin gFrontEnd ) - ) - ( attribute "VALUE" "51" + ( attribute "SYMMAP" "TRUE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "2" + ( attribute "VALUE" "0.8MM" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "RVMAX" - ( Units "uVoltage" "V" 1.000000) + ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(300,-3600)" + ( attribute "XY" "(2750,-1750)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( attribute "CHIPS_PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-51,1%" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) + ( attribute "LOCATION" "TP7_2" + ( Origin gFrontEnd ) ) - ( attribute "LOCATION" "R14" + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "R14" - ( Origin gPackager ) - ) - ( objectStatus "R71" ) + ( objectStatus "TP7_2" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "R71.1" ) - ) - ( pin "b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "R71.2" ) + ( objectStatus "TP7_2.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i22" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i159" ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "0603" - ( Origin gFrontEnd ) + ( attribute "PACK_TYPE" "HOLE" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "2" + ( attribute "PART_NAME" "TP" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "100NF" + ( attribute "SYMMAP" "TRUE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VALUE" "0.8MM" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "16V" - ( Units "uVoltage" "V" 1.000000) + ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(0,-3600)" + ( attribute "XY" "(2750,400)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( attribute "CHIPS_PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) + ( attribute "LOCATION" "TP2_3" + ( Origin gFrontEnd ) ) - ( attribute "LOCATION" "C11" + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "C11" - ( Origin gPackager ) - ) - ( objectStatus "C53" ) + ( objectStatus "TP2_3" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C53.1" ) - ) - ( pin "b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "C53.2" ) + ( objectStatus "TP2_3.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i63" - ( attribute "CHIPS_PART_NAME" "AD5665R" - ( Origin gPackager ) - ) - ( attribute "CDS_LIB" "cnlinear" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i160" + ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-275,175,275,-175" + ( attribute "PACK_TYPE" "HOLE" ( Status sBlockFlattened ) - ( Origin gPackager ) + ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "TSSOP" + ( attribute "PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -18984,130 +18345,61 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TYPE" "AD5665RBRUZ-1" + ( attribute "SIZE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "SYMMAP" "TRUE" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-1450,1250)" + ( attribute "VALUE" "0.8MM" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CDS_PART_NAME" "AD5665RBRUZ-1-GND=GND_SIGNAL;VA" - ( Origin gPackager ) - ) - ( attribute "CDS_SEC" "1" + ( attribute "VER" "1" ( Status sBlockFlattened ) - ( Origin gPackager ) + ( Origin gFrontEnd ) ) - ( attribute "LOCATION" "IC8" + ( attribute "XY" "(2750,200)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SEC" "1" + ( attribute "CHIPS_PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "IC8" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( objectStatus "IC8" ) - ( pin "addr1" - ( attribute "PN" "2" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "IC8.2" ) + ( attribute "LOCATION" "TP3_3" + ( Origin gFrontEnd ) ) - ( pin "addr2" - ( attribute "PN" "8" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "IC8.8" ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) ) - ( pin "\clr*\" - ( attribute "PN" "9" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "IC8.9" ) + ( attribute "SEC" "1" + ( Origin gPackager ) ) - ( pin "\ldac*\" + ( objectStatus "TP3_3" ) + ( pin "a(0)" ( attribute "PN" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "IC8.1" ) - ) - ( pin "por" - ( attribute "PN" "6" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "IC8.6" ) - ) - ( pin "scl" - ( attribute "PN" "14" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "IC8.14" ) - ) - ( pin "sda" - ( attribute "PN" "13" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "IC8.13" ) - ) - ( pin "vouta" - ( attribute "PN" "4" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "IC8.4" ) - ) - ( pin "voutb" - ( attribute "PN" "11" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "IC8.11" ) - ) - ( pin "voutc" - ( attribute "PN" "5" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "IC8.5" ) - ) - ( pin "voutd" - ( attribute "PN" "10" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "IC8.10" ) - ) - ( pin "\vrefin/vrefout\" - ( attribute "PN" "7" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "IC8.7" ) + ( objectStatus "TP3_3.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i66" - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" - ( Origin gPackager ) - ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i161" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "0603" + ( attribute "PACK_TYPE" "HOLE" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -19115,7 +18407,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "ROT" "0" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -19123,707 +18415,585 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "1UF" + ( attribute "SYMMAP" "TRUE" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VALUE" "0.8MM" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "16V" - ( Units "uVoltage" "V" 1.000000) + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-2250,0)" + ( attribute "XY" "(2750,0)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-1UF,16V" + ( attribute "CHIPS_PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" - ( Status sBlockFlattened ) + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "LOCATION" "C71" + ( attribute "LOCATION" "TP4_3" ( Origin gFrontEnd ) ) - ( attribute "SEC" "1" + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "C71" + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "C71" ) + ( objectStatus "TP4_3" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C71.1" ) - ) - ( pin "b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "C71.2" ) + ( objectStatus "TP4_3.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i26" - ( attribute "CDS_LIB" "cndiscrete" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i162" + ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "GSD" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "2" + ( attribute "PACK_TYPE" "HOLE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "2" + ( attribute "PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TYPE" "SOT23" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "FDV301N" + ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(400,800)" + ( attribute "SYMMAP" "TRUE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TRANS MOSFET" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "TRANS MOSFET_GSD-FDV301N,SOT23" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "T1" - ( Origin gPackager ) - ) - ( objectStatus "T1" ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i28" - ( attribute "CDS_LIB" "cninterface" - ( Origin gPackager ) - ) - ( attribute "PACK_TYPE" "SC70" + ( attribute "VALUE" "0.8MM" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "2" + ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "2" + ( attribute "XY" "(1000,800)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TYPE" "SN74LVC1G07DCK" - ( Origin gFrontEnd ) + ( attribute "CHIPS_PART_NAME" "TP" + ( Status sBlockFlattened ) + ( Origin gPackager ) ) - ( attribute "VER" "1" - ( Origin gFrontEnd ) + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( Origin gPackager ) ) - ( attribute "XY" "(1450,750)" + ( attribute "LOCATION" "TP1_3" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "74LVC1G07" + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "SN74LVC1G07DCK-GND=GND_SIGNAL;A" + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "LOCATION" "IC4" - ( Origin gPackager ) + ( objectStatus "TP1_3" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "TP1_3.1" ) ) - ( objectStatus "IC2" ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i29" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i163" ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "DIST" "FLAT" - ( Origin gFrontEnd ) - ) - ( attribute "MAX_TEMP" "RTMAX" - ( Origin gFrontEnd ) - ) - ( attribute "NEGTOL" "RTOL%" - ( Origin gFrontEnd ) - ) - ( attribute "PACK_TYPE" "1/10W" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "2" + ( attribute "PACK_TYPE" "HOLE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POSTOL" "RTOL%" + ( attribute "PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POWER" "RMAX" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SLOPE" "RSMAX" - ( Origin gFrontEnd ) - ) - ( attribute "TC1" "RTMPL" - ( Origin gFrontEnd ) - ) - ( attribute "TC2" "RTMPQ" + ( attribute "SYMMAP" "TRUE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TOL" "1%" + ( attribute "VALUE" "0.8MM" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TOL_ON_OFF" "ON" + ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "1K" + ( attribute "XY" "(2750,-1250)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "2" - ( Origin gFrontEnd ) + ( attribute "CHIPS_PART_NAME" "TP" + ( Status sBlockFlattened ) + ( Origin gPackager ) ) - ( attribute "VOLTAGE" "RVMAX" - ( Units "uVoltage" "V" 1.000000) - ( Origin gFrontEnd ) + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( Origin gPackager ) ) - ( attribute "XY" "(800,900)" + ( attribute "LOCATION" "TP5_3" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-1K,1%" + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "LOCATION" "R15" - ( Origin gPackager ) + ( objectStatus "TP5_3" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "TP5_3.1" ) ) - ( objectStatus "R1" ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i33" - ( attribute "CDS_LIB" "cndiscrete" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i164" + ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "LOCATION" "D3" - ( Origin gPackager ) + ( attribute "PACK_TYPE" "HOLE" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "SOT23" + ( attribute "PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "2" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TYPE" "USBLC6-2SC6" + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "SYMMAP" "TRUE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(1100,-1800)" + ( attribute "VALUE" "0.8MM" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "USBLC6-2" - ( Origin gPackager ) + ( attribute "VER" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( attribute "CDS_PART_NAME" "USBLC6-2SC6" - ( Origin gPackager ) + ( attribute "XY" "(2750,-1550)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( objectStatus "D2" ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i38" - ( attribute "CDS_LIB" "cnpassive" + ( attribute "CHIPS_PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "DIST" "FLAT" + ( attribute "LOCATION" "TP6_3" ( Origin gFrontEnd ) ) - ( attribute "LOCATION" "R9" + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( attribute "MAX_TEMP" "RTMAX" - ( Origin gFrontEnd ) + ( attribute "SEC" "1" + ( Origin gPackager ) ) - ( attribute "NEGTOL" "RTOL%" - ( Origin gFrontEnd ) + ( objectStatus "TP6_3" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "TP6_3.1" ) ) - ( attribute "PACK_TYPE" "1/10W" - ( Origin gFrontEnd ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i165" + ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) + ( Origin gPackager ) ) - ( attribute "PHYS_PAGE" "2" + ( attribute "PACK_TYPE" "HOLE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POSTOL" "RTOL%" + ( attribute "PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POWER" "RMAX" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SEC" "1" - ( Origin gPackager ) - ) ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SLOPE" "RSMAX" - ( Origin gFrontEnd ) - ) - ( attribute "TC1" "RTMPL" - ( Origin gFrontEnd ) - ) - ( attribute "TC2" "RTMPQ" + ( attribute "SYMMAP" "TRUE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TOL" "1%" + ( attribute "VALUE" "0.8MM" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TOL_ON_OFF" "ON" + ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "51" + ( attribute "XY" "(2750,-1750)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "2" - ( Origin gFrontEnd ) + ( attribute "CHIPS_PART_NAME" "TP" + ( Status sBlockFlattened ) + ( Origin gPackager ) ) - ( attribute "VOLTAGE" "RVMAX" - ( Units "uVoltage" "V" 1.000000) - ( Origin gFrontEnd ) + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( Origin gPackager ) ) - ( attribute "XY" "(5300,350)" + ( attribute "LOCATION" "TP7_3" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "RSMD0603" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-51,1%" + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "R9" + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "R68" ) + ( objectStatus "TP7_3" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "R68.1" ) - ) - ( pin "b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "R68.2" ) + ( objectStatus "TP7_3.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i39" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i159" ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) - ) - ( attribute "DIST" "FLAT" + ( attribute "PACK_TYPE" "HOLE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "LOCATION" "R10" - ( Origin gPackager ) + ( attribute "PART_NAME" "TP" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( attribute "MAX_TEMP" "RTMAX" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "NEGTOL" "RTOL%" + ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "1/10W" + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "2" + ( attribute "SYMMAP" "TRUE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POSTOL" "RTOL%" + ( attribute "VALUE" "0.8MM" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POWER" "RMAX" + ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "XY" "(2750,400)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SEC" "1" + ( attribute "CHIPS_PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "SIZE" "1" - ( Origin gFrontEnd ) + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( Origin gPackager ) ) - ( attribute "SLOPE" "RSMAX" + ( attribute "LOCATION" "TP2_4" ( Origin gFrontEnd ) ) - ( attribute "TC1" "RTMPL" - ( Origin gFrontEnd ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) ) - ( attribute "TC2" "RTMPQ" - ( Origin gFrontEnd ) + ( attribute "SEC" "1" + ( Origin gPackager ) ) - ( attribute "TOL" "1%" - ( Origin gFrontEnd ) - ) - ( attribute "TOL_ON_OFF" "ON" - ( Origin gFrontEnd ) - ) - ( attribute "VALUE" "51" - ( Origin gFrontEnd ) - ) - ( attribute "VER" "2" - ( Origin gFrontEnd ) - ) - ( attribute "VOLTAGE" "RVMAX" - ( Units "uVoltage" "V" 1.000000) - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(5300,150)" - ( Origin gFrontEnd ) - ) - ( attribute "CHIPS_PART_NAME" "RSMD0603" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-51,1%" - ( Origin gPackager ) - ) - ( attribute "CDS_LOCATION" "R10" - ( Origin gPackager ) - ) - ( objectStatus "R69" ) + ( objectStatus "TP2_4" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "R69.1" ) - ) - ( pin "b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "R69.2" ) + ( objectStatus "TP2_4.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i40" - ( attribute "CDS_LIB" "cninterface" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i160" + ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "SC70" + ( attribute "PACK_TYPE" "HOLE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "2" + ( attribute "PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "2" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TYPE" "SN74LVC1G07DCK" + ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(5000,750)" + ( attribute "SYMMAP" "TRUE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "74LVC1G07" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "SN74LVC1G07DCK-GND=GND_SIGNAL;A" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "IC6" - ( Origin gPackager ) - ) - ( objectStatus "IC1" ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i41" - ( attribute "CDS_LIB" "cnpassive" - ( Origin gPackager ) - ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "C7" - ( Origin gPackager ) - ) - ( attribute "PACK_TYPE" "0603" + ( attribute "VALUE" "0.8MM" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "2" + ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "XY" "(2750,200)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SEC" "1" + ( attribute "CHIPS_PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "SIZE" "1" - ( Origin gFrontEnd ) - ) - ( attribute "VALUE" "100NF" - ( Origin gFrontEnd ) - ) - ( attribute "VER" "1" - ( Origin gFrontEnd ) - ) - ( attribute "VOLTAGE" "16V" - ( Units "uVoltage" "V" 1.000000) - ( Origin gFrontEnd ) + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( Origin gPackager ) ) - ( attribute "XY" "(5000,350)" + ( attribute "LOCATION" "TP3_4" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "C7" + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "C47" ) + ( objectStatus "TP3_4" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C47.1" ) - ) - ( pin "b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "C47.2" ) + ( objectStatus "TP3_4.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i42" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i161" ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "C12" - ( Origin gPackager ) + ( attribute "PACK_TYPE" "HOLE" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "0603" + ( attribute "PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "2" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SEC" "1" - ( Origin gPackager ) - ) ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "100NF" + ( attribute "SYMMAP" "TRUE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VALUE" "0.8MM" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "16V" - ( Units "uVoltage" "V" 1.000000) + ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(5000,150)" + ( attribute "XY" "(2750,0)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( attribute "CHIPS_PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "C12" + ( attribute "LOCATION" "TP4_4" + ( Origin gFrontEnd ) + ) + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( objectStatus "C46" ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "TP4_4" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C46.1" ) - ) - ( pin "b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "C46.2" ) + ( objectStatus "TP4_4.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i44" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i162" ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "DIST" "FLAT" - ( Origin gFrontEnd ) - ) - ( attribute "MAX_TEMP" "RTMAX" - ( Origin gFrontEnd ) - ) - ( attribute "NEGTOL" "RTOL%" - ( Origin gFrontEnd ) - ) - ( attribute "PACK_TYPE" "1/10W" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "2" + ( attribute "PACK_TYPE" "HOLE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POSTOL" "RTOL%" + ( attribute "PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POWER" "RMAX" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SLOPE" "RSMAX" - ( Origin gFrontEnd ) - ) - ( attribute "TC1" "RTMPL" - ( Origin gFrontEnd ) - ) - ( attribute "TC2" "RTMPQ" - ( Origin gFrontEnd ) - ) - ( attribute "TOL" "1%" - ( Origin gFrontEnd ) - ) - ( attribute "TOL_ON_OFF" "ON" - ( Origin gFrontEnd ) - ) - ( attribute "VALUE" "1K" - ( Origin gFrontEnd ) - ) - ( attribute "VER" "2" - ( Origin gFrontEnd ) - ) - ( attribute "VOLTAGE" "RVMAX" - ( Units "uVoltage" "V" 1.000000) - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(4350,900)" - ( Origin gFrontEnd ) - ) - ( attribute "CHIPS_PART_NAME" "RSMD0603" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-1K,1%" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "R16" - ( Origin gPackager ) - ) - ( objectStatus "R2" ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i45" - ( attribute "CDS_LIB" "cndiscrete" - ( Origin gPackager ) - ) - ( attribute "PACK_TYPE" "GSD" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "2" - ( Origin gFrontEnd ) - ) - ( attribute "ROT" "2" - ( Origin gFrontEnd ) - ) - ( attribute "TYPE" "SOT23" + ( attribute "SYMMAP" "TRUE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "FDV301N" + ( attribute "VALUE" "0.8MM" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(3950,800)" + ( attribute "XY" "(1000,800)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TRANS MOSFET" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "TRANS MOSFET_GSD-FDV301N,SOT23" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "T2" - ( Origin gPackager ) - ) - ( objectStatus "T2" ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i49" - ( attribute "CDS_LIB" "cndiscrete" + ( attribute "CHIPS_PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "LOCATION" "D2" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "SOT23" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "2" - ( Origin gFrontEnd ) - ) - ( attribute "ROT" "0" - ( Origin gFrontEnd ) - ) - ( attribute "TYPE" "USBLC6-2SC6" - ( Origin gFrontEnd ) - ) - ( attribute "VER" "1" - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(4650,-1800)" + ( attribute "LOCATION" "TP1_4" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "USBLC6-2" + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "USBLC6-2SC6" + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "D1" ) + ( objectStatus "TP1_4" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "TP1_4.1" ) + ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i9" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i163" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "0603" + ( attribute "PACK_TYPE" "HOLE" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -19831,7 +19001,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "ROT" "0" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -19839,31 +19009,30 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "100NF" + ( attribute "SYMMAP" "TRUE" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VALUE" "0.8MM" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "16V" - ( Units "uVoltage" "V" 1.000000) + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(4450,500)" + ( attribute "XY" "(2750,-1250)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( attribute "CHIPS_PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "LOCATION" "C55" + ( attribute "LOCATION" "TP5_4" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" @@ -19872,49 +19041,45 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "C55" - ( Origin gPackager ) - ) - ( objectStatus "C55" ) + ( objectStatus "TP5_4" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C55.1" ) - ) - ( pin "b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "C55.2" ) + ( objectStatus "TP5_4.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i7" - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i164" + ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_LIB" "bris_cds_analogue" + ( attribute "PACK_TYPE" "HOLE" ( Status sBlockFlattened ) - ( Origin gPackager ) + ( Origin gFrontEnd ) ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,50,125,-50" + ( attribute "PART_NAME" "TP" ( Status sBlockFlattened ) - ( Origin gPackager ) + ( Origin gFrontEnd ) ) - ( attribute "MANUF" "NXP" + ( attribute "PHYS_PAGE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "TSSOP" + ( attribute "ROT" "0" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "SYMMAP" "TRUE" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "3" + ( attribute "VALUE" "0.8MM" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -19922,55 +19087,65 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(350,550)" + ( attribute "XY" "(2750,-1550)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP-GND=GND_SA" + ( attribute "CHIPS_PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "LOCATION" "U2" - ( Origin gFrontEnd ) - ) - ( attribute "SEC" "7" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "CDS_SEC" "7" + ( attribute "LOCATION" "TP6_4" + ( Origin gFrontEnd ) + ) + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "U2" + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "U2" ) - ( pin "\in\" - ( attribute "PN" "9" + ( objectStatus "TP6_4" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "U2.9" ) + ( objectStatus "TP6_4.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i43" - ( attribute "CDS_LIB" "bris_cds_analogue" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i165" + ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,50,125,-50" + ( attribute "PACK_TYPE" "HOLE" ( Status sBlockFlattened ) - ( Origin gPackager ) + ( Origin gFrontEnd ) ) - ( attribute "MANUF" "NXP" + ( attribute "PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "TSSOP" + ( attribute "PHYS_PAGE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" + ( attribute "ROT" "0" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "SYMMAP" "TRUE" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "3" + ( attribute "VALUE" "0.8MM" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -19978,51 +19153,68 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(350,-2750)" + ( attribute "XY" "(2750,-1750)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" + ( attribute "CHIPS_PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP-GND=GND_SA" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "LOCATION" "U2" + ( attribute "LOCATION" "TP7_4" ( Origin gFrontEnd ) ) - ( attribute "SEC" "3" - ( Origin gPackager ) - ) - ( attribute "CDS_SEC" "3" + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "U2" + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "U2" ) - ( pin "\in\" - ( attribute "PN" "4" + ( objectStatus "TP7_4" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "U2.4" ) + ( objectStatus "TP7_4.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i44" - ( attribute "CDS_LIB" "bris_cds_analogue" - ( Status sBlockFlattened ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i78" + ( attribute "CHIPS_PART_NAME" "CON8P" + ( Origin gPackager ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i40" + ( attribute "CHIPS_PART_NAME" "74LVC1G07" + ( Origin gPackager ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i28" + ( attribute "CHIPS_PART_NAME" "74LVC1G07" + ( Origin gPackager ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i3" + ( attribute "CHIPS_PART_NAME" "CON19P" ( Origin gPackager ) ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,50,125,-50" + ( attribute "CDS_PART_NAME" "CON19P" + ( Origin gPackager ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i68" + ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "MANUF" "NXP" + ( attribute "PACK_TYPE" "HOLE" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "TSSOP" + ( attribute "PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -20030,7 +19222,19 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "3" + ( attribute "ROT" "0" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "SYMMAP" "TRUE" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "0.8MM" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -20038,59 +19242,67 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(350,-2200)" + ( attribute "XY" "(-1225,500)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" + ( attribute "CHIPS_PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP-GND=GND_SA" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "LOCATION" "U2" - ( Origin gFrontEnd ) + ( attribute "CDS_LOCATION" "TP13" + ( Origin gPackager ) ) - ( attribute "SEC" "5" + ( attribute "LOCATION" "TP13" ( Origin gPackager ) ) - ( attribute "CDS_SEC" "5" + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "U2" + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "U2" ) - ( pin "\in\" - ( attribute "PN" "6" + ( objectStatus "TP13" ) + ( pin "a(0)" + ( attribute "PN" "1" ( Origin gPackager ) ) - ( objectStatus "U2.6" ) + ( objectStatus "TP13.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i45" - ( attribute "CDS_LIB" "bris_cds_analogue" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i69" + ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,50,125,-50" + ( attribute "PACK_TYPE" "HOLE" ( Status sBlockFlattened ) - ( Origin gPackager ) + ( Origin gFrontEnd ) ) - ( attribute "MANUF" "NXP" + ( attribute "PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "TSSOP" + ( attribute "PHYS_PAGE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" + ( attribute "ROT" "0" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "SYMMAP" "TRUE" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "3" + ( attribute "VALUE" "0.8MM" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -20098,323 +19310,342 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(350,-1650)" + ( attribute "XY" "(-700,1350)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" + ( attribute "CHIPS_PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP-GND=GND_SA" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "LOCATION" "U2" + ( attribute "LOCATION" "TP22" ( Origin gFrontEnd ) ) - ( attribute "SEC" "6" + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_SEC" "6" + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "U2" + ( attribute "CDS_LOCATION" "TP22" ( Origin gPackager ) ) - ( objectStatus "U2" ) - ( pin "\in\" - ( attribute "PN" "7" + ( objectStatus "TP22" ) + ( pin "a(0)" + ( attribute "PN" "1" ( Origin gPackager ) ) - ( objectStatus "U2.7" ) + ( objectStatus "TP22.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i46" - ( attribute "CDS_LIB" "bris_cds_analogue" - ( Status sBlockFlattened ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i8" + ( attribute "CDS_LIB" "cnmemory" ( Origin gPackager ) ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,50,125,-50" - ( Status sBlockFlattened ) + ( attribute "CDS_LMAN_SYM_OUTLINE" "-150,75,150,-150" ( Origin gPackager ) ) - ( attribute "MANUF" "NXP" - ( Status sBlockFlattened ) + ( attribute "PACK_TYPE" "SOIC" ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "TSSOP" - ( Status sBlockFlattened ) + ( attribute "PHYS_PAGE" "1" ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" - ( Status sBlockFlattened ) + ( attribute "ROT" "0" ( Origin gFrontEnd ) ) - ( attribute "ROT" "3" - ( Status sBlockFlattened ) + ( attribute "TYPE" "24AA025E48" ( Origin gFrontEnd ) ) ( attribute "VER" "1" - ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(350,-1100)" - ( Status sBlockFlattened ) + ( attribute "XY" "(-200,-2950)" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Status sBlockFlattened ) + ( attribute "CHIPS_PART_NAME" "24AA025E48" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP-GND=GND_SA" + ( attribute "CDS_PART_NAME" "24AA025E48" ( Origin gPackager ) ) - ( attribute "LOCATION" "U2" + ( attribute "LOCATION" "IC9" ( Origin gFrontEnd ) ) - ( attribute "SEC" "1" + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "U2" + ( attribute "CDS_LOCATION" "IC9" ( Origin gPackager ) ) - ( objectStatus "U2" ) - ( pin "\in\" + ( objectStatus "IC9" ) + ( pin "a0" ( attribute "PN" "1" ( Origin gPackager ) ) - ( objectStatus "U2.1" ) + ( objectStatus "IC9.1" ) + ) + ( pin "a1" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "IC9.2" ) + ) + ( pin "a2" + ( attribute "PN" "3" + ( Origin gPackager ) + ) + ( objectStatus "IC9.3" ) + ) + ( pin "scl" + ( attribute "PN" "6" + ( Origin gPackager ) + ) + ( objectStatus "IC9.6" ) + ) + ( pin "sda" + ( attribute "PN" "5" + ( Origin gPackager ) + ) + ( objectStatus "IC9.5" ) + ) + ( pin "vcc" + ( attribute "PN" "8" + ( Origin gPackager ) + ) + ( objectStatus "IC9.8" ) + ) + ( pin "vss" + ( attribute "PN" "4" + ( Origin gPackager ) + ) + ( objectStatus "IC9.4" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i47" - ( attribute "CDS_LIB" "bris_cds_analogue" - ( Status sBlockFlattened ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i11" + ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,50,125,-50" - ( Status sBlockFlattened ) - ( Origin gPackager ) + ( attribute "PACK_TYPE" "0603" + ( Origin gFrontEnd ) ) - ( attribute "MANUF" "NXP" - ( Status sBlockFlattened ) + ( attribute "PHYS_PAGE" "1" ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "TSSOP" - ( Status sBlockFlattened ) + ( attribute "ROT" "0" ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" - ( Status sBlockFlattened ) + ( attribute "SIZE" "1" ( Origin gFrontEnd ) ) - ( attribute "ROT" "3" - ( Status sBlockFlattened ) + ( attribute "VALUE" "100NF" ( Origin gFrontEnd ) ) ( attribute "VER" "1" - ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(350,-550)" - ( Status sBlockFlattened ) + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Status sBlockFlattened ) + ( attribute "XY" "(-200,-3300)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP-GND=GND_SA" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" ( Origin gPackager ) ) - ( attribute "LOCATION" "U2" + ( attribute "LOCATION" "C70" ( Origin gFrontEnd ) ) - ( attribute "SEC" "2" + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_SEC" "2" + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "U2" + ( attribute "CDS_LOCATION" "C70" ( Origin gPackager ) ) - ( objectStatus "U2" ) - ( pin "\in\" + ( objectStatus "C70" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "C70.1" ) + ) + ( pin "b(0)" ( attribute "PN" "2" ( Origin gPackager ) ) - ( objectStatus "U2.2" ) + ( objectStatus "C70.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i48" - ( attribute "CDS_LIB" "bris_cds_analogue" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,50,125,-50" - ( Status sBlockFlattened ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i12" + ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "MANUF" "NXP" - ( Status sBlockFlattened ) + ( attribute "DIST" "FLAT" ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "TSSOP" - ( Status sBlockFlattened ) + ( attribute "MAX_TEMP" "RTMAX" ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" - ( Status sBlockFlattened ) + ( attribute "NEGTOL" "RTOL%" ( Origin gFrontEnd ) ) - ( attribute "ROT" "3" - ( Status sBlockFlattened ) + ( attribute "PACK_TYPE" "1/10W" ( Origin gFrontEnd ) ) - ( attribute "VER" "1" - ( Status sBlockFlattened ) + ( attribute "PHYS_PAGE" "1" ( Origin gFrontEnd ) ) - ( attribute "XY" "(350,0)" - ( Status sBlockFlattened ) + ( attribute "POSTOL" "RTOL%" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP-GND=GND_SA" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "U2" + ( attribute "POWER" "RMAX" ( Origin gFrontEnd ) ) - ( attribute "SEC" "8" - ( Origin gPackager ) - ) - ( attribute "CDS_SEC" "8" - ( Origin gPackager ) + ( attribute "ROT" "1" + ( Origin gFrontEnd ) ) - ( attribute "CDS_LOCATION" "U2" - ( Origin gPackager ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) ) - ( objectStatus "U2" ) - ( pin "\in\" - ( attribute "PN" "10" - ( Origin gPackager ) - ) - ( objectStatus "U2.10" ) + ( attribute "SLOPE" "RSMAX" + ( Origin gFrontEnd ) ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i50" - ( attribute "CDS_LIB" "bris_cds_analogue" - ( Status sBlockFlattened ) - ( Origin gPackager ) + ( attribute "TC1" "RTMPL" + ( Origin gFrontEnd ) ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,50,125,-50" - ( Status sBlockFlattened ) - ( Origin gPackager ) + ( attribute "TC2" "RTMPQ" + ( Origin gFrontEnd ) ) - ( attribute "MANUF" "NXP" - ( Status sBlockFlattened ) + ( attribute "TOL" "1%" ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "TSSOP" - ( Status sBlockFlattened ) + ( attribute "TOL_ON_OFF" "ON" ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" - ( Status sBlockFlattened ) + ( attribute "VALUE" "XX" ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" - ( Status sBlockFlattened ) + ( attribute "VER" "2" ( Origin gFrontEnd ) ) - ( attribute "VER" "1" - ( Status sBlockFlattened ) + ( attribute "VOLTAGE" "RVMAX" + ( Units "uVoltage" "V" 1.000000) ( Origin gFrontEnd ) ) - ( attribute "XY" "(350,-3300)" - ( Status sBlockFlattened ) + ( attribute "XY" "(-1050,-3050)" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Status sBlockFlattened ) + ( attribute "CHIPS_PART_NAME" "RSMD0603" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP-GND=GND_SA" + ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-XX,1%" ( Origin gPackager ) ) - ( attribute "LOCATION" "U2" + ( attribute "LOCATION" "R61" ( Origin gFrontEnd ) ) - ( attribute "SEC" "4" + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_SEC" "4" + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "U2" + ( attribute "CDS_LOCATION" "R61" ( Origin gPackager ) ) - ( objectStatus "U2" ) - ( pin "\in\" - ( attribute "PN" "5" + ( objectStatus "R61" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "R61.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" ( Origin gPackager ) ) - ( objectStatus "U2.5" ) + ( objectStatus "R61.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i9" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i13" ( attribute "CDS_LIB" "cnpassive" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "0603" - ( Status sBlockFlattened ) + ( attribute "DIST" "FLAT" + ( Origin gFrontEnd ) + ) + ( attribute "MAX_TEMP" "RTMAX" + ( Origin gFrontEnd ) + ) + ( attribute "NEGTOL" "RTOL%" + ( Origin gFrontEnd ) + ) + ( attribute "PACK_TYPE" "1/10W" ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" - ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "POSTOL" "RTOL%" + ( Origin gFrontEnd ) + ) + ( attribute "POWER" "RMAX" ( Origin gFrontEnd ) ) ( attribute "ROT" "1" - ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "SIZE" "1" - ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "100NF" - ( Status sBlockFlattened ) + ( attribute "SLOPE" "RSMAX" ( Origin gFrontEnd ) ) - ( attribute "VER" "1" - ( Status sBlockFlattened ) + ( attribute "TC1" "RTMPL" ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "16V" + ( attribute "TC2" "RTMPQ" + ( Origin gFrontEnd ) + ) + ( attribute "TOL" "1%" + ( Origin gFrontEnd ) + ) + ( attribute "TOL_ON_OFF" "ON" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "XX" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "2" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "RVMAX" ( Units "uVoltage" "V" 1.000000) - ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(4450,500)" - ( Status sBlockFlattened ) + ( attribute "XY" "(-900,-3050)" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" - ( Status sBlockFlattened ) + ( attribute "CHIPS_PART_NAME" "RSMD0603" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-XX,1%" ( Origin gPackager ) ) - ( attribute "LOCATION" "C56" + ( attribute "LOCATION" "R63" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" @@ -20423,549 +19654,362 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "C56" + ( attribute "CDS_LOCATION" "R63" ( Origin gPackager ) ) - ( objectStatus "C56" ) + ( objectStatus "R63" ) ( pin "a(0)" ( attribute "PN" "1" ( Origin gPackager ) ) - ( objectStatus "C56.1" ) + ( objectStatus "R63.1" ) ) ( pin "b(0)" ( attribute "PN" "2" ( Origin gPackager ) ) - ( objectStatus "C56.2" ) + ( objectStatus "R63.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i7" - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Status sBlockFlattened ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i14" + ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "CDS_LIB" "bris_cds_analogue" - ( Status sBlockFlattened ) - ( Origin gPackager ) + ( attribute "DIST" "FLAT" + ( Origin gFrontEnd ) ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,50,125,-50" - ( Status sBlockFlattened ) - ( Origin gPackager ) + ( attribute "MAX_TEMP" "RTMAX" + ( Origin gFrontEnd ) ) - ( attribute "MANUF" "NXP" - ( Status sBlockFlattened ) + ( attribute "NEGTOL" "RTOL%" ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "TSSOP" - ( Status sBlockFlattened ) + ( attribute "PACK_TYPE" "1/10W" ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" - ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "3" - ( Status sBlockFlattened ) + ( attribute "POSTOL" "RTOL%" ( Origin gFrontEnd ) ) - ( attribute "VER" "1" - ( Status sBlockFlattened ) + ( attribute "POWER" "RMAX" ( Origin gFrontEnd ) ) - ( attribute "XY" "(350,550)" - ( Status sBlockFlattened ) + ( attribute "ROT" "1" ( Origin gFrontEnd ) ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP-GND=GND_SA" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "U3" + ( attribute "SIZE" "1" ( Origin gFrontEnd ) ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) - ) - ( attribute "SEC" "1" - ( Origin gPackager ) - ) - ( attribute "CDS_LOCATION" "U3" - ( Origin gPackager ) - ) - ( objectStatus "U3" ) - ( pin "\in\" - ( attribute "PN" "1" - ( Origin gPackager ) - ) - ( objectStatus "U3.1" ) + ( attribute "SLOPE" "RSMAX" + ( Origin gFrontEnd ) ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i43" - ( attribute "CDS_LIB" "bris_cds_analogue" - ( Status sBlockFlattened ) - ( Origin gPackager ) + ( attribute "TC1" "RTMPL" + ( Origin gFrontEnd ) ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,50,125,-50" - ( Status sBlockFlattened ) - ( Origin gPackager ) + ( attribute "TC2" "RTMPQ" + ( Origin gFrontEnd ) ) - ( attribute "MANUF" "NXP" - ( Status sBlockFlattened ) + ( attribute "TOL" "1%" ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "TSSOP" - ( Status sBlockFlattened ) + ( attribute "TOL_ON_OFF" "ON" ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" - ( Status sBlockFlattened ) + ( attribute "VALUE" "XX" ( Origin gFrontEnd ) ) - ( attribute "ROT" "3" - ( Status sBlockFlattened ) + ( attribute "VER" "2" ( Origin gFrontEnd ) ) - ( attribute "VER" "1" - ( Status sBlockFlattened ) + ( attribute "VOLTAGE" "RVMAX" + ( Units "uVoltage" "V" 1.000000) ( Origin gFrontEnd ) ) - ( attribute "XY" "(350,-2750)" - ( Status sBlockFlattened ) + ( attribute "XY" "(-750,-3050)" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Status sBlockFlattened ) + ( attribute "CHIPS_PART_NAME" "RSMD0603" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP-GND=GND_SA" + ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-XX,1%" ( Origin gPackager ) ) - ( attribute "LOCATION" "U3" + ( attribute "LOCATION" "R65" ( Origin gFrontEnd ) ) - ( attribute "CDS_SEC" "7" + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( attribute "SEC" "7" + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "U3" + ( attribute "CDS_LOCATION" "R65" ( Origin gPackager ) ) - ( objectStatus "U3" ) - ( pin "\in\" - ( attribute "PN" "9" + ( objectStatus "R65" ) + ( pin "a(0)" + ( attribute "PN" "1" ( Origin gPackager ) ) - ( objectStatus "U3.9" ) + ( objectStatus "R65.1" ) ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i44" - ( attribute "CDS_LIB" "bris_cds_analogue" - ( Status sBlockFlattened ) - ( Origin gPackager ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "R65.2" ) ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,50,125,-50" - ( Status sBlockFlattened ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i15" + ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "MANUF" "NXP" - ( Status sBlockFlattened ) + ( attribute "DIST" "FLAT" ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "TSSOP" - ( Status sBlockFlattened ) + ( attribute "MAX_TEMP" "RTMAX" ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" - ( Status sBlockFlattened ) + ( attribute "NEGTOL" "RTOL%" ( Origin gFrontEnd ) ) - ( attribute "ROT" "3" - ( Status sBlockFlattened ) + ( attribute "PACK_TYPE" "<< NULL >>" ( Origin gFrontEnd ) ) - ( attribute "VER" "1" - ( Status sBlockFlattened ) + ( attribute "PHYS_PAGE" "1" ( Origin gFrontEnd ) ) - ( attribute "XY" "(350,-2200)" - ( Status sBlockFlattened ) + ( attribute "POSTOL" "RTOL%" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP-GND=GND_SA" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "U3" + ( attribute "POWER" "RMAX" ( Origin gFrontEnd ) ) - ( attribute "SEC" "4" - ( Origin gPackager ) - ) - ( attribute "CDS_SEC" "4" - ( Origin gPackager ) + ( attribute "ROT" "1" + ( Origin gFrontEnd ) ) - ( attribute "CDS_LOCATION" "U3" - ( Origin gPackager ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) ) - ( objectStatus "U3" ) - ( pin "\in\" - ( attribute "PN" "5" - ( Origin gPackager ) - ) - ( objectStatus "U3.5" ) + ( attribute "SLOPE" "RSMAX" + ( Origin gFrontEnd ) ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i45" - ( attribute "CDS_LIB" "bris_cds_analogue" - ( Status sBlockFlattened ) - ( Origin gPackager ) + ( attribute "TC1" "RTMPL" + ( Origin gFrontEnd ) ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,50,125,-50" - ( Status sBlockFlattened ) - ( Origin gPackager ) + ( attribute "TC2" "RTMPQ" + ( Origin gFrontEnd ) ) - ( attribute "MANUF" "NXP" - ( Status sBlockFlattened ) + ( attribute "TOL" "<< NULL >>" ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "TSSOP" - ( Status sBlockFlattened ) + ( attribute "TOL_ON_OFF" "ON" ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" - ( Status sBlockFlattened ) + ( attribute "VALUE" "00" ( Origin gFrontEnd ) ) - ( attribute "ROT" "3" - ( Status sBlockFlattened ) + ( attribute "VER" "2" ( Origin gFrontEnd ) ) - ( attribute "VER" "1" - ( Status sBlockFlattened ) + ( attribute "VOLTAGE" "RVMAX" + ( Units "uVoltage" "V" 1.000000) ( Origin gFrontEnd ) ) - ( attribute "XY" "(350,-1650)" - ( Status sBlockFlattened ) + ( attribute "XY" "(-1050,-2600)" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Status sBlockFlattened ) + ( attribute "CHIPS_PART_NAME" "RSMD0603" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP-GND=GND_SA" + ( attribute "CDS_PART_NAME" "RSMD0603_-00," ( Origin gPackager ) ) - ( attribute "LOCATION" "U3" + ( attribute "LOCATION" "R60" ( Origin gFrontEnd ) ) - ( attribute "CDS_SEC" "5" + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( attribute "SEC" "5" + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "U3" + ( attribute "CDS_LOCATION" "R60" ( Origin gPackager ) ) - ( objectStatus "U3" ) - ( pin "\in\" - ( attribute "PN" "6" + ( objectStatus "R60" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "R60.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" ( Origin gPackager ) ) - ( objectStatus "U3.6" ) + ( objectStatus "R60.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i46" - ( attribute "CDS_LIB" "bris_cds_analogue" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,50,125,-50" - ( Status sBlockFlattened ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i16" + ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "MANUF" "NXP" - ( Status sBlockFlattened ) + ( attribute "DIST" "FLAT" ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "TSSOP" - ( Status sBlockFlattened ) + ( attribute "MAX_TEMP" "RTMAX" ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" - ( Status sBlockFlattened ) + ( attribute "NEGTOL" "RTOL%" ( Origin gFrontEnd ) ) - ( attribute "ROT" "3" - ( Status sBlockFlattened ) + ( attribute "PACK_TYPE" "<< NULL >>" ( Origin gFrontEnd ) ) - ( attribute "VER" "1" - ( Status sBlockFlattened ) + ( attribute "PHYS_PAGE" "1" ( Origin gFrontEnd ) ) - ( attribute "XY" "(350,-1100)" - ( Status sBlockFlattened ) + ( attribute "POSTOL" "RTOL%" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP-GND=GND_SA" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "U3" + ( attribute "POWER" "RMAX" ( Origin gFrontEnd ) ) - ( attribute "SEC" "6" - ( Origin gPackager ) - ) - ( attribute "CDS_SEC" "6" - ( Origin gPackager ) + ( attribute "ROT" "1" + ( Origin gFrontEnd ) ) - ( attribute "CDS_LOCATION" "U3" - ( Origin gPackager ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) ) - ( objectStatus "U3" ) - ( pin "\in\" - ( attribute "PN" "7" - ( Origin gPackager ) - ) - ( objectStatus "U3.7" ) + ( attribute "SLOPE" "RSMAX" + ( Origin gFrontEnd ) ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i47" - ( attribute "CDS_LIB" "bris_cds_analogue" - ( Status sBlockFlattened ) - ( Origin gPackager ) + ( attribute "TC1" "RTMPL" + ( Origin gFrontEnd ) ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,50,125,-50" - ( Status sBlockFlattened ) - ( Origin gPackager ) + ( attribute "TC2" "RTMPQ" + ( Origin gFrontEnd ) ) - ( attribute "MANUF" "NXP" - ( Status sBlockFlattened ) + ( attribute "TOL" "<< NULL >>" ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "TSSOP" - ( Status sBlockFlattened ) + ( attribute "TOL_ON_OFF" "ON" ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" - ( Status sBlockFlattened ) + ( attribute "VALUE" "00" ( Origin gFrontEnd ) ) - ( attribute "ROT" "3" - ( Status sBlockFlattened ) + ( attribute "VER" "2" ( Origin gFrontEnd ) ) - ( attribute "VER" "1" - ( Status sBlockFlattened ) + ( attribute "VOLTAGE" "RVMAX" + ( Units "uVoltage" "V" 1.000000) ( Origin gFrontEnd ) ) - ( attribute "XY" "(350,-550)" - ( Status sBlockFlattened ) + ( attribute "XY" "(-900,-2600)" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Status sBlockFlattened ) + ( attribute "CHIPS_PART_NAME" "RSMD0603" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP-GND=GND_SA" + ( attribute "CDS_PART_NAME" "RSMD0603_-00," ( Origin gPackager ) ) - ( attribute "LOCATION" "U3" + ( attribute "LOCATION" "R62" ( Origin gFrontEnd ) ) - ( attribute "CDS_SEC" "3" + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( attribute "SEC" "3" + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "U3" + ( attribute "CDS_LOCATION" "R62" ( Origin gPackager ) ) - ( objectStatus "U3" ) - ( pin "\in\" - ( attribute "PN" "4" + ( objectStatus "R62" ) + ( pin "a(0)" + ( attribute "PN" "1" ( Origin gPackager ) ) - ( objectStatus "U3.4" ) + ( objectStatus "R62.1" ) ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i48" - ( attribute "CDS_LIB" "bris_cds_analogue" - ( Status sBlockFlattened ) - ( Origin gPackager ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "R62.2" ) ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,50,125,-50" - ( Status sBlockFlattened ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i17" + ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "MANUF" "NXP" - ( Status sBlockFlattened ) + ( attribute "DIST" "FLAT" ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "TSSOP" - ( Status sBlockFlattened ) + ( attribute "MAX_TEMP" "RTMAX" ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" - ( Status sBlockFlattened ) + ( attribute "NEGTOL" "RTOL%" ( Origin gFrontEnd ) ) - ( attribute "ROT" "3" - ( Status sBlockFlattened ) + ( attribute "PACK_TYPE" "<< NULL >>" ( Origin gFrontEnd ) ) - ( attribute "VER" "1" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(350,0)" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP-GND=GND_SA" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "U3" - ( Origin gFrontEnd ) - ) - ( attribute "CDS_SEC" "2" - ( Origin gPackager ) - ) - ( attribute "SEC" "2" - ( Origin gPackager ) - ) - ( attribute "CDS_LOCATION" "U3" - ( Origin gPackager ) - ) - ( objectStatus "U3" ) - ( pin "\in\" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "U3.2" ) - ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i50" - ( attribute "CDS_LIB" "bris_cds_analogue" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,50,125,-50" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "MANUF" "NXP" - ( Status sBlockFlattened ) + ( attribute "PHYS_PAGE" "1" ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "TSSOP" - ( Status sBlockFlattened ) + ( attribute "POSTOL" "RTOL%" ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" - ( Status sBlockFlattened ) + ( attribute "POWER" "RMAX" ( Origin gFrontEnd ) ) ( attribute "ROT" "1" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "VER" "1" - ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(350,-3300)" - ( Status sBlockFlattened ) + ( attribute "SIZE" "1" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP-GND=GND_SA" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "U3" + ( attribute "SLOPE" "RSMAX" ( Origin gFrontEnd ) ) - ( attribute "CDS_SEC" "8" - ( Origin gPackager ) - ) - ( attribute "SEC" "8" - ( Origin gPackager ) - ) - ( attribute "CDS_LOCATION" "U3" - ( Origin gPackager ) - ) - ( objectStatus "U3" ) - ( pin "\in\" - ( attribute "PN" "10" - ( Origin gPackager ) - ) - ( objectStatus "U3.10" ) - ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i9" - ( attribute "CDS_LIB" "cnpassive" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "PACK_TYPE" "0603" - ( Status sBlockFlattened ) + ( attribute "TC1" "RTMPL" ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" - ( Status sBlockFlattened ) + ( attribute "TC2" "RTMPQ" ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" - ( Status sBlockFlattened ) + ( attribute "TOL" "<< NULL >>" ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" - ( Status sBlockFlattened ) + ( attribute "TOL_ON_OFF" "ON" ( Origin gFrontEnd ) ) - ( attribute "VALUE" "100NF" - ( Status sBlockFlattened ) + ( attribute "VALUE" "00" ( Origin gFrontEnd ) ) - ( attribute "VER" "1" - ( Status sBlockFlattened ) + ( attribute "VER" "2" ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "16V" + ( attribute "VOLTAGE" "RVMAX" ( Units "uVoltage" "V" 1.000000) - ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(4450,500)" - ( Status sBlockFlattened ) + ( attribute "XY" "(-750,-2600)" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" - ( Status sBlockFlattened ) + ( attribute "CHIPS_PART_NAME" "RSMD0603" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( attribute "CDS_PART_NAME" "RSMD0603_-00," ( Origin gPackager ) ) - ( attribute "LOCATION" "C54" + ( attribute "LOCATION" "R64" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" @@ -20974,217 +20018,192 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "C54" + ( attribute "CDS_LOCATION" "R64" ( Origin gPackager ) ) - ( objectStatus "C54" ) + ( objectStatus "R64" ) ( pin "a(0)" ( attribute "PN" "1" ( Origin gPackager ) ) - ( objectStatus "C54.1" ) + ( objectStatus "R64.1" ) ) ( pin "b(0)" ( attribute "PN" "2" ( Origin gPackager ) ) - ( objectStatus "C54.2" ) + ( objectStatus "R64.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i7" - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Status sBlockFlattened ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i51" + ( attribute "ABBREV" "PT6911" + ( Origin gFrontEnd ) + ) + ( attribute "CDS_LIB" "cnconnector" ( Origin gPackager ) ) - ( attribute "CDS_LIB" "bris_cds_analogue" - ( Status sBlockFlattened ) + ( attribute "CDS_LOCATION" "PX5" ( Origin gPackager ) ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,50,125,-50" - ( Status sBlockFlattened ) + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( attribute "MANUF" "NXP" - ( Status sBlockFlattened ) + ( attribute "NEEDS_NO_SIZE" "TRUE" ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "TSSOP" - ( Status sBlockFlattened ) + ( attribute "PHYS_PAGE" "3" ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" - ( Status sBlockFlattened ) + ( attribute "ROT" "2" ( Origin gFrontEnd ) ) - ( attribute "ROT" "3" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( attribute "SEC" "1" + ( Origin gPackager ) ) - ( attribute "VER" "1" - ( Status sBlockFlattened ) + ( attribute "TYPE" "PLEMO00C" ( Origin gFrontEnd ) ) - ( attribute "XY" "(350,550)" - ( Status sBlockFlattened ) + ( attribute "VER" "1" ( Origin gFrontEnd ) ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP-GND=GND_SA" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "U1" + ( attribute "XY" "(1750,50)" ( Origin gFrontEnd ) ) - ( attribute "SEC" "7" + ( attribute "CHIPS_PART_NAME" "PCOAX" ( Origin gPackager ) ) - ( attribute "CDS_SEC" "7" + ( attribute "CDS_PART_NAME" "PCOAX-PLEMO00C-GND=GND_SIGNAL" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "U1" + ( attribute "LOCATION" "PX5" ( Origin gPackager ) ) - ( objectStatus "U1" ) - ( pin "\in\" - ( attribute "PN" "9" + ( objectStatus "PX5" ) + ( pin "a" + ( attribute "PN" "1" ( Origin gPackager ) ) - ( objectStatus "U1.9" ) + ( objectStatus "PX5.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i43" - ( attribute "CDS_LIB" "bris_cds_analogue" - ( Status sBlockFlattened ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i52" + ( attribute "ABBREV" "PT6911" + ( Origin gFrontEnd ) + ) + ( attribute "CDS_LIB" "cnconnector" ( Origin gPackager ) ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,50,125,-50" - ( Status sBlockFlattened ) + ( attribute "CDS_LOCATION" "PX4" ( Origin gPackager ) ) - ( attribute "MANUF" "NXP" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "TSSOP" - ( Status sBlockFlattened ) + ( attribute "NEEDS_NO_SIZE" "TRUE" ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" - ( Status sBlockFlattened ) + ( attribute "PHYS_PAGE" "3" ( Origin gFrontEnd ) ) - ( attribute "ROT" "3" - ( Status sBlockFlattened ) + ( attribute "ROT" "2" ( Origin gFrontEnd ) ) - ( attribute "VER" "1" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( attribute "SEC" "1" + ( Origin gPackager ) ) - ( attribute "XY" "(350,-2750)" - ( Status sBlockFlattened ) + ( attribute "TYPE" "PLEMO00C" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP-GND=GND_SA" - ( Origin gPackager ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) ) - ( attribute "LOCATION" "U1" + ( attribute "XY" "(1750,800)" ( Origin gFrontEnd ) ) - ( attribute "SEC" "3" + ( attribute "CHIPS_PART_NAME" "PCOAX" ( Origin gPackager ) ) - ( attribute "CDS_SEC" "3" + ( attribute "CDS_PART_NAME" "PCOAX-PLEMO00C-GND=GND_SIGNAL" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "U1" + ( attribute "LOCATION" "PX4" ( Origin gPackager ) ) - ( objectStatus "U1" ) - ( pin "\in\" - ( attribute "PN" "4" + ( objectStatus "PX4" ) + ( pin "a" + ( attribute "PN" "1" ( Origin gPackager ) ) - ( objectStatus "U1.4" ) + ( objectStatus "PX4.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i44" - ( attribute "CDS_LIB" "bris_cds_analogue" - ( Status sBlockFlattened ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i53" + ( attribute "ABBREV" "PT6911" + ( Origin gFrontEnd ) + ) + ( attribute "CDS_LIB" "cnconnector" ( Origin gPackager ) ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,50,125,-50" - ( Status sBlockFlattened ) + ( attribute "CDS_LOCATION" "PX3" ( Origin gPackager ) ) - ( attribute "MANUF" "NXP" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "TSSOP" - ( Status sBlockFlattened ) + ( attribute "NEEDS_NO_SIZE" "TRUE" ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" - ( Status sBlockFlattened ) + ( attribute "PHYS_PAGE" "3" ( Origin gFrontEnd ) ) - ( attribute "ROT" "3" - ( Status sBlockFlattened ) + ( attribute "ROT" "2" ( Origin gFrontEnd ) ) - ( attribute "VER" "1" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( attribute "SEC" "1" + ( Origin gPackager ) ) - ( attribute "XY" "(350,-2200)" - ( Status sBlockFlattened ) + ( attribute "TYPE" "PLEMO00C" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP-GND=GND_SA" - ( Origin gPackager ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) ) - ( attribute "LOCATION" "U1" + ( attribute "XY" "(1750,1550)" ( Origin gFrontEnd ) ) - ( attribute "SEC" "5" + ( attribute "CHIPS_PART_NAME" "PCOAX" ( Origin gPackager ) ) - ( attribute "CDS_SEC" "5" + ( attribute "CDS_PART_NAME" "PCOAX-PLEMO00C-GND=GND_SIGNAL" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "U1" + ( attribute "LOCATION" "PX3" ( Origin gPackager ) ) - ( objectStatus "U1" ) - ( pin "\in\" - ( attribute "PN" "6" + ( objectStatus "PX3" ) + ( pin "a" + ( attribute "PN" "1" ( Origin gPackager ) ) - ( objectStatus "U1.6" ) + ( objectStatus "PX3.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i45" - ( attribute "CDS_LIB" "bris_cds_analogue" - ( Status sBlockFlattened ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i120" + ( attribute "CHIPS_PART_NAME" "DIODE_DUAL_SERIES" ( Origin gPackager ) ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,50,125,-50" + ( attribute "CASE" "SOT23" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "CDS_LIB" "cndiscrete" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "MANUF" "NXP" - ( Status sBlockFlattened ) + ( attribute "LOCATION" "D1_1" ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "TSSOP" + ( attribute "PACK_TYPE" "A1-C2-AC3" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -21192,59 +20211,67 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "3" + ( attribute "ROT" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "TYPE" "HBAT-540C" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(350,-1650)" + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" + ( attribute "XY" "(0,-500)" ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP-GND=GND_SA" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "U1" ( Origin gFrontEnd ) ) - ( attribute "SEC" "6" + ( attribute "CDS_PART_NAME" "HBAT-540C" ( Origin gPackager ) ) - ( attribute "CDS_SEC" "6" + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "U1" + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "U1" ) - ( pin "\in\" - ( attribute "PN" "7" + ( objectStatus "D1_1" ) + ( pin "a" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "D1_1.1" ) + ) + ( pin "ac" + ( attribute "PN" "3" + ( Origin gPackager ) + ) + ( objectStatus "D1_1.3" ) + ) + ( pin "c" + ( attribute "PN" "2" ( Origin gPackager ) ) - ( objectStatus "U1.7" ) + ( objectStatus "D1_1.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i46" - ( attribute "CDS_LIB" "bris_cds_analogue" - ( Status sBlockFlattened ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i120" + ( attribute "CHIPS_PART_NAME" "DIODE_DUAL_SERIES" ( Origin gPackager ) ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,50,125,-50" + ( attribute "CASE" "SOT23" ( Status sBlockFlattened ) - ( Origin gPackager ) + ( Origin gFrontEnd ) ) - ( attribute "MANUF" "NXP" + ( attribute "CDS_LIB" "cndiscrete" ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "LOCATION" "D1_2" ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "TSSOP" + ( attribute "PACK_TYPE" "A1-C2-AC3" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -21252,59 +20279,67 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "3" + ( attribute "ROT" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "TYPE" "HBAT-540C" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(350,-1100)" + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" + ( attribute "XY" "(0,-500)" ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP-GND=GND_SA" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "U1" ( Origin gFrontEnd ) ) - ( attribute "SEC" "1" + ( attribute "CDS_PART_NAME" "HBAT-540C" ( Origin gPackager ) ) ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "U1" + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "U1" ) - ( pin "\in\" + ( objectStatus "D1_2" ) + ( pin "a" ( attribute "PN" "1" ( Origin gPackager ) ) - ( objectStatus "U1.1" ) + ( objectStatus "D1_2.1" ) + ) + ( pin "ac" + ( attribute "PN" "3" + ( Origin gPackager ) + ) + ( objectStatus "D1_2.3" ) + ) + ( pin "c" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "D1_2.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i47" - ( attribute "CDS_LIB" "bris_cds_analogue" - ( Status sBlockFlattened ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i120" + ( attribute "CHIPS_PART_NAME" "DIODE_DUAL_SERIES" ( Origin gPackager ) ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,50,125,-50" + ( attribute "CASE" "SOT23" ( Status sBlockFlattened ) - ( Origin gPackager ) + ( Origin gFrontEnd ) ) - ( attribute "MANUF" "NXP" + ( attribute "CDS_LIB" "cndiscrete" ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "LOCATION" "D1_3" ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "TSSOP" + ( attribute "PACK_TYPE" "A1-C2-AC3" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -21312,59 +20347,67 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "3" + ( attribute "ROT" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "TYPE" "HBAT-540C" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(350,-550)" + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" + ( attribute "XY" "(0,-500)" ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP-GND=GND_SA" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "U1" ( Origin gFrontEnd ) ) - ( attribute "SEC" "2" + ( attribute "CDS_PART_NAME" "HBAT-540C" ( Origin gPackager ) ) - ( attribute "CDS_SEC" "2" + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "U1" + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "U1" ) - ( pin "\in\" + ( objectStatus "D1_3" ) + ( pin "a" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "D1_3.1" ) + ) + ( pin "ac" + ( attribute "PN" "3" + ( Origin gPackager ) + ) + ( objectStatus "D1_3.3" ) + ) + ( pin "c" ( attribute "PN" "2" ( Origin gPackager ) ) - ( objectStatus "U1.2" ) + ( objectStatus "D1_3.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i48" - ( attribute "CDS_LIB" "bris_cds_analogue" - ( Status sBlockFlattened ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i120" + ( attribute "CHIPS_PART_NAME" "DIODE_DUAL_SERIES" ( Origin gPackager ) ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,50,125,-50" + ( attribute "CASE" "SOT23" ( Status sBlockFlattened ) - ( Origin gPackager ) + ( Origin gFrontEnd ) ) - ( attribute "MANUF" "NXP" + ( attribute "CDS_LIB" "cndiscrete" ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "LOCATION" "D1_4" ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "TSSOP" + ( attribute "PACK_TYPE" "A1-C2-AC3" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -21372,154 +20415,141 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "3" + ( attribute "ROT" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "TYPE" "HBAT-540C" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(350,0)" + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" + ( attribute "XY" "(0,-500)" ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP-GND=GND_SA" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "U1" ( Origin gFrontEnd ) ) - ( attribute "SEC" "8" + ( attribute "CDS_PART_NAME" "HBAT-540C" ( Origin gPackager ) ) - ( attribute "CDS_SEC" "8" + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "U1" + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "U1" ) - ( pin "\in\" - ( attribute "PN" "10" + ( objectStatus "D1_4" ) + ( pin "a" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "D1_4.1" ) + ) + ( pin "ac" + ( attribute "PN" "3" + ( Origin gPackager ) + ) + ( objectStatus "D1_4.3" ) + ) + ( pin "c" + ( attribute "PN" "2" ( Origin gPackager ) ) - ( objectStatus "U1.10" ) + ( objectStatus "D1_4.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i50" - ( attribute "CDS_LIB" "bris_cds_analogue" - ( Status sBlockFlattened ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i90" + ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,50,125,-50" - ( Status sBlockFlattened ) + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( attribute "MANUF" "NXP" - ( Status sBlockFlattened ) + ( attribute "LOCATION" "C1" ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "TSSOP" - ( Status sBlockFlattened ) + ( attribute "PACK_TYPE" "0603" ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" - ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "ROT" "1" - ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" - ( Status sBlockFlattened ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SIZE" "1" ( Origin gFrontEnd ) ) - ( attribute "XY" "(350,-3300)" - ( Status sBlockFlattened ) + ( attribute "VALUE" "100NF" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Status sBlockFlattened ) - ( Origin gPackager ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP-GND=GND_SA" - ( Origin gPackager ) + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) ) - ( attribute "LOCATION" "U1" + ( attribute "XY" "(3050,500)" ( Origin gFrontEnd ) ) - ( attribute "SEC" "4" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" ( Origin gPackager ) ) - ( attribute "CDS_SEC" "4" + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "U1" + ( attribute "CDS_LOCATION" "C1" ( Origin gPackager ) ) - ( objectStatus "U1" ) - ( pin "\in\" - ( attribute "PN" "5" + ( objectStatus "C1" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "C1.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" ( Origin gPackager ) ) - ( objectStatus "U1.5" ) + ( objectStatus "C1.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i159" - ( attribute "CDS_LIB" "cnpassive" - ( Status sBlockFlattened ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i92" + ( attribute "CDS_LIB" "cndiscrete" ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "PART_NAME" "TP" - ( Status sBlockFlattened ) + ( attribute "PACK_TYPE" "SOT23" ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" - ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "ROT" "0" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "SIZE" "1" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "SYMMAP" "TRUE" - ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" - ( Status sBlockFlattened ) + ( attribute "TYPE" "USBLC6-2SC6" ( Origin gFrontEnd ) ) ( attribute "VER" "1" - ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2750,400)" - ( Status sBlockFlattened ) + ( attribute "XY" "(2600,100)" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" - ( Status sBlockFlattened ) + ( attribute "CHIPS_PART_NAME" "USBLC6-2" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "USBLC6-2SC6" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP2_1" + ( attribute "LOCATION" "D3" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" @@ -21528,65 +20558,77 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "TP2_1" ) - ( pin "a(0)" - ( attribute "PN" "1" - ( Status sBlockFlattened ) + ( attribute "CDS_LOCATION" "D3" + ( Origin gPackager ) + ) + ( objectStatus "D3" ) + ( pin "gnd" + ( attribute "PN" "2" ( Origin gPackager ) ) - ( objectStatus "TP2_1.1" ) + ( objectStatus "D3.2" ) ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i160" - ( attribute "CDS_LIB" "cnpassive" - ( Status sBlockFlattened ) - ( Origin gPackager ) + ( pin "\i/o1\(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "D3.1" ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( pin "\i/o1\(1)" + ( attribute "PN" "6" + ( Origin gPackager ) + ) + ( objectStatus "D3.6" ) ) - ( attribute "PART_NAME" "TP" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( pin "\i/o2\(0)" + ( attribute "PN" "3" + ( Origin gPackager ) + ) + ( objectStatus "D3.3" ) ) - ( attribute "PHYS_PAGE" "1" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( pin "\i/o2\(1)" + ( attribute "PN" "4" + ( Origin gPackager ) + ) + ( objectStatus "D3.4" ) ) - ( attribute "ROT" "0" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( pin "vbus" + ( attribute "PN" "5" + ( Origin gPackager ) + ) + ( objectStatus "D3.5" ) ) - ( attribute "SIZE" "1" - ( Status sBlockFlattened ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i93" + ( attribute "CDS_LIB" "cnconnector" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "PL1" + ( Origin gPackager ) + ) + ( attribute "PHYS_PAGE" "1" ( Origin gFrontEnd ) ) - ( attribute "SYMMAP" "TRUE" - ( Status sBlockFlattened ) + ( attribute "ROT" "6" ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" - ( Status sBlockFlattened ) + ( attribute "TYPE" "HW8_08G_SM" ( Origin gFrontEnd ) ) ( attribute "VER" "1" - ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2750,200)" - ( Status sBlockFlattened ) + ( attribute "XY" "(900,-2950)" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" - ( Status sBlockFlattened ) + ( attribute "CHIPS_PART_NAME" "CON16P" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "CON16P-HW8_08G_SM" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP3_1" - ( Origin gFrontEnd ) + ( attribute "CDS_LOCATION" "PL1" + ( Origin gPackager ) ) ( attribute "CDS_SEC" "1" ( Origin gPackager ) @@ -21594,25 +20636,110 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "TP3_1" ) + ( objectStatus "PL1" ) ( pin "a(0)" ( attribute "PN" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP3_1.1" ) + ( objectStatus "PL1.1" ) + ) + ( pin "a(1)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "PL1.2" ) + ) + ( pin "a(2)" + ( attribute "PN" "3" + ( Origin gPackager ) + ) + ( objectStatus "PL1.3" ) + ) + ( pin "a(3)" + ( attribute "PN" "4" + ( Origin gPackager ) + ) + ( objectStatus "PL1.4" ) + ) + ( pin "a(4)" + ( attribute "PN" "5" + ( Origin gPackager ) + ) + ( objectStatus "PL1.5" ) + ) + ( pin "a(5)" + ( attribute "PN" "6" + ( Origin gPackager ) + ) + ( objectStatus "PL1.6" ) + ) + ( pin "a(6)" + ( attribute "PN" "7" + ( Origin gPackager ) + ) + ( objectStatus "PL1.7" ) + ) + ( pin "a(7)" + ( attribute "PN" "8" + ( Origin gPackager ) + ) + ( objectStatus "PL1.8" ) + ) + ( pin "a(8)" + ( attribute "PN" "9" + ( Origin gPackager ) + ) + ( objectStatus "PL1.9" ) + ) + ( pin "a(9)" + ( attribute "PN" "10" + ( Origin gPackager ) + ) + ( objectStatus "PL1.10" ) + ) + ( pin "a(10)" + ( attribute "PN" "11" + ( Origin gPackager ) + ) + ( objectStatus "PL1.11" ) + ) + ( pin "a(11)" + ( attribute "PN" "12" + ( Origin gPackager ) + ) + ( objectStatus "PL1.12" ) + ) + ( pin "a(12)" + ( attribute "PN" "13" + ( Origin gPackager ) + ) + ( objectStatus "PL1.13" ) + ) + ( pin "a(13)" + ( attribute "PN" "14" + ( Origin gPackager ) + ) + ( objectStatus "PL1.14" ) + ) + ( pin "a(14)" + ( attribute "PN" "15" + ( Origin gPackager ) + ) + ( objectStatus "PL1.15" ) + ) + ( pin "a(15)" + ( attribute "PN" "16" + ( Origin gPackager ) + ) + ( objectStatus "PL1.16" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i161" - ( attribute "CDS_LIB" "cnpassive" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i168" + ( attribute "CDS_LIB" "cnconnector" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "PART_NAME" "TP" + ( attribute "NEEDS_NO_SIZE" "TRUE" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -21624,15 +20751,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "SYMMAP" "TRUE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "VALUE" "0.8MM" + ( attribute "TYPE" "UFL_R_SMT" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -21640,45 +20759,43 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2750,0)" + ( attribute "XY" "(-300,-1550)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "CHIPS_PART_NAME" "PCOAXSMD" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "PCOAXSMD-UFL_R_SMT-GND=GND_SIGA" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP4_1" + ( attribute "LOCATION" "PX1_1" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP4_1" ) - ( pin "a(0)" + ( objectStatus "PX1_1" ) + ( pin "a" ( attribute "PN" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP4_1.1" ) + ( objectStatus "PX1_1.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i162" - ( attribute "CDS_LIB" "cnpassive" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i168" + ( attribute "CDS_LIB" "cnconnector" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "PART_NAME" "TP" + ( attribute "NEEDS_NO_SIZE" "TRUE" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -21690,15 +20807,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "SYMMAP" "TRUE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "VALUE" "0.8MM" + ( attribute "TYPE" "UFL_R_SMT" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -21706,45 +20815,43 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(1000,800)" + ( attribute "XY" "(-300,-1550)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "CHIPS_PART_NAME" "PCOAXSMD" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "PCOAXSMD-UFL_R_SMT-GND=GND_SIGA" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP1_1" + ( attribute "LOCATION" "PX1_2" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP1_1" ) - ( pin "a(0)" + ( objectStatus "PX1_2" ) + ( pin "a" ( attribute "PN" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP1_1.1" ) + ( objectStatus "PX1_2.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i163" - ( attribute "CDS_LIB" "cnpassive" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i168" + ( attribute "CDS_LIB" "cnconnector" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "PART_NAME" "TP" + ( attribute "NEEDS_NO_SIZE" "TRUE" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -21756,15 +20863,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "SYMMAP" "TRUE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "VALUE" "0.8MM" + ( attribute "TYPE" "UFL_R_SMT" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -21772,45 +20871,43 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2750,-1250)" + ( attribute "XY" "(-300,-1550)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "CHIPS_PART_NAME" "PCOAXSMD" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "PCOAXSMD-UFL_R_SMT-GND=GND_SIGA" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP5_1" + ( attribute "LOCATION" "PX1_3" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP5_1" ) - ( pin "a(0)" + ( objectStatus "PX1_3" ) + ( pin "a" ( attribute "PN" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP5_1.1" ) + ( objectStatus "PX1_3.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i164" - ( attribute "CDS_LIB" "cnpassive" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i168" + ( attribute "CDS_LIB" "cnconnector" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "PART_NAME" "TP" + ( attribute "NEEDS_NO_SIZE" "TRUE" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -21822,15 +20919,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "SYMMAP" "TRUE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "VALUE" "0.8MM" + ( attribute "TYPE" "UFL_R_SMT" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -21838,45 +20927,43 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2750,-1550)" + ( attribute "XY" "(-300,-1550)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "CHIPS_PART_NAME" "PCOAXSMD" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "PCOAXSMD-UFL_R_SMT-GND=GND_SIGA" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP6_1" + ( attribute "LOCATION" "PX1_4" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP6_1" ) - ( pin "a(0)" + ( objectStatus "PX1_4" ) + ( pin "a" ( attribute "PN" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP6_1.1" ) + ( objectStatus "PX1_4.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i165" - ( attribute "CDS_LIB" "cnpassive" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i169" + ( attribute "CDS_LIB" "cnconnector" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "PART_NAME" "TP" + ( attribute "NEEDS_NO_SIZE" "TRUE" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -21884,19 +20971,11 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "SIZE" "1" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "SYMMAP" "TRUE" + ( attribute "ROT" "2" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" + ( attribute "TYPE" "UFL_R_SMT" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -21904,45 +20983,43 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2750,-1750)" + ( attribute "XY" "(325,-1550)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "CHIPS_PART_NAME" "PCOAXSMD" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "PCOAXSMD-UFL_R_SMT-GND=GND_SIGA" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP7_1" + ( attribute "LOCATION" "PX2_1" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP7_1" ) - ( pin "a(0)" + ( objectStatus "PX2_1" ) + ( pin "a" ( attribute "PN" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP7_1.1" ) + ( objectStatus "PX2_1.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i159" - ( attribute "CDS_LIB" "cnpassive" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i169" + ( attribute "CDS_LIB" "cnconnector" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "PART_NAME" "TP" + ( attribute "NEEDS_NO_SIZE" "TRUE" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -21950,19 +21027,11 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "SIZE" "1" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "SYMMAP" "TRUE" + ( attribute "ROT" "2" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" + ( attribute "TYPE" "UFL_R_SMT" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -21970,45 +21039,43 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2750,400)" + ( attribute "XY" "(325,-1550)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "CHIPS_PART_NAME" "PCOAXSMD" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "PCOAXSMD-UFL_R_SMT-GND=GND_SIGA" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP2_2" + ( attribute "LOCATION" "PX2_2" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP2_2" ) - ( pin "a(0)" + ( objectStatus "PX2_2" ) + ( pin "a" ( attribute "PN" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP2_2.1" ) + ( objectStatus "PX2_2.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i160" - ( attribute "CDS_LIB" "cnpassive" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i169" + ( attribute "CDS_LIB" "cnconnector" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "PART_NAME" "TP" + ( attribute "NEEDS_NO_SIZE" "TRUE" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -22016,19 +21083,11 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "SIZE" "1" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "SYMMAP" "TRUE" + ( attribute "ROT" "2" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" + ( attribute "TYPE" "UFL_R_SMT" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -22036,45 +21095,43 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2750,200)" + ( attribute "XY" "(325,-1550)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "CHIPS_PART_NAME" "PCOAXSMD" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "PCOAXSMD-UFL_R_SMT-GND=GND_SIGA" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP3_2" + ( attribute "LOCATION" "PX2_3" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP3_2" ) - ( pin "a(0)" + ( objectStatus "PX2_3" ) + ( pin "a" ( attribute "PN" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP3_2.1" ) + ( objectStatus "PX2_3.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i161" - ( attribute "CDS_LIB" "cnpassive" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i169" + ( attribute "CDS_LIB" "cnconnector" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "PART_NAME" "TP" + ( attribute "NEEDS_NO_SIZE" "TRUE" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -22082,19 +21139,11 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "SIZE" "1" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "SYMMAP" "TRUE" + ( attribute "ROT" "2" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" + ( attribute "TYPE" "UFL_R_SMT" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -22102,84 +21151,66 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2750,0)" + ( attribute "XY" "(325,-1550)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "CHIPS_PART_NAME" "PCOAXSMD" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "PCOAXSMD-UFL_R_SMT-GND=GND_SIGA" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP4_2" + ( attribute "LOCATION" "PX2_4" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP4_2" ) - ( pin "a(0)" + ( objectStatus "PX2_4" ) + ( pin "a" ( attribute "PN" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP4_2.1" ) + ( objectStatus "PX2_4.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i162" - ( attribute "CDS_LIB" "cnpassive" - ( Status sBlockFlattened ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i98" + ( attribute "CDS_LIB" "cndiscrete" ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "PART_NAME" "TP" - ( Status sBlockFlattened ) + ( attribute "PACK_TYPE" "SOD123-CA" ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" - ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "ROT" "0" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "SIZE" "1" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "SYMMAP" "TRUE" - ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" - ( Status sBlockFlattened ) + ( attribute "TYPE" "BZT52C2V7" ( Origin gFrontEnd ) ) ( attribute "VER" "1" - ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(1000,800)" - ( Status sBlockFlattened ) + ( attribute "XY" "(3325,525)" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" - ( Status sBlockFlattened ) + ( attribute "CHIPS_PART_NAME" "ZENER" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "ZENER_SOD123-CA-BZT52C2V7" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP1_2" + ( attribute "LOCATION" "Z4" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" @@ -22188,45 +21219,49 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "TP1_2" ) + ( attribute "CDS_LOCATION" "Z4" + ( Origin gPackager ) + ) + ( objectStatus "Z4" ) ( pin "a(0)" - ( attribute "PN" "1" - ( Status sBlockFlattened ) + ( attribute "PN" "A" ( Origin gPackager ) ) - ( objectStatus "TP1_2.1" ) + ( objectStatus "Z4.A" ) + ) + ( pin "k(0)" + ( attribute "PN" "C" + ( Origin gPackager ) + ) + ( objectStatus "Z4.C" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i163" - ( attribute "CDS_LIB" "cnpassive" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i70" + ( attribute "CDS_LIB" "bris_cds_analogue" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" + ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,125,125,-125" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) - ( attribute "PART_NAME" "TP" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "1" + ( attribute "MANUF" "TEXAS INSTRUMENTS" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "PACK_TYPE" "SOT23-5" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" + ( attribute "PHYS_PAGE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SYMMAP" "TRUE" + ( attribute "ROT" "0" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" + ( attribute "VALUE" "1.3V" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -22234,19 +21269,22 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2750,-1250)" + ( attribute "XY" "(-2625,125)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "CHIPS_PART_NAME" "LP5951" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "LP5951_SOT23-5-1.3V,TEXAS INSTA" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP5_2" - ( Origin gFrontEnd ) + ( attribute "CDS_LOCATION" "U4" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "U4" + ( Origin gPackager ) ) ( attribute "CDS_SEC" "1" ( Origin gPackager ) @@ -22254,25 +21292,38 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "TP5_2" ) - ( pin "a(0)" + ( objectStatus "U4" ) + ( pin "gnd" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "U4.2" ) + ) + ( pin "\on/off\" + ( attribute "PN" "3" + ( Origin gPackager ) + ) + ( objectStatus "U4.3" ) + ) + ( pin "vin" ( attribute "PN" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP5_2.1" ) + ( objectStatus "U4.1" ) + ) + ( pin "vout" + ( attribute "PN" "5" + ( Origin gPackager ) + ) + ( objectStatus "U4.5" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i164" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i73" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "PART_NAME" "TP" + ( attribute "PACK_TYPE" "0603" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -22280,7 +21331,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "ROT" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -22288,30 +21339,31 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SYMMAP" "TRUE" + ( attribute "VALUE" "1UF" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2750,-1550)" + ( attribute "XY" "(-2925,-125)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-1UF,16V" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP6_2" + ( attribute "LOCATION" "C29" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" @@ -22320,45 +21372,45 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "TP6_2" ) + ( attribute "CDS_LOCATION" "C29" + ( Origin gPackager ) + ) + ( objectStatus "C29" ) ( pin "a(0)" ( attribute "PN" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP6_2.1" ) + ( objectStatus "C29.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "C29.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i165" - ( attribute "CDS_LIB" "cnpassive" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i40" + ( attribute "CDS_LIB" "bris_cds_special" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "PART_NAME" "TP" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "1" + ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,125,125,-125" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) - ( attribute "ROT" "0" + ( attribute "MANUF" "LINEAR TECHNOLOGY" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" + ( attribute "PACK_TYPE" "SOT_223" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SYMMAP" "TRUE" + ( attribute "PHYS_PAGE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" + ( attribute "ROT" "6" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -22366,45 +21418,68 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2750,-1750)" + ( attribute "XY" "(1700,825)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "CHIPS_PART_NAME" "LT1175" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "LT1175_SOT_223" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP7_2" + ( attribute "LOCATION" "REG1_6" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP7_2" ) - ( pin "a(0)" + ( objectStatus "REG1_6" ) + ( pin "gnd" + ( attribute "PN" "3" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "REG1_6.3" ) + ) + ( pin "v_in0" + ( attribute "PN" "2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "REG1_6.2" ) + ) + ( pin "v_in1" + ( attribute "PN" "4" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "REG1_6.4" ) + ) + ( pin "v_out" ( attribute "PN" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP7_2.1" ) + ( objectStatus "REG1_6.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i159" - ( attribute "CDS_LIB" "cnpassive" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i42" + ( attribute "CDS_LIB" "cndiscrete" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" + ( attribute "MODEL" "WURTH" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PART_NAME" "TP" + ( attribute "PACK_TYPE" "SMD" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -22416,15 +21491,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "SYMMAP" "TRUE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "VALUE" "0.8MM" + ( attribute "VALUE" "7427921" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -22432,45 +21499,40 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2750,400)" + ( attribute "XY" "(625,2900)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "CHIPS_PART_NAME" "FERRITE" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "FERRITE_SMD-7427921,WURTH" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP2_3" + ( attribute "LOCATION" "L2_6" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP2_3" ) - ( pin "a(0)" - ( attribute "PN" "1" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "TP2_3.1" ) - ) + ( objectStatus "L2_6" ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i160" - ( attribute "CDS_LIB" "cnpassive" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i44" + ( attribute "CDS_LIB" "cndiscrete" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" + ( attribute "MODEL" "WURTH" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PART_NAME" "TP" + ( attribute "PACK_TYPE" "SMD" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -22482,15 +21544,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "SYMMAP" "TRUE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "VALUE" "0.8MM" + ( attribute "VALUE" "7427921" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -22498,65 +21552,76 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2750,200)" + ( attribute "XY" "(625,875)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "CHIPS_PART_NAME" "FERRITE" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "FERRITE_SMD-7427921,WURTH" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP3_3" + ( attribute "LOCATION" "L3_6" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP3_3" ) - ( pin "a(0)" - ( attribute "PN" "1" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "TP3_3.1" ) - ) + ( objectStatus "L3_6" ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i161" - ( attribute "CDS_LIB" "cnpassive" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i50" + ( attribute "CDS_LIB" "bris_cds_analogue" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" + ( attribute "CDS_LMAN_SYM_OUTLINE" "-150,200,150,-150" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "KL_COMMENTS" "-" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PART_NAME" "TP" + ( attribute "MANUF" "LINEAR" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" + ( attribute "OL_COMMENTS" "-" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "PACK_TYPE" "SOT223" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" + ( attribute "PART_NAME" "lt1129CST-5" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SYMMAP" "TRUE" + ( attribute "PHYS_DES_PREFIX" "VR" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PL_COMMENTS" "-" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -22564,45 +21629,48 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2750,0)" + ( attribute "XY" "(1700,2850)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "CHIPS_PART_NAME" "LT1129CST-5" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "LT1129CST-5_SOT223-LINEAR" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP4_3" + ( attribute "LOCATION" "VR1_6" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP4_3" ) - ( pin "a(0)" - ( attribute "PN" "1" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "TP4_3.1" ) + ( objectStatus "VR1_6" ) + ( pin "gnd" + ( objectStatus "VR1_6.2" ) + ) + ( pin "tab" + ( objectStatus "VR1_6.4" ) + ) + ( pin "vin" + ( objectStatus "VR1_6.1" ) + ) + ( pin "vout" + ( objectStatus "VR1_6.3" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i162" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i52" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "PART_NAME" "TP" + ( attribute "PACK_TYPE" "0805" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -22610,7 +21678,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "ROT" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -22618,57 +21686,63 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SYMMAP" "TRUE" + ( attribute "VALUE" "4.7UF" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VOLTAGE" "10V" + ( Units "uVoltage" "V" 1.000000) ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(1000,800)" + ( attribute "XY" "(1100,2750)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0805-4.7UF,10V" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP1_3" + ( attribute "LOCATION" "C9_6" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP1_3" ) + ( objectStatus "C9_6" ) ( pin "a(0)" ( attribute "PN" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP1_3.1" ) + ( objectStatus "C9_6.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "C9_6.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i163" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i54" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "PART_NAME" "TP" + ( attribute "PACK_TYPE" "0805" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -22676,7 +21750,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "ROT" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -22684,57 +21758,63 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SYMMAP" "TRUE" + ( attribute "VALUE" "4.7UF" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VOLTAGE" "10V" + ( Units "uVoltage" "V" 1.000000) ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2750,-1250)" + ( attribute "XY" "(1100,725)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0805-4.7UF,10V" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP5_3" + ( attribute "LOCATION" "C10_6" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP5_3" ) + ( objectStatus "C10_6" ) ( pin "a(0)" ( attribute "PN" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP5_3.1" ) + ( objectStatus "C10_6.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "C10_6.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i164" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i56" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "PART_NAME" "TP" + ( attribute "PACK_TYPE" "0805" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -22742,7 +21822,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "ROT" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -22750,57 +21830,63 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SYMMAP" "TRUE" + ( attribute "VALUE" "4.7UF" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VOLTAGE" "10V" + ( Units "uVoltage" "V" 1.000000) ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2750,-1550)" + ( attribute "XY" "(2475,2750)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0805-4.7UF,10V" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP6_3" + ( attribute "LOCATION" "C12_6" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP6_3" ) + ( objectStatus "C12_6" ) ( pin "a(0)" ( attribute "PN" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP6_3.1" ) + ( objectStatus "C12_6.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "C12_6.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i165" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i58" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "PART_NAME" "TP" + ( attribute "PACK_TYPE" "0805" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -22808,7 +21894,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "ROT" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -22816,57 +21902,67 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SYMMAP" "TRUE" + ( attribute "VALUE" "4.7UF" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VOLTAGE" "10V" + ( Units "uVoltage" "V" 1.000000) ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2750,-1750)" + ( attribute "XY" "(2450,675)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0805-4.7UF,10V" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP7_3" + ( attribute "LOCATION" "C11_6" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP7_3" ) + ( objectStatus "C11_6" ) ( pin "a(0)" ( attribute "PN" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP7_3.1" ) + ( objectStatus "C11_6.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "C11_6.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i159" - ( attribute "CDS_LIB" "cnpassive" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i66" + ( attribute "CDS_LIB" "cndiscrete" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" + ( attribute "MODEL" "MURATA" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PART_NAME" "TP" + ( attribute "PACK_TYPE" "SMD" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -22878,15 +21974,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "SYMMAP" "TRUE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "VALUE" "0.8MM" + ( attribute "VALUE" "BLM41P800S" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -22894,45 +21982,36 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2750,400)" + ( attribute "XY" "(-3100,2375)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "CHIPS_PART_NAME" "FERRITE" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "FERRITE_SMD-BLM41P800S,MURATA" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP2_4" + ( attribute "LOCATION" "L1_6" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP2_4" ) - ( pin "a(0)" - ( attribute "PN" "1" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "TP2_4.1" ) - ) + ( objectStatus "L1_6" ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i160" - ( attribute "CDS_LIB" "cnpassive" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i70" + ( attribute "CDS_LIB" "cnlinear" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "PART_NAME" "TP" + ( attribute "PACK_TYPE" "BGA" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -22944,15 +22023,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "SYMMAP" "TRUE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "VALUE" "0.8MM" + ( attribute "TYPE" "LTM8047EY#PBF" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -22960,45 +22031,351 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2750,200)" + ( attribute "XY" "(-725,1550)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "CHIPS_PART_NAME" "LTM8047" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "LTM8047EY#PBF" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP3_4" + ( attribute "LOCATION" "RG1_6" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP3_4" ) - ( pin "a(0)" - ( attribute "PN" "1" - ( Status sBlockFlattened ) - ( Origin gPackager ) + ( objectStatus "RG1_6" ) + ( pin "adj" + ( attribute "PN" "G7" + ( Status sBlockFlattened ) + ( Origin gPackager ) ) - ( objectStatus "TP3_4.1" ) + ( objectStatus "RG1_6.G7" ) + ) + ( pin "bias" + ( attribute "PN" "H5" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.H5" ) + ) + ( pin "gnd(0)" + ( attribute "PN" "E1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.E1" ) + ) + ( pin "gnd(1)" + ( attribute "PN" "E2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.E2" ) + ) + ( pin "gnd(2)" + ( attribute "PN" "E3" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.E3" ) + ) + ( pin "gnd(3)" + ( attribute "PN" "E4" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.E4" ) + ) + ( pin "gnd(4)" + ( attribute "PN" "E5" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.E5" ) + ) + ( pin "gnd(5)" + ( attribute "PN" "E6" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.E6" ) + ) + ( pin "gnd(6)" + ( attribute "PN" "E7" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.E7" ) + ) + ( pin "gnd(7)" + ( attribute "PN" "F4" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.F4" ) + ) + ( pin "gnd(8)" + ( attribute "PN" "F5" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.F5" ) + ) + ( pin "gnd(9)" + ( attribute "PN" "F6" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.F6" ) + ) + ( pin "gnd(10)" + ( attribute "PN" "F7" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.F7" ) + ) + ( pin "gnd(11)" + ( attribute "PN" "G4" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.G4" ) + ) + ( pin "gnd(12)" + ( attribute "PN" "G5" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.G5" ) + ) + ( pin "gnd(13)" + ( attribute "PN" "G6" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.G6" ) + ) + ( pin "gnd(14)" + ( attribute "PN" "H4" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.H4" ) + ) + ( pin "gnd(15)" + ( attribute "PN" "H7" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.H7" ) + ) + ( pin "run" + ( attribute "PN" "F3" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.F3" ) + ) + ( pin "ss" + ( attribute "PN" "H6" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.H6" ) + ) + ( pin "vin(0)" + ( attribute "PN" "H1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.H1" ) + ) + ( pin "vin(1)" + ( attribute "PN" "H2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.H2" ) + ) + ( pin "vin(2)" + ( attribute "PN" "G1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.G1" ) + ) + ( pin "vin(3)" + ( attribute "PN" "G2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.G2" ) + ) + ( pin "vout(0)" + ( attribute "PN" "A6" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.A6" ) + ) + ( pin "vout(1)" + ( attribute "PN" "A7" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.A7" ) + ) + ( pin "vout(2)" + ( attribute "PN" "B6" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.B6" ) + ) + ( pin "vout(3)" + ( attribute "PN" "B7" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.B7" ) + ) + ( pin "vout(4)" + ( attribute "PN" "C6" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.C6" ) + ) + ( pin "vout(5)" + ( attribute "PN" "C7" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.C7" ) + ) + ( pin "\vout-\(0)" + ( attribute "PN" "A1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.A1" ) + ) + ( pin "\vout-\(1)" + ( attribute "PN" "A2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.A2" ) + ) + ( pin "\vout-\(2)" + ( attribute "PN" "A3" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.A3" ) + ) + ( pin "\vout-\(3)" + ( attribute "PN" "A4" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.A4" ) + ) + ( pin "\vout-\(4)" + ( attribute "PN" "A5" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.A5" ) + ) + ( pin "\vout-\(5)" + ( attribute "PN" "B1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.B1" ) + ) + ( pin "\vout-\(6)" + ( attribute "PN" "B2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.B2" ) + ) + ( pin "\vout-\(7)" + ( attribute "PN" "B3" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.B3" ) + ) + ( pin "\vout-\(8)" + ( attribute "PN" "B4" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.B4" ) + ) + ( pin "\vout-\(9)" + ( attribute "PN" "B5" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.B5" ) + ) + ( pin "\vout-\(10)" + ( attribute "PN" "C1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.C1" ) + ) + ( pin "\vout-\(11)" + ( attribute "PN" "C2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.C2" ) + ) + ( pin "\vout-\(12)" + ( attribute "PN" "C3" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.C3" ) + ) + ( pin "\vout-\(13)" + ( attribute "PN" "C4" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.C4" ) + ) + ( pin "\vout-\(14)" + ( attribute "PN" "C5" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.C5" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i161" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i72" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "PART_NAME" "TP" + ( attribute "PACK_TYPE" "1210" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -23006,7 +22383,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "ROT" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -23014,189 +22391,175 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SYMMAP" "TRUE" + ( attribute "VALUE" "4.7UF" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VOLTAGE" "50V" + ( Units "uVoltage" "V" 1.000000) ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2750,0)" + ( attribute "XY" "(-1825,1525)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210-4.7UF,50V" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP4_4" + ( attribute "LOCATION" "C5_6" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP4_4" ) + ( objectStatus "C5_6" ) ( pin "a(0)" ( attribute "PN" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP4_4.1" ) + ( objectStatus "C5_6.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "C5_6.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i162" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i74" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "PART_NAME" "TP" + ( attribute "DIST" "FLAT" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" + ( attribute "MAX_TEMP" "RTMAX" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "NEGTOL" "RTOL%" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" + ( attribute "PACK_TYPE" "1/10W" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SYMMAP" "TRUE" + ( attribute "PHYS_PAGE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" + ( attribute "POSTOL" "RTOL%" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "POWER" "RMAX" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(1000,800)" + ( attribute "ROT" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "SIZE" "1" ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "TP1_4" ( Origin gFrontEnd ) ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) - ) - ( attribute "SEC" "1" - ( Origin gPackager ) - ) - ( objectStatus "TP1_4" ) - ( pin "a(0)" - ( attribute "PN" "1" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "TP1_4.1" ) - ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i163" - ( attribute "CDS_LIB" "cnpassive" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "PACK_TYPE" "HOLE" + ( attribute "SLOPE" "RSMAX" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PART_NAME" "TP" + ( attribute "TC1" "RTMPL" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" + ( attribute "TC2" "RTMPQ" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "TOL" "1%" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" + ( attribute "TOL_ON_OFF" "ON" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SYMMAP" "TRUE" + ( attribute "VALUE" "6.19K" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" + ( attribute "VER" "2" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VOLTAGE" "RVMAX" + ( Units "uVoltage" "V" 1.000000) ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2750,-1250)" + ( attribute "XY" "(-1300,1250)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "CHIPS_PART_NAME" "RSMD0603" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-6.19K,1%" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP5_4" + ( attribute "LOCATION" "R2_6" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP5_4" ) + ( objectStatus "R2_6" ) ( pin "a(0)" ( attribute "PN" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP5_4.1" ) + ( objectStatus "R2_6.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "R2_6.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i164" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i79" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "PART_NAME" "TP" + ( attribute "PACK_TYPE" "1210" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -23204,7 +22567,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "ROT" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -23212,57 +22575,63 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SYMMAP" "TRUE" + ( attribute "VALUE" "4.7UF" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VOLTAGE" "50V" + ( Units "uVoltage" "V" 1.000000) ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2750,-1550)" + ( attribute "XY" "(-3550,2075)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210-4.7UF,50V" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP6_4" + ( attribute "LOCATION" "C1_6" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP6_4" ) + ( objectStatus "C1_6" ) ( pin "a(0)" ( attribute "PN" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP6_4.1" ) + ( objectStatus "C1_6.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "C1_6.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i165" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i81" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "PART_NAME" "TP" + ( attribute "PACK_TYPE" "1210" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -23270,7 +22639,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "ROT" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -23278,597 +22647,683 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SYMMAP" "TRUE" + ( attribute "VALUE" "4.7UF" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VOLTAGE" "50V" + ( Units "uVoltage" "V" 1.000000) ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2750,-1750)" + ( attribute "XY" "(-2725,2200)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210-4.7UF,50V" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP7_4" + ( attribute "LOCATION" "C2_6" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP7_4" ) + ( objectStatus "C2_6" ) ( pin "a(0)" ( attribute "PN" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP7_4.1" ) + ( objectStatus "C2_6.1" ) ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i65" - ( attribute "CDS_LIB" "cnpassive" - ( Origin gPackager ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "C2_6.2" ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Origin gFrontEnd ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i82" + ( attribute "CDS_LIB" "cnlinear" + ( Status sBlockFlattened ) + ( Origin gPackager ) ) - ( attribute "PART_NAME" "TP" + ( attribute "PACK_TYPE" "BGA" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "2" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" - ( Origin gFrontEnd ) - ) - ( attribute "VALUE" "0.8MM" + ( attribute "TYPE" "LTM8047EY#PBF" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(700,-150)" + ( attribute "XY" "(-700,2700)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "CHIPS_PART_NAME" "LTM8047" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "LTM8047EY#PBF" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP11" + ( attribute "LOCATION" "RG2_6" + ( Origin gFrontEnd ) + ) + ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP11" ) - ( pin "a(0)" - ( attribute "PN" "1" + ( attribute "SEC" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6" ) + ( pin "adj" + ( attribute "PN" "G7" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP11.1" ) + ( objectStatus "RG2_6.G7" ) ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i66" - ( attribute "CDS_LIB" "cnpassive" - ( Origin gPackager ) + ( pin "bias" + ( attribute "PN" "H5" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.H5" ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Origin gFrontEnd ) + ( pin "gnd(0)" + ( attribute "PN" "E1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.E1" ) ) - ( attribute "PART_NAME" "TP" - ( Origin gFrontEnd ) + ( pin "gnd(1)" + ( attribute "PN" "E2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.E2" ) ) - ( attribute "PHYS_PAGE" "2" - ( Origin gFrontEnd ) + ( pin "gnd(2)" + ( attribute "PN" "E3" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.E3" ) ) - ( attribute "ROT" "0" - ( Origin gFrontEnd ) + ( pin "gnd(3)" + ( attribute "PN" "E4" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.E4" ) ) - ( attribute "SIZE" "1" - ( Origin gFrontEnd ) + ( pin "gnd(4)" + ( attribute "PN" "E5" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.E5" ) ) - ( attribute "VALUE" "0.8MM" - ( Origin gFrontEnd ) + ( pin "gnd(5)" + ( attribute "PN" "E6" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.E6" ) ) - ( attribute "VER" "1" - ( Origin gFrontEnd ) + ( pin "gnd(6)" + ( attribute "PN" "E7" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.E7" ) ) - ( attribute "XY" "(700,-250)" - ( Origin gFrontEnd ) + ( pin "gnd(7)" + ( attribute "PN" "F4" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.F4" ) ) - ( attribute "CHIPS_PART_NAME" "TP" - ( Origin gPackager ) + ( pin "gnd(8)" + ( attribute "PN" "F5" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.F5" ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" - ( Origin gPackager ) + ( pin "gnd(9)" + ( attribute "PN" "F6" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.F6" ) ) - ( attribute "LOCATION" "TP12" - ( Origin gPackager ) + ( pin "gnd(10)" + ( attribute "PN" "F7" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.F7" ) ) - ( objectStatus "TP12" ) - ( pin "a(0)" - ( attribute "PN" "1" + ( pin "gnd(11)" + ( attribute "PN" "G4" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP12.1" ) + ( objectStatus "RG2_6.G4" ) ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i67" - ( attribute "CDS_LIB" "cnpassive" - ( Origin gPackager ) + ( pin "gnd(12)" + ( attribute "PN" "G5" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.G5" ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Origin gFrontEnd ) + ( pin "gnd(13)" + ( attribute "PN" "G6" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.G6" ) ) - ( attribute "PART_NAME" "TP" - ( Origin gFrontEnd ) + ( pin "gnd(14)" + ( attribute "PN" "H4" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.H4" ) ) - ( attribute "PHYS_PAGE" "2" - ( Origin gFrontEnd ) + ( pin "gnd(15)" + ( attribute "PN" "H7" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.H7" ) ) - ( attribute "ROT" "1" - ( Origin gFrontEnd ) + ( pin "run" + ( attribute "PN" "F3" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.F3" ) ) - ( attribute "SIZE" "1" - ( Origin gFrontEnd ) + ( pin "ss" + ( attribute "PN" "H6" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.H6" ) ) - ( attribute "VALUE" "0.8MM" - ( Origin gFrontEnd ) + ( pin "vin(0)" + ( attribute "PN" "H1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.H1" ) ) - ( attribute "VER" "1" - ( Origin gFrontEnd ) + ( pin "vin(1)" + ( attribute "PN" "H2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.H2" ) ) - ( attribute "XY" "(-750,-1050)" - ( Origin gFrontEnd ) + ( pin "vin(2)" + ( attribute "PN" "G1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.G1" ) ) - ( attribute "CHIPS_PART_NAME" "TP" - ( Origin gPackager ) + ( pin "vin(3)" + ( attribute "PN" "G2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.G2" ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" - ( Origin gPackager ) + ( pin "vout(0)" + ( attribute "PN" "A6" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.A6" ) ) - ( attribute "LOCATION" "TP4" - ( Origin gPackager ) + ( pin "vout(1)" + ( attribute "PN" "A7" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.A7" ) ) - ( objectStatus "TP4" ) - ( pin "a(0)" - ( attribute "PN" "1" + ( pin "vout(2)" + ( attribute "PN" "B6" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP4.1" ) + ( objectStatus "RG2_6.B6" ) ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i68" - ( attribute "CDS_LIB" "cnpassive" - ( Origin gPackager ) + ( pin "vout(3)" + ( attribute "PN" "B7" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.B7" ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Origin gFrontEnd ) + ( pin "vout(4)" + ( attribute "PN" "C6" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.C6" ) ) - ( attribute "PART_NAME" "TP" - ( Origin gFrontEnd ) + ( pin "vout(5)" + ( attribute "PN" "C7" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.C7" ) ) - ( attribute "PHYS_PAGE" "2" - ( Origin gFrontEnd ) + ( pin "\vout-\(0)" + ( attribute "PN" "A1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.A1" ) ) - ( attribute "ROT" "0" - ( Origin gFrontEnd ) + ( pin "\vout-\(1)" + ( attribute "PN" "A2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.A2" ) ) - ( attribute "SIZE" "1" - ( Origin gFrontEnd ) + ( pin "\vout-\(2)" + ( attribute "PN" "A3" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.A3" ) ) - ( attribute "VALUE" "0.8MM" - ( Origin gFrontEnd ) + ( pin "\vout-\(3)" + ( attribute "PN" "A4" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.A4" ) ) - ( attribute "VER" "1" - ( Origin gFrontEnd ) + ( pin "\vout-\(4)" + ( attribute "PN" "A5" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.A5" ) ) - ( attribute "XY" "(350,-150)" - ( Origin gFrontEnd ) + ( pin "\vout-\(5)" + ( attribute "PN" "B1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.B1" ) ) - ( attribute "CHIPS_PART_NAME" "TP" - ( Origin gPackager ) + ( pin "\vout-\(6)" + ( attribute "PN" "B2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.B2" ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" - ( Origin gPackager ) + ( pin "\vout-\(7)" + ( attribute "PN" "B3" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.B3" ) ) - ( attribute "LOCATION" "TP9" - ( Origin gPackager ) + ( pin "\vout-\(8)" + ( attribute "PN" "B4" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.B4" ) ) - ( objectStatus "TP9" ) - ( pin "a(0)" - ( attribute "PN" "1" + ( pin "\vout-\(9)" + ( attribute "PN" "B5" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP9.1" ) + ( objectStatus "RG2_6.B5" ) + ) + ( pin "\vout-\(10)" + ( attribute "PN" "C1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.C1" ) + ) + ( pin "\vout-\(11)" + ( attribute "PN" "C2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.C2" ) + ) + ( pin "\vout-\(12)" + ( attribute "PN" "C3" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.C3" ) + ) + ( pin "\vout-\(13)" + ( attribute "PN" "C4" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.C4" ) + ) + ( pin "\vout-\(14)" + ( attribute "PN" "C5" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.C5" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i69" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i83" ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Origin gFrontEnd ) - ) - ( attribute "PART_NAME" "TP" + ( attribute "PACK_TYPE" "1210" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "2" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "ROT" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" + ( attribute "VALUE" "4.7UF" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(350,-250)" + ( attribute "VOLTAGE" "50V" + ( Units "uVoltage" "V" 1.000000) + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "XY" "(-1800,2675)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210-4.7UF,50V" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP10" + ( attribute "LOCATION" "C6_6" + ( Origin gFrontEnd ) + ) + ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP10" ) + ( objectStatus "C6_6" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP10.1" ) + ( objectStatus "C6_6.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "C6_6.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i70" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i85" ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" + ( attribute "DIST" "FLAT" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PART_NAME" "TP" + ( attribute "MAX_TEMP" "RTMAX" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "2" + ( attribute "NEGTOL" "RTOL%" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "PACK_TYPE" "1/10W" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" + ( attribute "POSTOL" "RTOL%" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "POWER" "RMAX" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-900,-1050)" + ( attribute "ROT" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "TP3" - ( Origin gPackager ) - ) - ( objectStatus "TP3" ) - ( pin "a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) - ) - ( objectStatus "TP3.1" ) - ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i71" - ( attribute "CDS_LIB" "cnpassive" - ( Origin gPackager ) + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "HOLE" + ( attribute "SLOPE" "RSMAX" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PART_NAME" "TP" + ( attribute "TC1" "RTMPL" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "2" + ( attribute "TC2" "RTMPQ" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "TOL" "1%" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" + ( attribute "TOL_ON_OFF" "ON" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" + ( attribute "VALUE" "6.19K" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VER" "2" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-250,-1250)" + ( attribute "VOLTAGE" "RVMAX" + ( Units "uVoltage" "V" 1.000000) + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" - ( Origin gPackager ) + ( attribute "XY" "(-1275,2400)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( attribute "LOCATION" "TP7" + ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP7" ) - ( pin "a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) - ) - ( objectStatus "TP7.1" ) - ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i72" - ( attribute "CDS_LIB" "cnpassive" + ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-6.19K,1%" ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Origin gFrontEnd ) - ) - ( attribute "PART_NAME" "TP" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "2" - ( Origin gFrontEnd ) - ) - ( attribute "ROT" "2" - ( Origin gFrontEnd ) - ) - ( attribute "SIZE" "1" - ( Origin gFrontEnd ) - ) - ( attribute "VALUE" "0.8MM" - ( Origin gFrontEnd ) - ) - ( attribute "VER" "1" - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(-100,-1250)" + ( attribute "LOCATION" "R3_6" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "LOCATION" "TP8" + ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP8" ) + ( objectStatus "R3_6" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP8.1" ) - ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i73" - ( attribute "CDS_LIB" "cnpassive" - ( Origin gPackager ) - ) - ( attribute "PACK_TYPE" "HOLE" - ( Origin gFrontEnd ) - ) - ( attribute "PART_NAME" "TP" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "2" - ( Origin gFrontEnd ) - ) - ( attribute "ROT" "2" - ( Origin gFrontEnd ) - ) - ( attribute "SIZE" "1" - ( Origin gFrontEnd ) - ) - ( attribute "VALUE" "0.8MM" - ( Origin gFrontEnd ) - ) - ( attribute "VER" "1" - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(-600,-400)" - ( Origin gFrontEnd ) - ) - ( attribute "CHIPS_PART_NAME" "TP" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "TP5" - ( Origin gPackager ) + ( objectStatus "R3_6.1" ) ) - ( objectStatus "TP5" ) - ( pin "a(0)" - ( attribute "PN" "1" + ( pin "b(0)" + ( attribute "PN" "2" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP5.1" ) + ( objectStatus "R3_6.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i74" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i88" ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Origin gFrontEnd ) - ) - ( attribute "PART_NAME" "TP" + ( attribute "PACK_TYPE" "1210" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "2" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "2" + ( attribute "ROT" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" + ( attribute "VALUE" "22UF" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-600,-500)" + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" - ( Origin gPackager ) + ( attribute "XY" "(250,650)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( attribute "LOCATION" "TP6" + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP6" ) - ( pin "a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) - ) - ( objectStatus "TP6.1" ) - ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i75" - ( attribute "CDS_LIB" "cnpassive" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210-22UF,16V" ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Origin gFrontEnd ) - ) - ( attribute "PART_NAME" "TP" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "2" - ( Origin gFrontEnd ) - ) - ( attribute "ROT" "2" - ( Origin gFrontEnd ) - ) - ( attribute "SIZE" "1" - ( Origin gFrontEnd ) - ) - ( attribute "VALUE" "0.8MM" - ( Origin gFrontEnd ) - ) - ( attribute "VER" "1" - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(-950,-600)" + ( attribute "LOCATION" "C8_6" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "LOCATION" "TP1" + ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP1" ) + ( objectStatus "C8_6" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP1.1" ) - ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i76" - ( attribute "CDS_LIB" "cnpassive" - ( Origin gPackager ) - ) - ( attribute "PACK_TYPE" "HOLE" - ( Origin gFrontEnd ) - ) - ( attribute "PART_NAME" "TP" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "2" - ( Origin gFrontEnd ) - ) - ( attribute "ROT" "2" - ( Origin gFrontEnd ) - ) - ( attribute "SIZE" "1" - ( Origin gFrontEnd ) - ) - ( attribute "VALUE" "0.8MM" - ( Origin gFrontEnd ) - ) - ( attribute "VER" "1" - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(-950,-700)" - ( Origin gFrontEnd ) - ) - ( attribute "CHIPS_PART_NAME" "TP" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "TP2" - ( Origin gPackager ) + ( objectStatus "C8_6.1" ) ) - ( objectStatus "TP2" ) - ( pin "a(0)" - ( attribute "PN" "1" + ( pin "b(0)" + ( attribute "PN" "2" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP2.1" ) + ( objectStatus "C8_6.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i68" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i89" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "PART_NAME" "TP" + ( attribute "PACK_TYPE" "1210" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -23876,7 +23331,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "ROT" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -23884,59 +23339,63 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SYMMAP" "TRUE" + ( attribute "VALUE" "22UF" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-1225,500)" + ( attribute "XY" "(250,2675)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" - ( Origin gPackager ) - ) - ( attribute "CDS_LOCATION" "TP13" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210-22UF,16V" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP13" - ( Origin gPackager ) + ( attribute "LOCATION" "C7_6" + ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP13" ) + ( objectStatus "C7_6" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP13.1" ) + ( objectStatus "C7_6.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "C7_6.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i69" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i142" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "PART_NAME" "TP" + ( attribute "PACK_TYPE" "1210" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -23944,7 +23403,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "ROT" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -23952,924 +23411,1072 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SYMMAP" "TRUE" + ( attribute "VALUE" "4.7UF" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VOLTAGE" "50V" + ( Units "uVoltage" "V" 1.000000) ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-700,1350)" + ( attribute "XY" "(-2150,2675)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210-4.7UF,50V" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP22" + ( attribute "LOCATION" "C3_6" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "TP22" - ( Origin gPackager ) - ) - ( objectStatus "TP22" ) + ( objectStatus "C3_6" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP22.1" ) - ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i8" - ( attribute "CDS_LIB" "cnmemory" - ( Origin gPackager ) - ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-150,75,150,-150" - ( Origin gPackager ) - ) - ( attribute "PACK_TYPE" "SOIC" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "1" - ( Origin gFrontEnd ) - ) - ( attribute "ROT" "0" - ( Origin gFrontEnd ) - ) - ( attribute "TYPE" "24AA025E48" - ( Origin gFrontEnd ) - ) - ( attribute "VER" "1" - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(-200,-2950)" - ( Origin gFrontEnd ) - ) - ( attribute "CHIPS_PART_NAME" "24AA025E48" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "24AA025E48" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "IC9" - ( Origin gFrontEnd ) - ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) - ) - ( attribute "SEC" "1" - ( Origin gPackager ) - ) - ( attribute "CDS_LOCATION" "IC9" - ( Origin gPackager ) - ) - ( objectStatus "IC9" ) - ( pin "a0" - ( attribute "PN" "1" - ( Origin gPackager ) - ) - ( objectStatus "IC9.1" ) + ( objectStatus "C3_6.1" ) ) - ( pin "a1" + ( pin "b(0)" ( attribute "PN" "2" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "IC9.2" ) - ) - ( pin "a2" - ( attribute "PN" "3" - ( Origin gPackager ) - ) - ( objectStatus "IC9.3" ) - ) - ( pin "scl" - ( attribute "PN" "6" - ( Origin gPackager ) - ) - ( objectStatus "IC9.6" ) - ) - ( pin "sda" - ( attribute "PN" "5" - ( Origin gPackager ) - ) - ( objectStatus "IC9.5" ) - ) - ( pin "vcc" - ( attribute "PN" "8" - ( Origin gPackager ) - ) - ( objectStatus "IC9.8" ) - ) - ( pin "vss" - ( attribute "PN" "4" - ( Origin gPackager ) - ) - ( objectStatus "IC9.4" ) + ( objectStatus "C3_6.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i11" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i144" ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "0603" + ( attribute "PACK_TYPE" "1210" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "ROT" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "100NF" + ( attribute "VALUE" "4.7UF" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "16V" + ( attribute "VOLTAGE" "50V" ( Units "uVoltage" "V" 1.000000) + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-200,-3300)" + ( attribute "XY" "(-2150,1525)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210-4.7UF,50V" ( Origin gPackager ) ) - ( attribute "LOCATION" "C70" + ( attribute "LOCATION" "C4_6" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "C70" - ( Origin gPackager ) - ) - ( objectStatus "C70" ) + ( objectStatus "C4_6" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C70.1" ) + ( objectStatus "C4_6.1" ) ) ( pin "b(0)" ( attribute "PN" "2" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C70.2" ) + ( objectStatus "C4_6.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i12" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i146" ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "DIST" "FLAT" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "MAX_TEMP" "RTMAX" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "NEGTOL" "RTOL%" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "PACK_TYPE" "1/10W" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "POSTOL" "RTOL%" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "POWER" "RMAX" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "ROT" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "SLOPE" "RSMAX" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "TC1" "RTMPL" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "TC2" "RTMPQ" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "TOL" "1%" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "TOL_ON_OFF" "ON" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "XX" + ( attribute "VALUE" "3.3" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "VER" "2" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "VOLTAGE" "RVMAX" ( Units "uVoltage" "V" 1.000000) + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-1050,-3050)" + ( attribute "XY" "(-2725,1900)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-XX,1%" + ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-3.3,1%" ( Origin gPackager ) ) - ( attribute "LOCATION" "R61" + ( attribute "LOCATION" "R1_6" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "R61" - ( Origin gPackager ) - ) - ( objectStatus "R61" ) + ( objectStatus "R1_6" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "R61.1" ) + ( objectStatus "R1_6.1" ) ) ( pin "b(0)" ( attribute "PN" "2" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "R61.2" ) + ( objectStatus "R1_6.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i13" - ( attribute "CDS_LIB" "cnpassive" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i2" + ( attribute "CDS_LIB" "cnconnector" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "DIST" "FLAT" - ( Origin gFrontEnd ) + ( attribute "CDS_LMAN_SYM_OUTLINE" "0,25,150,-475" + ( Status sBlockFlattened ) + ( Origin gPackager ) ) - ( attribute "MAX_TEMP" "RTMAX" - ( Origin gFrontEnd ) + ( attribute "CDS_LOCATION" "J1" + ( Status sBlockFlattened ) + ( Origin gPackager ) ) - ( attribute "NEGTOL" "RTOL%" - ( Origin gFrontEnd ) + ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "1/10W" - ( Origin gFrontEnd ) + ( attribute "LOCATION" "J1" + ( Status sBlockFlattened ) + ( Origin gPackager ) ) ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POSTOL" "RTOL%" + ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POWER" "RMAX" + ( attribute "TYPE" "HDMI-19-01-X-SM" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" + ( attribute "XY" "(3550,875)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SLOPE" "RSMAX" - ( Origin gFrontEnd ) + ( attribute "CHIPS_PART_NAME" "CON19P" + ( Status sBlockFlattened ) + ( Origin gPackager ) ) - ( attribute "TC1" "RTMPL" - ( Origin gFrontEnd ) + ( attribute "CDS_PART_NAME" "CON19P-HDMI-19-01-X-SM-GND=GNDA" + ( Origin gPackager ) ) - ( attribute "TC2" "RTMPQ" - ( Origin gFrontEnd ) + ( pin "a(0)" + ( attribute "PN" "#" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "J1.1" ) ) - ( attribute "TOL" "1%" - ( Origin gFrontEnd ) + ( pin "a(1)" + ( attribute "PN" "#" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "J1.2" ) ) - ( attribute "TOL_ON_OFF" "ON" - ( Origin gFrontEnd ) + ( pin "a(2)" + ( attribute "PN" "#" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "J1.3" ) ) - ( attribute "VALUE" "XX" - ( Origin gFrontEnd ) + ( pin "a(3)" + ( attribute "PN" "#" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "J1.4" ) ) - ( attribute "VER" "2" - ( Origin gFrontEnd ) + ( pin "a(4)" + ( attribute "PN" "#" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "J1.5" ) ) - ( attribute "VOLTAGE" "RVMAX" - ( Units "uVoltage" "V" 1.000000) - ( Origin gFrontEnd ) + ( pin "a(5)" + ( attribute "PN" "#" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "J1.6" ) ) - ( attribute "XY" "(-900,-3050)" - ( Origin gFrontEnd ) + ( pin "a(6)" + ( attribute "PN" "#" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "J1.7" ) ) - ( attribute "CHIPS_PART_NAME" "RSMD0603" - ( Origin gPackager ) + ( pin "a(7)" + ( attribute "PN" "#" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "J1.8" ) ) - ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-XX,1%" - ( Origin gPackager ) + ( pin "a(8)" + ( attribute "PN" "#" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "J1.9" ) ) - ( attribute "LOCATION" "R63" - ( Origin gFrontEnd ) + ( pin "a(9)" + ( attribute "PN" "#" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "J1.10" ) ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) + ( pin "a(10)" + ( attribute "PN" "#" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "J1.11" ) ) - ( attribute "SEC" "1" - ( Origin gPackager ) + ( pin "a(11)" + ( attribute "PN" "#" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "J1.12" ) ) - ( attribute "CDS_LOCATION" "R63" - ( Origin gPackager ) + ( pin "a(12)" + ( attribute "PN" "#" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "J1.13" ) ) - ( objectStatus "R63" ) - ( pin "a(0)" - ( attribute "PN" "1" + ( pin "a(13)" + ( attribute "PN" "#" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "R63.1" ) + ( objectStatus "J1.14" ) ) - ( pin "b(0)" - ( attribute "PN" "2" + ( pin "a(14)" + ( attribute "PN" "#" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "R63.2" ) + ( objectStatus "J1.15" ) + ) + ( pin "a(15)" + ( attribute "PN" "#" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "J1.16" ) + ) + ( pin "a(16)" + ( attribute "PN" "#" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "J1.17" ) + ) + ( pin "a(17)" + ( attribute "PN" "#" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "J1.18" ) + ) + ( pin "a(18)" + ( attribute "PN" "#" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "J1.19" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i14" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i8" ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "DIST" "FLAT" - ( Origin gFrontEnd ) + ( attribute "CDS_LOCATION" "C9" + ( Status sBlockFlattened ) + ( Origin gPackager ) ) - ( attribute "MAX_TEMP" "RTMAX" - ( Origin gFrontEnd ) + ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) ) - ( attribute "NEGTOL" "RTOL%" - ( Origin gFrontEnd ) + ( attribute "LOCATION" "C9" + ( Status sBlockFlattened ) + ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "1/10W" + ( attribute "PACK_TYPE" "0603" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POSTOL" "RTOL%" - ( Origin gFrontEnd ) - ) - ( attribute "POWER" "RMAX" + ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" - ( Origin gFrontEnd ) + ( attribute "SEC" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) ) ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SLOPE" "RSMAX" - ( Origin gFrontEnd ) - ) - ( attribute "TC1" "RTMPL" - ( Origin gFrontEnd ) - ) - ( attribute "TC2" "RTMPQ" - ( Origin gFrontEnd ) - ) - ( attribute "TOL" "1%" - ( Origin gFrontEnd ) - ) - ( attribute "TOL_ON_OFF" "ON" - ( Origin gFrontEnd ) - ) - ( attribute "VALUE" "XX" + ( attribute "VALUE" "100NF" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "2" + ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "RVMAX" + ( attribute "VOLTAGE" "16V" ( Units "uVoltage" "V" 1.000000) + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-750,-3050)" - ( Origin gFrontEnd ) - ) - ( attribute "CHIPS_PART_NAME" "RSMD0603" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-XX,1%" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "R65" + ( attribute "XY" "(5625,675)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) - ) - ( attribute "SEC" "1" + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "R65" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" ( Origin gPackager ) ) - ( objectStatus "R65" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "R65.1" ) + ( objectStatus "C9.1" ) ) ( pin "b(0)" ( attribute "PN" "2" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "R65.2" ) + ( objectStatus "C9.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i15" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i9" ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "DIST" "FLAT" - ( Origin gFrontEnd ) + ( attribute "CDS_LOCATION" "C8" + ( Status sBlockFlattened ) + ( Origin gPackager ) ) - ( attribute "MAX_TEMP" "RTMAX" - ( Origin gFrontEnd ) + ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) ) - ( attribute "NEGTOL" "RTOL%" - ( Origin gFrontEnd ) + ( attribute "LOCATION" "C8" + ( Status sBlockFlattened ) + ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "<< NULL >>" + ( attribute "PACK_TYPE" "0603" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POSTOL" "RTOL%" - ( Origin gFrontEnd ) - ) - ( attribute "POWER" "RMAX" + ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" - ( Origin gFrontEnd ) + ( attribute "SEC" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) ) ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SLOPE" "RSMAX" - ( Origin gFrontEnd ) - ) - ( attribute "TC1" "RTMPL" - ( Origin gFrontEnd ) - ) - ( attribute "TC2" "RTMPQ" - ( Origin gFrontEnd ) - ) - ( attribute "TOL" "<< NULL >>" - ( Origin gFrontEnd ) - ) - ( attribute "TOL_ON_OFF" "ON" - ( Origin gFrontEnd ) - ) - ( attribute "VALUE" "00" + ( attribute "VALUE" "100NF" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "2" + ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "RVMAX" + ( attribute "VOLTAGE" "16V" ( Units "uVoltage" "V" 1.000000) + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-1050,-2600)" - ( Origin gFrontEnd ) - ) - ( attribute "CHIPS_PART_NAME" "RSMD0603" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "RSMD0603_-00," - ( Origin gPackager ) - ) - ( attribute "LOCATION" "R60" + ( attribute "XY" "(5625,875)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) - ) - ( attribute "SEC" "1" + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "R60" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" ( Origin gPackager ) ) - ( objectStatus "R60" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "R60.1" ) + ( objectStatus "C8.1" ) ) ( pin "b(0)" ( attribute "PN" "2" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "R60.2" ) + ( objectStatus "C8.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i16" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i10" ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R11" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "DIST" "FLAT" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) + ( attribute "LOCATION" "R11" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) ( attribute "MAX_TEMP" "RTMAX" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "NEGTOL" "RTOL%" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "<< NULL >>" + ( attribute "PACK_TYPE" "1/10W" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "POSTOL" "RTOL%" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "POWER" "RMAX" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) + ( attribute "SEC" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "SLOPE" "RSMAX" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "TC1" "RTMPL" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "TC2" "RTMPQ" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TOL" "<< NULL >>" + ( attribute "TOL" "1%" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "TOL_ON_OFF" "ON" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "00" + ( attribute "VALUE" "51" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "VER" "2" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "VOLTAGE" "RVMAX" ( Units "uVoltage" "V" 1.000000) + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-900,-2600)" + ( attribute "XY" "(5925,875)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "RSMD0603_-00," - ( Origin gPackager ) - ) - ( attribute "LOCATION" "R62" - ( Origin gFrontEnd ) - ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) - ) - ( attribute "SEC" "1" - ( Origin gPackager ) - ) - ( attribute "CDS_LOCATION" "R62" + ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-51,1%" ( Origin gPackager ) ) - ( objectStatus "R62" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "R62.1" ) + ( objectStatus "R11.1" ) ) ( pin "b(0)" ( attribute "PN" "2" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "R62.2" ) + ( objectStatus "R11.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i17" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i11" ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R12" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "DIST" "FLAT" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) + ( attribute "LOCATION" "R12" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) ( attribute "MAX_TEMP" "RTMAX" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "NEGTOL" "RTOL%" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "<< NULL >>" + ( attribute "PACK_TYPE" "1/10W" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "POSTOL" "RTOL%" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "POWER" "RMAX" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) + ( attribute "SEC" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "SLOPE" "RSMAX" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "TC1" "RTMPL" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "TC2" "RTMPQ" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TOL" "<< NULL >>" + ( attribute "TOL" "1%" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "TOL_ON_OFF" "ON" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "00" + ( attribute "VALUE" "51" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "VER" "2" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "VOLTAGE" "RVMAX" ( Units "uVoltage" "V" 1.000000) + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-750,-2600)" + ( attribute "XY" "(5925,675)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "RSMD0603_-00," - ( Origin gPackager ) - ) - ( attribute "LOCATION" "R64" - ( Origin gFrontEnd ) - ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) - ) - ( attribute "SEC" "1" - ( Origin gPackager ) - ) - ( attribute "CDS_LOCATION" "R64" + ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-51,1%" ( Origin gPackager ) ) - ( objectStatus "R64" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "R64.1" ) + ( objectStatus "R12.1" ) ) ( pin "b(0)" ( attribute "PN" "2" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "R64.2" ) + ( objectStatus "R12.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i51" - ( attribute "ABBREV" "PT6911" - ( Origin gFrontEnd ) - ) - ( attribute "CDS_LIB" "cnconnector" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i88" + ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "PX5" + ( attribute "CDS_LOCATION" "C1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "NEEDS_NO_SIZE" "TRUE" + ( attribute "LOCATION" "C1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "0603" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "3" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "2" + ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "TYPE" "PLEMO00C" + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "100NF" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(1750,50)" + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PCOAX" - ( Origin gPackager ) + ( attribute "XY" "(5800,-1450)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( attribute "CDS_PART_NAME" "PCOAX-PLEMO00C-GND=GND_SIGNAL" + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "LOCATION" "PX5" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" ( Origin gPackager ) ) - ( objectStatus "PX5" ) - ( pin "a" + ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "PX5.1" ) + ( objectStatus "C1.1" ) ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i52" - ( attribute "ABBREV" "PT6911" - ( Origin gFrontEnd ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "C1.2" ) ) - ( attribute "CDS_LIB" "cnconnector" - ( Origin gPackager ) - ) - ( attribute "CDS_LOCATION" "PX4" - ( Origin gPackager ) - ) - ( attribute "CDS_SEC" "1" + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i87" + ( attribute "CDS_LIB" "cninterface" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "NEEDS_NO_SIZE" "TRUE" + ( attribute "PACK_TYPE" "LLP" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "3" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "ROT" "2" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SEC" "1" - ( Origin gPackager ) - ) - ( attribute "TYPE" "PLEMO00C" + ( attribute "TYPE" "DS92001TLD" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(1750,800)" + ( attribute "XY" "(3050,-1225)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PCOAX" + ( attribute "CHIPS_PART_NAME" "DS92001" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "PCOAX-PLEMO00C-GND=GND_SIGNAL" + ( attribute "CDS_PART_NAME" "DS92001TLD-GND=GND_SIGNAL;VCC=A" ( Origin gPackager ) ) - ( attribute "LOCATION" "PX4" - ( Origin gPackager ) + ( pin "en" + ( objectStatus "page1_i87.8" ) ) - ( objectStatus "PX4" ) - ( pin "a" - ( attribute "PN" "1" - ( Origin gPackager ) - ) - ( objectStatus "PX4.1" ) + ( pin "\in+\" + ( objectStatus "page1_i87.3" ) + ) + ( pin "\in-\" + ( objectStatus "page1_i87.2" ) + ) + ( pin "\out+\" + ( objectStatus "page1_i87.6" ) + ) + ( pin "\out-\" + ( objectStatus "page1_i87.7" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i53" - ( attribute "ABBREV" "PT6911" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i85" + ( attribute "CDS_LIB" "cnlinear" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "SOT223" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CDS_LIB" "cnconnector" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "TYPE" "TPS78633DCQ" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(5375,-1675)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "TPS786XX" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "PX3" + ( attribute "CDS_PART_NAME" "TPS78633DCQ" ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" + ( pin "en" + ( objectStatus "page1_i85.1" ) + ) + ( pin "fb" + ( objectStatus "page1_i85.5" ) + ) + ( pin "gnd(0)" + ( objectStatus "page1_i85.3" ) + ) + ( pin "gnd(1)" + ( objectStatus "page1_i85.6" ) + ) + ( pin "\in\" + ( objectStatus "page1_i85.2" ) + ) + ( pin "\out\" + ( objectStatus "page1_i85.4" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i83" + ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "NEEDS_NO_SIZE" "TRUE" + ( attribute "DIELECTRIC" "X5R" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "3" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "2" + ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SEC" "1" - ( Origin gPackager ) + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( attribute "TYPE" "PLEMO00C" + ( attribute "TYPE" "GNM21" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "1UF" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(1750,1550)" + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PCOAX" - ( Origin gPackager ) + ( attribute "XY" "(-200,825)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( attribute "CDS_PART_NAME" "PCOAX-PLEMO00C-GND=GND_SIGNAL" + ( attribute "CHIPS_PART_NAME" "CAPN4I" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "LOCATION" "PX3" + ( attribute "CDS_PART_NAME" "CAPN4I-1UF,16V,X5R,GNM21" ( Origin gPackager ) ) - ( objectStatus "PX3" ) - ( pin "a" - ( attribute "PN" "1" - ( Origin gPackager ) - ) - ( objectStatus "PX3.1" ) + ( pin "a(0)" + ( objectStatus "page1_i83.7" ) + ) + ( pin "b(0)" + ( objectStatus "page1_i83.8" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i120" - ( attribute "CHIPS_PART_NAME" "DIODE_DUAL_SERIES" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i82" + ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CASE" "SOT23" + ( attribute "PACK_TYPE" "1206_TC164" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CDS_LIB" "cndiscrete" + ( attribute "PHYS_PAGE" "1" ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "LOCATION" "D1_1" ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "A1-C2-AC3" + ( attribute "ROT" "0" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" + ( attribute "SIZE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "TOL" "1%" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TYPE" "HBAT-540C" + ( attribute "VALUE" "47" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -24877,67 +24484,50 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(0,-500)" + ( attribute "XY" "(-475,775)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CDS_PART_NAME" "HBAT-540C" - ( Origin gPackager ) - ) - ( attribute "CDS_SEC" "1" + ( attribute "CHIPS_PART_NAME" "RES_ARRAY_X4" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "SEC" "1" + ( attribute "CDS_PART_NAME" "RES_ARRAY_X4_1206_TC164-47,1%" ( Origin gPackager ) ) - ( objectStatus "D1_1" ) - ( pin "a" - ( attribute "PN" "1" - ( Origin gPackager ) - ) - ( objectStatus "D1_1.1" ) - ) - ( pin "ac" - ( attribute "PN" "3" - ( Origin gPackager ) - ) - ( objectStatus "D1_1.3" ) + ( pin "a(0)" + ( objectStatus "page1_i82.1" ) ) - ( pin "c" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "D1_1.2" ) + ( pin "b(0)" + ( objectStatus "page1_i82.8" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i120" - ( attribute "CHIPS_PART_NAME" "DIODE_DUAL_SERIES" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i81" + ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CASE" "SOT23" + ( attribute "PACK_TYPE" "1206_TC164" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CDS_LIB" "cndiscrete" + ( attribute "PHYS_PAGE" "1" ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "LOCATION" "D1_2" ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "A1-C2-AC3" + ( attribute "ROT" "0" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" + ( attribute "SIZE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "TOL" "1%" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TYPE" "HBAT-540C" + ( attribute "VALUE" "47" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -24945,55 +24535,42 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(0,-500)" + ( attribute "XY" "(-625,875)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CDS_PART_NAME" "HBAT-540C" - ( Origin gPackager ) - ) - ( attribute "CDS_SEC" "1" + ( attribute "CHIPS_PART_NAME" "RES_ARRAY_X4" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "SEC" "1" + ( attribute "CDS_PART_NAME" "RES_ARRAY_X4_1206_TC164-47,1%" ( Origin gPackager ) ) - ( objectStatus "D1_2" ) - ( pin "a" - ( attribute "PN" "1" - ( Origin gPackager ) - ) - ( objectStatus "D1_2.1" ) - ) - ( pin "ac" - ( attribute "PN" "3" - ( Origin gPackager ) - ) - ( objectStatus "D1_2.3" ) + ( pin "a(0)" + ( objectStatus "page1_i81.1" ) ) - ( pin "c" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "D1_2.2" ) + ( pin "b(0)" + ( objectStatus "page1_i81.8" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i120" - ( attribute "CHIPS_PART_NAME" "DIODE_DUAL_SERIES" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i106" + ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CASE" "SOT23" + ( attribute "DIST" "FLAT" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CDS_LIB" "cndiscrete" + ( attribute "MAX_TEMP" "RTMAX" ( Status sBlockFlattened ) - ( Origin gPackager ) + ( Origin gFrontEnd ) ) - ( attribute "LOCATION" "D1_3" + ( attribute "NEGTOL" "RTOL%" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "A1-C2-AC3" + ( attribute "PACK_TYPE" "1/10W" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -25001,11 +24578,43 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "POSTOL" "RTOL%" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TYPE" "HBAT-540C" + ( attribute "POWER" "RMAX" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "SLOPE" "RSMAX" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "TC1" "RTMPL" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "TC2" "RTMPQ" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "TOL" "1%" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "TOL_ON_OFF" "ON" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "100" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -25013,55 +24622,88 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(0,-500)" + ( attribute "VOLTAGE" "RVMAX" + ( Units "uVoltage" "V" 1.000000) ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CDS_PART_NAME" "HBAT-540C" - ( Origin gPackager ) + ( attribute "XY" "(3475,-1075)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( attribute "CDS_SEC" "1" + ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "SEC" "1" + ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-100,1%" ( Origin gPackager ) ) - ( objectStatus "D1_3" ) - ( pin "a" - ( attribute "PN" "1" - ( Origin gPackager ) - ) - ( objectStatus "D1_3.1" ) - ) - ( pin "ac" - ( attribute "PN" "3" - ( Origin gPackager ) - ) - ( objectStatus "D1_3.3" ) + ( pin "a(0)" + ( objectStatus "page1_i106.1" ) ) - ( pin "c" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "D1_3.2" ) + ( pin "b(0)" + ( objectStatus "page1_i106.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i120" - ( attribute "CHIPS_PART_NAME" "DIODE_DUAL_SERIES" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i80" + ( attribute "CDS_LIB" "cndiscrete" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CASE" "SOT23" + ( attribute "PACK_TYPE" "4312" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CDS_LIB" "cndiscrete" + ( attribute "PHYS_PAGE" "1" ( Status sBlockFlattened ) - ( Origin gPackager ) + ( Origin gFrontEnd ) ) - ( attribute "LOCATION" "D1_4" + ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "A1-C2-AC3" + ( attribute "TYPE" "744231091" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "90OHM" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(-1025,825)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "COMMON_MODE_LINE_FILTER" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "COMMON_MODE_LINE_FILTER_4312-7A" + ( Origin gPackager ) + ) + ( pin "\1\(0)" + ( objectStatus "page1_i80.3" ) + ) + ( pin "\1dot\(0)" + ( objectStatus "page1_i80.4" ) + ) + ( pin "\2\(0)" + ( objectStatus "page1_i80.2" ) + ) + ( pin "\2dot\(0)" + ( objectStatus "page1_i80.1" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i79" + ( attribute "CDS_LIB" "cninterface" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "VQFN" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -25069,11 +24711,11 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "ROT" "2" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TYPE" "HBAT-540C" + ( attribute "TYPE" "SN65MLVD040RGZ" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -25081,319 +24723,245 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(0,-500)" + ( attribute "XY" "(475,800)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CDS_PART_NAME" "HBAT-540C" + ( attribute "CHIPS_PART_NAME" "SN65MLVD040" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" + ( attribute "CDS_PART_NAME" "SN65MLVD040RGZ-GND=GND_SIGNAL;A" ( Origin gPackager ) ) - ( attribute "SEC" "1" - ( Origin gPackager ) + ( pin "a(0)" + ( objectStatus "page1_i79.13" ) ) - ( objectStatus "D1_4" ) - ( pin "a" - ( attribute "PN" "1" - ( Origin gPackager ) - ) - ( objectStatus "D1_4.1" ) + ( pin "\b*\(0)" + ( objectStatus "page1_i79.14" ) ) - ( pin "ac" - ( attribute "PN" "3" - ( Origin gPackager ) - ) - ( objectStatus "D1_4.3" ) + ( pin "d(0)" + ( objectStatus "page1_i79.25" ) ) - ( pin "c" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "D1_4.2" ) + ( pin "de(0)" + ( objectStatus "page1_i79.12" ) + ) + ( pin "fsen(0)" + ( objectStatus "page1_i79.22" ) + ) + ( pin "pdn" + ( objectStatus "page1_i79.30" ) + ) + ( pin "r(0)" + ( objectStatus "page1_i79.26" ) + ) + ( pin "\re*\(0)" + ( objectStatus "page1_i79.21" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i90" - ( attribute "CDS_LIB" "cnpassive" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78" + ( attribute "CHIPS_PART_NAME" "CON8P" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) + ( pin "sig0_n" ) - ( attribute "LOCATION" "C1" - ( Origin gFrontEnd ) + ( pin "sig0_p" + ) + ( pin "sig1_n" + ) + ( pin "sig1_p" + ) + ( pin "sig2_n" + ) + ( pin "sig2_p" + ) + ( pin "sig3_n" + ) + ( pin "sig3_p" + ) + ( pin "sig4_n" + ) + ( pin "sig4_p" + ) + ( pin "vclamp" + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):page1_i67" + ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) + ( Origin gPackager ) ) ( attribute "PACK_TYPE" "0603" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "ROT" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SEC" "1" - ( Origin gPackager ) - ) ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "VALUE" "100NF" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "VOLTAGE" "16V" ( Units "uVoltage" "V" 1.000000) + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(3050,500)" + ( attribute "XY" "(5975,0)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" - ( Origin gPackager ) - ) ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "C1" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" ( Origin gPackager ) ) - ( objectStatus "C1" ) ( pin "a(0)" - ( attribute "PN" "1" + ( attribute "PN" "#" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C1.1" ) ) ( pin "b(0)" - ( attribute "PN" "2" + ( attribute "PN" "#" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C1.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i92" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):page1_i70" ( attribute "CDS_LIB" "cndiscrete" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "LOCATION" "D3" + ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "PACK_TYPE" "SOT23" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "TYPE" "USBLC6-2SC6" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2600,100)" + ( attribute "XY" "(5025,175)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "CHIPS_PART_NAME" "USBLC6-2" + ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "CDS_PART_NAME" "USBLC6-2SC6" ( Origin gPackager ) ) - ( attribute "LOCATION" "D3" - ( Origin gFrontEnd ) - ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) - ) - ( attribute "SEC" "1" - ( Origin gPackager ) - ) - ( attribute "CDS_LOCATION" "D3" - ( Origin gPackager ) - ) - ( objectStatus "D3" ) ( pin "gnd" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "D3.2" ) ) ( pin "\i/o1\(0)" - ( attribute "PN" "1" - ( Origin gPackager ) - ) - ( objectStatus "D3.1" ) ) ( pin "\i/o1\(1)" - ( attribute "PN" "6" - ( Origin gPackager ) - ) - ( objectStatus "D3.6" ) ) ( pin "\i/o2\(0)" - ( attribute "PN" "3" - ( Origin gPackager ) - ) - ( objectStatus "D3.3" ) ) ( pin "\i/o2\(1)" - ( attribute "PN" "4" - ( Origin gPackager ) - ) - ( objectStatus "D3.4" ) ) ( pin "vbus" - ( attribute "PN" "5" - ( Origin gPackager ) - ) - ( objectStatus "D3.5" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i93" - ( attribute "CDS_LIB" "cnconnector" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):page1_i58" + ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "LOCATION" "PL1" - ( Origin gPackager ) + ( attribute "PACK_TYPE" "0603" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "6" + ( attribute "ROT" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TYPE" "HW8_08G_SM" + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VALUE" "100NF" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(900,-2950)" + ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CON16P" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "CON16P-HW8_08G_SM" - ( Origin gPackager ) + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( attribute "CDS_LOCATION" "PL1" - ( Origin gPackager ) + ( attribute "XY" "(2575,-1875)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( attribute "CDS_SEC" "1" + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "SEC" "1" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" ( Origin gPackager ) ) - ( objectStatus "PL1" ) ( pin "a(0)" - ( attribute "PN" "1" + ( attribute "PN" "#" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "PL1.1" ) ) - ( pin "a(1)" - ( attribute "PN" "2" + ( pin "b(0)" + ( attribute "PN" "#" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "PL1.2" ) - ) - ( pin "a(2)" - ( attribute "PN" "3" - ( Origin gPackager ) - ) - ( objectStatus "PL1.3" ) - ) - ( pin "a(3)" - ( attribute "PN" "4" - ( Origin gPackager ) - ) - ( objectStatus "PL1.4" ) - ) - ( pin "a(4)" - ( attribute "PN" "5" - ( Origin gPackager ) - ) - ( objectStatus "PL1.5" ) - ) - ( pin "a(5)" - ( attribute "PN" "6" - ( Origin gPackager ) - ) - ( objectStatus "PL1.6" ) - ) - ( pin "a(6)" - ( attribute "PN" "7" - ( Origin gPackager ) - ) - ( objectStatus "PL1.7" ) - ) - ( pin "a(7)" - ( attribute "PN" "8" - ( Origin gPackager ) - ) - ( objectStatus "PL1.8" ) - ) - ( pin "a(8)" - ( attribute "PN" "9" - ( Origin gPackager ) - ) - ( objectStatus "PL1.9" ) - ) - ( pin "a(9)" - ( attribute "PN" "10" - ( Origin gPackager ) - ) - ( objectStatus "PL1.10" ) - ) - ( pin "a(10)" - ( attribute "PN" "11" - ( Origin gPackager ) - ) - ( objectStatus "PL1.11" ) - ) - ( pin "a(11)" - ( attribute "PN" "12" - ( Origin gPackager ) - ) - ( objectStatus "PL1.12" ) - ) - ( pin "a(12)" - ( attribute "PN" "13" - ( Origin gPackager ) - ) - ( objectStatus "PL1.13" ) - ) - ( pin "a(13)" - ( attribute "PN" "14" - ( Origin gPackager ) - ) - ( objectStatus "PL1.14" ) - ) - ( pin "a(14)" - ( attribute "PN" "15" - ( Origin gPackager ) - ) - ( objectStatus "PL1.15" ) - ) - ( pin "a(15)" - ( attribute "PN" "16" - ( Origin gPackager ) - ) - ( objectStatus "PL1.16" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i168" - ( attribute "CDS_LIB" "cnconnector" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):page1_i56" + ( attribute "CDS_LIB" "cndiscrete" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "NEEDS_NO_SIZE" "TRUE" + ( attribute "PACK_TYPE" "SC88" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -25405,7 +24973,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TYPE" "UFL_R_SMT" + ( attribute "TYPE" "PRTR5V0U4Y" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -25413,43 +24981,36 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-300,-1550)" + ( attribute "XY" "(1050,-2450)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PCOAXSMD" + ( attribute "CHIPS_PART_NAME" "PRTR5V0U4Y" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "PCOAXSMD-UFL_R_SMT-GND=GND_SIGA" + ( attribute "CDS_PART_NAME" "PRTR5V0U4Y" ( Origin gPackager ) ) - ( attribute "LOCATION" "PX1_1" - ( Origin gFrontEnd ) + ( pin "esd1" ) - ( attribute "CDS_SEC" "1" - ( Status sBlockFlattened ) - ( Origin gPackager ) + ( pin "esd2" ) - ( attribute "SEC" "1" - ( Status sBlockFlattened ) - ( Origin gPackager ) + ( pin "esd3" ) - ( objectStatus "PX1_1" ) - ( pin "a" - ( attribute "PN" "1" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "PX1_1.1" ) + ( pin "esd4" + ) + ( pin "gnd" + ) + ( pin "vcc" ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i168" - ( attribute "CDS_LIB" "cnconnector" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):page1_i55" + ( attribute "CDS_LIB" "cndiscrete" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "NEEDS_NO_SIZE" "TRUE" + ( attribute "PACK_TYPE" "SC88" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -25461,7 +25022,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TYPE" "UFL_R_SMT" + ( attribute "TYPE" "PRTR5V0U4Y" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -25469,43 +25030,44 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-300,-1550)" + ( attribute "XY" "(1025,-250)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PCOAXSMD" + ( attribute "CHIPS_PART_NAME" "PRTR5V0U4Y" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "PCOAXSMD-UFL_R_SMT-GND=GND_SIGA" + ( attribute "CDS_PART_NAME" "PRTR5V0U4Y" ( Origin gPackager ) ) - ( attribute "LOCATION" "PX1_2" - ( Origin gFrontEnd ) + ( pin "esd1" ) - ( attribute "CDS_SEC" "1" + ( pin "esd2" + ) + ( pin "esd3" + ) + ( pin "esd4" + ) + ( pin "gnd" + ) + ( pin "vcc" + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i65" + ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "SEC" "1" + ( attribute "LOCATION" "TP11" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "PX1_2" ) - ( pin "a" - ( attribute "PN" "1" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "PX1_2.1" ) - ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i168" - ( attribute "CDS_LIB" "cnconnector" + ( attribute "PACK_TYPE" "HOLE" ( Status sBlockFlattened ) - ( Origin gPackager ) + ( Origin gFrontEnd ) ) - ( attribute "NEEDS_NO_SIZE" "TRUE" + ( attribute "PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -25517,51 +25079,51 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TYPE" "UFL_R_SMT" + ( attribute "SIZE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VALUE" "0.8MM" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-300,-1550)" + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PCOAXSMD" + ( attribute "XY" "(4500,475)" ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "PCOAXSMD-UFL_R_SMT-GND=GND_SIGA" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "PX1_3" ( Origin gFrontEnd ) ) - ( attribute "CDS_SEC" "1" + ( attribute "CHIPS_PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "SEC" "1" - ( Status sBlockFlattened ) + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( objectStatus "PX1_3" ) - ( pin "a" + ( pin "a(0)" ( attribute "PN" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "PX1_3.1" ) + ( objectStatus "TP11.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i168" - ( attribute "CDS_LIB" "cnconnector" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i66" + ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "NEEDS_NO_SIZE" "TRUE" + ( attribute "LOCATION" "TP12" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "HOLE" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -25573,523 +25135,499 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TYPE" "UFL_R_SMT" + ( attribute "SIZE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VALUE" "0.8MM" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-300,-1550)" + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PCOAXSMD" + ( attribute "XY" "(4500,375)" ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "PCOAXSMD-UFL_R_SMT-GND=GND_SIGA" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "PX1_4" ( Origin gFrontEnd ) ) - ( attribute "CDS_SEC" "1" + ( attribute "CHIPS_PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "SEC" "1" - ( Status sBlockFlattened ) + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( objectStatus "PX1_4" ) - ( pin "a" + ( pin "a(0)" ( attribute "PN" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "PX1_4.1" ) + ( objectStatus "TP12.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i169" - ( attribute "CDS_LIB" "cnconnector" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i67" + ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "NEEDS_NO_SIZE" "TRUE" + ( attribute "LOCATION" "TP4" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) - ( attribute "PHYS_PAGE" "1" + ( attribute "PACK_TYPE" "HOLE" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "2" + ( attribute "PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TYPE" "UFL_R_SMT" + ( attribute "PHYS_PAGE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "ROT" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(325,-1550)" + ( attribute "SIZE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PCOAXSMD" + ( attribute "VALUE" "0.8MM" ( Status sBlockFlattened ) - ( Origin gPackager ) + ( Origin gFrontEnd ) ) - ( attribute "CDS_PART_NAME" "PCOAXSMD-UFL_R_SMT-GND=GND_SIGA" - ( Origin gPackager ) + ( attribute "VER" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( attribute "LOCATION" "PX2_1" + ( attribute "XY" "(3050,-425)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CDS_SEC" "1" + ( attribute "CHIPS_PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "SEC" "1" - ( Status sBlockFlattened ) + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( objectStatus "PX2_1" ) - ( pin "a" + ( pin "a(0)" ( attribute "PN" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "PX2_1.1" ) + ( objectStatus "TP4.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i169" - ( attribute "CDS_LIB" "cnconnector" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i68" + ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "NEEDS_NO_SIZE" "TRUE" + ( attribute "LOCATION" "TP9" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) - ( attribute "PHYS_PAGE" "1" + ( attribute "PACK_TYPE" "HOLE" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "2" + ( attribute "PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TYPE" "UFL_R_SMT" + ( attribute "PHYS_PAGE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "ROT" "0" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(325,-1550)" + ( attribute "SIZE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PCOAXSMD" + ( attribute "VALUE" "0.8MM" ( Status sBlockFlattened ) - ( Origin gPackager ) + ( Origin gFrontEnd ) ) - ( attribute "CDS_PART_NAME" "PCOAXSMD-UFL_R_SMT-GND=GND_SIGA" - ( Origin gPackager ) + ( attribute "VER" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( attribute "LOCATION" "PX2_2" + ( attribute "XY" "(4150,475)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CDS_SEC" "1" + ( attribute "CHIPS_PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "SEC" "1" - ( Status sBlockFlattened ) + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( objectStatus "PX2_2" ) - ( pin "a" + ( pin "a(0)" ( attribute "PN" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "PX2_2.1" ) + ( objectStatus "TP9.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i169" - ( attribute "CDS_LIB" "cnconnector" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i69" + ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "NEEDS_NO_SIZE" "TRUE" + ( attribute "LOCATION" "TP10" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) - ( attribute "PHYS_PAGE" "1" + ( attribute "PACK_TYPE" "HOLE" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "2" + ( attribute "PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TYPE" "UFL_R_SMT" + ( attribute "PHYS_PAGE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "ROT" "0" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(325,-1550)" + ( attribute "SIZE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PCOAXSMD" + ( attribute "VALUE" "0.8MM" ( Status sBlockFlattened ) - ( Origin gPackager ) + ( Origin gFrontEnd ) ) - ( attribute "CDS_PART_NAME" "PCOAXSMD-UFL_R_SMT-GND=GND_SIGA" - ( Origin gPackager ) + ( attribute "VER" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( attribute "LOCATION" "PX2_3" + ( attribute "XY" "(4150,375)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CDS_SEC" "1" + ( attribute "CHIPS_PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "SEC" "1" - ( Status sBlockFlattened ) + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( objectStatus "PX2_3" ) - ( pin "a" + ( pin "a(0)" ( attribute "PN" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "PX2_3.1" ) + ( objectStatus "TP10.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i169" - ( attribute "CDS_LIB" "cnconnector" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i70" + ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "NEEDS_NO_SIZE" "TRUE" + ( attribute "LOCATION" "TP3" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) - ( attribute "PHYS_PAGE" "1" + ( attribute "PACK_TYPE" "HOLE" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "2" + ( attribute "PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TYPE" "UFL_R_SMT" + ( attribute "PHYS_PAGE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "ROT" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(325,-1550)" + ( attribute "SIZE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PCOAXSMD" + ( attribute "VALUE" "0.8MM" ( Status sBlockFlattened ) - ( Origin gPackager ) + ( Origin gFrontEnd ) ) - ( attribute "CDS_PART_NAME" "PCOAXSMD-UFL_R_SMT-GND=GND_SIGA" - ( Origin gPackager ) + ( attribute "VER" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( attribute "LOCATION" "PX2_4" + ( attribute "XY" "(2900,-425)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CDS_SEC" "1" + ( attribute "CHIPS_PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "SEC" "1" - ( Status sBlockFlattened ) + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( objectStatus "PX2_4" ) - ( pin "a" + ( pin "a(0)" ( attribute "PN" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "PX2_4.1" ) + ( objectStatus "TP3.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i98" - ( attribute "CDS_LIB" "cndiscrete" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i71" + ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "SOD123-CA" + ( attribute "LOCATION" "TP7" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "HOLE" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TYPE" "BZT52C2V7" + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VALUE" "0.8MM" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(3325,525)" + ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "ZENER" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "ZENER_SOD123-CA-BZT52C2V7" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "Z4" + ( attribute "XY" "(3550,-475)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) - ) - ( attribute "SEC" "1" + ( attribute "CHIPS_PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "Z4" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( objectStatus "Z4" ) ( pin "a(0)" - ( attribute "PN" "A" - ( Origin gPackager ) - ) - ( objectStatus "Z4.A" ) - ) - ( pin "k(0)" - ( attribute "PN" "C" + ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "Z4.C" ) + ( objectStatus "TP7.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i51" - ( attribute "CDS_LIB" "cndiscrete" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i72" + ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "SOD123-CA" + ( attribute "LOCATION" "TP8" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) - ( attribute "PHYS_PAGE" "1" + ( attribute "PACK_TYPE" "HOLE" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TYPE" "BZT52C2V7" + ( attribute "PHYS_PAGE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "ROT" "2" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(4800,500)" + ( attribute "SIZE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "ZENER" + ( attribute "VALUE" "0.8MM" ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "ZENER_SOD123-CA-BZT52C2V7" - ( Origin gPackager ) + ( Origin gFrontEnd ) ) - ( attribute "CDS_LOCATION" "Z2" - ( Origin gPackager ) + ( attribute "VER" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( attribute "LOCATION" "Z2" - ( Origin gPackager ) + ( attribute "XY" "(3700,-550)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( attribute "CDS_SEC" "1" + ( attribute "CHIPS_PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "SEC" "1" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( objectStatus "Z2" ) ( pin "a(0)" - ( attribute "PN" "A" - ( Origin gPackager ) - ) - ( objectStatus "Z2.A" ) - ) - ( pin "k(0)" - ( attribute "PN" "C" + ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "Z2.C" ) + ( objectStatus "TP8.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i51" - ( attribute "CDS_LIB" "cndiscrete" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i73" + ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "SOD123-CA" + ( attribute "LOCATION" "TP5" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) - ( attribute "PHYS_PAGE" "1" + ( attribute "PACK_TYPE" "HOLE" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TYPE" "BZT52C2V7" + ( attribute "PHYS_PAGE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "ROT" "2" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(4800,500)" + ( attribute "SIZE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "ZENER" + ( attribute "VALUE" "0.8MM" ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "ZENER_SOD123-CA-BZT52C2V7" - ( Origin gPackager ) + ( Origin gFrontEnd ) ) - ( attribute "CDS_LOCATION" "Z3" - ( Origin gPackager ) + ( attribute "VER" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( attribute "LOCATION" "Z3" - ( Origin gPackager ) + ( attribute "XY" "(3200,225)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( attribute "CDS_SEC" "1" + ( attribute "CHIPS_PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "SEC" "1" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( objectStatus "Z3" ) ( pin "a(0)" - ( attribute "PN" "A" - ( Origin gPackager ) - ) - ( objectStatus "Z3.A" ) - ) - ( pin "k(0)" - ( attribute "PN" "C" + ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "Z3.C" ) + ( objectStatus "TP5.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i51" - ( attribute "CDS_LIB" "cndiscrete" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i74" + ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "SOD123-CA" + ( attribute "LOCATION" "TP6" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) - ( attribute "PHYS_PAGE" "1" + ( attribute "PACK_TYPE" "HOLE" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TYPE" "BZT52C2V7" + ( attribute "PHYS_PAGE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "ROT" "2" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(4800,500)" + ( attribute "SIZE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "ZENER" + ( attribute "VALUE" "0.8MM" ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "ZENER_SOD123-CA-BZT52C2V7" - ( Origin gPackager ) + ( Origin gFrontEnd ) ) - ( attribute "LOCATION" "Z1" + ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) + ( attribute "XY" "(3200,125)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( attribute "SEC" "1" + ( attribute "CHIPS_PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "Z1" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( objectStatus "Z1" ) ( pin "a(0)" - ( attribute "PN" "A" - ( Origin gPackager ) - ) - ( objectStatus "Z1.A" ) - ) - ( pin "k(0)" - ( attribute "PN" "C" + ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "Z1.C" ) + ( objectStatus "TP6.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i70" - ( attribute "CDS_LIB" "bris_cds_analogue" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i75" + ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,125,125,-125" + ( attribute "LOCATION" "TP1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "MANUF" "TEXAS INSTRUMENTS" + ( attribute "PACK_TYPE" "HOLE" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "SOT23-5" + ( attribute "PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -26097,160 +25635,119 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "ROT" "2" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "1.3V" + ( attribute "SIZE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VALUE" "0.8MM" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-2625,125)" + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "LP5951" + ( attribute "XY" "(2850,25)" ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "LP5951_SOT23-5-1.3V,TEXAS INSTA" - ( Origin gPackager ) - ) - ( attribute "CDS_LOCATION" "U4" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "U4" - ( Origin gPackager ) + ( Origin gFrontEnd ) ) - ( attribute "CDS_SEC" "1" + ( attribute "CHIPS_PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "SEC" "1" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( objectStatus "U4" ) - ( pin "gnd" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "U4.2" ) - ) - ( pin "\on/off\" - ( attribute "PN" "3" - ( Origin gPackager ) - ) - ( objectStatus "U4.3" ) - ) - ( pin "vin" + ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "U4.1" ) - ) - ( pin "vout" - ( attribute "PN" "5" - ( Origin gPackager ) - ) - ( objectStatus "U4.5" ) + ( objectStatus "TP1.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i73" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i76" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "0603" + ( attribute "LOCATION" "TP2" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) - ( attribute "PHYS_PAGE" "1" + ( attribute "PACK_TYPE" "HOLE" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" + ( attribute "PHYS_PAGE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "1UF" + ( attribute "ROT" "2" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "SIZE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "16V" - ( Units "uVoltage" "V" 1.000000) + ( attribute "VALUE" "0.8MM" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-2925,-125)" + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( attribute "XY" "(2850,125)" ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-1UF,16V" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "C29" ( Origin gFrontEnd ) ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) - ) - ( attribute "SEC" "1" + ( attribute "CHIPS_PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "C29" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( objectStatus "C29" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C29.1" ) - ) - ( pin "b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "C29.2" ) + ( objectStatus "TP2.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i40" - ( attribute "CDS_LIB" "bris_cds_special" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):page1_i9" + ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,125,125,-125" + ( attribute "PACK_TYPE" "0603" ( Status sBlockFlattened ) - ( Origin gPackager ) + ( Origin gFrontEnd ) ) - ( attribute "MANUF" "LINEAR TECHNOLOGY" + ( attribute "PHYS_PAGE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "SOT_223" + ( attribute "ROT" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" + ( attribute "SIZE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "6" + ( attribute "VALUE" "100NF" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -26258,68 +25755,45 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(1700,825)" + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "LT1175" + ( attribute "XY" "(2175,-1875)" ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "LT1175_SOT_223" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "REG1_6" ( Origin gFrontEnd ) ) - ( attribute "CDS_SEC" "1" + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "SEC" "1" - ( Status sBlockFlattened ) + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" ( Origin gPackager ) ) - ( objectStatus "REG1_6" ) - ( pin "gnd" - ( attribute "PN" "3" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "REG1_6.3" ) - ) - ( pin "v_in0" - ( attribute "PN" "2" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "REG1_6.2" ) - ) - ( pin "v_in1" - ( attribute "PN" "4" + ( pin "a(0)" + ( attribute "PN" "#" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "REG1_6.4" ) ) - ( pin "v_out" - ( attribute "PN" "1" + ( pin "b(0)" + ( attribute "PN" "#" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "REG1_6.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i42" - ( attribute "CDS_LIB" "cndiscrete" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i90" + ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "MODEL" "WURTH" + ( attribute "LOCATION" "C12" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "SMD" + ( attribute "PACK_TYPE" "0805" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -26327,66 +25801,63 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "ROT" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "7427921" + ( attribute "SIZE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VALUE" "4.7UF" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(625,2900)" + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "FERRITE" + ( attribute "VOLTAGE" "10V" + ( Units "uVoltage" "V" 1.000000) ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "FERRITE_SMD-7427921,WURTH" - ( Origin gPackager ) + ( Origin gFrontEnd ) ) - ( attribute "LOCATION" "L2_6" + ( attribute "XY" "(5950,-1900)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CDS_SEC" "1" + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "SEC" "1" - ( Status sBlockFlattened ) + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0805-4.7UF,10V" ( Origin gPackager ) ) - ( objectStatus "L2_6" ) - ( pin "a" + ( pin "a(0)" ( attribute "PN" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "L2_6.1" ) + ( objectStatus "C12.1" ) ) - ( pin "b" + ( pin "b(0)" ( attribute "PN" "2" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "L2_6.2" ) + ( objectStatus "C12.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i44" - ( attribute "CDS_LIB" "cndiscrete" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i91" + ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "MODEL" "WURTH" + ( attribute "LOCATION" "C12" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "SMD" + ( attribute "PACK_TYPE" "0805" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -26394,86 +25865,59 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "ROT" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "7427921" + ( attribute "SIZE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VALUE" "4.7UF" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(625,875)" + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "FERRITE" + ( attribute "VOLTAGE" "10V" + ( Units "uVoltage" "V" 1.000000) ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "FERRITE_SMD-7427921,WURTH" - ( Origin gPackager ) + ( Origin gFrontEnd ) ) - ( attribute "LOCATION" "L3_6" + ( attribute "XY" "(4675,-1425)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CDS_SEC" "1" + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "SEC" "1" - ( Status sBlockFlattened ) + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0805-4.7UF,10V" ( Origin gPackager ) ) - ( objectStatus "L3_6" ) - ( pin "a" + ( pin "a(0)" ( attribute "PN" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "L3_6.1" ) + ( objectStatus "C12.1" ) ) - ( pin "b" + ( pin "b(0)" ( attribute "PN" "2" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "L3_6.2" ) + ( objectStatus "C12.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i50" - ( attribute "CDS_LIB" "bris_cds_analogue" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-150,200,150,-150" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i109" + ( attribute "CDS_LIB" "cndiscrete" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "KL_COMMENTS" "-" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "MANUF" "LINEAR" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "OL_COMMENTS" "-" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "PACK_TYPE" "SOT223" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "PART_NAME" "lt1129CST-5" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_DES_PREFIX" "VR" + ( attribute "PACK_TYPE" "4312" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -26481,80 +25925,52 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PL_COMMENTS" "-" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) ( attribute "ROT" "0" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" + ( attribute "TYPE" "744231091" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VALUE" "90OHM" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(1700,2850)" + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "LT1129CST-5" + ( attribute "XY" "(-1025,-2375)" ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "LT1129CST-5_SOT223-LINEAR" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "VR1_6" ( Origin gFrontEnd ) ) - ( attribute "CDS_SEC" "1" + ( attribute "CHIPS_PART_NAME" "COMMON_MODE_LINE_FILTER" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "SEC" "1" - ( Status sBlockFlattened ) + ( attribute "CDS_PART_NAME" "COMMON_MODE_LINE_FILTER_4312-7A" ( Origin gPackager ) ) - ( objectStatus "VR1_6" ) - ( pin "gnd" - ( attribute "PN" "2" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "VR1_6.2" ) + ( pin "\1\(0)" + ( objectStatus "page1_i109.3" ) ) - ( pin "tab" - ( attribute "PN" "4" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "VR1_6.4" ) + ( pin "\1dot\(0)" + ( objectStatus "page1_i109.4" ) ) - ( pin "vin" - ( attribute "PN" "1" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "VR1_6.1" ) + ( pin "\2\(0)" + ( objectStatus "page1_i109.2" ) ) - ( pin "vout" - ( attribute "PN" "3" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "VR1_6.3" ) + ( pin "\2dot\(0)" + ( objectStatus "page1_i109.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i52" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i110" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "0805" + ( attribute "PACK_TYPE" "1206_TC164" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -26562,7 +25978,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "ROT" "0" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -26570,63 +25986,42 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "4.7UF" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "VER" "1" + ( attribute "TOL" "1%" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "10V" - ( Units "uVoltage" "V" 1.000000) + ( attribute "VALUE" "47" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(1100,2750)" + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( attribute "XY" "(-625,-2325)" ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0805-4.7UF,10V" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "C9_6" ( Origin gFrontEnd ) ) - ( attribute "CDS_SEC" "1" + ( attribute "CHIPS_PART_NAME" "RES_ARRAY_X4" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "SEC" "1" - ( Status sBlockFlattened ) + ( attribute "CDS_PART_NAME" "RES_ARRAY_X4_1206_TC164-47,1%" ( Origin gPackager ) ) - ( objectStatus "C9_6" ) ( pin "a(0)" - ( attribute "PN" "1" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "C9_6.1" ) + ( objectStatus "page1_i110.1" ) ) ( pin "b(0)" - ( attribute "PN" "2" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "C9_6.2" ) + ( objectStatus "page1_i110.8" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i54" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i111" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "0805" + ( attribute "PACK_TYPE" "1206_TC164" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -26634,7 +26029,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "ROT" "0" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -26642,63 +26037,42 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "4.7UF" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "VER" "1" + ( attribute "TOL" "1%" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "10V" - ( Units "uVoltage" "V" 1.000000) + ( attribute "VALUE" "47" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(1100,725)" + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( attribute "XY" "(-475,-2425)" ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0805-4.7UF,10V" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "C10_6" ( Origin gFrontEnd ) ) - ( attribute "CDS_SEC" "1" + ( attribute "CHIPS_PART_NAME" "RES_ARRAY_X4" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "SEC" "1" - ( Status sBlockFlattened ) + ( attribute "CDS_PART_NAME" "RES_ARRAY_X4_1206_TC164-47,1%" ( Origin gPackager ) ) - ( objectStatus "C10_6" ) ( pin "a(0)" - ( attribute "PN" "1" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "C10_6.1" ) + ( objectStatus "page1_i111.1" ) ) ( pin "b(0)" - ( attribute "PN" "2" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "C10_6.2" ) + ( objectStatus "page1_i111.8" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i56" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i112" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "0805" + ( attribute "DIELECTRIC" "X5R" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -26706,7 +26080,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "ROT" "0" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -26714,63 +26088,47 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "4.7UF" + ( attribute "TYPE" "GNM21" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VALUE" "1UF" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "10V" - ( Units "uVoltage" "V" 1.000000) + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2475,2750)" + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( attribute "XY" "(-200,-2375)" ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0805-4.7UF,10V" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "C12_6" ( Origin gFrontEnd ) ) - ( attribute "CDS_SEC" "1" + ( attribute "CHIPS_PART_NAME" "CAPN4I" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "SEC" "1" - ( Status sBlockFlattened ) + ( attribute "CDS_PART_NAME" "CAPN4I-1UF,16V,X5R,GNM21" ( Origin gPackager ) ) - ( objectStatus "C12_6" ) ( pin "a(0)" - ( attribute "PN" "1" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "C12_6.1" ) + ( objectStatus "page1_i112.7" ) ) ( pin "b(0)" - ( attribute "PN" "2" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "C12_6.2" ) + ( objectStatus "page1_i112.8" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i58" - ( attribute "CDS_LIB" "cnpassive" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i116" + ( attribute "CDS_LIB" "cninterface" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "0805" + ( attribute "PACK_TYPE" "VQFN" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -26778,15 +26136,11 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "SIZE" "1" + ( attribute "ROT" "2" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "4.7UF" + ( attribute "TYPE" "SN65MLVD040RGZ" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -26794,71 +26148,64 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "10V" - ( Units "uVoltage" "V" 1.000000) - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(2450,675)" + ( attribute "XY" "(475,-2400)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( attribute "CHIPS_PART_NAME" "SN65MLVD040" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0805-4.7UF,10V" + ( attribute "CDS_PART_NAME" "SN65MLVD040RGZ-GND=GND_SIGNAL;A" ( Origin gPackager ) ) - ( attribute "LOCATION" "C11_6" - ( Origin gFrontEnd ) + ( pin "a(0)" + ( objectStatus "page1_i116.13" ) ) - ( attribute "CDS_SEC" "1" - ( Status sBlockFlattened ) - ( Origin gPackager ) + ( pin "\b*\(0)" + ( objectStatus "page1_i116.14" ) ) - ( attribute "SEC" "1" - ( Status sBlockFlattened ) - ( Origin gPackager ) + ( pin "d(0)" + ( objectStatus "page1_i116.25" ) ) - ( objectStatus "C11_6" ) - ( pin "a(0)" - ( attribute "PN" "1" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "C11_6.1" ) + ( pin "de(0)" + ( objectStatus "page1_i116.12" ) ) - ( pin "b(0)" - ( attribute "PN" "2" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "C11_6.2" ) + ( pin "fsen(0)" + ( objectStatus "page1_i116.22" ) + ) + ( pin "pdn" + ( objectStatus "page1_i116.30" ) + ) + ( pin "r(0)" + ( objectStatus "page1_i116.26" ) + ) + ( pin "\re*\(0)" + ( objectStatus "page1_i116.21" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i66" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i121" ( attribute "CDS_LIB" "cndiscrete" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "MODEL" "MURATA" + ( attribute "PACK_TYPE" "4312" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "SMD" + ( attribute "PHYS_PAGE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" + ( attribute "ROT" "0" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "TYPE" "744231091" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "BLM41P800S" + ( attribute "VALUE" "90OHM" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -26866,50 +26213,36 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-3100,2375)" + ( attribute "XY" "(-1025,-1575)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "FERRITE" + ( attribute "CHIPS_PART_NAME" "COMMON_MODE_LINE_FILTER" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "FERRITE_SMD-BLM41P800S,MURATA" + ( attribute "CDS_PART_NAME" "COMMON_MODE_LINE_FILTER_4312-7A" ( Origin gPackager ) ) - ( attribute "LOCATION" "L1_6" - ( Origin gFrontEnd ) - ) - ( attribute "CDS_SEC" "1" - ( Status sBlockFlattened ) - ( Origin gPackager ) + ( pin "\1\(0)" + ( objectStatus "page1_i121.3" ) ) - ( attribute "SEC" "1" - ( Status sBlockFlattened ) - ( Origin gPackager ) + ( pin "\1dot\(0)" + ( objectStatus "page1_i121.4" ) ) - ( objectStatus "L1_6" ) - ( pin "a" - ( attribute "PN" "1" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "L1_6.1" ) + ( pin "\2\(0)" + ( objectStatus "page1_i121.2" ) ) - ( pin "b" - ( attribute "PN" "2" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "L1_6.2" ) + ( pin "\2dot\(0)" + ( objectStatus "page1_i121.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i70" - ( attribute "CDS_LIB" "cnlinear" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i122" + ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "BGA" + ( attribute "PACK_TYPE" "1206_TC164" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -26921,359 +26254,97 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TYPE" "LTM8047EY#PBF" + ( attribute "SIZE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "TOL" "1%" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-725,1550)" + ( attribute "VALUE" "47" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "LTM8047" + ( attribute "VER" "1" ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "LTM8047EY#PBF" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "RG1_6" ( Origin gFrontEnd ) ) - ( attribute "CDS_SEC" "1" + ( attribute "XY" "(-625,-1525)" ( Status sBlockFlattened ) - ( Origin gPackager ) + ( Origin gFrontEnd ) ) - ( attribute "SEC" "1" + ( attribute "CHIPS_PART_NAME" "RES_ARRAY_X4" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "RG1_6" ) - ( pin "adj" - ( attribute "PN" "G7" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.G7" ) - ) - ( pin "bias" - ( attribute "PN" "H5" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.H5" ) - ) - ( pin "gnd(0)" - ( attribute "PN" "E1" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.E1" ) - ) - ( pin "gnd(1)" - ( attribute "PN" "E2" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.E2" ) - ) - ( pin "gnd(2)" - ( attribute "PN" "E3" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.E3" ) - ) - ( pin "gnd(3)" - ( attribute "PN" "E4" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.E4" ) - ) - ( pin "gnd(4)" - ( attribute "PN" "E5" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.E5" ) - ) - ( pin "gnd(5)" - ( attribute "PN" "E6" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.E6" ) - ) - ( pin "gnd(6)" - ( attribute "PN" "E7" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.E7" ) - ) - ( pin "gnd(7)" - ( attribute "PN" "F4" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.F4" ) - ) - ( pin "gnd(8)" - ( attribute "PN" "F5" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.F5" ) - ) - ( pin "gnd(9)" - ( attribute "PN" "F6" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.F6" ) - ) - ( pin "gnd(10)" - ( attribute "PN" "F7" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.F7" ) - ) - ( pin "gnd(11)" - ( attribute "PN" "G4" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.G4" ) - ) - ( pin "gnd(12)" - ( attribute "PN" "G5" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.G5" ) - ) - ( pin "gnd(13)" - ( attribute "PN" "G6" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.G6" ) - ) - ( pin "gnd(14)" - ( attribute "PN" "H4" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.H4" ) - ) - ( pin "gnd(15)" - ( attribute "PN" "H7" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.H7" ) - ) - ( pin "run" - ( attribute "PN" "F3" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.F3" ) - ) - ( pin "ss" - ( attribute "PN" "H6" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.H6" ) - ) - ( pin "vin(0)" - ( attribute "PN" "H1" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.H1" ) - ) - ( pin "vin(1)" - ( attribute "PN" "H2" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.H2" ) - ) - ( pin "vin(2)" - ( attribute "PN" "G1" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.G1" ) - ) - ( pin "vin(3)" - ( attribute "PN" "G2" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.G2" ) - ) - ( pin "vout(0)" - ( attribute "PN" "A6" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.A6" ) - ) - ( pin "vout(1)" - ( attribute "PN" "A7" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.A7" ) - ) - ( pin "vout(2)" - ( attribute "PN" "B6" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.B6" ) - ) - ( pin "vout(3)" - ( attribute "PN" "B7" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.B7" ) - ) - ( pin "vout(4)" - ( attribute "PN" "C6" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.C6" ) - ) - ( pin "vout(5)" - ( attribute "PN" "C7" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.C7" ) + ( attribute "CDS_PART_NAME" "RES_ARRAY_X4_1206_TC164-47,1%" + ( Origin gPackager ) ) - ( pin "\vout-\(0)" - ( attribute "PN" "A1" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.A1" ) + ( pin "a(0)" + ( objectStatus "page1_i122.1" ) ) - ( pin "\vout-\(1)" - ( attribute "PN" "A2" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.A2" ) + ( pin "b(0)" + ( objectStatus "page1_i122.8" ) ) - ( pin "\vout-\(2)" - ( attribute "PN" "A3" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.A3" ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i123" + ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) + ( Origin gPackager ) ) - ( pin "\vout-\(3)" - ( attribute "PN" "A4" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.A4" ) + ( attribute "PACK_TYPE" "1206_TC164" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( pin "\vout-\(4)" - ( attribute "PN" "A5" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.A5" ) + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( pin "\vout-\(5)" - ( attribute "PN" "B1" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.B1" ) + ( attribute "ROT" "0" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( pin "\vout-\(6)" - ( attribute "PN" "B2" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.B2" ) + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( pin "\vout-\(7)" - ( attribute "PN" "B3" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.B3" ) + ( attribute "TOL" "1%" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( pin "\vout-\(8)" - ( attribute "PN" "B4" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.B4" ) + ( attribute "VALUE" "47" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( pin "\vout-\(9)" - ( attribute "PN" "B5" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.B5" ) + ( attribute "VER" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( pin "\vout-\(10)" - ( attribute "PN" "C1" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.C1" ) + ( attribute "XY" "(-475,-1625)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( pin "\vout-\(11)" - ( attribute "PN" "C2" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.C2" ) + ( attribute "CHIPS_PART_NAME" "RES_ARRAY_X4" + ( Status sBlockFlattened ) + ( Origin gPackager ) ) - ( pin "\vout-\(12)" - ( attribute "PN" "C3" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.C3" ) + ( attribute "CDS_PART_NAME" "RES_ARRAY_X4_1206_TC164-47,1%" + ( Origin gPackager ) ) - ( pin "\vout-\(13)" - ( attribute "PN" "C4" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.C4" ) + ( pin "a(0)" + ( objectStatus "page1_i123.1" ) ) - ( pin "\vout-\(14)" - ( attribute "PN" "C5" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.C5" ) + ( pin "b(0)" + ( objectStatus "page1_i123.8" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i72" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i124" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "1210" + ( attribute "DIELECTRIC" "X5R" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -27281,7 +26352,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "ROT" "0" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -27289,175 +26360,161 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "4.7UF" + ( attribute "TYPE" "GNM21" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VALUE" "1UF" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "50V" - ( Units "uVoltage" "V" 1.000000) + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-1825,1525)" + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( attribute "XY" "(-200,-1575)" ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210-4.7UF,50V" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "C5_6" ( Origin gFrontEnd ) ) - ( attribute "CDS_SEC" "1" + ( attribute "CHIPS_PART_NAME" "CAPN4I" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "SEC" "1" - ( Status sBlockFlattened ) + ( attribute "CDS_PART_NAME" "CAPN4I-1UF,16V,X5R,GNM21" ( Origin gPackager ) ) - ( objectStatus "C5_6" ) ( pin "a(0)" - ( attribute "PN" "1" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "C5_6.1" ) + ( objectStatus "page1_i124.7" ) ) ( pin "b(0)" - ( attribute "PN" "2" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "C5_6.2" ) + ( objectStatus "page1_i124.8" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i74" - ( attribute "CDS_LIB" "cnpassive" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i128" + ( attribute "CDS_LIB" "cninterface" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "DIST" "FLAT" + ( attribute "PACK_TYPE" "VQFN" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "MAX_TEMP" "RTMAX" + ( attribute "PHYS_PAGE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "NEGTOL" "RTOL%" + ( attribute "ROT" "2" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "1/10W" + ( attribute "TYPE" "SN65MLVD040RGZ" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POSTOL" "RTOL%" + ( attribute "XY" "(475,-1600)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POWER" "RMAX" + ( attribute "CHIPS_PART_NAME" "SN65MLVD040" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) - ( attribute "ROT" "1" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( attribute "CDS_PART_NAME" "SN65MLVD040RGZ-GND=GND_SIGNAL;A" + ( Origin gPackager ) ) - ( attribute "SIZE" "1" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( pin "a(0)" + ( objectStatus "page1_i128.13" ) ) - ( attribute "SLOPE" "RSMAX" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( pin "\b*\(0)" + ( objectStatus "page1_i128.14" ) ) - ( attribute "TC1" "RTMPL" + ( pin "d(0)" + ( objectStatus "page1_i128.25" ) + ) + ( pin "de(0)" + ( objectStatus "page1_i128.12" ) + ) + ( pin "fsen(0)" + ( objectStatus "page1_i128.22" ) + ) + ( pin "pdn" + ( objectStatus "page1_i128.30" ) + ) + ( pin "r(0)" + ( objectStatus "page1_i128.26" ) + ) + ( pin "\re*\(0)" + ( objectStatus "page1_i128.21" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i133" + ( attribute "CDS_LIB" "cndiscrete" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) - ( attribute "TC2" "RTMPQ" + ( attribute "PACK_TYPE" "4312" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TOL" "1%" + ( attribute "PHYS_PAGE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TOL_ON_OFF" "ON" + ( attribute "ROT" "0" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "6.19K" + ( attribute "TYPE" "744231091" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "2" + ( attribute "VALUE" "90OHM" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "RVMAX" - ( Units "uVoltage" "V" 1.000000) + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-1300,1250)" + ( attribute "XY" "(-1025,-775)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( attribute "CHIPS_PART_NAME" "COMMON_MODE_LINE_FILTER" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-6.19K,1%" + ( attribute "CDS_PART_NAME" "COMMON_MODE_LINE_FILTER_4312-7A" ( Origin gPackager ) ) - ( attribute "LOCATION" "R2_6" - ( Origin gFrontEnd ) - ) - ( attribute "CDS_SEC" "1" - ( Status sBlockFlattened ) - ( Origin gPackager ) + ( pin "\1\(0)" + ( objectStatus "page1_i133.3" ) ) - ( attribute "SEC" "1" - ( Status sBlockFlattened ) - ( Origin gPackager ) + ( pin "\1dot\(0)" + ( objectStatus "page1_i133.4" ) ) - ( objectStatus "R2_6" ) - ( pin "a(0)" - ( attribute "PN" "1" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "R2_6.1" ) + ( pin "\2\(0)" + ( objectStatus "page1_i133.2" ) ) - ( pin "b(0)" - ( attribute "PN" "2" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "R2_6.2" ) + ( pin "\2dot\(0)" + ( objectStatus "page1_i133.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i79" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i134" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "1210" + ( attribute "PACK_TYPE" "1206_TC164" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -27465,7 +26522,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "ROT" "0" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -27473,63 +26530,42 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "4.7UF" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "VER" "1" + ( attribute "TOL" "1%" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "50V" - ( Units "uVoltage" "V" 1.000000) + ( attribute "VALUE" "47" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-3550,2075)" + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( attribute "XY" "(-625,-725)" ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210-4.7UF,50V" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "C1_6" ( Origin gFrontEnd ) ) - ( attribute "CDS_SEC" "1" + ( attribute "CHIPS_PART_NAME" "RES_ARRAY_X4" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "SEC" "1" - ( Status sBlockFlattened ) + ( attribute "CDS_PART_NAME" "RES_ARRAY_X4_1206_TC164-47,1%" ( Origin gPackager ) ) - ( objectStatus "C1_6" ) ( pin "a(0)" - ( attribute "PN" "1" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "C1_6.1" ) + ( objectStatus "page1_i134.1" ) ) ( pin "b(0)" - ( attribute "PN" "2" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "C1_6.2" ) + ( objectStatus "page1_i134.8" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i81" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i135" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "1210" + ( attribute "PACK_TYPE" "1206_TC164" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -27537,7 +26573,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "ROT" "0" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -27545,75 +26581,62 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "4.7UF" + ( attribute "TOL" "1%" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VALUE" "47" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "50V" - ( Units "uVoltage" "V" 1.000000) + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-2725,2200)" + ( attribute "XY" "(-475,-825)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( attribute "CHIPS_PART_NAME" "RES_ARRAY_X4" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210-4.7UF,50V" + ( attribute "CDS_PART_NAME" "RES_ARRAY_X4_1206_TC164-47,1%" ( Origin gPackager ) ) - ( attribute "LOCATION" "C2_6" - ( Origin gFrontEnd ) + ( pin "a(0)" + ( objectStatus "page1_i135.1" ) ) - ( attribute "CDS_SEC" "1" + ( pin "b(0)" + ( objectStatus "page1_i135.8" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i136" + ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "SEC" "1" + ( attribute "DIELECTRIC" "X5R" ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "C2_6" ) - ( pin "a(0)" - ( attribute "PN" "1" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "C2_6.1" ) - ) - ( pin "b(0)" - ( attribute "PN" "2" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "C2_6.2" ) + ( Origin gFrontEnd ) ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i82" - ( attribute "CDS_LIB" "cnlinear" + ( attribute "PHYS_PAGE" "1" ( Status sBlockFlattened ) - ( Origin gPackager ) + ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "BGA" + ( attribute "ROT" "0" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" + ( attribute "SIZE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "TYPE" "GNM21" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TYPE" "LTM8047EY#PBF" + ( attribute "VALUE" "1UF" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -27621,351 +26644,96 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-700,2700)" + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "LTM8047" + ( attribute "XY" "(-200,-775)" ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "LTM8047EY#PBF" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "RG2_6" ( Origin gFrontEnd ) ) - ( attribute "CDS_SEC" "1" + ( attribute "CHIPS_PART_NAME" "CAPN4I" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "SEC" "1" - ( Status sBlockFlattened ) + ( attribute "CDS_PART_NAME" "CAPN4I-1UF,16V,X5R,GNM21" ( Origin gPackager ) ) - ( objectStatus "RG2_6" ) - ( pin "adj" - ( attribute "PN" "G7" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.G7" ) - ) - ( pin "bias" - ( attribute "PN" "H5" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.H5" ) - ) - ( pin "gnd(0)" - ( attribute "PN" "E1" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.E1" ) - ) - ( pin "gnd(1)" - ( attribute "PN" "E2" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.E2" ) - ) - ( pin "gnd(2)" - ( attribute "PN" "E3" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.E3" ) - ) - ( pin "gnd(3)" - ( attribute "PN" "E4" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.E4" ) - ) - ( pin "gnd(4)" - ( attribute "PN" "E5" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.E5" ) - ) - ( pin "gnd(5)" - ( attribute "PN" "E6" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.E6" ) - ) - ( pin "gnd(6)" - ( attribute "PN" "E7" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.E7" ) - ) - ( pin "gnd(7)" - ( attribute "PN" "F4" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.F4" ) - ) - ( pin "gnd(8)" - ( attribute "PN" "F5" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.F5" ) - ) - ( pin "gnd(9)" - ( attribute "PN" "F6" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.F6" ) - ) - ( pin "gnd(10)" - ( attribute "PN" "F7" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.F7" ) - ) - ( pin "gnd(11)" - ( attribute "PN" "G4" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.G4" ) - ) - ( pin "gnd(12)" - ( attribute "PN" "G5" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.G5" ) - ) - ( pin "gnd(13)" - ( attribute "PN" "G6" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.G6" ) - ) - ( pin "gnd(14)" - ( attribute "PN" "H4" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.H4" ) - ) - ( pin "gnd(15)" - ( attribute "PN" "H7" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.H7" ) - ) - ( pin "run" - ( attribute "PN" "F3" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.F3" ) - ) - ( pin "ss" - ( attribute "PN" "H6" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.H6" ) - ) - ( pin "vin(0)" - ( attribute "PN" "H1" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.H1" ) - ) - ( pin "vin(1)" - ( attribute "PN" "H2" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.H2" ) - ) - ( pin "vin(2)" - ( attribute "PN" "G1" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.G1" ) - ) - ( pin "vin(3)" - ( attribute "PN" "G2" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.G2" ) - ) - ( pin "vout(0)" - ( attribute "PN" "A6" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.A6" ) - ) - ( pin "vout(1)" - ( attribute "PN" "A7" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.A7" ) - ) - ( pin "vout(2)" - ( attribute "PN" "B6" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.B6" ) + ( pin "a(0)" + ( objectStatus "page1_i136.7" ) ) - ( pin "vout(3)" - ( attribute "PN" "B7" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.B7" ) + ( pin "b(0)" + ( objectStatus "page1_i136.8" ) ) - ( pin "vout(4)" - ( attribute "PN" "C6" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.C6" ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i140" + ( attribute "CDS_LIB" "cninterface" + ( Status sBlockFlattened ) + ( Origin gPackager ) ) - ( pin "vout(5)" - ( attribute "PN" "C7" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.C7" ) + ( attribute "PACK_TYPE" "VQFN" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( pin "\vout-\(0)" - ( attribute "PN" "A1" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.A1" ) + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( pin "\vout-\(1)" - ( attribute "PN" "A2" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.A2" ) + ( attribute "ROT" "2" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( pin "\vout-\(2)" - ( attribute "PN" "A3" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.A3" ) + ( attribute "TYPE" "SN65MLVD040RGZ" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( pin "\vout-\(3)" - ( attribute "PN" "A4" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.A4" ) + ( attribute "VER" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( pin "\vout-\(4)" - ( attribute "PN" "A5" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.A5" ) + ( attribute "XY" "(475,-800)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( pin "\vout-\(5)" - ( attribute "PN" "B1" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.B1" ) + ( attribute "CHIPS_PART_NAME" "SN65MLVD040" + ( Status sBlockFlattened ) + ( Origin gPackager ) ) - ( pin "\vout-\(6)" - ( attribute "PN" "B2" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.B2" ) + ( attribute "CDS_PART_NAME" "SN65MLVD040RGZ-GND=GND_SIGNAL;A" + ( Origin gPackager ) ) - ( pin "\vout-\(7)" - ( attribute "PN" "B3" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.B3" ) + ( pin "a(0)" + ( objectStatus "page1_i140.13" ) ) - ( pin "\vout-\(8)" - ( attribute "PN" "B4" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.B4" ) + ( pin "\b*\(0)" + ( objectStatus "page1_i140.14" ) ) - ( pin "\vout-\(9)" - ( attribute "PN" "B5" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.B5" ) + ( pin "d(0)" + ( objectStatus "page1_i140.25" ) ) - ( pin "\vout-\(10)" - ( attribute "PN" "C1" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.C1" ) + ( pin "de(0)" + ( objectStatus "page1_i140.12" ) ) - ( pin "\vout-\(11)" - ( attribute "PN" "C2" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.C2" ) + ( pin "fsen(0)" + ( objectStatus "page1_i140.22" ) ) - ( pin "\vout-\(12)" - ( attribute "PN" "C3" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.C3" ) + ( pin "pdn" + ( objectStatus "page1_i140.30" ) ) - ( pin "\vout-\(13)" - ( attribute "PN" "C4" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.C4" ) + ( pin "r(0)" + ( objectStatus "page1_i140.26" ) ) - ( pin "\vout-\(14)" - ( attribute "PN" "C5" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.C5" ) + ( pin "\re*\(0)" + ( objectStatus "page1_i140.21" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i83" - ( attribute "CDS_LIB" "cnpassive" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i145" + ( attribute "CDS_LIB" "cndiscrete" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "1210" + ( attribute "PACK_TYPE" "4312" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -27973,15 +26741,15 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "ROT" "0" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" + ( attribute "TYPE" "744231091" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "4.7UF" + ( attribute "VALUE" "90OHM" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -27989,167 +26757,138 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "50V" - ( Units "uVoltage" "V" 1.000000) - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(-1800,2675)" + ( attribute "XY" "(-1025,25)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( attribute "CHIPS_PART_NAME" "COMMON_MODE_LINE_FILTER" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210-4.7UF,50V" + ( attribute "CDS_PART_NAME" "COMMON_MODE_LINE_FILTER_4312-7A" ( Origin gPackager ) ) - ( attribute "LOCATION" "C6_6" - ( Origin gFrontEnd ) - ) - ( attribute "CDS_SEC" "1" - ( Status sBlockFlattened ) - ( Origin gPackager ) + ( pin "\1\(0)" + ( objectStatus "page1_i145.3" ) ) - ( attribute "SEC" "1" - ( Status sBlockFlattened ) - ( Origin gPackager ) + ( pin "\1dot\(0)" + ( objectStatus "page1_i145.4" ) ) - ( objectStatus "C6_6" ) - ( pin "a(0)" - ( attribute "PN" "1" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "C6_6.1" ) + ( pin "\2\(0)" + ( objectStatus "page1_i145.2" ) ) - ( pin "b(0)" - ( attribute "PN" "2" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "C6_6.2" ) + ( pin "\2dot\(0)" + ( objectStatus "page1_i145.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i85" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i146" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "DIST" "FLAT" + ( attribute "PACK_TYPE" "1206_TC164" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "MAX_TEMP" "RTMAX" + ( attribute "PHYS_PAGE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "NEGTOL" "RTOL%" + ( attribute "ROT" "0" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "1/10W" + ( attribute "SIZE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" + ( attribute "TOL" "1%" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POSTOL" "RTOL%" + ( attribute "VALUE" "47" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POWER" "RMAX" + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "XY" "(-625,75)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" + ( attribute "CHIPS_PART_NAME" "RES_ARRAY_X4" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) - ( attribute "SLOPE" "RSMAX" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( attribute "CDS_PART_NAME" "RES_ARRAY_X4_1206_TC164-47,1%" + ( Origin gPackager ) ) - ( attribute "TC1" "RTMPL" + ( pin "a(0)" + ( objectStatus "page1_i146.1" ) + ) + ( pin "b(0)" + ( objectStatus "page1_i146.8" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i147" + ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) - ( attribute "TC2" "RTMPQ" + ( attribute "PACK_TYPE" "1206_TC164" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TOL" "1%" + ( attribute "PHYS_PAGE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TOL_ON_OFF" "ON" + ( attribute "ROT" "0" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "6.19K" + ( attribute "SIZE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "2" + ( attribute "TOL" "1%" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "RVMAX" - ( Units "uVoltage" "V" 1.000000) + ( attribute "VALUE" "47" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-1275,2400)" + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( attribute "XY" "(-475,-25)" ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-6.19K,1%" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "R3_6" ( Origin gFrontEnd ) ) - ( attribute "CDS_SEC" "1" + ( attribute "CHIPS_PART_NAME" "RES_ARRAY_X4" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "SEC" "1" - ( Status sBlockFlattened ) + ( attribute "CDS_PART_NAME" "RES_ARRAY_X4_1206_TC164-47,1%" ( Origin gPackager ) ) - ( objectStatus "R3_6" ) ( pin "a(0)" - ( attribute "PN" "1" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "R3_6.1" ) + ( objectStatus "page1_i147.1" ) ) ( pin "b(0)" - ( attribute "PN" "2" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "R3_6.2" ) + ( objectStatus "page1_i147.8" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i88" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i148" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "1210" + ( attribute "DIELECTRIC" "X5R" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -28157,7 +26896,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "ROT" "0" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -28165,63 +26904,47 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "22UF" + ( attribute "TYPE" "GNM21" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VALUE" "1UF" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "16V" - ( Units "uVoltage" "V" 1.000000) + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(250,650)" + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( attribute "XY" "(-200,25)" ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210-22UF,16V" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "C8_6" ( Origin gFrontEnd ) ) - ( attribute "CDS_SEC" "1" + ( attribute "CHIPS_PART_NAME" "CAPN4I" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "SEC" "1" - ( Status sBlockFlattened ) + ( attribute "CDS_PART_NAME" "CAPN4I-1UF,16V,X5R,GNM21" ( Origin gPackager ) ) - ( objectStatus "C8_6" ) ( pin "a(0)" - ( attribute "PN" "1" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "C8_6.1" ) + ( objectStatus "page1_i148.7" ) ) ( pin "b(0)" - ( attribute "PN" "2" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "C8_6.2" ) + ( objectStatus "page1_i148.8" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i89" - ( attribute "CDS_LIB" "cnpassive" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i152" + ( attribute "CDS_LIB" "cninterface" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "1210" + ( attribute "PACK_TYPE" "VQFN" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -28229,71 +26952,72 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "ROT" "2" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" + ( attribute "TYPE" "SN65MLVD040RGZ" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "22UF" + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "XY" "(475,0)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "16V" - ( Units "uVoltage" "V" 1.000000) - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(250,2675)" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( attribute "CHIPS_PART_NAME" "SN65MLVD040" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210-22UF,16V" + ( attribute "CDS_PART_NAME" "SN65MLVD040RGZ-GND=GND_SIGNAL;A" ( Origin gPackager ) ) - ( attribute "LOCATION" "C7_6" - ( Origin gFrontEnd ) + ( pin "a(0)" + ( objectStatus "page1_i152.13" ) ) - ( attribute "CDS_SEC" "1" + ( pin "\b*\(0)" + ( objectStatus "page1_i152.14" ) + ) + ( pin "d(0)" + ( objectStatus "page1_i152.25" ) + ) + ( pin "de(0)" + ( objectStatus "page1_i152.12" ) + ) + ( pin "fsen(0)" + ( objectStatus "page1_i152.22" ) + ) + ( pin "pdn" + ( objectStatus "page1_i152.30" ) + ) + ( pin "r(0)" + ( objectStatus "page1_i152.26" ) + ) + ( pin "\re*\(0)" + ( objectStatus "page1_i152.21" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i157" + ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "SEC" "1" + ( attribute "CDS_LOCATION" "C1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C7_6" ) - ( pin "a(0)" - ( attribute "PN" "1" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "C7_6.1" ) - ) - ( pin "b(0)" - ( attribute "PN" "2" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "C7_6.2" ) + ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i142" - ( attribute "CDS_LIB" "cnpassive" + ( attribute "LOCATION" "C1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "1210" + ( attribute "PACK_TYPE" "0603" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -28301,15 +27025,19 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "ROT" "0" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) + ( attribute "SEC" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) ( attribute "SIZE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "4.7UF" + ( attribute "VALUE" "100NF" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -28317,12 +27045,12 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "50V" + ( attribute "VOLTAGE" "16V" ( Units "uVoltage" "V" 1.000000) ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-2150,2675)" + ( attribute "XY" "(3425,-2075)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -28330,42 +27058,42 @@ ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210-4.7UF,50V" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "C3_6" - ( Origin gFrontEnd ) - ) - ( attribute "CDS_SEC" "1" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "SEC" "1" - ( Status sBlockFlattened ) + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" ( Origin gPackager ) ) - ( objectStatus "C3_6" ) ( pin "a(0)" ( attribute "PN" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C3_6.1" ) + ( objectStatus "C1.1" ) ) ( pin "b(0)" ( attribute "PN" "2" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C3_6.2" ) + ( objectStatus "C1.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i144" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i160" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "1210" + ( attribute "CDS_LOCATION" "C1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "LOCATION" "C1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "0603" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -28377,11 +27105,15 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) + ( attribute "SEC" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) ( attribute "SIZE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "4.7UF" + ( attribute "VALUE" "100NF" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -28389,12 +27121,12 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "50V" + ( attribute "VOLTAGE" "16V" ( Units "uVoltage" "V" 1.000000) ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-2150,1525)" + ( attribute "XY" "(-1000,-3175)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -28402,54 +27134,42 @@ ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210-4.7UF,50V" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "C4_6" - ( Origin gFrontEnd ) - ) - ( attribute "CDS_SEC" "1" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "SEC" "1" - ( Status sBlockFlattened ) + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" ( Origin gPackager ) ) - ( objectStatus "C4_6" ) ( pin "a(0)" ( attribute "PN" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C4_6.1" ) + ( objectStatus "C1.1" ) ) ( pin "b(0)" ( attribute "PN" "2" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C4_6.2" ) + ( objectStatus "C1.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i146" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i161" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "DIST" "FLAT" + ( attribute "CDS_LOCATION" "C1" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) - ( attribute "MAX_TEMP" "RTMAX" + ( attribute "CDS_SEC" "1" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) - ( attribute "NEGTOL" "RTOL%" + ( attribute "LOCATION" "C1" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "1/10W" + ( attribute "PACK_TYPE" "0603" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -28457,500 +27177,350 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POSTOL" "RTOL%" + ( attribute "ROT" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POWER" "RMAX" + ( attribute "SEC" "1" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) - ( attribute "ROT" "1" + ( attribute "SIZE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" + ( attribute "VALUE" "100NF" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SLOPE" "RSMAX" + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TC1" "RTMPL" + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TC2" "RTMPQ" + ( attribute "XY" "(0,-3175)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TOL" "1%" + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) - ( attribute "TOL_ON_OFF" "ON" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( Origin gPackager ) + ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "C1.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "C1.2" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i162" + ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "LOCATION" "C1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "0603" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "3.3" + ( attribute "PHYS_PAGE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "2" + ( attribute "ROT" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "RVMAX" - ( Units "uVoltage" "V" 1.000000) + ( attribute "SEC" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "SIZE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-2725,1900)" + ( attribute "VALUE" "100NF" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( attribute "VER" "1" ( Status sBlockFlattened ) - ( Origin gPackager ) + ( Origin gFrontEnd ) ) - ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-3.3,1%" - ( Origin gPackager ) + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( attribute "LOCATION" "R1_6" + ( attribute "XY" "(-250,-3175)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CDS_SEC" "1" + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "SEC" "1" - ( Status sBlockFlattened ) + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" ( Origin gPackager ) ) - ( objectStatus "R1_6" ) ( pin "a(0)" ( attribute "PN" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "R1_6.1" ) + ( objectStatus "C1.1" ) ) ( pin "b(0)" ( attribute "PN" "2" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "R1_6.2" ) - ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:a1" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "IC3_1.2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:a2" - ( attribute "PN" "15" - ( Origin gPackager ) - ) - ( objectStatus "IC3_1.15" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:b1" - ( attribute "PN" "7" - ( Origin gPackager ) - ) - ( objectStatus "IC3_1.7" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:b2" - ( attribute "PN" "10" - ( Origin gPackager ) - ) - ( objectStatus "IC3_1.10" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:sh(0)" - ( attribute "PN" "1" - ( Origin gPackager ) - ) - ( objectStatus "IC3_1.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:sh(1)" - ( attribute "PN" "3" - ( Origin gPackager ) - ) - ( objectStatus "IC3_1.3" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:sh(2)" - ( attribute "PN" "4" - ( Origin gPackager ) - ) - ( objectStatus "IC3_1.4" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:sh(3)" - ( attribute "PN" "5" - ( Origin gPackager ) - ) - ( objectStatus "IC3_1.5" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:sh(4)" - ( attribute "PN" "6" - ( Origin gPackager ) + ( objectStatus "C1.2" ) ) - ( objectStatus "IC3_1.6" ) ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:sh(5)" - ( attribute "PN" "8" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i163" + ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "IC3_1.8" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:sh(6)" - ( attribute "PN" "9" + ( attribute "CDS_LOCATION" "C1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "IC3_1.9" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:sh(7)" - ( attribute "PN" "11" + ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "IC3_1.11" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:sh(8)" - ( attribute "PN" "12" + ( attribute "LOCATION" "C1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "IC3_1.12" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:sh(9)" - ( attribute "PN" "13" - ( Origin gPackager ) + ( attribute "PACK_TYPE" "0603" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( objectStatus "IC3_1.13" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:sh(10)" - ( attribute "PN" "14" - ( Origin gPackager ) + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( objectStatus "IC3_1.14" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:sh(11)" - ( attribute "PN" "16" - ( Origin gPackager ) + ( attribute "ROT" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( objectStatus "IC3_1.16" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:a1" - ( attribute "PN" "2" + ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "IC3_2.2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:a2" - ( attribute "PN" "15" - ( Origin gPackager ) + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( objectStatus "IC3_2.15" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:b1" - ( attribute "PN" "7" - ( Origin gPackager ) + ( attribute "VALUE" "100NF" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( objectStatus "IC3_2.7" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:b2" - ( attribute "PN" "10" - ( Origin gPackager ) + ( attribute "VER" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( objectStatus "IC3_2.10" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:sh(0)" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( objectStatus "IC3_2.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:sh(1)" - ( attribute "PN" "3" - ( Origin gPackager ) + ( attribute "XY" "(-500,-3175)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( objectStatus "IC3_2.3" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:sh(2)" - ( attribute "PN" "4" + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "IC3_2.4" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:sh(3)" - ( attribute "PN" "5" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" ( Origin gPackager ) ) - ( objectStatus "IC3_2.5" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:sh(4)" - ( attribute "PN" "6" - ( Origin gPackager ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "C1.1" ) ) - ( objectStatus "IC3_2.6" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:sh(5)" - ( attribute "PN" "8" - ( Origin gPackager ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "C1.2" ) ) - ( objectStatus "IC3_2.8" ) ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:sh(6)" - ( attribute "PN" "9" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i164" + ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "IC3_2.9" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:sh(7)" - ( attribute "PN" "11" + ( attribute "CDS_LOCATION" "C1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "IC3_2.11" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:sh(8)" - ( attribute "PN" "12" + ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "IC3_2.12" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:sh(9)" - ( attribute "PN" "13" + ( attribute "LOCATION" "C1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "IC3_2.13" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:sh(10)" - ( attribute "PN" "14" - ( Origin gPackager ) + ( attribute "PACK_TYPE" "0603" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( objectStatus "IC3_2.14" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:sh(11)" - ( attribute "PN" "16" - ( Origin gPackager ) + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( objectStatus "IC3_2.16" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:a1" - ( attribute "PN" "2" - ( Origin gPackager ) + ( attribute "ROT" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( objectStatus "IC3_3.2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:a2" - ( attribute "PN" "15" + ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "IC3_3.15" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:b1" - ( attribute "PN" "7" - ( Origin gPackager ) + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( objectStatus "IC3_3.7" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:b2" - ( attribute "PN" "10" - ( Origin gPackager ) + ( attribute "VALUE" "100NF" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( objectStatus "IC3_3.10" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:sh(0)" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "VER" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( objectStatus "IC3_3.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:sh(1)" - ( attribute "PN" "3" - ( Origin gPackager ) + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( objectStatus "IC3_3.3" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:sh(2)" - ( attribute "PN" "4" - ( Origin gPackager ) + ( attribute "XY" "(-750,-3175)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( objectStatus "IC3_3.4" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:sh(3)" - ( attribute "PN" "5" + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "IC3_3.5" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:sh(4)" - ( attribute "PN" "6" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" ( Origin gPackager ) ) - ( objectStatus "IC3_3.6" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:sh(5)" - ( attribute "PN" "8" - ( Origin gPackager ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "C1.1" ) ) - ( objectStatus "IC3_3.8" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:sh(6)" - ( attribute "PN" "9" - ( Origin gPackager ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "C1.2" ) ) - ( objectStatus "IC3_3.9" ) ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:sh(7)" - ( attribute "PN" "11" - ( Origin gPackager ) - ) - ( objectStatus "IC3_3.11" ) + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i29:\vin+\" ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:sh(8)" - ( attribute "PN" "12" - ( Origin gPackager ) - ) - ( objectStatus "IC3_3.12" ) + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i29:\vin-\" ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:sh(9)" - ( attribute "PN" "13" - ( Origin gPackager ) - ) - ( objectStatus "IC3_3.13" ) + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i29:vout" ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:sh(10)" - ( attribute "PN" "14" - ( Origin gPackager ) - ) - ( objectStatus "IC3_3.14" ) + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i30:\vin+\" ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:sh(11)" - ( attribute "PN" "16" - ( Origin gPackager ) - ) - ( objectStatus "IC3_3.16" ) + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i30:\vin-\" ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:a1" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "IC3_4.2" ) + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i30:vout" ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:a2" - ( attribute "PN" "15" - ( Origin gPackager ) - ) - ( objectStatus "IC3_4.15" ) + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i31:\vin+\" ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:b1" - ( attribute "PN" "7" - ( Origin gPackager ) - ) - ( objectStatus "IC3_4.7" ) + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i31:\vin-\" ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:b2" - ( attribute "PN" "10" - ( Origin gPackager ) - ) - ( objectStatus "IC3_4.10" ) + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i31:vout" ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:sh(0)" - ( attribute "PN" "1" - ( Origin gPackager ) - ) - ( objectStatus "IC3_4.1" ) + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i32:\vin+\" ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:sh(1)" - ( attribute "PN" "3" - ( Origin gPackager ) - ) - ( objectStatus "IC3_4.3" ) + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i32:\vin-\" ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:sh(2)" - ( attribute "PN" "4" - ( Origin gPackager ) - ) - ( objectStatus "IC3_4.4" ) + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i32:vout" ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:sh(3)" - ( attribute "PN" "5" - ( Origin gPackager ) - ) - ( objectStatus "IC3_4.5" ) + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1:busy_from_fpga" ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:sh(4)" - ( attribute "PN" "6" - ( Origin gPackager ) - ) - ( objectStatus "IC3_4.6" ) + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1:busy_to_fpga" ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:sh(5)" - ( attribute "PN" "8" - ( Origin gPackager ) - ) - ( objectStatus "IC3_4.8" ) + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1:clk_from_fpga" ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:sh(6)" - ( attribute "PN" "9" - ( Origin gPackager ) - ) - ( objectStatus "IC3_4.9" ) + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1:clk_to_dut" ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:sh(7)" - ( attribute "PN" "11" - ( Origin gPackager ) - ) - ( objectStatus "IC3_4.11" ) + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1:\clk_to_dut*\" ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:sh(8)" - ( attribute "PN" "12" - ( Origin gPackager ) - ) - ( objectStatus "IC3_4.12" ) + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1:clk_to_fpga" ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:sh(9)" - ( attribute "PN" "13" - ( Origin gPackager ) - ) - ( objectStatus "IC3_4.13" ) + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1:cont_from_fpga" ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:sh(10)" - ( attribute "PN" "14" - ( Origin gPackager ) - ) - ( objectStatus "IC3_4.14" ) + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1:cont_to_fpga" ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:sh(11)" - ( attribute "PN" "16" - ( Origin gPackager ) - ) - ( objectStatus "IC3_4.16" ) + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1:enable_busy_from_fpga" ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i29:\vin+\" + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1:enable_clk_from_fpga" ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i29:\vin-\" + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1:enable_clk_to_dut" ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i29:vout" + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1:enable_cont_from_fpga" ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i30:\vin+\" + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1:enable_spare_from_fpga" ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i30:\vin-\" + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1:enable_trig_from_fpga" ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i30:vout" + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1:hdmi_clk" ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i31:\vin+\" + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1:\hdmi_clk*\" ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i31:\vin-\" + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1:hdmi_power_enable" ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i31:vout" + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1:spare_from_fpga" ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i32:\vin+\" + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1:spare_to_fpga" ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i32:\vin-\" + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1:trig_from_fpga" ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i32:vout" + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1:trig_to_fpga" ) ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\BEAM_TRIGGER0\" ( memberType ( signal ) ) @@ -28962,8 +27532,8 @@ ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA1\" ( memberType ( signal ) ) ( objectFlag fObjectAlias ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(1)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(1)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(1)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(1)") ) ( objectStatus "FMC_LA1" ) ) ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\BEAM_TRIGGER1\" @@ -28990,8 +27560,8 @@ ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA5\" ( memberType ( signal ) ) ( objectFlag fObjectAlias ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(5)") ) ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(5)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(5)") ) ( objectStatus "FMC_LA5" ) ) ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\BEAM_TRIGGER3\" @@ -29004,29 +27574,28 @@ ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA7\" ( memberType ( signal ) ) ( objectFlag fObjectAlias ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(7)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(7)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(7)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(7)") ) ( objectStatus "FMC_LA7" ) ) ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA0\" ( memberType ( signal ) ) ( objectFlag fObjectAlias ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(0)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(0)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):cont_to_fpga(0)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):gpio_clk") ) ( objectStatus "FMC_LA0" ) ) ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA10\" ( memberType ( signal ) ) - ( objectFlag fObjectAlias ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(10)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(10)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(10)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(10)") ) ( objectStatus "FMC_LA10" ) ) ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\CONT2\" ( memberType ( signal ) ) ( alias ( diffPairRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA8\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\spare1*\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):spare1") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(8)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(8)") ) ( objectStatus "CONT2" ) ) ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA8\" @@ -29036,25 +27605,10 @@ ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(8)") ) ( objectStatus "FMC_LA8" ) ) - ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA12\" - ( memberType ( signal ) ) - ( objectFlag fObjectAlias ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(12)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(12)") ) - ( objectStatus "FMC_LA12" ) - ) - ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA15\" - ( memberType ( signal ) ) - ( objectFlag fObjectAlias ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(15)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(15)") ) - ( objectStatus "FMC_LA15" ) - ) ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA17\" ( memberType ( signal ) ) - ( objectFlag fObjectAlias ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(17)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(17)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(17)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(17)") ) ( objectStatus "FMC_LA17" ) ) ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA19\" @@ -29071,13 +27625,6 @@ ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(20)") ) ( objectStatus "FMC_LA20" ) ) - ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA26\" - ( memberType ( signal ) ) - ( objectFlag fObjectAlias ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(26)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(26)") ) - ( objectStatus "FMC_LA26" ) - ) ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA2\" ( memberType ( signal ) ) ( objectFlag fObjectAlias ) @@ -29092,18 +27639,11 @@ ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(30)") ) ( objectStatus "FMC_LA30" ) ) - ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA31\" + ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA4\" ( memberType ( signal ) ) ( objectFlag fObjectAlias ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(31)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(31)") ) - ( objectStatus "FMC_LA31" ) - ) - ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA4\" - ( memberType ( signal ) ) - ( objectFlag fObjectAlias ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(4)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(4)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):clk_from_fpga(0)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):clk_to_fpga(0)") ) ( objectStatus "FMC_LA4" ) ) ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA6\" @@ -29123,7 +27663,6 @@ ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\BEAM_TRIGGER_CFD1\" ( memberType ( signal ) ) ( alias ( diffPairRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA2\") ) - ( objectFlag fObjectAlias ) ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(2)") ) ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(2)") ) ( objectStatus "BEAM_TRIGGER_CFD1" ) @@ -29144,79 +27683,26 @@ ) ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\BUSY0\" ( memberType ( signal ) ) - ( alias ( diffPairRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\TRIG1\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\trig1*\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):trig1") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):busy_from_fpga(0)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):busy_to_fpga(0)") ) ( objectStatus "BUSY0" ) ) ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\TRIG1\" ( memberType ( signal ) ) ( alias ( diffPairRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA19\") ) - ( objectFlag fObjectAlias ) ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(19)") ) ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(19)") ) ( objectStatus "TRIG1" ) ) - ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\DUT_CLK0\" - ( memberType ( signal ) ) - ( alias ( diffPairRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA31\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\dut_clk0*\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):dut_clk0") ) - ( objectStatus "DUT_CLK0" ) - ) - ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\BUSY1\" - ( memberType ( signal ) ) - ( alias ( diffPairRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA15\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\busy1*\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):busy1") ) - ( objectStatus "BUSY1" ) - ) - ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\BUSY2\" - ( memberType ( signal ) ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\busy2*\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):busy2") ) - ( objectStatus "BUSY2" ) - ) - ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\TRIG2\" - ( memberType ( signal ) ) - ( alias ( diffPairRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA10\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\trig2*\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):trig2") ) - ( objectStatus "TRIG2" ) - ) - ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\CLK2\" - ( memberType ( signal ) ) - ( alias ( diffPairRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\BEAM_TRIGGER_CFD1\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\clk2*\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):clk2") ) - ( objectStatus "CLK2" ) - ) - ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\CONT0\" - ( memberType ( signal ) ) - ( alias ( diffPairRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA26\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\cont0*\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):cont0") ) - ( objectStatus "CONT0" ) - ) - ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\CONT1\" - ( memberType ( signal ) ) - ( alias ( diffPairRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA12\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\cont1*\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):cont1") ) - ( objectStatus "CONT1" ) - ) ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\SPARE1\" ( memberType ( signal ) ) ( alias ( diffPairRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA20\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\trig0*\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):trig0") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(20)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(20)") ) ( objectStatus "SPARE1" ) ) ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\SPARE2\" ( memberType ( signal ) ) - ( alias ( diffPairRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA17\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\spare2*\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):spare2") ) ( objectStatus "SPARE2" ) ) ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\TRIG0\" @@ -29226,18 +27712,6 @@ ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):beam_trigger_cfd(1)") ) ( objectStatus "TRIG0" ) ) - ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\CTRIG1\" - ( memberType ( signal ) ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\ctrig1*\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):ctrig1") ) - ( objectStatus "CTRIG1" ) - ) - ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\CTRIG2\" - ( memberType ( signal ) ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\ctrig2*\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):ctrig2") ) - ( objectStatus "CTRIG2" ) - ) ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FRONT_PANEL_CLK\" ( memberType ( signal ) ) ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\front_panel_clk*\") ) @@ -29389,22 +27863,6 @@ ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(31)") ) ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(32)") ) ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(33)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):busy2") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):busy0") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):cont2") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):trig2") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):trig0") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):hdmi_power_enable1") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):spare2") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):cont1") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):gpio_clk") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):dut_clk0") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):trig1") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):cont0") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):clk2") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):clk1") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):busy1") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):spare1") ) ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):beam_trigger(0)") ) ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):beam_trigger(1)") ) ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):beam_trigger(3)") ) @@ -29495,21 +27953,6 @@ ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(31)") ) ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(32)") ) ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(33)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\trig1*\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\dut_clk0*\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\cont1*\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\spare2*\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):hdmi_power_enable2") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\trig0*\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\trig2*\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\cont2*\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\busy2*\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\busy0*\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\cont0*\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\spare1*\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\busy1*\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\clk1*\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\clk2*\") ) ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\beam_trigger*\(0)") ) ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\beam_trigger*\(1)") ) ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\beam_trigger*\(2)") ) @@ -29591,6 +28034,187 @@ ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vin_filtered") ) ( objectStatus "VI" ) ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):busy_from_fpga" + ( memberType ( signal ) ) + ( alias ( busRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_busy_from_fpga") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):busy_from_fpga(0)") ) + ( objectStatus "BUSY_FROM_FPGA" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):busy_to_fpga" + ( memberType ( signal ) ) + ( alias ( busRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_busy_to_fpga") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):busy_to_fpga(0)") ) + ( objectStatus "BUSY_TO_FPGA" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):clk_from_fpga" + ( memberType ( signal ) ) + ( alias ( busRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_clk_from_fpga") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):clk_from_fpga(0)") ) + ( objectStatus "CLK_FROM_FPGA" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):clk_to_dut" + ( memberType ( signal ) ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):clk_to_dut(0)") ) + ( objectStatus "CLK_TO_DUT" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\clk_to_dut*\" + ( memberType ( signal ) ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\clk_to_dut*\(0)") ) + ( objectStatus "CLK_TO_DUT*" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):clk_to_fpga" + ( memberType ( signal ) ) + ( alias ( busRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_clk_to_fpga") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):clk_to_fpga(0)") ) + ( objectStatus "CLK_TO_FPGA" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):cont_from_fpga" + ( memberType ( signal ) ) + ( alias ( busRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_cont_from_fpga") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):gpio_clk") ) + ( objectStatus "CONT_FROM_FPGA" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):cont_to_fpga" + ( memberType ( signal ) ) + ( alias ( busRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_cont_to_fpga") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):cont_to_fpga(0)") ) + ( objectStatus "CONT_TO_FPGA" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):enable_busy_from_fpga" + ( memberType ( signal ) ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):enable_busy_from_fpga(0)") ) + ( objectStatus "ENABLE_BUSY_FROM_FPGA" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):enable_clk_from_fpga" + ( memberType ( signal ) ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):enable_clk_from_fpga(0)") ) + ( objectStatus "ENABLE_CLK_FROM_FPGA" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):enable_clk_to_dut" + ( memberType ( signal ) ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):enable_clk_to_dut(0)") ) + ( objectStatus "ENABLE_CLK_TO_DUT" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):enable_cont_from_fpga" + ( memberType ( signal ) ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):enable_cont_from_fpga(0)") ) + ( objectStatus "ENABLE_CONT_FROM_FPGA" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):enable_spare_from_fpga" + ( memberType ( signal ) ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):enable_spare_from_fpga(0)") ) + ( objectStatus "ENABLE_SPARE_FROM_FPGA" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):enable_trig_from_fpga" + ( memberType ( signal ) ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):enable_trig_from_fpga(0)") ) + ( objectStatus "ENABLE_TRIG_FROM_FPGA" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):hdmi_clk" + ( memberType ( signal ) ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):hdmi_clk(0)") ) + ( objectStatus "HDMI_CLK" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\hdmi_clk*\" + ( memberType ( signal ) ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\hdmi_clk*\(0)") ) + ( objectStatus "HDMI_CLK*" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):spare_from_fpga" + ( memberType ( signal ) ) + ( alias ( busRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_spare_from_fpga") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):spare_from_fpga(0)") ) + ( objectStatus "SPARE_FROM_FPGA" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):spare_to_fpga" + ( memberType ( signal ) ) + ( alias ( busRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_spare_to_fpga") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):spare_to_fpga(0)") ) + ( objectStatus "SPARE_TO_FPGA" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):trig_from_fpga" + ( memberType ( signal ) ) + ( alias ( busRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_trig_from_fpga") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):trig_from_fpga(0)") ) + ( objectStatus "TRIG_FROM_FPGA" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):trig_to_fpga" + ( memberType ( signal ) ) + ( alias ( busRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_trig_to_fpga") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):trig_to_fpga(0)") ) + ( objectStatus "TRIG_TO_FPGA" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_busy_from_fpga" + ( memberType ( signal ) ) + ( objectFlag fObjectAlias ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_busy_from_fpga(0)") ) + ( objectStatus "PAGE1_BUSY_FROM_FPGA" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_busy_to_fpga" + ( memberType ( signal ) ) + ( objectFlag fObjectAlias ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_busy_to_fpga(0)") ) + ( objectStatus "PAGE1_BUSY_TO_FPGA" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_clk_from_fpga" + ( memberType ( signal ) ) + ( objectFlag fObjectAlias ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_clk_from_fpga(0)") ) + ( objectStatus "PAGE1_CLK_FROM_FPGA" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_clk_to_fpga" + ( memberType ( signal ) ) + ( objectFlag fObjectAlias ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_clk_to_fpga(0)") ) + ( objectStatus "PAGE1_CLK_TO_FPGA" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_cont_from_fpga" + ( memberType ( signal ) ) + ( objectFlag fObjectAlias ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_cont_from_fpga(0)") ) + ( objectStatus "PAGE1_CONT_FROM_FPGA" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_cont_to_fpga" + ( memberType ( signal ) ) + ( objectFlag fObjectAlias ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_cont_to_fpga(0)") ) + ( objectStatus "PAGE1_CONT_TO_FPGA" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_spare_from_fpga" + ( memberType ( signal ) ) + ( objectFlag fObjectAlias ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_spare_from_fpga(0)") ) + ( objectStatus "PAGE1_SPARE_FROM_FPGA" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_spare_to_fpga" + ( memberType ( signal ) ) + ( objectFlag fObjectAlias ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_spare_to_fpga(0)") ) + ( objectStatus "PAGE1_SPARE_TO_FPGA" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_trig_from_fpga" + ( memberType ( signal ) ) + ( objectFlag fObjectAlias ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_trig_from_fpga(0)") ) + ( objectStatus "PAGE1_TRIG_FROM_FPGA" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_trig_to_fpga" + ( memberType ( signal ) ) + ( objectFlag fObjectAlias ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_trig_to_fpga(0)") ) + ( objectStatus "PAGE1_TRIG_TO_FPGA" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):hdmi_power_enable" + ( memberType ( signal ) ) + ( alias ( busRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_hdmi_power_enable") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):hdmi_power_enable(0)") ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_hdmi_power_enable" + ( memberType ( signal ) ) + ( objectFlag fObjectAlias ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_hdmi_power_enable(0)") ) + ( objectStatus "PAGE1_HDMI_POWER_ENABLE" ) + ) ( designInst "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2" ( attribute "BLOCK" "TRUE" ( Origin gFrontEnd ) @@ -29930,7 +28554,7 @@ ) ( objectStatus "page2_i38 (fmc_tlu_cfd)" ) ) - ( designInst "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62" + ( designInst "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55" ( attribute "BLOCK" "TRUE" ( Origin gFrontEnd ) ) @@ -29940,7 +28564,7 @@ ( attribute "LIBRARY1" "ieee" ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "2" + ( attribute "PHYS_PAGE" "3" ( Origin gFrontEnd ) ) ( attribute "ROT" "0" @@ -29955,46 +28579,19 @@ ( attribute "VER" "1" ( Origin gFrontEnd ) ) - ( attribute "XY" "(1550,-850)" + ( attribute "XY" "(50,-1250)" ( Origin gFrontEnd ) ) - ( objectStatus "page4_i62 (fmc_tlu_diode_clamp)" ) + ( objectStatus "page2_i55 (fmc_tlu_vsupply5v)" ) ) - ( designInst "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63" + ( designInst "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1" ( attribute "BLOCK" "TRUE" ( Origin gFrontEnd ) ) ( attribute "CDS_LIB" "fmc_tlu_v1_lib" ( Origin gPackager ) ) - ( attribute "LIBRARY1" "ieee" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "2" - ( Origin gFrontEnd ) - ) - ( attribute "ROT" "0" - ( Origin gFrontEnd ) - ) - ( attribute "USE1" "ieee.std_logic_1164.all" - ( Origin gFrontEnd ) - ) - ( attribute "USE2" "work.all" - ( Origin gFrontEnd ) - ) - ( attribute "VER" "1" - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(2050,-2850)" - ( Origin gFrontEnd ) - ) - ( objectStatus "page4_i63 (fmc_tlu_diode_clamp)" ) - ) - ( designInst "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64" - ( attribute "BLOCK" "TRUE" - ( Origin gFrontEnd ) - ) - ( attribute "CDS_LIB" "fmc_tlu_v1_lib" + ( attribute "CDS_LMAN_SYM_OUTLINE" "-775,775,750,-725" ( Origin gPackager ) ) ( attribute "LIBRARY1" "ieee" @@ -30015,47 +28612,55 @@ ( attribute "VER" "1" ( Origin gFrontEnd ) ) - ( attribute "XY" "(5000,-800)" + ( attribute "XY" "(775,250)" ( Origin gFrontEnd ) ) - ( objectStatus "page4_i64 (fmc_tlu_diode_clamp)" ) + ( objectStatus "\page4_i1 (fmc_tlu_hdmi_dut_connector)\" ) ) - ( designInst "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55" + ( designInst "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78" ( attribute "BLOCK" "TRUE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "CDS_LIB" "fmc_tlu_v1_lib" + ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "LIBRARY1" "ieee" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "3" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "USE1" "ieee.std_logic_1164.all" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "USE2" "work.all" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(50,-1250)" + ( attribute "XY" "(5400,-350)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( objectStatus "page2_i55 (fmc_tlu_vsupply5v)" ) ) ) ) ( BaseCopy "pc023a_dac_vthresh" ( constraintHeader ( revisionNumber - ( logicalViewRevNum 12 ) + ( logicalViewRevNum 13 ) ( physicalViewRevNum 0 ) ( otherViewRevNum 0 ) ) @@ -30065,7 +28670,7 @@ ( properties ) ) ) - ( designConstraints + ( designConstraints ( ruleChanges ( allRules ) ( design "pc023a_dac_vthresh" @@ -31256,7 +29861,7 @@ ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-1UF,16V" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603" ( Origin gPackager ) ) ( attribute "CDS_LOCATION" "C6" @@ -31315,7 +29920,7 @@ ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-1UF,16V" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603" ( Origin gPackager ) ) ( attribute "CDS_LOCATION" "C2" @@ -31374,7 +29979,7 @@ ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-1UF,16V" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603" ( Origin gPackager ) ) ( attribute "CDS_LOCATION" "C3" @@ -31433,7 +30038,7 @@ ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603" ( Origin gPackager ) ) ( attribute "CDS_LOCATION" "C5" @@ -31597,7 +30202,7 @@ ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-1UF,16V" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603" ( Origin gPackager ) ) ( attribute "CDS_LOCATION" "C4" @@ -31655,7 +30260,7 @@ ( attribute "CHIPS_PART_NAME" "TP" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "TP_HOLE" ( Origin gPackager ) ) ( objectStatus "PAGE1_I68" ) @@ -31699,7 +30304,7 @@ ( attribute "CHIPS_PART_NAME" "TP" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "TP_HOLE" ( Origin gPackager ) ) ( objectStatus "PAGE1_I69" ) @@ -31785,7 +30390,7 @@ ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-1UF,16V" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603" ( Origin gPackager ) ) ( objectStatus "PAGE1_I73" ) @@ -33230,7 +31835,7 @@ ( BaseCopy "fmc_tlu_cfd" ( constraintHeader ( revisionNumber - ( logicalViewRevNum 44 ) + ( logicalViewRevNum 45 ) ( physicalViewRevNum 2 ) ( otherViewRevNum 0 ) ) @@ -33976,6 +32581,48 @@ ( Origin gPackager ) ) ( objectStatus "IC2" ) + ( pin "hys(0)" + ( attribute "PN" "8" + ( Origin gPackager ) + ) + ( objectStatus "IC2.8" ) + ) + ( pin "\in+\(0)" + ( attribute "PN" "10" + ( Origin gPackager ) + ) + ( objectStatus "IC2.10" ) + ) + ( pin "\in-\(0)" + ( attribute "PN" "9" + ( Origin gPackager ) + ) + ( objectStatus "IC2.9" ) + ) + ( pin "le(0)" + ( attribute "PN" "4" + ( Origin gPackager ) + ) + ( objectStatus "IC2.4" ) + ) + ( pin "\le*\(0)" + ( attribute "PN" "5" + ( Origin gPackager ) + ) + ( objectStatus "IC2.5" ) + ) + ( pin "q(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "IC2.1" ) + ) + ( pin "\q*\(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "IC2.2" ) + ) ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i123" ( attribute "CDS_LIB" "cnpassive" @@ -34009,7 +32656,7 @@ ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603" ( Origin gPackager ) ) ( attribute "LOCATION" "C1" @@ -34025,6 +32672,18 @@ ( Origin gPackager ) ) ( objectStatus "C1" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "C1.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "C1.2" ) + ) ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i39" ( attribute "CDS_LIB" "cnpassive" @@ -34058,7 +32717,7 @@ ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-1.0UF,6.3V" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603" ( Origin gPackager ) ) ( attribute "LOCATION" "C4" @@ -34074,6 +32733,18 @@ ( Origin gPackager ) ) ( objectStatus "C4" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "C4.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "C4.2" ) + ) ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i40" ( attribute "CDS_LIB" "cnpassive" @@ -34107,7 +32778,7 @@ ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603" ( Origin gPackager ) ) ( attribute "LOCATION" "C7" @@ -34123,6 +32794,18 @@ ( Origin gPackager ) ) ( objectStatus "C7" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "C7.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "C7.2" ) + ) ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i41" ( attribute "CDS_LIB" "cnpassive" @@ -34156,7 +32839,7 @@ ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603" ( Origin gPackager ) ) ( attribute "LOCATION" "C10" @@ -34172,6 +32855,18 @@ ( Origin gPackager ) ) ( objectStatus "C10" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "C10.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "C10.2" ) + ) ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i43" ( attribute "CDS_LIB" "cnpassive" @@ -34205,7 +32900,7 @@ ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603" ( Origin gPackager ) ) ( attribute "LOCATION" "C9" @@ -34221,6 +32916,18 @@ ( Origin gPackager ) ) ( objectStatus "C9" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "C9.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "C9.2" ) + ) ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i44" ( attribute "CDS_LIB" "cnpassive" @@ -34254,7 +32961,7 @@ ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-1.0UF,6.3V" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603" ( Origin gPackager ) ) ( attribute "LOCATION" "C6" @@ -34270,6 +32977,18 @@ ( Origin gPackager ) ) ( objectStatus "C6" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "C6.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "C6.2" ) + ) ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i47" ( attribute "CDS_LIB" "cnpassive" @@ -34303,7 +33022,7 @@ ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-1.0UF,6.3V" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603" ( Origin gPackager ) ) ( attribute "LOCATION" "C3" @@ -34319,6 +33038,18 @@ ( Origin gPackager ) ) ( objectStatus "C3" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "C3.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "C3.2" ) + ) ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i71" ( attribute "CDS_LIB" "cnlinear" @@ -34361,6 +33092,48 @@ ( Origin gPackager ) ) ( objectStatus "IC2" ) + ( pin "hys(0)" + ( attribute "PN" "13" + ( Origin gPackager ) + ) + ( objectStatus "IC2.13" ) + ) + ( pin "\in+\(0)" + ( attribute "PN" "11" + ( Origin gPackager ) + ) + ( objectStatus "IC2.11" ) + ) + ( pin "\in-\(0)" + ( attribute "PN" "12" + ( Origin gPackager ) + ) + ( objectStatus "IC2.12" ) + ) + ( pin "le(0)" + ( attribute "PN" "17" + ( Origin gPackager ) + ) + ( objectStatus "IC2.17" ) + ) + ( pin "\le*\(0)" + ( attribute "PN" "16" + ( Origin gPackager ) + ) + ( objectStatus "IC2.16" ) + ) + ( pin "q(0)" + ( attribute "PN" "20" + ( Origin gPackager ) + ) + ( objectStatus "IC2.20" ) + ) + ( pin "\q*\(0)" + ( attribute "PN" "19" + ( Origin gPackager ) + ) + ( objectStatus "IC2.19" ) + ) ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i73" ( attribute "CDS_LIB" "cnpassive" @@ -34440,6 +33213,18 @@ ( Origin gPackager ) ) ( objectStatus "R9" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "R9.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "R9.2" ) + ) ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i78" ( attribute "CDS_LIB" "cnpassive" @@ -34473,7 +33258,7 @@ ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-10NF,50V" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603" ( Origin gPackager ) ) ( attribute "LOCATION" "C2" @@ -34489,6 +33274,18 @@ ( Origin gPackager ) ) ( objectStatus "C2" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "C2.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "C2.2" ) + ) ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i79" ( attribute "CDS_LIB" "cnpassive" @@ -34568,6 +33365,18 @@ ( Origin gPackager ) ) ( objectStatus "R5" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "R5.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "R5.2" ) + ) ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i81" ( attribute "CDS_LIB" "cnpassive" @@ -34647,6 +33456,18 @@ ( Origin gPackager ) ) ( objectStatus "R10" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "R10.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "R10.2" ) + ) ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i148" ( attribute "CDS_LIB" "cnpassive" @@ -34726,6 +33547,18 @@ ( Origin gPackager ) ) ( objectStatus "R6" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "R6.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "R6.2" ) + ) ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i92" ( attribute "CDS_LIB" "cnpassive" @@ -34805,6 +33638,18 @@ ( Origin gPackager ) ) ( objectStatus "R7" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "R7.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "R7.2" ) + ) ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i93" ( attribute "CDS_LIB" "cnpassive" @@ -34884,6 +33729,18 @@ ( Origin gPackager ) ) ( objectStatus "R3" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "R3.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "R3.2" ) + ) ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i94" ( attribute "CDS_LIB" "cnpassive" @@ -34963,6 +33820,18 @@ ( Origin gPackager ) ) ( objectStatus "R2" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "R2.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "R2.2" ) + ) ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i111" ( attribute "CDS_LIB" "cnpassive" @@ -35042,6 +33911,18 @@ ( Origin gPackager ) ) ( objectStatus "R13" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "R13.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "R13.2" ) + ) ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i113" ( attribute "CDS_LIB" "cnpassive" @@ -35121,15 +34002,17 @@ ( Origin gPackager ) ) ( objectStatus "R11" ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i87" - ( attribute "CHIPS_PART_NAME" "MC100EL29" - ( Origin gPackager ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "R11.1" ) ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i58" - ( attribute "CHIPS_PART_NAME" "MC100EL29" - ( Origin gPackager ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "R11.2" ) ) ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i147" @@ -35210,6 +34093,18 @@ ( Origin gPackager ) ) ( objectStatus "R8" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "R8.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "R8.2" ) + ) ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i150" ( attribute "CDS_LIB" "cnpassive" @@ -35243,7 +34138,7 @@ ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603" ( Origin gPackager ) ) ( attribute "LOCATION" "C5" @@ -35259,6 +34154,18 @@ ( Origin gPackager ) ) ( objectStatus "C5" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "C5.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "C5.2" ) + ) ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i151" ( attribute "CDS_LIB" "cnpassive" @@ -35292,7 +34199,7 @@ ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603" ( Origin gPackager ) ) ( attribute "LOCATION" "C8" @@ -35308,6 +34215,18 @@ ( Origin gPackager ) ) ( objectStatus "C8" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "C8.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "C8.2" ) + ) ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i152" ( attribute "CDS_LIB" "cnpassive" @@ -35387,6 +34306,18 @@ ( Origin gPackager ) ) ( objectStatus "R14" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "R14.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "R14.2" ) + ) ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i153" ( attribute "CDS_LIB" "cnpassive" @@ -35466,6 +34397,18 @@ ( Origin gPackager ) ) ( objectStatus "R12" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "R12.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "R12.2" ) + ) ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i159" ( attribute "CDS_LIB" "cnpassive" @@ -35501,7 +34444,7 @@ ( attribute "CHIPS_PART_NAME" "TP" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "TP_HOLE" ( Origin gPackager ) ) ( attribute "CDS_LOCATION" "TP2" @@ -35517,6 +34460,12 @@ ( Origin gPackager ) ) ( objectStatus "TP2" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "TP2.1" ) + ) ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i160" ( attribute "CDS_LIB" "cnpassive" @@ -35552,7 +34501,7 @@ ( attribute "CHIPS_PART_NAME" "TP" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "TP_HOLE" ( Origin gPackager ) ) ( attribute "CDS_LOCATION" "TP3" @@ -35568,6 +34517,12 @@ ( Origin gPackager ) ) ( objectStatus "TP3" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "TP3.1" ) + ) ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i161" ( attribute "CDS_LIB" "cnpassive" @@ -35603,7 +34558,7 @@ ( attribute "CHIPS_PART_NAME" "TP" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "TP_HOLE" ( Origin gPackager ) ) ( attribute "CDS_LOCATION" "TP4" @@ -35619,6 +34574,12 @@ ( Origin gPackager ) ) ( objectStatus "TP4" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "TP4.1" ) + ) ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i162" ( attribute "CDS_LIB" "cnpassive" @@ -35654,7 +34615,7 @@ ( attribute "CHIPS_PART_NAME" "TP" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "TP_HOLE" ( Origin gPackager ) ) ( attribute "CDS_LOCATION" "TP1" @@ -35670,6 +34631,12 @@ ( Origin gPackager ) ) ( objectStatus "TP1" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "TP1.1" ) + ) ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i163" ( attribute "CDS_LIB" "cnpassive" @@ -35705,7 +34672,7 @@ ( attribute "CHIPS_PART_NAME" "TP" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "TP_HOLE" ( Origin gPackager ) ) ( attribute "CDS_LOCATION" "TP5" @@ -35721,6 +34688,12 @@ ( Origin gPackager ) ) ( objectStatus "TP5" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "TP5.1" ) + ) ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i164" ( attribute "CDS_LIB" "cnpassive" @@ -35756,7 +34729,7 @@ ( attribute "CHIPS_PART_NAME" "TP" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "TP_HOLE" ( Origin gPackager ) ) ( attribute "CDS_LOCATION" "TP6" @@ -35772,6 +34745,12 @@ ( Origin gPackager ) ) ( objectStatus "TP6" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "TP6.1" ) + ) ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i165" ( attribute "CDS_LIB" "cnpassive" @@ -35807,7 +34786,7 @@ ( attribute "CHIPS_PART_NAME" "TP" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "TP_HOLE" ( Origin gPackager ) ) ( attribute "CDS_LOCATION" "TP7" @@ -35823,6 +34802,12 @@ ( Origin gPackager ) ) ( objectStatus "TP7" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "TP7.1" ) + ) ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i120" ( attribute "CASE" "SOT23" @@ -35868,6 +34853,24 @@ ( Origin gPackager ) ) ( objectStatus "D1" ) + ( pin "a" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "D1.1" ) + ) + ( pin "ac" + ( attribute "PN" "3" + ( Origin gPackager ) + ) + ( objectStatus "D1.3" ) + ) + ( pin "c" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "D1.2" ) + ) ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i168" ( attribute "CDS_LIB" "cnconnector" @@ -35910,6 +34913,12 @@ ( Origin gPackager ) ) ( objectStatus "PX1" ) + ( pin "a" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "PX1.1" ) + ) ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i169" ( attribute "CDS_LIB" "cnconnector" @@ -35952,1518 +34961,606 @@ ( Origin gPackager ) ) ( objectStatus "PX2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i1:hys(0)" - ( attribute "PN" "8" - ( Origin gPackager ) - ) - ( objectStatus "IC2.8" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i1:\in+\(0)" - ( attribute "PN" "10" - ( Origin gPackager ) + ( pin "a" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "PX2.1" ) ) - ( objectStatus "IC2.10" ) ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i1:\in-\(0)" - ( attribute "PN" "9" - ( Origin gPackager ) - ) - ( objectStatus "IC2.9" ) + ) + ) + ( EndBaseCopy ) + ) + ( BaseCopy "fmc_tlu_vsupply5v" + ( constraintHeader + ( revisionNumber + ( logicalViewRevNum 15 ) + ( physicalViewRevNum 1 ) + ( otherViewRevNum 0 ) ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i1:le(0)" - ( attribute "PN" "4" - ( Origin gPackager ) - ) - ( objectStatus "IC2.4" ) + ( contents + ( electricalConstraints ) + ( physicalConstraints ) + ( spacingConstraints ) + ( sameNetSpacingConstraints ) + ( netClasses ) + ( properties ) ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i1:\le*\(0)" - ( attribute "PN" "5" - ( Origin gPackager ) - ) - ( objectStatus "IC2.5" ) + ) + ( designConstraints + ( ruleChanges + ( allRules ) + ( design "fmc_tlu_vsupply5v" + ( physicalCSetRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):\DEFAULT\" ) + ( spacingCSetRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):\DEFAULT\" ) + ( sameNetSpacingCSetRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):\DEFAULT\" ) ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i1:q(0)" - ( attribute "PN" "1" - ( Origin gPackager ) + ( physicalCSet "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):\DEFAULT\" + ( attribute "DIFFP_PRIMARY_GAP" "0.2000,0.2000,0.2000,0.2000,0.2000,0.2000" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "IC2.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i1:\q*\(0)" - ( attribute "PN" "2" - ( Origin gPackager ) + ( attribute "DIFFP_COUPLED_PLUS" "0.0000,0.0000,0.0000,0.0000,0.0000,0.0000" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "IC2.2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i111:b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) + ( attribute "DIFFP_COUPLED_MINUS" "0.0000,0.0000,0.0000,0.0000,0.0000,0.0000" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "R13.2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i111:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "DIFFP_MIN_SPACE" "0.0000,0.0000,0.0000,0.0000,0.0000,0.0000" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "R13.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i123:b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) + ( attribute "MIN_LINE_WIDTH" "0.2257,0.2257,0.2257,0.2257,0.2257,0.2257" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "C1.2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i123:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "MAX_LINE_WIDTH" "0.0000,0.0000,0.0000,0.0000,0.0000,0.0000" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "C1.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i39:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "DIFFP_NECK_GAP" "0.1800,0.1800,0.1800,0.1800,0.1800,0.1800" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "C4.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i39:b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) + ( attribute "MIN_NECK_WIDTH" "0.1800,0.1800,0.1800,0.1800,0.1800,0.1800" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "C4.2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i40:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "MAXIMUM_NECK_LENGTH" "5.0000,5.0000,5.0000,5.0000,5.0000,5.0000" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "C7.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i40:b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) + ( attribute "VIA_LIST" "VIA,VIA32RD0-3D,VIA55-30-80,VIA36RD0-4D" + ( Origin gBackEnd ) ) - ( objectStatus "C7.2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i41:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "ALLOW_ON_ETCH_SUBCLASS" "TRUE,TRUE,TRUE,TRUE,TRUE,TRUE" + ( Origin gBackEnd ) ) - ( objectStatus "C10.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i41:b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) + ( attribute "TS_ALLOWED" "ANYWHERE,ANYWHERE,ANYWHERE,ANYWHERE,ANYWHERE,ANYWHERE" + ( Origin gBackEnd ) ) - ( objectStatus "C10.2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i43:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "MIN_BVIA_STAGGER" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "C9.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i43:b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) + ( attribute "MAX_BVIA_STAGGER" "0.0000,0.0000,0.0000,0.0000,0.0000,0.0000" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "C9.2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i44:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "PAD_PAD_DIRECT_CONNECT" "ALL_ALLOWED,ALL_ALLOWED,ALL_ALLOWED,ALL_ALLOWED,ALL_ALLOWED,ALL_ALLOWED" + ( Origin gBackEnd ) ) - ( objectStatus "C6.1" ) + ( objectStatus "DEFAULT" ) ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i44:b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) + ( spacingCSet "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):\DEFAULT\" + ( attribute "THRUPIN_TO_THRUPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "C6.2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i47:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "THRUPIN_TO_SMDPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "C3.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i47:b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) + ( attribute "TESTPIN_TO_THRUPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "C3.2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i71:hys(0)" - ( attribute "PN" "13" - ( Origin gPackager ) + ( attribute "THRUPIN_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "IC2.13" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i71:\in+\(0)" - ( attribute "PN" "11" - ( Origin gPackager ) + ( attribute "TESTVIA_TO_THRUPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "IC2.11" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i71:\in-\(0)" - ( attribute "PN" "12" - ( Origin gPackager ) + ( attribute "BBV_TO_THRUPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "IC2.12" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i71:le(0)" - ( attribute "PN" "17" - ( Origin gPackager ) + ( attribute "THRUPIN_TO_BONDPAD_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "IC2.17" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i71:\le*\(0)" - ( attribute "PN" "16" - ( Origin gPackager ) + ( attribute "LINE_TO_THRUPIN_SPACING" "0.2000,0.2000,0.2000,0.2000,0.2000,0.2000" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "IC2.16" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i71:q(0)" - ( attribute "PN" "20" - ( Origin gPackager ) + ( attribute "THRUPIN_TO_SHAPE_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "IC2.20" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i71:\q*\(0)" - ( attribute "PN" "19" - ( Origin gPackager ) + ( attribute "SMDPIN_TO_SMDPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "IC2.19" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i73:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "SMDPIN_TO_TESTPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "R9.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i73:b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) + ( attribute "SMDPIN_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "R9.2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i78:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "SMDPIN_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "C2.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i78:b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) + ( attribute "BBV_TO_SMDPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "C2.2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i79:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "SMDPIN_TO_BONDPAD_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "R5.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i79:b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) + ( attribute "LINE_TO_SMDPIN_SPACING" "0.1800,0.2000,0.2000,0.2000,0.2000,0.2000" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "R5.2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i81:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "SHAPE_TO_SMDPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "R10.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i81:b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) + ( attribute "TESTPIN_TO_TESTPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "R10.2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i148:b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) + ( attribute "TESTPIN_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "R6.2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i148:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "TESTPIN_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "R6.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i92:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "BBV_TO_TESTPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "R7.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i92:b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) + ( attribute "BONDPAD_TO_TESTPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "R7.2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i93:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "LINE_TO_TESTPIN_SPACING" "0.2000,0.2000,0.2000,0.2000,0.2000,0.2000" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "R3.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i93:b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) + ( attribute "SHAPE_TO_TESTPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "R3.2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i94:b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) + ( attribute "THRUVIA_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "R2.2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i94:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "TESTVIA_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "R2.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i113:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "BBV_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "R11.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i113:b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) + ( attribute "BONDPAD_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "R11.2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i147:b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) + ( attribute "LINE_TO_THRUVIA_SPACING" "0.2000,0.2000,0.2000,0.2000,0.2000,0.2000" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "R8.2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i147:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "SHAPE_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "R8.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i150:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "TESTVIA_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "C5.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i150:b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) + ( attribute "BBV_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "C5.2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i151:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "BONDPAD_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "C8.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i151:b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) + ( attribute "LINE_TO_TESTVIA_SPACING" "0.2000,0.2000,0.2000,0.2000,0.2000,0.2000" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "C8.2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i152:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "SHAPE_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "R14.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i152:b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) + ( attribute "BBV_TO_BBV_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "R14.2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i153:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "BONDPAD_TO_BBV_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "R12.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i153:b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) + ( attribute "BBV_TO_LINE_SPACING" "0.2000,0.2000,0.2000,0.2000,0.2000,0.2000" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "R12.2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i159:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "BBV_TO_SHAPE_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "TP2.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i160:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "BONDPAD_TO_BONDPAD_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "TP3.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i161:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "BONDPAD_TO_LINE_SPACING" "0.2000,0.2000,0.2000,0.2000,0.2000,0.2000" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "TP4.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i162:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "BONDPAD_TO_SHAPE_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "TP1.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i163:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "LINE_TO_LINE_SPACING" "0.2570,0.2000,0.2000,0.2000,0.2000,0.2570" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "TP5.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i164:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "LINE_TO_SHAPE_SPACING" "0.2000,0.2000,0.2000,0.2000,0.2000,0.2000" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "TP6.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i165:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "SHAPE_TO_SHAPE_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "TP7.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i120:a" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "HOLE_TO_PIN_SPACING" "0.2032,0.2032,0.2032,0.2032,0.2032,0.2032" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "D1.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i120:ac" - ( attribute "PN" "3" - ( Origin gPackager ) + ( attribute "HOLE_TO_VIA_SPACING" "0.2032,0.2032,0.2032,0.2032,0.2032,0.2032" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "D1.3" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i120:c" - ( attribute "PN" "2" - ( Origin gPackager ) + ( attribute "HOLE_TO_LINE_SPACING" "0.2032,0.2032,0.2032,0.2032,0.2032,0.2032" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "D1.2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i168:a" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "HOLE_TO_SHAPE_SPACING" "0.2032,0.2032,0.2032,0.2032,0.2032,0.2032" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "PX1.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i169:a" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "HOLE_TO_HOLE_SPACING" "0.2032,0.2032,0.2032,0.2032,0.2032,0.2032" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "PX2.1" ) - ) - ) - ) - ( EndBaseCopy ) - ) - ( BaseCopy "fmc_tlu_diode_clamp" - ( constraintHeader - ( revisionNumber - ( logicalViewRevNum 14 ) - ( physicalViewRevNum 0 ) - ( otherViewRevNum 0 ) - ) - ( contents - ( electricalConstraints ) - ( netClasses ) - ( properties ) - ) - ) - ( designConstraints - ( ruleChanges - ( allRules ) - ( design "fmc_tlu_diode_clamp" - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig5" - ( objectStatus "sig5" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig7" - ( objectStatus "sig7" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig4" - ( objectStatus "sig4" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig6" - ( objectStatus "sig6" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig0" - ( objectStatus "sig0" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig1" - ( objectStatus "sig1" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig3" - ( objectStatus "sig3" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig2" - ( objectStatus "sig2" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):gnd_signal" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_gnd_signal") ) - ( objectStatus "gnd_signal" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_gnd_signal" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_gnd_signal" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):p2v5" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_p2v5") ) - ( objectStatus "p2v5" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_p2v5" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_p2v5" ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i9" - ( attribute "CDS_LIB" "cnpassive" - ( Origin gPackager ) + ( attribute "MVIA_TO_MVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( attribute "PACK_TYPE" "0603" - ( Origin gFrontEnd ) + ( attribute "MVIA_TO_THRUPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( attribute "PHYS_PAGE" "1" - ( Origin gFrontEnd ) + ( attribute "MVIA_TO_SMDPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( attribute "ROT" "1" - ( Origin gFrontEnd ) + ( attribute "MVIA_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( attribute "SIZE" "1" - ( Origin gFrontEnd ) + ( attribute "MVIA_TO_BBV_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( attribute "VALUE" "100NF" - ( Origin gFrontEnd ) + ( attribute "MVIA_TO_LINE_SPACING" "0.2000,0.2000,0.2000,0.2000,0.2000,0.2000" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( attribute "VER" "1" - ( Origin gFrontEnd ) + ( attribute "MVIA_TO_SHAPE_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( attribute "VOLTAGE" "16V" - ( Units "uVoltage" "V" 1.000000) - ( Origin gFrontEnd ) + ( attribute "MVIA_TO_TESTPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( attribute "XY" "(4450,500)" - ( Origin gFrontEnd ) + ( attribute "MVIA_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" - ( Origin gPackager ) + ( attribute "BONDPAD_TO_MVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" - ( Origin gPackager ) + ( attribute "MIN_BVIA_GAP" "0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "PAGE1_I9" ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i11" - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Origin gPackager ) + ( attribute "BONDPAD_TO_BONDPAD_DIFFP_SPC" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) + ( objectStatus "DEFAULT" ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i13" - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Origin gPackager ) + ( sameNetSpacingCSet "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):\DEFAULT\" + ( attribute "SAME_NET" "FALSE,FALSE,FALSE,FALSE,FALSE,FALSE" + ( Origin gBackEnd ) ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i15" - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Origin gPackager ) + ( attribute "SN_THRUPIN_TO_THRUPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i17" - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Origin gPackager ) + ( attribute "SN_THRUPIN_TO_SMDPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i19" - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Origin gPackager ) + ( attribute "SN_TESTPIN_TO_THRUPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i21" - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Origin gPackager ) + ( attribute "SN_THRUPIN_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i23" - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Origin gPackager ) + ( attribute "SN_TESTVIA_TO_THRUPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i7" - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Origin gPackager ) + ( attribute "SN_BBV_TO_THRUPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( attribute "CDS_LIB" "bris_cds_analogue" - ( Origin gPackager ) + ( attribute "SN_THRUPIN_TO_BONDPAD_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,50,125,-50" - ( Origin gPackager ) + ( attribute "SN_LINE_TO_THRUPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( attribute "MANUF" "NXP" - ( Origin gFrontEnd ) - ) - ( attribute "PACK_TYPE" "TSSOP" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "1" - ( Origin gFrontEnd ) - ) - ( attribute "ROT" "3" - ( Origin gFrontEnd ) - ) - ( attribute "VER" "1" - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(350,550)" - ( Origin gFrontEnd ) - ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP-GND=GND_SA" - ( Origin gPackager ) - ) - ( objectStatus "PAGE1_I7" ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i40" - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Origin gPackager ) - ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i39" - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Origin gPackager ) - ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i38" - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Origin gPackager ) - ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i37" - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Origin gPackager ) - ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i36" - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Origin gPackager ) - ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i35" - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Origin gPackager ) - ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i34" - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Origin gPackager ) - ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i43" - ( attribute "CDS_LIB" "bris_cds_analogue" - ( Origin gPackager ) - ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,50,125,-50" - ( Origin gPackager ) - ) - ( attribute "MANUF" "NXP" - ( Origin gFrontEnd ) - ) - ( attribute "PACK_TYPE" "TSSOP" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "1" - ( Origin gFrontEnd ) - ) - ( attribute "ROT" "3" - ( Origin gFrontEnd ) - ) - ( attribute "VER" "1" - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(350,-2750)" - ( Origin gFrontEnd ) - ) - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP-GND=GND_SA" - ( Origin gPackager ) - ) - ( objectStatus "PAGE1_I43" ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i44" - ( attribute "CDS_LIB" "bris_cds_analogue" - ( Origin gPackager ) - ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,50,125,-50" - ( Origin gPackager ) - ) - ( attribute "MANUF" "NXP" - ( Origin gFrontEnd ) - ) - ( attribute "PACK_TYPE" "TSSOP" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "1" - ( Origin gFrontEnd ) - ) - ( attribute "ROT" "3" - ( Origin gFrontEnd ) - ) - ( attribute "VER" "1" - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(350,-2200)" - ( Origin gFrontEnd ) - ) - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP-GND=GND_SA" - ( Origin gPackager ) - ) - ( objectStatus "PAGE1_I44" ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i45" - ( attribute "CDS_LIB" "bris_cds_analogue" - ( Origin gPackager ) - ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,50,125,-50" - ( Origin gPackager ) - ) - ( attribute "MANUF" "NXP" - ( Origin gFrontEnd ) - ) - ( attribute "PACK_TYPE" "TSSOP" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "1" - ( Origin gFrontEnd ) - ) - ( attribute "ROT" "3" - ( Origin gFrontEnd ) - ) - ( attribute "VER" "1" - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(350,-1650)" - ( Origin gFrontEnd ) - ) - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP-GND=GND_SA" - ( Origin gPackager ) - ) - ( objectStatus "PAGE1_I45" ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i46" - ( attribute "CDS_LIB" "bris_cds_analogue" - ( Origin gPackager ) - ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,50,125,-50" - ( Origin gPackager ) - ) - ( attribute "MANUF" "NXP" - ( Origin gFrontEnd ) - ) - ( attribute "PACK_TYPE" "TSSOP" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "1" - ( Origin gFrontEnd ) - ) - ( attribute "ROT" "3" - ( Origin gFrontEnd ) - ) - ( attribute "VER" "1" - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(350,-1100)" - ( Origin gFrontEnd ) - ) - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP-GND=GND_SA" - ( Origin gPackager ) - ) - ( objectStatus "PAGE1_I46" ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i47" - ( attribute "CDS_LIB" "bris_cds_analogue" - ( Origin gPackager ) - ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,50,125,-50" - ( Origin gPackager ) - ) - ( attribute "MANUF" "NXP" - ( Origin gFrontEnd ) - ) - ( attribute "PACK_TYPE" "TSSOP" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "1" - ( Origin gFrontEnd ) - ) - ( attribute "ROT" "3" - ( Origin gFrontEnd ) - ) - ( attribute "VER" "1" - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(350,-550)" - ( Origin gFrontEnd ) - ) - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP-GND=GND_SA" - ( Origin gPackager ) - ) - ( objectStatus "PAGE1_I47" ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i48" - ( attribute "CDS_LIB" "bris_cds_analogue" - ( Origin gPackager ) - ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,50,125,-50" - ( Origin gPackager ) - ) - ( attribute "MANUF" "NXP" - ( Origin gFrontEnd ) - ) - ( attribute "PACK_TYPE" "TSSOP" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "1" - ( Origin gFrontEnd ) - ) - ( attribute "ROT" "3" - ( Origin gFrontEnd ) - ) - ( attribute "VER" "1" - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(350,0)" - ( Origin gFrontEnd ) - ) - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP-GND=GND_SA" - ( Origin gPackager ) - ) - ( objectStatus "PAGE1_I48" ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i49" - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Origin gPackager ) - ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i50" - ( attribute "CDS_LIB" "bris_cds_analogue" - ( Origin gPackager ) - ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,50,125,-50" - ( Origin gPackager ) - ) - ( attribute "MANUF" "NXP" - ( Origin gFrontEnd ) - ) - ( attribute "PACK_TYPE" "TSSOP" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "1" - ( Origin gFrontEnd ) - ) - ( attribute "ROT" "1" - ( Origin gFrontEnd ) - ) - ( attribute "VER" "1" - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(350,-3300)" - ( Origin gFrontEnd ) - ) - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP-GND=GND_SA" - ( Origin gPackager ) - ) - ( objectStatus "PAGE1_I50" ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i51" - ( attribute "CDS_LIB" "cndiscrete" - ( Origin gPackager ) - ) - ( attribute "PACK_TYPE" "SOD123-CA" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "1" - ( Origin gFrontEnd ) - ) - ( attribute "ROT" "0" - ( Origin gFrontEnd ) - ) - ( attribute "TYPE" "BZT52C2V7" - ( Origin gFrontEnd ) - ) - ( attribute "VER" "1" - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(4800,500)" - ( Origin gFrontEnd ) - ) - ( attribute "CHIPS_PART_NAME" "ZENER" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "ZENER_SOD123-CA-BZT52C2V7" - ( Origin gPackager ) - ) - ( objectStatus "PAGE1_I51" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i50:\in\" - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i9:b(0)" - ( attribute "PN" "#" - ( Origin gPackager ) - ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i9:a(0)" - ( attribute "PN" "#" - ( Origin gPackager ) - ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i7:\in\" - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i43:\in\" - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i44:\in\" - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i45:\in\" - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i46:\in\" - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i47:\in\" - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i48:\in\" - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i51:a(0)" - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i51:k(0)" - ) - ) - ) - ( EndBaseCopy ) - ) - ( BaseCopy "fmc_tlu_vsupply5v" - ( constraintHeader - ( revisionNumber - ( logicalViewRevNum 13 ) - ( physicalViewRevNum 1 ) - ( otherViewRevNum 0 ) - ) - ( contents - ( electricalConstraints ) - ( physicalConstraints ) - ( spacingConstraints ) - ( sameNetSpacingConstraints ) - ( netClasses ) - ( properties ) - ) - ) - ( designConstraints - ( ruleChanges - ( allRules ) - ( design "fmc_tlu_vsupply5v" - ( physicalCSetRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):\DEFAULT\" ) - ( spacingCSetRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):\DEFAULT\" ) - ( sameNetSpacingCSetRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):\DEFAULT\" ) - ) - ( physicalCSet "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):\DEFAULT\" - ( attribute "DIFFP_PRIMARY_GAP" "0.2000,0.2000,0.2000,0.2000,0.2000,0.2000" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "DIFFP_COUPLED_PLUS" "0.0000,0.0000,0.0000,0.0000,0.0000,0.0000" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "DIFFP_COUPLED_MINUS" "0.0000,0.0000,0.0000,0.0000,0.0000,0.0000" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "DIFFP_MIN_SPACE" "0.0000,0.0000,0.0000,0.0000,0.0000,0.0000" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "MIN_LINE_WIDTH" "0.2257,0.2257,0.2257,0.2257,0.2257,0.2257" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "MAX_LINE_WIDTH" "0.0000,0.0000,0.0000,0.0000,0.0000,0.0000" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "DIFFP_NECK_GAP" "0.1800,0.1800,0.1800,0.1800,0.1800,0.1800" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "MIN_NECK_WIDTH" "0.1800,0.1800,0.1800,0.1800,0.1800,0.1800" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "MAXIMUM_NECK_LENGTH" "5.0000,5.0000,5.0000,5.0000,5.0000,5.0000" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "VIA_LIST" "VIA,VIA32RD0-3D,VIA55-30-80,VIA36RD0-4D" - ( Origin gBackEnd ) - ) - ( attribute "ALLOW_ON_ETCH_SUBCLASS" "TRUE,TRUE,TRUE,TRUE,TRUE,TRUE" - ( Origin gBackEnd ) - ) - ( attribute "TS_ALLOWED" "ANYWHERE,ANYWHERE,ANYWHERE,ANYWHERE,ANYWHERE,ANYWHERE" - ( Origin gBackEnd ) - ) - ( attribute "MIN_BVIA_STAGGER" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "MAX_BVIA_STAGGER" "0.0000,0.0000,0.0000,0.0000,0.0000,0.0000" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "PAD_PAD_DIRECT_CONNECT" "ALL_ALLOWED,ALL_ALLOWED,ALL_ALLOWED,ALL_ALLOWED,ALL_ALLOWED,ALL_ALLOWED" - ( Origin gBackEnd ) - ) - ( objectStatus "DEFAULT" ) - ) - ( spacingCSet "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):\DEFAULT\" - ( attribute "THRUPIN_TO_THRUPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "THRUPIN_TO_SMDPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "TESTPIN_TO_THRUPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "THRUPIN_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "TESTVIA_TO_THRUPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "BBV_TO_THRUPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "THRUPIN_TO_BONDPAD_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "LINE_TO_THRUPIN_SPACING" "0.2000,0.2000,0.2000,0.2000,0.2000,0.2000" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "THRUPIN_TO_SHAPE_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SMDPIN_TO_SMDPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SMDPIN_TO_TESTPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "SN_THRUPIN_TO_SHAPE_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "SMDPIN_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "SN_SMDPIN_TO_SMDPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "SMDPIN_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "SN_SMDPIN_TO_TESTPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "BBV_TO_SMDPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "SN_SMDPIN_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "SMDPIN_TO_BONDPAD_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "SN_SMDPIN_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "LINE_TO_SMDPIN_SPACING" "0.1800,0.2000,0.2000,0.2000,0.2000,0.2000" + ( attribute "SN_BBV_TO_SMDPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "SHAPE_TO_SMDPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "SN_SMDPIN_TO_BONDPAD_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "TESTPIN_TO_TESTPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "SN_LINE_TO_SMDPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "TESTPIN_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "SN_SHAPE_TO_SMDPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "TESTPIN_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "SN_TESTPIN_TO_TESTPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "BBV_TO_TESTPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "SN_TESTPIN_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "BONDPAD_TO_TESTPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "SN_TESTPIN_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "LINE_TO_TESTPIN_SPACING" "0.2000,0.2000,0.2000,0.2000,0.2000,0.2000" + ( attribute "SN_BBV_TO_TESTPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "SHAPE_TO_TESTPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "SN_BONDPAD_TO_TESTPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "THRUVIA_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "SN_LINE_TO_TESTPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "TESTVIA_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "SN_SHAPE_TO_TESTPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "BBV_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "SN_THRUVIA_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "BONDPAD_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "SN_TESTVIA_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "LINE_TO_THRUVIA_SPACING" "0.2000,0.2000,0.2000,0.2000,0.2000,0.2000" + ( attribute "SN_BBV_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "SHAPE_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "SN_BONDPAD_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "TESTVIA_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "SN_LINE_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "BBV_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "SN_SHAPE_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "BONDPAD_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "SN_TESTVIA_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "LINE_TO_TESTVIA_SPACING" "0.2000,0.2000,0.2000,0.2000,0.2000,0.2000" + ( attribute "SN_BBV_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "SHAPE_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "SN_BONDPAD_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "BBV_TO_BBV_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "SN_LINE_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "BONDPAD_TO_BBV_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "SN_SHAPE_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "BBV_TO_LINE_SPACING" "0.2000,0.2000,0.2000,0.2000,0.2000,0.2000" + ( attribute "SN_BBV_TO_BBV_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "BBV_TO_SHAPE_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "SN_BONDPAD_TO_BBV_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "BONDPAD_TO_BONDPAD_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "SN_BBV_TO_LINE_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "BONDPAD_TO_LINE_SPACING" "0.2000,0.2000,0.2000,0.2000,0.2000,0.2000" + ( attribute "SN_BBV_TO_SHAPE_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "BONDPAD_TO_SHAPE_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "SN_BONDPAD_TO_BONDPAD_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "LINE_TO_LINE_SPACING" "0.2570,0.2000,0.2000,0.2000,0.2000,0.2570" + ( attribute "SN_BONDPAD_TO_LINE_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "LINE_TO_SHAPE_SPACING" "0.2000,0.2000,0.2000,0.2000,0.2000,0.2000" + ( attribute "SN_BONDPAD_TO_SHAPE_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "SHAPE_TO_SHAPE_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "SN_LINE_TO_LINE_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "HOLE_TO_PIN_SPACING" "0.2032,0.2032,0.2032,0.2032,0.2032,0.2032" + ( attribute "SN_LINE_TO_SHAPE_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "HOLE_TO_VIA_SPACING" "0.2032,0.2032,0.2032,0.2032,0.2032,0.2032" + ( attribute "SN_SHAPE_TO_SHAPE_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "HOLE_TO_LINE_SPACING" "0.2032,0.2032,0.2032,0.2032,0.2032,0.2032" + ( attribute "SN_HOLE_TO_PIN_SPACING" "0.2032,0.2032,0.2032,0.2032,0.2032,0.2032" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "HOLE_TO_SHAPE_SPACING" "0.2032,0.2032,0.2032,0.2032,0.2032,0.2032" + ( attribute "SN_HOLE_TO_VIA_SPACING" "0.2032,0.2032,0.2032,0.2032,0.2032,0.2032" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "HOLE_TO_HOLE_SPACING" "0.2032,0.2032,0.2032,0.2032,0.2032,0.2032" + ( attribute "SN_HOLE_TO_LINE_SPACING" "0.2032,0.2032,0.2032,0.2032,0.2032,0.2032" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "MVIA_TO_MVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "SN_HOLE_TO_SHAPE_SPACING" "0.2032,0.2032,0.2032,0.2032,0.2032,0.2032" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "MVIA_TO_THRUPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "SN_HOLE_TO_HOLE_SPACING" "0.2032,0.2032,0.2032,0.2032,0.2032,0.2032" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "MVIA_TO_SMDPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "SN_MVIA_TO_MVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "MVIA_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "SN_MVIA_TO_THRUPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "MVIA_TO_BBV_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "SN_MVIA_TO_SMDPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "MVIA_TO_LINE_SPACING" "0.2000,0.2000,0.2000,0.2000,0.2000,0.2000" + ( attribute "SN_MVIA_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "MVIA_TO_SHAPE_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "SN_MVIA_TO_BBV_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "MVIA_TO_TESTPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "SN_MVIA_TO_LINE_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "MVIA_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "SN_MVIA_TO_SHAPE_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "BONDPAD_TO_MVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "SN_MVIA_TO_TESTPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "MIN_BVIA_GAP" "0.1270" + ( attribute "SN_MVIA_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "BONDPAD_TO_BONDPAD_DIFFP_SPC" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "SN_BONDPAD_TO_MVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) ( objectStatus "DEFAULT" ) ) - ( sameNetSpacingCSet "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):\DEFAULT\" - ( attribute "SAME_NET" "FALSE,FALSE,FALSE,FALSE,FALSE,FALSE" - ( Origin gBackEnd ) - ) - ( attribute "SN_THRUPIN_TO_THRUPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):\+5v_out\" + ( attribute "CDS_PHYS_NET_NAME" "+5V_OUT" + ( Origin gPackager ) ) - ( attribute "SN_THRUPIN_TO_SMDPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_TESTPIN_TO_THRUPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_THRUPIN_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_TESTVIA_TO_THRUPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_BBV_TO_THRUPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_THRUPIN_TO_BONDPAD_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_LINE_TO_THRUPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_THRUPIN_TO_SHAPE_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_SMDPIN_TO_SMDPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_SMDPIN_TO_TESTPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_SMDPIN_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_SMDPIN_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_BBV_TO_SMDPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_SMDPIN_TO_BONDPAD_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_LINE_TO_SMDPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_SHAPE_TO_SMDPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_TESTPIN_TO_TESTPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_TESTPIN_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_TESTPIN_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_BBV_TO_TESTPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_BONDPAD_TO_TESTPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_LINE_TO_TESTPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_SHAPE_TO_TESTPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_THRUVIA_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_TESTVIA_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_BBV_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_BONDPAD_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_LINE_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_SHAPE_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_TESTVIA_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_BBV_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_BONDPAD_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_LINE_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_SHAPE_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_BBV_TO_BBV_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_BONDPAD_TO_BBV_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_BBV_TO_LINE_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_BBV_TO_SHAPE_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_BONDPAD_TO_BONDPAD_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_BONDPAD_TO_LINE_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_BONDPAD_TO_SHAPE_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_LINE_TO_LINE_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_LINE_TO_SHAPE_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_SHAPE_TO_SHAPE_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_HOLE_TO_PIN_SPACING" "0.2032,0.2032,0.2032,0.2032,0.2032,0.2032" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_HOLE_TO_VIA_SPACING" "0.2032,0.2032,0.2032,0.2032,0.2032,0.2032" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_HOLE_TO_LINE_SPACING" "0.2032,0.2032,0.2032,0.2032,0.2032,0.2032" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_HOLE_TO_SHAPE_SPACING" "0.2032,0.2032,0.2032,0.2032,0.2032,0.2032" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_HOLE_TO_HOLE_SPACING" "0.2032,0.2032,0.2032,0.2032,0.2032,0.2032" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_MVIA_TO_MVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_MVIA_TO_THRUPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_MVIA_TO_SMDPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_MVIA_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_MVIA_TO_BBV_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_MVIA_TO_LINE_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_MVIA_TO_SHAPE_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_MVIA_TO_TESTPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_MVIA_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_BONDPAD_TO_MVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( objectStatus "DEFAULT" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):\+5v_out\" - ( attribute "CDS_PHYS_NET_NAME" "+5V_OUT" - ( Origin gPackager ) - ) - ( objectStatus "+5V_OUT" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):\-5v_out\" - ( attribute "CDS_PHYS_NET_NAME" "-5V_OUT" - ( Origin gPackager ) + ( objectStatus "+5V_OUT" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):\-5v_out\" + ( attribute "CDS_PHYS_NET_NAME" "-5V_OUT" + ( Origin gPackager ) ) ( objectStatus "-5V_OUT" ) ) @@ -37792,7 +35889,9 @@ ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(12)") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(13)") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(14)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(1)") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(6)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(6)") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(14)") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(13)") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(12)") ) @@ -37801,12 +35900,10 @@ ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(9)") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(8)") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(7)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(6)") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(5)") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(4)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(3)") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(2)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(1)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(3)") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(1)") ) ( attribute "PHYS_NET_NAME" "VM2<0>" ( Origin gPackager ) @@ -37871,10 +35968,18 @@ ( objectFlag fObjectAlias ) ( objectStatus "page1_vm2<14>" ) ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(1)" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_vm2<1>" ) + ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(6)" ( objectFlag fObjectAlias ) ( objectStatus "page1_vm2<6>" ) ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(6)" + ( objectFlag fObjectAlias ) + ( objectStatus "vm2<6>" ) + ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(14)" ( objectFlag fObjectAlias ) ( objectStatus "vm2<14>" ) @@ -37907,10 +36012,6 @@ ( objectFlag fObjectAlias ) ( objectStatus "vm2<7>" ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(6)" - ( objectFlag fObjectAlias ) - ( objectStatus "vm2<6>" ) - ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(5)" ( objectFlag fObjectAlias ) ( objectStatus "vm2<5>" ) @@ -37919,17 +36020,13 @@ ( objectFlag fObjectAlias ) ( objectStatus "vm2<4>" ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(3)" - ( objectFlag fObjectAlias ) - ( objectStatus "vm2<3>" ) - ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(2)" ( objectFlag fObjectAlias ) ( objectStatus "vm2<2>" ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(1)" + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(3)" ( objectFlag fObjectAlias ) - ( objectStatus "page1_vm2<1>" ) + ( objectStatus "vm2<3>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(1)" ( objectFlag fObjectAlias ) @@ -38006,61 +36103,3662 @@ ( attribute "CDS_PHYS_NET_NAME" "UNNAMED_1_CAPCERSMDCL2_I81_A" ( Origin gPackager ) ) - ( objectStatus "UNNAMED_1_CAPCERSMDCL2_I81_A" ) + ( objectStatus "UNNAMED_1_CAPCERSMDCL2_I81_A" ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i40" + ( attribute "CDS_LIB" "bris_cds_special" + ( Origin gPackager ) + ) + ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,125,125,-125" + ( Origin gPackager ) + ) + ( attribute "MANUF" "LINEAR TECHNOLOGY" + ( Origin gFrontEnd ) + ) + ( attribute "PACK_TYPE" "SOT_223" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "6" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(1700,825)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "LT1175" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "LT1175_SOT_223" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "REG1" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "REG1" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "REG1" ) + ( pin "gnd" + ( attribute "PN" "3" + ( Origin gPackager ) + ) + ( objectStatus "REG1.3" ) + ) + ( pin "v_in0" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "REG1.2" ) + ) + ( pin "v_in1" + ( attribute "PN" "4" + ( Origin gPackager ) + ) + ( objectStatus "REG1.4" ) + ) + ( pin "v_out" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "REG1.1" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i42" + ( attribute "CDS_LIB" "cndiscrete" + ( Origin gPackager ) + ) + ( attribute "MODEL" "WURTH" + ( Origin gFrontEnd ) + ) + ( attribute "PACK_TYPE" "SMD" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "7427921" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(625,2900)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "FERRITE" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "FERRITE_SMD-7427921,WURTH" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "L2" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "L2" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "L2" ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i44" + ( attribute "CDS_LIB" "cndiscrete" + ( Origin gPackager ) + ) + ( attribute "MODEL" "WURTH" + ( Origin gFrontEnd ) + ) + ( attribute "PACK_TYPE" "SMD" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "7427921" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(625,875)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "FERRITE" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "FERRITE_SMD-7427921,WURTH" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "L3" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "L3" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "L3" ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i50" + ( attribute "CDS_LIB" "bris_cds_analogue" + ( Origin gPackager ) + ) + ( attribute "CDS_LMAN_SYM_OUTLINE" "-150,200,150,-150" + ( Origin gPackager ) + ) + ( attribute "KL_COMMENTS" "-" + ( Origin gFrontEnd ) + ) + ( attribute "MANUF" "LINEAR" + ( Origin gFrontEnd ) + ) + ( attribute "OL_COMMENTS" "-" + ( Origin gFrontEnd ) + ) + ( attribute "PACK_TYPE" "SOT223" + ( Origin gFrontEnd ) + ) + ( attribute "PART_NAME" "lt1129CST-5" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_DES_PREFIX" "VR" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "PL_COMMENTS" "-" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(1700,2850)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "LT1129CST-5" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "LT1129CST-5_SOT223-LINEAR" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "VR1" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "VR1" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "VR1" ) + ( pin "gnd" + ( objectStatus "VR1.2" ) + ) + ( pin "tab" + ( objectStatus "VR1.4" ) + ) + ( pin "vin" + ( objectStatus "VR1.1" ) + ) + ( pin "vout" + ( objectStatus "VR1.3" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i52" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "0805" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "4.7UF" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "10V" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(1100,2750)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0805" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "C9" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C9" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "C9" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "C9.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "C9.2" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i54" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "0805" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "4.7UF" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "10V" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(1100,725)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0805" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "C10" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C10" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "C10" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "C10.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "C10.2" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i56" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "0805" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "4.7UF" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "10V" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(2475,2750)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0805" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "C12" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C12" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "C12" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "C12.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "C12.2" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i58" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "0805" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "4.7UF" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "10V" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(2450,675)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0805" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "C11" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C11" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "C11" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "C11.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "C11.2" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i66" + ( attribute "CDS_LIB" "cndiscrete" + ( Origin gPackager ) + ) + ( attribute "MODEL" "MURATA" + ( Origin gFrontEnd ) + ) + ( attribute "PACK_TYPE" "SMD" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "BLM41P800S" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(-3100,2375)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "FERRITE" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "FERRITE_SMD-BLM41P800S,MURATA" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "L1" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "L1" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "L1" ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i70" + ( attribute "CDS_LIB" "cnlinear" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "BGA" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "TYPE" "LTM8047EY#PBF" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(-725,1550)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "LTM8047" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "LTM8047EY#PBF" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "RG1" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "RG1" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "RG1" ) + ( pin "adj" + ( attribute "PN" "G7" + ( Origin gPackager ) + ) + ( objectStatus "RG1.G7" ) + ) + ( pin "bias" + ( attribute "PN" "H5" + ( Origin gPackager ) + ) + ( objectStatus "RG1.H5" ) + ) + ( pin "gnd(0)" + ( attribute "PN" "E1" + ( Origin gPackager ) + ) + ( objectStatus "RG1.E1" ) + ) + ( pin "gnd(1)" + ( attribute "PN" "E2" + ( Origin gPackager ) + ) + ( objectStatus "RG1.E2" ) + ) + ( pin "gnd(2)" + ( attribute "PN" "E3" + ( Origin gPackager ) + ) + ( objectStatus "RG1.E3" ) + ) + ( pin "gnd(3)" + ( attribute "PN" "E4" + ( Origin gPackager ) + ) + ( objectStatus "RG1.E4" ) + ) + ( pin "gnd(4)" + ( attribute "PN" "E5" + ( Origin gPackager ) + ) + ( objectStatus "RG1.E5" ) + ) + ( pin "gnd(5)" + ( attribute "PN" "E6" + ( Origin gPackager ) + ) + ( objectStatus "RG1.E6" ) + ) + ( pin "gnd(6)" + ( attribute "PN" "E7" + ( Origin gPackager ) + ) + ( objectStatus "RG1.E7" ) + ) + ( pin "gnd(7)" + ( attribute "PN" "F4" + ( Origin gPackager ) + ) + ( objectStatus "RG1.F4" ) + ) + ( pin "gnd(8)" + ( attribute "PN" "F5" + ( Origin gPackager ) + ) + ( objectStatus "RG1.F5" ) + ) + ( pin "gnd(9)" + ( attribute "PN" "F6" + ( Origin gPackager ) + ) + ( objectStatus "RG1.F6" ) + ) + ( pin "gnd(10)" + ( attribute "PN" "F7" + ( Origin gPackager ) + ) + ( objectStatus "RG1.F7" ) + ) + ( pin "gnd(11)" + ( attribute "PN" "G4" + ( Origin gPackager ) + ) + ( objectStatus "RG1.G4" ) + ) + ( pin "gnd(12)" + ( attribute "PN" "G5" + ( Origin gPackager ) + ) + ( objectStatus "RG1.G5" ) + ) + ( pin "gnd(13)" + ( attribute "PN" "G6" + ( Origin gPackager ) + ) + ( objectStatus "RG1.G6" ) + ) + ( pin "gnd(14)" + ( attribute "PN" "H4" + ( Origin gPackager ) + ) + ( objectStatus "RG1.H4" ) + ) + ( pin "gnd(15)" + ( attribute "PN" "H7" + ( Origin gPackager ) + ) + ( objectStatus "RG1.H7" ) + ) + ( pin "run" + ( attribute "PN" "F3" + ( Origin gPackager ) + ) + ( objectStatus "RG1.F3" ) + ) + ( pin "ss" + ( attribute "PN" "H6" + ( Origin gPackager ) + ) + ( objectStatus "RG1.H6" ) + ) + ( pin "vin(0)" + ( attribute "PN" "H1" + ( Origin gPackager ) + ) + ( objectStatus "RG1.H1" ) + ) + ( pin "vin(1)" + ( attribute "PN" "H2" + ( Origin gPackager ) + ) + ( objectStatus "RG1.H2" ) + ) + ( pin "vin(2)" + ( attribute "PN" "G1" + ( Origin gPackager ) + ) + ( objectStatus "RG1.G1" ) + ) + ( pin "vin(3)" + ( attribute "PN" "G2" + ( Origin gPackager ) + ) + ( objectStatus "RG1.G2" ) + ) + ( pin "vout(0)" + ( attribute "PN" "A6" + ( Origin gPackager ) + ) + ( objectStatus "RG1.A6" ) + ) + ( pin "vout(1)" + ( attribute "PN" "A7" + ( Origin gPackager ) + ) + ( objectStatus "RG1.A7" ) + ) + ( pin "vout(2)" + ( attribute "PN" "B6" + ( Origin gPackager ) + ) + ( objectStatus "RG1.B6" ) + ) + ( pin "vout(3)" + ( attribute "PN" "B7" + ( Origin gPackager ) + ) + ( objectStatus "RG1.B7" ) + ) + ( pin "vout(4)" + ( attribute "PN" "C6" + ( Origin gPackager ) + ) + ( objectStatus "RG1.C6" ) + ) + ( pin "vout(5)" + ( attribute "PN" "C7" + ( Origin gPackager ) + ) + ( objectStatus "RG1.C7" ) + ) + ( pin "\vout-\(0)" + ( attribute "PN" "A1" + ( Origin gPackager ) + ) + ( objectStatus "RG1.A1" ) + ) + ( pin "\vout-\(1)" + ( attribute "PN" "A2" + ( Origin gPackager ) + ) + ( objectStatus "RG1.A2" ) + ) + ( pin "\vout-\(2)" + ( attribute "PN" "A3" + ( Origin gPackager ) + ) + ( objectStatus "RG1.A3" ) + ) + ( pin "\vout-\(3)" + ( attribute "PN" "A4" + ( Origin gPackager ) + ) + ( objectStatus "RG1.A4" ) + ) + ( pin "\vout-\(4)" + ( attribute "PN" "A5" + ( Origin gPackager ) + ) + ( objectStatus "RG1.A5" ) + ) + ( pin "\vout-\(5)" + ( attribute "PN" "B1" + ( Origin gPackager ) + ) + ( objectStatus "RG1.B1" ) + ) + ( pin "\vout-\(6)" + ( attribute "PN" "B2" + ( Origin gPackager ) + ) + ( objectStatus "RG1.B2" ) + ) + ( pin "\vout-\(7)" + ( attribute "PN" "B3" + ( Origin gPackager ) + ) + ( objectStatus "RG1.B3" ) + ) + ( pin "\vout-\(8)" + ( attribute "PN" "B4" + ( Origin gPackager ) + ) + ( objectStatus "RG1.B4" ) + ) + ( pin "\vout-\(9)" + ( attribute "PN" "B5" + ( Origin gPackager ) + ) + ( objectStatus "RG1.B5" ) + ) + ( pin "\vout-\(10)" + ( attribute "PN" "C1" + ( Origin gPackager ) + ) + ( objectStatus "RG1.C1" ) + ) + ( pin "\vout-\(11)" + ( attribute "PN" "C2" + ( Origin gPackager ) + ) + ( objectStatus "RG1.C2" ) + ) + ( pin "\vout-\(12)" + ( attribute "PN" "C3" + ( Origin gPackager ) + ) + ( objectStatus "RG1.C3" ) + ) + ( pin "\vout-\(13)" + ( attribute "PN" "C4" + ( Origin gPackager ) + ) + ( objectStatus "RG1.C4" ) + ) + ( pin "\vout-\(14)" + ( attribute "PN" "C5" + ( Origin gPackager ) + ) + ( objectStatus "RG1.C5" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i72" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "1210" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "4.7UF" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "50V" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(-1825,1525)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C5" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "C5" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "C5" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "C5.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "C5.2" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i74" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "DIST" "FLAT" + ( Origin gFrontEnd ) + ) + ( attribute "MAX_TEMP" "RTMAX" + ( Origin gFrontEnd ) + ) + ( attribute "NEGTOL" "RTOL%" + ( Origin gFrontEnd ) + ) + ( attribute "PACK_TYPE" "1/10W" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "POSTOL" "RTOL%" + ( Origin gFrontEnd ) + ) + ( attribute "POWER" "RMAX" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SLOPE" "RSMAX" + ( Origin gFrontEnd ) + ) + ( attribute "TC1" "RTMPL" + ( Origin gFrontEnd ) + ) + ( attribute "TC2" "RTMPQ" + ( Origin gFrontEnd ) + ) + ( attribute "TOL" "1%" + ( Origin gFrontEnd ) + ) + ( attribute "TOL_ON_OFF" "ON" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "6.19K" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "2" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "RVMAX" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(-1300,1250)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-6.19K,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R2" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "R2" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "R2" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "R2.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "R2.2" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i79" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "1210" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "4.7UF" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "50V" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(-3550,2075)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C1" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "C1" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "C1" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "C1.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "C1.2" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i81" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "1210" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "4.7UF" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "50V" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(-2725,2200)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C2" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "C2" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "C2" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "C2.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "C2.2" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i82" + ( attribute "CDS_LIB" "cnlinear" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "BGA" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "TYPE" "LTM8047EY#PBF" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(-700,2700)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "LTM8047" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "LTM8047EY#PBF" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "RG2" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "RG2" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "RG2" ) + ( pin "adj" + ( attribute "PN" "G7" + ( Origin gPackager ) + ) + ( objectStatus "RG2.G7" ) + ) + ( pin "bias" + ( attribute "PN" "H5" + ( Origin gPackager ) + ) + ( objectStatus "RG2.H5" ) + ) + ( pin "gnd(0)" + ( attribute "PN" "E1" + ( Origin gPackager ) + ) + ( objectStatus "RG2.E1" ) + ) + ( pin "gnd(1)" + ( attribute "PN" "E2" + ( Origin gPackager ) + ) + ( objectStatus "RG2.E2" ) + ) + ( pin "gnd(2)" + ( attribute "PN" "E3" + ( Origin gPackager ) + ) + ( objectStatus "RG2.E3" ) + ) + ( pin "gnd(3)" + ( attribute "PN" "E4" + ( Origin gPackager ) + ) + ( objectStatus "RG2.E4" ) + ) + ( pin "gnd(4)" + ( attribute "PN" "E5" + ( Origin gPackager ) + ) + ( objectStatus "RG2.E5" ) + ) + ( pin "gnd(5)" + ( attribute "PN" "E6" + ( Origin gPackager ) + ) + ( objectStatus "RG2.E6" ) + ) + ( pin "gnd(6)" + ( attribute "PN" "E7" + ( Origin gPackager ) + ) + ( objectStatus "RG2.E7" ) + ) + ( pin "gnd(7)" + ( attribute "PN" "F4" + ( Origin gPackager ) + ) + ( objectStatus "RG2.F4" ) + ) + ( pin "gnd(8)" + ( attribute "PN" "F5" + ( Origin gPackager ) + ) + ( objectStatus "RG2.F5" ) + ) + ( pin "gnd(9)" + ( attribute "PN" "F6" + ( Origin gPackager ) + ) + ( objectStatus "RG2.F6" ) + ) + ( pin "gnd(10)" + ( attribute "PN" "F7" + ( Origin gPackager ) + ) + ( objectStatus "RG2.F7" ) + ) + ( pin "gnd(11)" + ( attribute "PN" "G4" + ( Origin gPackager ) + ) + ( objectStatus "RG2.G4" ) + ) + ( pin "gnd(12)" + ( attribute "PN" "G5" + ( Origin gPackager ) + ) + ( objectStatus "RG2.G5" ) + ) + ( pin "gnd(13)" + ( attribute "PN" "G6" + ( Origin gPackager ) + ) + ( objectStatus "RG2.G6" ) + ) + ( pin "gnd(14)" + ( attribute "PN" "H4" + ( Origin gPackager ) + ) + ( objectStatus "RG2.H4" ) + ) + ( pin "gnd(15)" + ( attribute "PN" "H7" + ( Origin gPackager ) + ) + ( objectStatus "RG2.H7" ) + ) + ( pin "run" + ( attribute "PN" "F3" + ( Origin gPackager ) + ) + ( objectStatus "RG2.F3" ) + ) + ( pin "ss" + ( attribute "PN" "H6" + ( Origin gPackager ) + ) + ( objectStatus "RG2.H6" ) + ) + ( pin "vin(0)" + ( attribute "PN" "H1" + ( Origin gPackager ) + ) + ( objectStatus "RG2.H1" ) + ) + ( pin "vin(1)" + ( attribute "PN" "H2" + ( Origin gPackager ) + ) + ( objectStatus "RG2.H2" ) + ) + ( pin "vin(2)" + ( attribute "PN" "G1" + ( Origin gPackager ) + ) + ( objectStatus "RG2.G1" ) + ) + ( pin "vin(3)" + ( attribute "PN" "G2" + ( Origin gPackager ) + ) + ( objectStatus "RG2.G2" ) + ) + ( pin "vout(0)" + ( attribute "PN" "A6" + ( Origin gPackager ) + ) + ( objectStatus "RG2.A6" ) + ) + ( pin "vout(1)" + ( attribute "PN" "A7" + ( Origin gPackager ) + ) + ( objectStatus "RG2.A7" ) + ) + ( pin "vout(2)" + ( attribute "PN" "B6" + ( Origin gPackager ) + ) + ( objectStatus "RG2.B6" ) + ) + ( pin "vout(3)" + ( attribute "PN" "B7" + ( Origin gPackager ) + ) + ( objectStatus "RG2.B7" ) + ) + ( pin "vout(4)" + ( attribute "PN" "C6" + ( Origin gPackager ) + ) + ( objectStatus "RG2.C6" ) + ) + ( pin "vout(5)" + ( attribute "PN" "C7" + ( Origin gPackager ) + ) + ( objectStatus "RG2.C7" ) + ) + ( pin "\vout-\(0)" + ( attribute "PN" "A1" + ( Origin gPackager ) + ) + ( objectStatus "RG2.A1" ) + ) + ( pin "\vout-\(1)" + ( attribute "PN" "A2" + ( Origin gPackager ) + ) + ( objectStatus "RG2.A2" ) + ) + ( pin "\vout-\(2)" + ( attribute "PN" "A3" + ( Origin gPackager ) + ) + ( objectStatus "RG2.A3" ) + ) + ( pin "\vout-\(3)" + ( attribute "PN" "A4" + ( Origin gPackager ) + ) + ( objectStatus "RG2.A4" ) + ) + ( pin "\vout-\(4)" + ( attribute "PN" "A5" + ( Origin gPackager ) + ) + ( objectStatus "RG2.A5" ) + ) + ( pin "\vout-\(5)" + ( attribute "PN" "B1" + ( Origin gPackager ) + ) + ( objectStatus "RG2.B1" ) + ) + ( pin "\vout-\(6)" + ( attribute "PN" "B2" + ( Origin gPackager ) + ) + ( objectStatus "RG2.B2" ) + ) + ( pin "\vout-\(7)" + ( attribute "PN" "B3" + ( Origin gPackager ) + ) + ( objectStatus "RG2.B3" ) + ) + ( pin "\vout-\(8)" + ( attribute "PN" "B4" + ( Origin gPackager ) + ) + ( objectStatus "RG2.B4" ) + ) + ( pin "\vout-\(9)" + ( attribute "PN" "B5" + ( Origin gPackager ) + ) + ( objectStatus "RG2.B5" ) + ) + ( pin "\vout-\(10)" + ( attribute "PN" "C1" + ( Origin gPackager ) + ) + ( objectStatus "RG2.C1" ) + ) + ( pin "\vout-\(11)" + ( attribute "PN" "C2" + ( Origin gPackager ) + ) + ( objectStatus "RG2.C2" ) + ) + ( pin "\vout-\(12)" + ( attribute "PN" "C3" + ( Origin gPackager ) + ) + ( objectStatus "RG2.C3" ) + ) + ( pin "\vout-\(13)" + ( attribute "PN" "C4" + ( Origin gPackager ) + ) + ( objectStatus "RG2.C4" ) + ) + ( pin "\vout-\(14)" + ( attribute "PN" "C5" + ( Origin gPackager ) + ) + ( objectStatus "RG2.C5" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i83" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "1210" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "4.7UF" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "50V" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(-1800,2675)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C6" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "C6" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "C6" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "C6.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "C6.2" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i85" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "DIST" "FLAT" + ( Origin gFrontEnd ) + ) + ( attribute "MAX_TEMP" "RTMAX" + ( Origin gFrontEnd ) + ) + ( attribute "NEGTOL" "RTOL%" + ( Origin gFrontEnd ) + ) + ( attribute "PACK_TYPE" "1/10W" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "POSTOL" "RTOL%" + ( Origin gFrontEnd ) + ) + ( attribute "POWER" "RMAX" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SLOPE" "RSMAX" + ( Origin gFrontEnd ) + ) + ( attribute "TC1" "RTMPL" + ( Origin gFrontEnd ) + ) + ( attribute "TC2" "RTMPQ" + ( Origin gFrontEnd ) + ) + ( attribute "TOL" "1%" + ( Origin gFrontEnd ) + ) + ( attribute "TOL_ON_OFF" "ON" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "6.19K" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "2" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "RVMAX" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(-1275,2400)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-6.19K,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R3" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "R3" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "R3" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "R3.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "R3.2" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i88" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "1210" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "22UF" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(250,650)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C8" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "C8" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "C8" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "C8.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "C8.2" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i89" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "1210" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "22UF" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(250,2675)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C7" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "C7" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "C7" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "C7.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "C7.2" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i142" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "1210" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "4.7UF" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "50V" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(-2150,2675)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C3" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "C3" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "C3" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "C3.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "C3.2" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i144" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "1210" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "4.7UF" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "50V" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(-2150,1525)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C4" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "C4" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "C4" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "C4.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "C4.2" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i146" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "DIST" "FLAT" + ( Origin gFrontEnd ) + ) + ( attribute "MAX_TEMP" "RTMAX" + ( Origin gFrontEnd ) + ) + ( attribute "NEGTOL" "RTOL%" + ( Origin gFrontEnd ) + ) + ( attribute "PACK_TYPE" "1/10W" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "POSTOL" "RTOL%" + ( Origin gFrontEnd ) + ) + ( attribute "POWER" "RMAX" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SLOPE" "RSMAX" + ( Origin gFrontEnd ) + ) + ( attribute "TC1" "RTMPL" + ( Origin gFrontEnd ) + ) + ( attribute "TC2" "RTMPQ" + ( Origin gFrontEnd ) + ) + ( attribute "TOL" "1%" + ( Origin gFrontEnd ) + ) + ( attribute "TOL_ON_OFF" "ON" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "3.3" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "2" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "RVMAX" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(-2725,1900)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-3.3,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R1" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "R1" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "R1" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "R1.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "R1.2" ) + ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1" + ( memberType ( signal ) ) + ( alias ( busRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(0)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(1)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(2)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(3)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(4)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(5)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(6)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(7)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(8)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(9)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(10)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(11)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(12)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(13)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(14)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):gnd_signal") ) + ( objectStatus "VM1" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1" + ( memberType ( signal ) ) + ( objectFlag fObjectAlias ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(0)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(1)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(2)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(3)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(4)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(5)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(6)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(7)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(8)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(9)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(10)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(11)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(12)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(13)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(14)") ) + ( objectStatus "PAGE1_VM1" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2" + ( memberType ( signal ) ) + ( alias ( busRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2") ) + ( attribute "BUS_NAME" "VM2" + ( Origin gPackager ) + ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(0)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(1)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(2)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(3)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(4)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(5)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(6)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(7)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(8)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(9)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(10)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(11)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(12)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(13)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(14)") ) + ( objectStatus "VM2" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2" + ( memberType ( signal ) ) + ( objectFlag fObjectAlias ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(0)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(1)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(2)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(3)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(4)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(5)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(6)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(7)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(8)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(9)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(10)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(11)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(12)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(13)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(14)") ) + ( objectStatus "PAGE1_VM2" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1" + ( memberType ( signal ) ) + ( alias ( busRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1") ) + ( attribute "BUS_NAME" "VP1" + ( Origin gPackager ) + ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(0)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(1)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(2)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(3)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(4)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(5)") ) + ( objectStatus "VP1" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1" + ( memberType ( signal ) ) + ( objectFlag fObjectAlias ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1(0)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1(1)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1(2)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1(3)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1(4)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1(5)") ) + ( objectStatus "PAGE1_VP1" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2" + ( memberType ( signal ) ) + ( alias ( busRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp2") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2(0)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2(1)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2(2)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2(3)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2(4)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2(5)") ) + ( objectStatus "VP2" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp2" + ( memberType ( signal ) ) + ( objectFlag fObjectAlias ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp2(0)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp2(1)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp2(2)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp2(3)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp2(4)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp2(5)") ) + ( objectStatus "PAGE1_VP2" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vi" + ( memberType ( signal ) ) + ( alias ( busRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vi") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vi(0)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vi(1)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vi(2)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vi(3)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vin_filtered") ) + ( objectStatus "VI" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vi" + ( memberType ( signal ) ) + ( objectFlag fObjectAlias ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vi(0)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vi(1)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vi(2)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vi(3)") ) + ( objectStatus "PAGE1_VI" ) + ) + ) + ) + ( EndBaseCopy ) + ) + ( BaseCopy "fmc_tlu_hdmi_dut_connector" + ( constraintHeader + ( revisionNumber + ( logicalViewRevNum 11 ) + ( physicalViewRevNum 0 ) + ( otherViewRevNum 0 ) + ) + ( contents + ( electricalConstraints ) + ( netClasses ) + ( properties ) + ) + ) + ( designConstraints + ( ruleChanges + ( allRules ) + ( design "fmc_tlu_hdmi_dut_connector" + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):sig2_p" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):sig2_n" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):sig1_p" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):sig1_n" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):sig0_p" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):sig0_n" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):gnd_signal" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_gnd_signal") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):gnd_signal") ) + ( objectStatus "gnd_signal" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_gnd_signal" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_gnd_signal" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):gnd_signal" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):vclamp" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_p3v3" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_p3v3" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):busy_from_fpga" + ( objectStatus "busy_from_fpga" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):clk_from_fpga" + ( objectStatus "clk_from_fpga" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):p3v3" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_p3v3") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):vclamp") ) + ( attribute "PHYS_NET_NAME" "P3V3" + ( Origin gPackager ) + ) + ( objectStatus "p3v3" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):spare_from_fpga" + ( objectStatus "spare_from_fpga" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):p5v" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_p5v") ) + ( objectStatus "p5v" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_p5v" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_p5v" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):sig4_n" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):sig4_p" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):sig3_p" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):enable_spare_from_fpga" + ( objectStatus "enable_spare_from_fpga" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_commonmodelinefilter_i80_2" + ( objectStatus "unnamed_1_commonmodelinefilter_i80_2" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_commonmodelinefilter_i80_1" + ( objectStatus "unnamed_1_commonmodelinefilter_i80_1" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_capcersmdcl2_i8_b" + ( objectStatus "unnamed_1_capcersmdcl2_i8_b" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_capcersmdcl2_i9_b" + ( objectStatus "unnamed_1_capcersmdcl2_i9_b" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):enable_clk_to_dut" + ( objectStatus "enable_clk_to_dut" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_capn4i_i83_a" + ( objectStatus "unnamed_1_capn4i_i83_a" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):sig3_n" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):busy" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):sig2_n") ) + ( attribute "PHYS_NET_NAME" "BUSY" + ( Origin gPackager ) + ) + ( objectStatus "busy" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):\busy*\" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):sig2_p") ) + ( attribute "PHYS_NET_NAME" "BUSY*" + ( Origin gPackager ) + ) + ( objectStatus "busy*" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):clk" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):sig1_n") ) + ( attribute "PHYS_NET_NAME" "CLK" + ( Origin gPackager ) + ) + ( objectStatus "clk" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):\clk*\" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):sig1_p") ) + ( attribute "PHYS_NET_NAME" "CLK*" + ( Origin gPackager ) + ) + ( objectStatus "clk*" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):cont" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):sig3_p") ) + ( attribute "PHYS_NET_NAME" "CONT" + ( Origin gPackager ) + ) + ( objectStatus "cont" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):\cont*\" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):sig3_n") ) + ( attribute "PHYS_NET_NAME" "CONT*" + ( Origin gPackager ) + ) + ( objectStatus "cont*" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):spare" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):sig0_p") ) + ( attribute "PHYS_NET_NAME" "SPARE" + ( Origin gPackager ) + ) + ( objectStatus "spare" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):\spare*\" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):sig0_n") ) + ( attribute "PHYS_NET_NAME" "SPARE*" + ( Origin gPackager ) + ) + ( objectStatus "spare*" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):trig" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):sig4_p") ) + ( attribute "PHYS_NET_NAME" "TRIG" + ( Origin gPackager ) + ) + ( objectStatus "trig" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):\trig*\" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):sig4_n") ) + ( attribute "PHYS_NET_NAME" "TRIG*" + ( Origin gPackager ) + ) + ( objectStatus "trig*" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):clk_to_dut" + ( objectStatus "clk_to_dut" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):\clk_to_dut*\" + ( objectStatus "clk_to_dut*" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):hdmi_power" + ( objectStatus "hdmi_power" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):hdmi_power_enable" + ( objectStatus "hdmi_power_enable" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):busy_to_fpga" + ( objectStatus "busy_to_fpga" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_capcersmdcl2_i88_a" + ( objectStatus "unnamed_1_capcersmdcl2_i88_a" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):trig_to_fpga" + ( objectStatus "trig_to_fpga" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):clk_to_fpga" + ( objectStatus "clk_to_fpga" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):cont_from_fpga" + ( objectStatus "cont_from_fpga" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):gnd_hdmi" + ( objectStatus "gnd_hdmi" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):enable_busy_from_fpga" + ( objectStatus "enable_busy_from_fpga" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):enable_clk_from_fpga" + ( objectStatus "enable_clk_from_fpga" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):enable_cont_from_fpga" + ( objectStatus "enable_cont_from_fpga" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):enable_trig_from_fpga" + ( objectStatus "enable_trig_from_fpga" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):hdmi_clk" + ( objectStatus "hdmi_clk" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):\hdmi_clk*\" + ( objectStatus "hdmi_clk*" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):spare_to_fpga" + ( objectStatus "spare_to_fpga" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):trig_from_fpga" + ( objectStatus "trig_from_fpga" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):cont_to_fpga" + ( objectStatus "cont_to_fpga" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_capn4i_i112_a" + ( objectStatus "unnamed_1_capn4i_i112_a" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_capn4i_i124_a" + ( objectStatus "unnamed_1_capn4i_i124_a" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_capn4i_i136_a" + ( objectStatus "unnamed_1_capn4i_i136_a" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_capn4i_i148_a" + ( objectStatus "unnamed_1_capn4i_i148_a" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_commonmodelinefilter_i109_1" + ( objectStatus "unnamed_1_commonmodelinefilter_i109_1" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_commonmodelinefilter_i109_2" + ( objectStatus "unnamed_1_commonmodelinefilter_i109_2" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_commonmodelinefilter_i121_1" + ( objectStatus "unnamed_1_commonmodelinefilter_i121_1" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_commonmodelinefilter_i121_2" + ( objectStatus "unnamed_1_commonmodelinefilter_i121_2" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_commonmodelinefilter_i133_1" + ( objectStatus "unnamed_1_commonmodelinefilter_i133_1" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_commonmodelinefilter_i133_2" + ( objectStatus "unnamed_1_commonmodelinefilter_i133_2" ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i2" + ( attribute "CDS_LIB" "cnconnector" + ( Origin gPackager ) + ) + ( attribute "CDS_LMAN_SYM_OUTLINE" "0,25,150,-475" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "J1" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "J1" + ( Origin gPackager ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "TYPE" "HDMI-19-01-X-SM" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(3550,875)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CON19P" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "CON19P-HDMI-19-01-X-SM-GND=GNDA" + ( Origin gPackager ) + ) + ( objectStatus "PAGE1_I2" ) + ( pin "a(0)" + ( attribute "PN" "#" + ( Origin gPackager ) + ) + ) + ( pin "a(1)" + ( attribute "PN" "#" + ( Origin gPackager ) + ) + ) + ( pin "a(2)" + ( attribute "PN" "#" + ( Origin gPackager ) + ) + ) + ( pin "a(3)" + ( attribute "PN" "#" + ( Origin gPackager ) + ) + ) + ( pin "a(4)" + ( attribute "PN" "#" + ( Origin gPackager ) + ) + ) + ( pin "a(5)" + ( attribute "PN" "#" + ( Origin gPackager ) + ) + ) + ( pin "a(6)" + ( attribute "PN" "#" + ( Origin gPackager ) + ) + ) + ( pin "a(7)" + ( attribute "PN" "#" + ( Origin gPackager ) + ) + ) + ( pin "a(8)" + ( attribute "PN" "#" + ( Origin gPackager ) + ) + ) + ( pin "a(9)" + ( attribute "PN" "#" + ( Origin gPackager ) + ) + ) + ( pin "a(10)" + ( attribute "PN" "#" + ( Origin gPackager ) + ) + ) + ( pin "a(11)" + ( attribute "PN" "#" + ( Origin gPackager ) + ) + ) + ( pin "a(12)" + ( attribute "PN" "#" + ( Origin gPackager ) + ) + ) + ( pin "a(13)" + ( attribute "PN" "#" + ( Origin gPackager ) + ) + ) + ( pin "a(14)" + ( attribute "PN" "#" + ( Origin gPackager ) + ) + ) + ( pin "a(15)" + ( attribute "PN" "#" + ( Origin gPackager ) + ) + ) + ( pin "a(16)" + ( attribute "PN" "#" + ( Origin gPackager ) + ) + ) + ( pin "a(17)" + ( attribute "PN" "#" + ( Origin gPackager ) + ) + ) + ( pin "a(18)" + ( attribute "PN" "#" + ( Origin gPackager ) + ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i8" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C9" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "C9" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "0603" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "100NF" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(5625,675)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( Origin gPackager ) + ) + ( objectStatus "PAGE1_I8" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i9" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C8" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "C8" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "0603" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "100NF" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(5625,875)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( Origin gPackager ) + ) + ( objectStatus "PAGE1_I9" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i10" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R11" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "DIST" "FLAT" + ( Origin gFrontEnd ) + ) + ( attribute "LOCATION" "R11" + ( Origin gPackager ) + ) + ( attribute "MAX_TEMP" "RTMAX" + ( Origin gFrontEnd ) + ) + ( attribute "NEGTOL" "RTOL%" + ( Origin gFrontEnd ) + ) + ( attribute "PACK_TYPE" "1/10W" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "POSTOL" "RTOL%" + ( Origin gFrontEnd ) + ) + ( attribute "POWER" "RMAX" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SLOPE" "RSMAX" + ( Origin gFrontEnd ) + ) + ( attribute "TC1" "RTMPL" + ( Origin gFrontEnd ) + ) + ( attribute "TC2" "RTMPQ" + ( Origin gFrontEnd ) + ) + ( attribute "TOL" "1%" + ( Origin gFrontEnd ) + ) + ( attribute "TOL_ON_OFF" "ON" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "51" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "2" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "RVMAX" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(5925,875)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-51,1%" + ( Origin gPackager ) + ) + ( objectStatus "PAGE1_I10" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i11" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R12" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "DIST" "FLAT" + ( Origin gFrontEnd ) + ) + ( attribute "LOCATION" "R12" + ( Origin gPackager ) + ) + ( attribute "MAX_TEMP" "RTMAX" + ( Origin gFrontEnd ) + ) + ( attribute "NEGTOL" "RTOL%" + ( Origin gFrontEnd ) + ) + ( attribute "PACK_TYPE" "1/10W" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "POSTOL" "RTOL%" + ( Origin gFrontEnd ) + ) + ( attribute "POWER" "RMAX" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SLOPE" "RSMAX" + ( Origin gFrontEnd ) + ) + ( attribute "TC1" "RTMPL" + ( Origin gFrontEnd ) + ) + ( attribute "TC2" "RTMPQ" + ( Origin gFrontEnd ) + ) + ( attribute "TOL" "1%" + ( Origin gFrontEnd ) + ) + ( attribute "TOL_ON_OFF" "ON" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "51" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "2" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "RVMAX" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(5925,675)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-51,1%" + ( Origin gPackager ) + ) + ( objectStatus "PAGE1_I11" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i88" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C1" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "C1" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "0603" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "100NF" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(5800,-1450)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( Origin gPackager ) + ) + ( objectStatus "PAGE1_I88" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i87" + ( attribute "CDS_LIB" "cninterface" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "LLP" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "2" + ( Origin gFrontEnd ) + ) + ( attribute "TYPE" "DS92001TLD" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(3050,-1225)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "DS92001" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "DS92001TLD-GND=GND_SIGNAL;VCC=A" + ( Origin gPackager ) + ) + ( objectStatus "PAGE1_I87" ) + ( pin "en" + ) + ( pin "\in+\" + ) + ( pin "\in-\" + ) + ( pin "\out+\" + ) + ( pin "\out-\" + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i85" + ( attribute "CDS_LIB" "cnlinear" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "SOT223" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "TYPE" "TPS78633DCQ" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(5375,-1675)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "TPS786XX" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "TPS78633DCQ" + ( Origin gPackager ) + ) + ( objectStatus "PAGE1_I85" ) + ( pin "en" + ) + ( pin "fb" + ) + ( pin "gnd(0)" + ) + ( pin "gnd(1)" + ) + ( pin "\in\" + ) + ( pin "\out\" + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i83" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "DIELECTRIC" "X5R" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "TYPE" "GNM21" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "1UF" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(-200,825)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPN4I" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "CAPN4I-1UF,16V,X5R,GNM21" + ( Origin gPackager ) + ) + ( objectStatus "PAGE1_I83" ) + ( pin "a(0)" + ) + ( pin "b(0)" + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i82" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "1206_TC164" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "TOL" "1%" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "47" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(-475,775)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "RES_ARRAY_X4" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "RES_ARRAY_X4_1206_TC164-47,1%" + ( Origin gPackager ) + ) + ( objectStatus "PAGE1_I82" ) + ( pin "a(0)" + ) + ( pin "b(0)" + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i81" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "1206_TC164" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "TOL" "1%" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "47" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(-625,875)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "RES_ARRAY_X4" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "RES_ARRAY_X4_1206_TC164-47,1%" + ( Origin gPackager ) + ) + ( objectStatus "PAGE1_I81" ) + ( pin "a(0)" + ) + ( pin "b(0)" + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i106" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "DIST" "FLAT" + ( Origin gFrontEnd ) + ) + ( attribute "MAX_TEMP" "RTMAX" + ( Origin gFrontEnd ) + ) + ( attribute "NEGTOL" "RTOL%" + ( Origin gFrontEnd ) + ) + ( attribute "PACK_TYPE" "1/10W" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "POSTOL" "RTOL%" + ( Origin gFrontEnd ) + ) + ( attribute "POWER" "RMAX" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SLOPE" "RSMAX" + ( Origin gFrontEnd ) + ) + ( attribute "TC1" "RTMPL" + ( Origin gFrontEnd ) + ) + ( attribute "TC2" "RTMPQ" + ( Origin gFrontEnd ) + ) + ( attribute "TOL" "1%" + ( Origin gFrontEnd ) + ) + ( attribute "TOL_ON_OFF" "ON" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "100" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "RVMAX" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(3475,-1075)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-100,1%" + ( Origin gPackager ) + ) + ( objectStatus "PAGE1_I106" ) + ( pin "a(0)" + ) + ( pin "b(0)" + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i80" + ( attribute "CDS_LIB" "cndiscrete" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "4312" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "TYPE" "744231091" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "90OHM" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(-1025,825)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "COMMON_MODE_LINE_FILTER" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "COMMON_MODE_LINE_FILTER_4312-7A" + ( Origin gPackager ) + ) + ( objectStatus "PAGE1_I80" ) + ( pin "\1\(0)" + ) + ( pin "\1dot\(0)" + ) + ( pin "\2\(0)" + ) + ( pin "\2dot\(0)" + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i79" + ( attribute "CDS_LIB" "cninterface" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "VQFN" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "2" + ( Origin gFrontEnd ) + ) + ( attribute "TYPE" "SN65MLVD040RGZ" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(475,800)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "SN65MLVD040" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "SN65MLVD040RGZ-GND=GND_SIGNAL;A" + ( Origin gPackager ) + ) + ( objectStatus "PAGE1_I79" ) + ( pin "a(0)" + ) + ( pin "\b*\(0)" + ) + ( pin "d(0)" + ) + ( pin "de(0)" + ) + ( pin "fsen(0)" + ) + ( pin "pdn" + ) + ( pin "r(0)" + ) + ( pin "\re*\(0)" + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78" + ( attribute "CHIPS_PART_NAME" "CON8P" + ( Origin gPackager ) + ) + ( pin "sig0_n" + ) + ( pin "sig0_p" + ) + ( pin "sig1_n" + ) + ( pin "sig1_p" + ) + ( pin "sig2_n" + ) + ( pin "sig2_p" + ) + ( pin "sig3_n" + ) + ( pin "sig3_p" + ) + ( pin "sig4_n" + ) + ( pin "sig4_p" + ) + ( pin "vclamp" + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i40" + ( attribute "CHIPS_PART_NAME" "74LVC1G07" + ( Origin gPackager ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i3" + ( attribute "CHIPS_PART_NAME" "CON19P" + ( Origin gPackager ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):page1_i67" + ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "0603" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "100NF" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(5975,0)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( Origin gPackager ) + ) + ( pin "a(0)" + ( attribute "PN" "#" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "page1_i67.a<0>" ) + ) + ( pin "b(0)" + ( attribute "PN" "#" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "page1_i67.b<0>" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):page1_i70" + ( attribute "CDS_LIB" "cndiscrete" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "LOCATION" "D3" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "SOT23" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "TYPE" "USBLC6-2SC6" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(5025,175)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "USBLC6-2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "USBLC6-2SC6" + ( Origin gPackager ) + ) + ( pin "gnd" + ( objectStatus "D3.gnd" ) + ) + ( pin "\i/o1\(0)" + ( objectStatus "D3.i/o1<0>" ) + ) + ( pin "\i/o1\(1)" + ( objectStatus "D3.i/o1<1>" ) + ) + ( pin "\i/o2\(0)" + ( objectStatus "D3.i/o2<0>" ) + ) + ( pin "\i/o2\(1)" + ( objectStatus "D3.i/o2<1>" ) + ) + ( pin "vbus" + ( objectStatus "D3.vbus" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):page1_i58" + ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "0603" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "100NF" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(2575,-1875)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( Origin gPackager ) + ) + ( pin "a(0)" + ( attribute "PN" "#" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "page1_i58.a<0>" ) + ) + ( pin "b(0)" + ( attribute "PN" "#" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "page1_i58.b<0>" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):page1_i56" + ( attribute "CDS_LIB" "cndiscrete" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "SC88" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "TYPE" "PRTR5V0U4Y" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(1050,-2450)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "PRTR5V0U4Y" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "PRTR5V0U4Y" + ( Origin gPackager ) + ) + ( pin "esd1" + ( objectStatus "page1_i56.esd1" ) + ) + ( pin "esd2" + ( objectStatus "page1_i56.esd2" ) + ) + ( pin "esd3" + ( objectStatus "page1_i56.esd3" ) + ) + ( pin "esd4" + ( objectStatus "page1_i56.esd4" ) + ) + ( pin "gnd" + ( objectStatus "page1_i56.gnd" ) + ) + ( pin "vcc" + ( objectStatus "page1_i56.vcc" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):page1_i55" + ( attribute "CDS_LIB" "cndiscrete" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "SC88" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "TYPE" "PRTR5V0U4Y" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(1025,-250)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "PRTR5V0U4Y" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "PRTR5V0U4Y" + ( Origin gPackager ) + ) + ( pin "esd1" + ( objectStatus "page1_i55.esd1" ) + ) + ( pin "esd2" + ( objectStatus "page1_i55.esd2" ) + ) + ( pin "esd3" + ( objectStatus "page1_i55.esd3" ) + ) + ( pin "esd4" + ( objectStatus "page1_i55.esd4" ) + ) + ( pin "gnd" + ( objectStatus "page1_i55.gnd" ) + ) + ( pin "vcc" + ( objectStatus "page1_i55.vcc" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i65" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "TP11" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "HOLE" + ( Origin gFrontEnd ) + ) + ( attribute "PART_NAME" "TP" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "0.8MM" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(4500,475)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "TP" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( Origin gPackager ) + ) + ( objectStatus "PAGE1_I65" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i40" - ( attribute "CDS_LIB" "bris_cds_special" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i66" + ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,125,125,-125" + ( attribute "LOCATION" "TP12" ( Origin gPackager ) ) - ( attribute "MANUF" "LINEAR TECHNOLOGY" + ( attribute "PACK_TYPE" "HOLE" ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "SOT_223" + ( attribute "PART_NAME" "TP" ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" ( Origin gFrontEnd ) ) - ( attribute "ROT" "6" + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "0.8MM" ( Origin gFrontEnd ) ) ( attribute "VER" "1" ( Origin gFrontEnd ) ) - ( attribute "XY" "(1700,825)" + ( attribute "XY" "(4500,375)" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "LT1175" + ( attribute "CHIPS_PART_NAME" "TP" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "LT1175_SOT_223" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "REG1" + ( objectStatus "PAGE1_I66" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i67" + ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "LOCATION" "REG1" + ( attribute "LOCATION" "TP4" ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" + ( attribute "PACK_TYPE" "HOLE" + ( Origin gFrontEnd ) + ) + ( attribute "PART_NAME" "TP" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "0.8MM" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(3050,-425)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "TP" ( Origin gPackager ) ) - ( attribute "SEC" "1" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( objectStatus "REG1" ) + ( objectStatus "PAGE1_I67" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i42" - ( attribute "CDS_LIB" "cndiscrete" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i68" + ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "MODEL" "WURTH" + ( attribute "LOCATION" "TP9" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "HOLE" ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "SMD" + ( attribute "PART_NAME" "TP" ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" @@ -38069,245 +39767,455 @@ ( attribute "ROT" "0" ( Origin gFrontEnd ) ) - ( attribute "VALUE" "7427921" + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "0.8MM" ( Origin gFrontEnd ) ) ( attribute "VER" "1" ( Origin gFrontEnd ) ) - ( attribute "XY" "(625,2900)" + ( attribute "XY" "(4150,475)" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "FERRITE" + ( attribute "CHIPS_PART_NAME" "TP" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "FERRITE_SMD-7427921,WURTH" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "LOCATION" "L2" + ( objectStatus "PAGE1_I68" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i69" + ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "L2" + ( attribute "LOCATION" "TP10" ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" + ( attribute "PACK_TYPE" "HOLE" + ( Origin gFrontEnd ) + ) + ( attribute "PART_NAME" "TP" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "0.8MM" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(4150,375)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "TP" ( Origin gPackager ) ) - ( attribute "SEC" "1" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( objectStatus "L2" ) + ( objectStatus "PAGE1_I69" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i44" - ( attribute "CDS_LIB" "cndiscrete" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i70" + ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "MODEL" "WURTH" + ( attribute "LOCATION" "TP3" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "HOLE" ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "SMD" + ( attribute "PART_NAME" "TP" ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "ROT" "1" ( Origin gFrontEnd ) ) - ( attribute "VALUE" "7427921" + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "0.8MM" ( Origin gFrontEnd ) ) ( attribute "VER" "1" ( Origin gFrontEnd ) ) - ( attribute "XY" "(625,875)" + ( attribute "XY" "(2900,-425)" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "FERRITE" + ( attribute "CHIPS_PART_NAME" "TP" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "FERRITE_SMD-7427921,WURTH" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "LOCATION" "L3" + ( objectStatus "PAGE1_I70" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i71" + ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "L3" + ( attribute "LOCATION" "TP7" ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" + ( attribute "PACK_TYPE" "HOLE" + ( Origin gFrontEnd ) + ) + ( attribute "PART_NAME" "TP" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "0.8MM" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(3550,-475)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "TP" ( Origin gPackager ) ) - ( attribute "SEC" "1" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( objectStatus "L3" ) + ( objectStatus "PAGE1_I71" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i50" - ( attribute "CDS_LIB" "bris_cds_analogue" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i72" + ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-150,200,150,-150" + ( attribute "LOCATION" "TP8" ( Origin gPackager ) ) - ( attribute "KL_COMMENTS" "-" + ( attribute "PACK_TYPE" "HOLE" ( Origin gFrontEnd ) ) - ( attribute "MANUF" "LINEAR" + ( attribute "PART_NAME" "TP" ( Origin gFrontEnd ) ) - ( attribute "OL_COMMENTS" "-" + ( attribute "PHYS_PAGE" "1" ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "SOT223" + ( attribute "ROT" "2" ( Origin gFrontEnd ) ) - ( attribute "PART_NAME" "lt1129CST-5" + ( attribute "SIZE" "1" ( Origin gFrontEnd ) ) - ( attribute "PHYS_DES_PREFIX" "VR" + ( attribute "VALUE" "0.8MM" ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" + ( attribute "VER" "1" ( Origin gFrontEnd ) ) - ( attribute "PL_COMMENTS" "-" + ( attribute "XY" "(3700,-550)" ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "CHIPS_PART_NAME" "TP" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( Origin gPackager ) + ) + ( objectStatus "PAGE1_I72" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i73" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "TP5" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "HOLE" + ( Origin gFrontEnd ) + ) + ( attribute "PART_NAME" "TP" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "2" ( Origin gFrontEnd ) ) ( attribute "SIZE" "1" ( Origin gFrontEnd ) ) + ( attribute "VALUE" "0.8MM" + ( Origin gFrontEnd ) + ) ( attribute "VER" "1" ( Origin gFrontEnd ) ) - ( attribute "XY" "(1700,2850)" + ( attribute "XY" "(3200,225)" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "LT1129CST-5" + ( attribute "CHIPS_PART_NAME" "TP" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "LT1129CST-5_SOT223-LINEAR" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "LOCATION" "VR1" + ( objectStatus "PAGE1_I73" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i74" + ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "VR1" + ( attribute "LOCATION" "TP6" ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" + ( attribute "PACK_TYPE" "HOLE" + ( Origin gFrontEnd ) + ) + ( attribute "PART_NAME" "TP" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "2" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "0.8MM" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(3200,125)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "TP" ( Origin gPackager ) ) - ( attribute "SEC" "1" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( objectStatus "VR1" ) + ( objectStatus "PAGE1_I74" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i52" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i75" ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "0805" + ( attribute "LOCATION" "TP1" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "HOLE" + ( Origin gFrontEnd ) + ) + ( attribute "PART_NAME" "TP" ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "ROT" "2" ( Origin gFrontEnd ) ) ( attribute "SIZE" "1" ( Origin gFrontEnd ) ) - ( attribute "VALUE" "4.7UF" + ( attribute "VALUE" "0.8MM" ( Origin gFrontEnd ) ) ( attribute "VER" "1" ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "10V" - ( Units "uVoltage" "V" 1.000000) - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(1100,2750)" + ( attribute "XY" "(2850,25)" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( attribute "CHIPS_PART_NAME" "TP" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0805-4.7UF,10V" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "LOCATION" "C9" + ( objectStatus "PAGE1_I75" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i76" + ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "C9" + ( attribute "LOCATION" "TP2" ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" + ( attribute "PACK_TYPE" "HOLE" + ( Origin gFrontEnd ) + ) + ( attribute "PART_NAME" "TP" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "2" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "0.8MM" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(2850,125)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "TP" ( Origin gPackager ) ) - ( attribute "SEC" "1" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( objectStatus "C9" ) + ( objectStatus "PAGE1_I76" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i54" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):page1_i9" ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "0805" + ( attribute "PACK_TYPE" "0603" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "ROT" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "4.7UF" + ( attribute "VALUE" "100NF" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "10V" + ( attribute "VOLTAGE" "16V" ( Units "uVoltage" "V" 1.000000) + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(1100,725)" + ( attribute "XY" "(2175,-1875)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0805-4.7UF,10V" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "C10" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "C10" - ( Origin gPackager ) + ( pin "a(0)" + ( attribute "PN" "#" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "page1_i9.a<0>" ) ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) + ( pin "b(0)" + ( attribute "PN" "#" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "page1_i9.b<0>" ) ) - ( attribute "SEC" "1" + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i28" + ( attribute "CHIPS_PART_NAME" "74LVC1G07" ( Origin gPackager ) ) - ( objectStatus "C10" ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i56" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i90" ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) + ( attribute "LOCATION" "C12" + ( Origin gPackager ) + ) ( attribute "PACK_TYPE" "0805" ( Origin gFrontEnd ) ) @@ -38330,7 +40238,7 @@ ( Units "uVoltage" "V" 1.000000) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2475,2750)" + ( attribute "XY" "(5950,-1900)" ( Origin gFrontEnd ) ) ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" @@ -38339,24 +40247,25 @@ ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0805-4.7UF,10V" ( Origin gPackager ) ) - ( attribute "LOCATION" "C12" - ( Origin gPackager ) - ) - ( attribute "CDS_LOCATION" "C12" - ( Origin gPackager ) - ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) + ( objectStatus "PAGE1_I90" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) ) - ( attribute "SEC" "1" - ( Origin gPackager ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) ) - ( objectStatus "C12" ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i58" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i91" ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) + ( attribute "LOCATION" "C12" + ( Origin gPackager ) + ) ( attribute "PACK_TYPE" "0805" ( Origin gFrontEnd ) ) @@ -38379,7 +40288,7 @@ ( Units "uVoltage" "V" 1.000000) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2450,675)" + ( attribute "XY" "(4675,-1425)" ( Origin gFrontEnd ) ) ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" @@ -38388,28 +40297,23 @@ ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0805-4.7UF,10V" ( Origin gPackager ) ) - ( attribute "LOCATION" "C11" - ( Origin gPackager ) - ) - ( attribute "CDS_LOCATION" "C11" - ( Origin gPackager ) - ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) + ( objectStatus "PAGE1_I91" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) ) - ( attribute "SEC" "1" - ( Origin gPackager ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) ) - ( objectStatus "C11" ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i66" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i109" ( attribute "CDS_LIB" "cndiscrete" ( Origin gPackager ) ) - ( attribute "MODEL" "MURATA" - ( Origin gFrontEnd ) - ) - ( attribute "PACK_TYPE" "SMD" + ( attribute "PACK_TYPE" "4312" ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" @@ -38418,45 +40322,39 @@ ( attribute "ROT" "0" ( Origin gFrontEnd ) ) - ( attribute "VALUE" "BLM41P800S" + ( attribute "TYPE" "744231091" ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VALUE" "90OHM" ( Origin gFrontEnd ) ) - ( attribute "XY" "(-3100,2375)" + ( attribute "VER" "1" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "FERRITE" - ( Origin gPackager ) + ( attribute "XY" "(-1025,-2375)" + ( Origin gFrontEnd ) ) - ( attribute "CDS_PART_NAME" "FERRITE_SMD-BLM41P800S,MURATA" + ( attribute "CHIPS_PART_NAME" "COMMON_MODE_LINE_FILTER" ( Origin gPackager ) ) - ( attribute "LOCATION" "L1" + ( attribute "CDS_PART_NAME" "COMMON_MODE_LINE_FILTER_4312-7A" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "L1" - ( Origin gPackager ) + ( objectStatus "PAGE1_I109" ) + ( pin "\1\(0)" ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) + ( pin "\1dot\(0)" ) - ( attribute "SEC" "1" - ( Origin gPackager ) + ( pin "\2\(0)" ) - ( objectStatus "L1" ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i1" - ( attribute "CHIPS_PART_NAME" "LT3471" - ( Origin gPackager ) + ( pin "\2dot\(0)" ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i70" - ( attribute "CDS_LIB" "cnlinear" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i110" + ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "BGA" + ( attribute "PACK_TYPE" "1206_TC164" ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" @@ -38465,266 +40363,249 @@ ( attribute "ROT" "0" ( Origin gFrontEnd ) ) - ( attribute "TYPE" "LTM8047EY#PBF" + ( attribute "SIZE" "1" ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "TOL" "1%" ( Origin gFrontEnd ) ) - ( attribute "XY" "(-725,1550)" + ( attribute "VALUE" "47" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "LTM8047" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "LTM8047EY#PBF" - ( Origin gPackager ) - ) - ( attribute "CDS_LOCATION" "RG1" - ( Origin gPackager ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) ) - ( attribute "LOCATION" "RG1" - ( Origin gPackager ) + ( attribute "XY" "(-625,-2325)" + ( Origin gFrontEnd ) ) - ( attribute "CDS_SEC" "1" + ( attribute "CHIPS_PART_NAME" "RES_ARRAY_X4" ( Origin gPackager ) ) - ( attribute "SEC" "1" + ( attribute "CDS_PART_NAME" "RES_ARRAY_X4_1206_TC164-47,1%" ( Origin gPackager ) ) - ( objectStatus "RG1" ) + ( objectStatus "PAGE1_I110" ) + ( pin "a(0)" + ) + ( pin "b(0)" + ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i72" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i111" ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "1210" + ( attribute "PACK_TYPE" "1206_TC164" ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "ROT" "0" ( Origin gFrontEnd ) ) ( attribute "SIZE" "1" ( Origin gFrontEnd ) ) - ( attribute "VALUE" "4.7UF" + ( attribute "TOL" "1%" ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VALUE" "47" ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "50V" - ( Units "uVoltage" "V" 1.000000) + ( attribute "VER" "1" ( Origin gFrontEnd ) ) - ( attribute "XY" "(-1825,1525)" + ( attribute "XY" "(-475,-2425)" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210-4.7UF,50V" - ( Origin gPackager ) - ) - ( attribute "CDS_LOCATION" "C5" + ( attribute "CHIPS_PART_NAME" "RES_ARRAY_X4" ( Origin gPackager ) ) - ( attribute "LOCATION" "C5" + ( attribute "CDS_PART_NAME" "RES_ARRAY_X4_1206_TC164-47,1%" ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) + ( objectStatus "PAGE1_I111" ) + ( pin "a(0)" ) - ( attribute "SEC" "1" - ( Origin gPackager ) + ( pin "b(0)" ) - ( objectStatus "C5" ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i74" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i112" ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "DIST" "FLAT" + ( attribute "DIELECTRIC" "X5R" ( Origin gFrontEnd ) ) - ( attribute "MAX_TEMP" "RTMAX" + ( attribute "PHYS_PAGE" "1" ( Origin gFrontEnd ) ) - ( attribute "NEGTOL" "RTOL%" + ( attribute "ROT" "0" ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "1/10W" + ( attribute "SIZE" "1" ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" + ( attribute "TYPE" "GNM21" ( Origin gFrontEnd ) ) - ( attribute "POSTOL" "RTOL%" + ( attribute "VALUE" "1UF" ( Origin gFrontEnd ) ) - ( attribute "POWER" "RMAX" + ( attribute "VER" "1" ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" + ( attribute "XY" "(-200,-2375)" ( Origin gFrontEnd ) ) - ( attribute "SLOPE" "RSMAX" - ( Origin gFrontEnd ) + ( attribute "CHIPS_PART_NAME" "CAPN4I" + ( Origin gPackager ) ) - ( attribute "TC1" "RTMPL" - ( Origin gFrontEnd ) + ( attribute "CDS_PART_NAME" "CAPN4I-1UF,16V,X5R,GNM21" + ( Origin gPackager ) ) - ( attribute "TC2" "RTMPQ" - ( Origin gFrontEnd ) + ( objectStatus "PAGE1_I112" ) + ( pin "a(0)" ) - ( attribute "TOL" "1%" + ( pin "b(0)" + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i116" + ( attribute "CDS_LIB" "cninterface" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "VQFN" ( Origin gFrontEnd ) ) - ( attribute "TOL_ON_OFF" "ON" + ( attribute "PHYS_PAGE" "1" ( Origin gFrontEnd ) ) - ( attribute "VALUE" "6.19K" + ( attribute "ROT" "2" ( Origin gFrontEnd ) ) - ( attribute "VER" "2" + ( attribute "TYPE" "SN65MLVD040RGZ" ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "RVMAX" - ( Units "uVoltage" "V" 1.000000) + ( attribute "VER" "1" ( Origin gFrontEnd ) ) - ( attribute "XY" "(-1300,1250)" + ( attribute "XY" "(475,-2400)" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( attribute "CHIPS_PART_NAME" "SN65MLVD040" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-6.19K,1%" + ( attribute "CDS_PART_NAME" "SN65MLVD040RGZ-GND=GND_SIGNAL;A" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "R2" - ( Origin gPackager ) + ( objectStatus "PAGE1_I116" ) + ( pin "a(0)" ) - ( attribute "LOCATION" "R2" - ( Origin gPackager ) + ( pin "\b*\(0)" ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) + ( pin "d(0)" ) - ( attribute "SEC" "1" - ( Origin gPackager ) + ( pin "de(0)" + ) + ( pin "fsen(0)" + ) + ( pin "pdn" + ) + ( pin "r(0)" + ) + ( pin "\re*\(0)" ) - ( objectStatus "R2" ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i79" - ( attribute "CDS_LIB" "cnpassive" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i121" + ( attribute "CDS_LIB" "cndiscrete" ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "1210" + ( attribute "PACK_TYPE" "4312" ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "ROT" "0" ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" + ( attribute "TYPE" "744231091" ( Origin gFrontEnd ) ) - ( attribute "VALUE" "4.7UF" + ( attribute "VALUE" "90OHM" ( Origin gFrontEnd ) ) ( attribute "VER" "1" ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "50V" - ( Units "uVoltage" "V" 1.000000) - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(-3550,2075)" + ( attribute "XY" "(-1025,-1575)" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( attribute "CHIPS_PART_NAME" "COMMON_MODE_LINE_FILTER" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210-4.7UF,50V" + ( attribute "CDS_PART_NAME" "COMMON_MODE_LINE_FILTER_4312-7A" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "C1" - ( Origin gPackager ) + ( objectStatus "PAGE1_I121" ) + ( pin "\1\(0)" ) - ( attribute "LOCATION" "C1" - ( Origin gPackager ) + ( pin "\1dot\(0)" ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) + ( pin "\2\(0)" ) - ( attribute "SEC" "1" - ( Origin gPackager ) + ( pin "\2dot\(0)" ) - ( objectStatus "C1" ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i81" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i122" ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "1210" + ( attribute "PACK_TYPE" "1206_TC164" ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "ROT" "0" ( Origin gFrontEnd ) ) ( attribute "SIZE" "1" ( Origin gFrontEnd ) ) - ( attribute "VALUE" "4.7UF" + ( attribute "TOL" "1%" ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VALUE" "47" ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "50V" - ( Units "uVoltage" "V" 1.000000) + ( attribute "VER" "1" ( Origin gFrontEnd ) ) - ( attribute "XY" "(-2725,2200)" + ( attribute "XY" "(-625,-1525)" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210-4.7UF,50V" - ( Origin gPackager ) - ) - ( attribute "CDS_LOCATION" "C2" + ( attribute "CHIPS_PART_NAME" "RES_ARRAY_X4" ( Origin gPackager ) ) - ( attribute "LOCATION" "C2" + ( attribute "CDS_PART_NAME" "RES_ARRAY_X4_1206_TC164-47,1%" ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) + ( objectStatus "PAGE1_I122" ) + ( pin "a(0)" ) - ( attribute "SEC" "1" - ( Origin gPackager ) + ( pin "b(0)" ) - ( objectStatus "C2" ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i82" - ( attribute "CDS_LIB" "cnlinear" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i123" + ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "BGA" + ( attribute "PACK_TYPE" "1206_TC164" ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" @@ -38733,1391 +40614,928 @@ ( attribute "ROT" "0" ( Origin gFrontEnd ) ) - ( attribute "TYPE" "LTM8047EY#PBF" + ( attribute "SIZE" "1" ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "TOL" "1%" ( Origin gFrontEnd ) ) - ( attribute "XY" "(-700,2700)" + ( attribute "VALUE" "47" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "LTM8047" - ( Origin gPackager ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) ) - ( attribute "CDS_PART_NAME" "LTM8047EY#PBF" - ( Origin gPackager ) + ( attribute "XY" "(-475,-1625)" + ( Origin gFrontEnd ) ) - ( attribute "CDS_LOCATION" "RG2" + ( attribute "CHIPS_PART_NAME" "RES_ARRAY_X4" ( Origin gPackager ) ) - ( attribute "LOCATION" "RG2" + ( attribute "CDS_PART_NAME" "RES_ARRAY_X4_1206_TC164-47,1%" ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) + ( objectStatus "PAGE1_I123" ) + ( pin "a(0)" ) - ( attribute "SEC" "1" - ( Origin gPackager ) + ( pin "b(0)" ) - ( objectStatus "RG2" ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i83" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i124" ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "1210" + ( attribute "DIELECTRIC" "X5R" ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "ROT" "0" ( Origin gFrontEnd ) ) ( attribute "SIZE" "1" ( Origin gFrontEnd ) ) - ( attribute "VALUE" "4.7UF" + ( attribute "TYPE" "GNM21" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "1UF" ( Origin gFrontEnd ) ) ( attribute "VER" "1" ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "50V" + ( attribute "VOLTAGE" "16V" ( Units "uVoltage" "V" 1.000000) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-1800,2675)" + ( attribute "XY" "(-200,-1575)" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210-4.7UF,50V" + ( attribute "CHIPS_PART_NAME" "CAPN4I" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "C6" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "C6" + ( attribute "CDS_PART_NAME" "CAPN4I-1UF,16V,X5R,GNM21" ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) + ( objectStatus "PAGE1_I124" ) + ( pin "a(0)" ) - ( attribute "SEC" "1" - ( Origin gPackager ) + ( pin "b(0)" ) - ( objectStatus "C6" ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i85" - ( attribute "CDS_LIB" "cnpassive" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i128" + ( attribute "CDS_LIB" "cninterface" ( Origin gPackager ) ) - ( attribute "DIST" "FLAT" + ( attribute "PACK_TYPE" "VQFN" ( Origin gFrontEnd ) ) - ( attribute "MAX_TEMP" "RTMAX" + ( attribute "PHYS_PAGE" "1" ( Origin gFrontEnd ) ) - ( attribute "NEGTOL" "RTOL%" + ( attribute "ROT" "2" ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "1/10W" + ( attribute "TYPE" "SN65MLVD040RGZ" ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" + ( attribute "VER" "1" ( Origin gFrontEnd ) ) - ( attribute "POSTOL" "RTOL%" + ( attribute "XY" "(475,-1600)" ( Origin gFrontEnd ) ) - ( attribute "POWER" "RMAX" - ( Origin gFrontEnd ) + ( attribute "CHIPS_PART_NAME" "SN65MLVD040" + ( Origin gPackager ) ) - ( attribute "ROT" "1" - ( Origin gFrontEnd ) + ( attribute "CDS_PART_NAME" "SN65MLVD040RGZ-GND=GND_SIGNAL;A" + ( Origin gPackager ) ) - ( attribute "SIZE" "1" - ( Origin gFrontEnd ) + ( objectStatus "PAGE1_I128" ) + ( pin "a(0)" ) - ( attribute "SLOPE" "RSMAX" - ( Origin gFrontEnd ) + ( pin "\b*\(0)" ) - ( attribute "TC1" "RTMPL" - ( Origin gFrontEnd ) + ( pin "d(0)" ) - ( attribute "TC2" "RTMPQ" + ( pin "de(0)" + ) + ( pin "fsen(0)" + ) + ( pin "pdn" + ) + ( pin "r(0)" + ) + ( pin "\re*\(0)" + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i133" + ( attribute "CDS_LIB" "cndiscrete" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "4312" ( Origin gFrontEnd ) ) - ( attribute "TOL" "1%" + ( attribute "PHYS_PAGE" "1" ( Origin gFrontEnd ) ) - ( attribute "TOL_ON_OFF" "ON" + ( attribute "ROT" "0" ( Origin gFrontEnd ) ) - ( attribute "VALUE" "6.19K" + ( attribute "TYPE" "744231091" ( Origin gFrontEnd ) ) - ( attribute "VER" "2" + ( attribute "VALUE" "90OHM" ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "RVMAX" - ( Units "uVoltage" "V" 1.000000) + ( attribute "VER" "1" ( Origin gFrontEnd ) ) - ( attribute "XY" "(-1275,2400)" + ( attribute "XY" "(-1025,-775)" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( attribute "CHIPS_PART_NAME" "COMMON_MODE_LINE_FILTER" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-6.19K,1%" + ( attribute "CDS_PART_NAME" "COMMON_MODE_LINE_FILTER_4312-7A" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "R3" - ( Origin gPackager ) + ( objectStatus "PAGE1_I133" ) + ( pin "\1\(0)" ) - ( attribute "LOCATION" "R3" - ( Origin gPackager ) + ( pin "\1dot\(0)" ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) + ( pin "\2\(0)" ) - ( attribute "SEC" "1" - ( Origin gPackager ) + ( pin "\2dot\(0)" ) - ( objectStatus "R3" ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i88" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i134" ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "1210" + ( attribute "PACK_TYPE" "1206_TC164" ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "ROT" "0" ( Origin gFrontEnd ) ) ( attribute "SIZE" "1" ( Origin gFrontEnd ) ) - ( attribute "VALUE" "22UF" + ( attribute "TOL" "1%" ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VALUE" "47" ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "16V" - ( Units "uVoltage" "V" 1.000000) + ( attribute "VER" "1" ( Origin gFrontEnd ) ) - ( attribute "XY" "(250,650)" + ( attribute "XY" "(-625,-725)" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210-22UF,16V" - ( Origin gPackager ) - ) - ( attribute "CDS_LOCATION" "C8" + ( attribute "CHIPS_PART_NAME" "RES_ARRAY_X4" ( Origin gPackager ) ) - ( attribute "LOCATION" "C8" + ( attribute "CDS_PART_NAME" "RES_ARRAY_X4_1206_TC164-47,1%" ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) + ( objectStatus "PAGE1_I134" ) + ( pin "a(0)" ) - ( attribute "SEC" "1" - ( Origin gPackager ) + ( pin "b(0)" ) - ( objectStatus "C8" ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i89" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i135" ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "1210" + ( attribute "PACK_TYPE" "1206_TC164" ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "ROT" "0" ( Origin gFrontEnd ) ) ( attribute "SIZE" "1" ( Origin gFrontEnd ) ) - ( attribute "VALUE" "22UF" + ( attribute "TOL" "1%" ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VALUE" "47" ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "16V" - ( Units "uVoltage" "V" 1.000000) + ( attribute "VER" "1" ( Origin gFrontEnd ) ) - ( attribute "XY" "(250,2675)" + ( attribute "XY" "(-475,-825)" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210-22UF,16V" - ( Origin gPackager ) - ) - ( attribute "CDS_LOCATION" "C7" + ( attribute "CHIPS_PART_NAME" "RES_ARRAY_X4" ( Origin gPackager ) ) - ( attribute "LOCATION" "C7" + ( attribute "CDS_PART_NAME" "RES_ARRAY_X4_1206_TC164-47,1%" ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) + ( objectStatus "PAGE1_I135" ) + ( pin "a(0)" ) - ( attribute "SEC" "1" - ( Origin gPackager ) + ( pin "b(0)" ) - ( objectStatus "C7" ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i142" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i136" ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "1210" + ( attribute "DIELECTRIC" "X5R" ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "ROT" "0" ( Origin gFrontEnd ) ) ( attribute "SIZE" "1" ( Origin gFrontEnd ) ) - ( attribute "VALUE" "4.7UF" + ( attribute "TYPE" "GNM21" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "1UF" ( Origin gFrontEnd ) ) ( attribute "VER" "1" ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "50V" + ( attribute "VOLTAGE" "16V" ( Units "uVoltage" "V" 1.000000) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-2150,2675)" + ( attribute "XY" "(-200,-775)" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( attribute "CHIPS_PART_NAME" "CAPN4I" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210-4.7UF,50V" + ( attribute "CDS_PART_NAME" "CAPN4I-1UF,16V,X5R,GNM21" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "C3" - ( Origin gPackager ) + ( objectStatus "PAGE1_I136" ) + ( pin "a(0)" ) - ( attribute "LOCATION" "C3" + ( pin "b(0)" + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i140" + ( attribute "CDS_LIB" "cninterface" ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" + ( attribute "PACK_TYPE" "VQFN" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "2" + ( Origin gFrontEnd ) + ) + ( attribute "TYPE" "SN65MLVD040RGZ" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(475,-800)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "SN65MLVD040" ( Origin gPackager ) ) - ( attribute "SEC" "1" + ( attribute "CDS_PART_NAME" "SN65MLVD040RGZ-GND=GND_SIGNAL;A" ( Origin gPackager ) ) - ( objectStatus "C3" ) + ( objectStatus "PAGE1_I140" ) + ( pin "a(0)" + ) + ( pin "\b*\(0)" + ) + ( pin "d(0)" + ) + ( pin "de(0)" + ) + ( pin "fsen(0)" + ) + ( pin "pdn" + ) + ( pin "r(0)" + ) + ( pin "\re*\(0)" + ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i144" - ( attribute "CDS_LIB" "cnpassive" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i145" + ( attribute "CDS_LIB" "cndiscrete" ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "1210" + ( attribute "PACK_TYPE" "4312" ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "ROT" "0" ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" + ( attribute "TYPE" "744231091" ( Origin gFrontEnd ) ) - ( attribute "VALUE" "4.7UF" + ( attribute "VALUE" "90OHM" ( Origin gFrontEnd ) ) ( attribute "VER" "1" ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "50V" - ( Units "uVoltage" "V" 1.000000) - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(-2150,1525)" + ( attribute "XY" "(-1025,25)" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( attribute "CHIPS_PART_NAME" "COMMON_MODE_LINE_FILTER" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210-4.7UF,50V" + ( attribute "CDS_PART_NAME" "COMMON_MODE_LINE_FILTER_4312-7A" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "C4" - ( Origin gPackager ) + ( objectStatus "PAGE1_I145" ) + ( pin "\1\(0)" ) - ( attribute "LOCATION" "C4" - ( Origin gPackager ) + ( pin "\1dot\(0)" ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) + ( pin "\2\(0)" ) - ( attribute "SEC" "1" - ( Origin gPackager ) + ( pin "\2dot\(0)" ) - ( objectStatus "C4" ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i146" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i146" ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "DIST" "FLAT" + ( attribute "PACK_TYPE" "1206_TC164" ( Origin gFrontEnd ) ) - ( attribute "MAX_TEMP" "RTMAX" + ( attribute "PHYS_PAGE" "1" ( Origin gFrontEnd ) ) - ( attribute "NEGTOL" "RTOL%" + ( attribute "ROT" "0" ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "1/10W" + ( attribute "SIZE" "1" ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" + ( attribute "TOL" "1%" ( Origin gFrontEnd ) ) - ( attribute "POSTOL" "RTOL%" + ( attribute "VALUE" "47" ( Origin gFrontEnd ) ) - ( attribute "POWER" "RMAX" + ( attribute "VER" "1" ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "XY" "(-625,75)" ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" - ( Origin gFrontEnd ) + ( attribute "CHIPS_PART_NAME" "RES_ARRAY_X4" + ( Origin gPackager ) ) - ( attribute "SLOPE" "RSMAX" - ( Origin gFrontEnd ) + ( attribute "CDS_PART_NAME" "RES_ARRAY_X4_1206_TC164-47,1%" + ( Origin gPackager ) ) - ( attribute "TC1" "RTMPL" - ( Origin gFrontEnd ) + ( objectStatus "PAGE1_I146" ) + ( pin "a(0)" ) - ( attribute "TC2" "RTMPQ" - ( Origin gFrontEnd ) + ( pin "b(0)" ) - ( attribute "TOL" "1%" + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i147" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "1206_TC164" ( Origin gFrontEnd ) ) - ( attribute "TOL_ON_OFF" "ON" + ( attribute "PHYS_PAGE" "1" ( Origin gFrontEnd ) ) - ( attribute "VALUE" "3.3" + ( attribute "ROT" "0" ( Origin gFrontEnd ) ) - ( attribute "VER" "2" + ( attribute "SIZE" "1" ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "RVMAX" - ( Units "uVoltage" "V" 1.000000) + ( attribute "TOL" "1%" ( Origin gFrontEnd ) ) - ( attribute "XY" "(-2725,1900)" + ( attribute "VALUE" "47" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "RSMD0603" - ( Origin gPackager ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) ) - ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-3.3,1%" - ( Origin gPackager ) + ( attribute "XY" "(-475,-25)" + ( Origin gFrontEnd ) ) - ( attribute "CDS_LOCATION" "R1" + ( attribute "CHIPS_PART_NAME" "RES_ARRAY_X4" ( Origin gPackager ) ) - ( attribute "LOCATION" "R1" + ( attribute "CDS_PART_NAME" "RES_ARRAY_X4_1206_TC164-47,1%" ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) + ( objectStatus "PAGE1_I147" ) + ( pin "a(0)" ) - ( attribute "SEC" "1" - ( Origin gPackager ) + ( pin "b(0)" ) - ( objectStatus "R1" ) ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i40:gnd" - ( attribute "PN" "3" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i148" + ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( objectStatus "REG1.3" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i40:v_in0" - ( attribute "PN" "2" - ( Origin gPackager ) + ( attribute "DIELECTRIC" "X5R" + ( Origin gFrontEnd ) ) - ( objectStatus "REG1.2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i40:v_in1" - ( attribute "PN" "4" - ( Origin gPackager ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) ) - ( objectStatus "REG1.4" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i40:v_out" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) ) - ( objectStatus "REG1.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i42:a" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) ) - ( objectStatus "L2.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i42:b" - ( attribute "PN" "2" - ( Origin gPackager ) + ( attribute "TYPE" "GNM21" + ( Origin gFrontEnd ) ) - ( objectStatus "L2.2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i44:a" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "VALUE" "1UF" + ( Origin gFrontEnd ) ) - ( objectStatus "L3.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i44:b" - ( attribute "PN" "2" - ( Origin gPackager ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) ) - ( objectStatus "L3.2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i50:gnd" - ( attribute "PN" "2" - ( Origin gPackager ) + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) ) - ( objectStatus "VR1.2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i50:tab" - ( attribute "PN" "4" - ( Origin gPackager ) + ( attribute "XY" "(-200,25)" + ( Origin gFrontEnd ) ) - ( objectStatus "VR1.4" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i50:vin" - ( attribute "PN" "1" + ( attribute "CHIPS_PART_NAME" "CAPN4I" ( Origin gPackager ) ) - ( objectStatus "VR1.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i50:vout" - ( attribute "PN" "3" + ( attribute "CDS_PART_NAME" "CAPN4I-1UF,16V,X5R,GNM21" ( Origin gPackager ) ) - ( objectStatus "VR1.3" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i52:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) + ( objectStatus "PAGE1_I148" ) + ( pin "a(0)" ) - ( objectStatus "C9.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i52:b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) + ( pin "b(0)" ) - ( objectStatus "C9.2" ) ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i54:a(0)" - ( attribute "PN" "1" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i152" + ( attribute "CDS_LIB" "cninterface" ( Origin gPackager ) ) - ( objectStatus "C10.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i54:b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) + ( attribute "PACK_TYPE" "VQFN" + ( Origin gFrontEnd ) ) - ( objectStatus "C10.2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i56:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) ) - ( objectStatus "C12.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i56:b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) + ( attribute "ROT" "2" + ( Origin gFrontEnd ) ) - ( objectStatus "C12.2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i58:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "TYPE" "SN65MLVD040RGZ" + ( Origin gFrontEnd ) ) - ( objectStatus "C11.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i58:b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) ) - ( objectStatus "C11.2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i66:a" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "XY" "(475,0)" + ( Origin gFrontEnd ) ) - ( objectStatus "L1.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i66:b" - ( attribute "PN" "2" + ( attribute "CHIPS_PART_NAME" "SN65MLVD040" ( Origin gPackager ) ) - ( objectStatus "L1.2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i70:adj" - ( attribute "PN" "G7" + ( attribute "CDS_PART_NAME" "SN65MLVD040RGZ-GND=GND_SIGNAL;A" ( Origin gPackager ) ) - ( objectStatus "RG1.G7" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i70:bias" - ( attribute "PN" "H5" - ( Origin gPackager ) + ( objectStatus "PAGE1_I152" ) + ( pin "a(0)" ) - ( objectStatus "RG1.H5" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i70:gnd(0)" - ( attribute "PN" "E1" - ( Origin gPackager ) + ( pin "\b*\(0)" ) - ( objectStatus "RG1.E1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i70:gnd(1)" - ( attribute "PN" "E2" - ( Origin gPackager ) + ( pin "d(0)" ) - ( objectStatus "RG1.E2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i70:gnd(2)" - ( attribute "PN" "E3" - ( Origin gPackager ) + ( pin "de(0)" ) - ( objectStatus "RG1.E3" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i70:gnd(3)" - ( attribute "PN" "E4" - ( Origin gPackager ) + ( pin "fsen(0)" ) - ( objectStatus "RG1.E4" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i70:gnd(4)" - ( attribute "PN" "E5" - ( Origin gPackager ) + ( pin "pdn" ) - ( objectStatus "RG1.E5" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i70:gnd(5)" - ( attribute "PN" "E6" - ( Origin gPackager ) + ( pin "r(0)" ) - ( objectStatus "RG1.E6" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i70:gnd(6)" - ( attribute "PN" "E7" - ( Origin gPackager ) + ( pin "\re*\(0)" ) - ( objectStatus "RG1.E7" ) ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i70:gnd(7)" - ( attribute "PN" "F4" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i157" + ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( objectStatus "RG1.F4" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i70:gnd(8)" - ( attribute "PN" "F5" + ( attribute "CDS_LOCATION" "C1" ( Origin gPackager ) ) - ( objectStatus "RG1.F5" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i70:gnd(9)" - ( attribute "PN" "F6" + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( objectStatus "RG1.F6" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i70:gnd(10)" - ( attribute "PN" "F7" + ( attribute "LOCATION" "C1" ( Origin gPackager ) ) - ( objectStatus "RG1.F7" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i70:gnd(11)" - ( attribute "PN" "G4" - ( Origin gPackager ) + ( attribute "PACK_TYPE" "0603" + ( Origin gFrontEnd ) ) - ( objectStatus "RG1.G4" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i70:gnd(12)" - ( attribute "PN" "G5" - ( Origin gPackager ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) ) - ( objectStatus "RG1.G5" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i70:gnd(13)" - ( attribute "PN" "G6" - ( Origin gPackager ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) ) - ( objectStatus "RG1.G6" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i70:gnd(14)" - ( attribute "PN" "H4" + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "RG1.H4" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i70:gnd(15)" - ( attribute "PN" "H7" - ( Origin gPackager ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) ) - ( objectStatus "RG1.H7" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i70:run" - ( attribute "PN" "F3" - ( Origin gPackager ) + ( attribute "VALUE" "100NF" + ( Origin gFrontEnd ) ) - ( objectStatus "RG1.F3" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i70:ss" - ( attribute "PN" "H6" - ( Origin gPackager ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) ) - ( objectStatus "RG1.H6" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i70:vin(0)" - ( attribute "PN" "H1" - ( Origin gPackager ) + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) ) - ( objectStatus "RG1.H1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i70:vin(1)" - ( attribute "PN" "H2" - ( Origin gPackager ) + ( attribute "XY" "(3425,-2075)" + ( Origin gFrontEnd ) ) - ( objectStatus "RG1.H2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i70:vin(2)" - ( attribute "PN" "G1" + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Origin gPackager ) ) - ( objectStatus "RG1.G1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i70:vin(3)" - ( attribute "PN" "G2" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" ( Origin gPackager ) ) - ( objectStatus "RG1.G2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i70:vout(0)" - ( attribute "PN" "A6" - ( Origin gPackager ) + ( objectStatus "PAGE1_I157" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) ) - ( objectStatus "RG1.A6" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i70:vout(1)" - ( attribute "PN" "A7" - ( Origin gPackager ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) ) - ( objectStatus "RG1.A7" ) ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i70:vout(2)" - ( attribute "PN" "B6" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i160" + ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( objectStatus "RG1.B6" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i70:vout(3)" - ( attribute "PN" "B7" + ( attribute "CDS_LOCATION" "C1" ( Origin gPackager ) ) - ( objectStatus "RG1.B7" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i70:vout(4)" - ( attribute "PN" "C6" + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( objectStatus "RG1.C6" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i70:vout(5)" - ( attribute "PN" "C7" + ( attribute "LOCATION" "C1" ( Origin gPackager ) ) - ( objectStatus "RG1.C7" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i70:\vout-\(0)" - ( attribute "PN" "A1" - ( Origin gPackager ) + ( attribute "PACK_TYPE" "0603" + ( Origin gFrontEnd ) ) - ( objectStatus "RG1.A1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i70:\vout-\(1)" - ( attribute "PN" "A2" - ( Origin gPackager ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) ) - ( objectStatus "RG1.A2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i70:\vout-\(2)" - ( attribute "PN" "A3" - ( Origin gPackager ) + ( attribute "ROT" "1" + ( Origin gFrontEnd ) ) - ( objectStatus "RG1.A3" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i70:\vout-\(3)" - ( attribute "PN" "A4" + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "RG1.A4" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i70:\vout-\(4)" - ( attribute "PN" "A5" - ( Origin gPackager ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) ) - ( objectStatus "RG1.A5" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i70:\vout-\(5)" - ( attribute "PN" "B1" - ( Origin gPackager ) + ( attribute "VALUE" "100NF" + ( Origin gFrontEnd ) ) - ( objectStatus "RG1.B1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i70:\vout-\(6)" - ( attribute "PN" "B2" - ( Origin gPackager ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) ) - ( objectStatus "RG1.B2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i70:\vout-\(7)" - ( attribute "PN" "B3" - ( Origin gPackager ) + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) ) - ( objectStatus "RG1.B3" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i70:\vout-\(8)" - ( attribute "PN" "B4" - ( Origin gPackager ) + ( attribute "XY" "(-1000,-3175)" + ( Origin gFrontEnd ) ) - ( objectStatus "RG1.B4" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i70:\vout-\(9)" - ( attribute "PN" "B5" + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Origin gPackager ) ) - ( objectStatus "RG1.B5" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i70:\vout-\(10)" - ( attribute "PN" "C1" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" ( Origin gPackager ) ) - ( objectStatus "RG1.C1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i70:\vout-\(11)" - ( attribute "PN" "C2" - ( Origin gPackager ) + ( objectStatus "PAGE1_I160" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) ) - ( objectStatus "RG1.C2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i70:\vout-\(12)" - ( attribute "PN" "C3" - ( Origin gPackager ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) ) - ( objectStatus "RG1.C3" ) ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i70:\vout-\(13)" - ( attribute "PN" "C4" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i161" + ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( objectStatus "RG1.C4" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i70:\vout-\(14)" - ( attribute "PN" "C5" + ( attribute "CDS_LOCATION" "C1" ( Origin gPackager ) ) - ( objectStatus "RG1.C5" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i72:a(0)" - ( attribute "PN" "1" + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( objectStatus "C5.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i72:b(0)" - ( attribute "PN" "2" + ( attribute "LOCATION" "C1" ( Origin gPackager ) ) - ( objectStatus "C5.2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i74:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "PACK_TYPE" "0603" + ( Origin gFrontEnd ) ) - ( objectStatus "R2.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i74:b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) ) - ( objectStatus "R2.2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i79:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "ROT" "1" + ( Origin gFrontEnd ) ) - ( objectStatus "C1.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i79:b(0)" - ( attribute "PN" "2" + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "C1.2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i81:b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) ) - ( objectStatus "C2.2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i81:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "VALUE" "100NF" + ( Origin gFrontEnd ) ) - ( objectStatus "C2.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i82:adj" - ( attribute "PN" "G7" - ( Origin gPackager ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) ) - ( objectStatus "RG2.G7" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i82:bias" - ( attribute "PN" "H5" - ( Origin gPackager ) + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) ) - ( objectStatus "RG2.H5" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i82:gnd(0)" - ( attribute "PN" "E1" - ( Origin gPackager ) + ( attribute "XY" "(0,-3175)" + ( Origin gFrontEnd ) ) - ( objectStatus "RG2.E1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i82:gnd(1)" - ( attribute "PN" "E2" + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Origin gPackager ) ) - ( objectStatus "RG2.E2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i82:gnd(2)" - ( attribute "PN" "E3" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" ( Origin gPackager ) ) - ( objectStatus "RG2.E3" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i82:gnd(3)" - ( attribute "PN" "E4" - ( Origin gPackager ) + ( objectStatus "PAGE1_I161" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) ) - ( objectStatus "RG2.E4" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i82:gnd(4)" - ( attribute "PN" "E5" - ( Origin gPackager ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) ) - ( objectStatus "RG2.E5" ) ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i82:gnd(5)" - ( attribute "PN" "E6" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i162" + ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( objectStatus "RG2.E6" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i82:gnd(6)" - ( attribute "PN" "E7" + ( attribute "CDS_LOCATION" "C1" ( Origin gPackager ) ) - ( objectStatus "RG2.E7" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i82:gnd(7)" - ( attribute "PN" "F4" + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( objectStatus "RG2.F4" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i82:gnd(8)" - ( attribute "PN" "F5" + ( attribute "LOCATION" "C1" ( Origin gPackager ) ) - ( objectStatus "RG2.F5" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i82:gnd(9)" - ( attribute "PN" "F6" - ( Origin gPackager ) + ( attribute "PACK_TYPE" "0603" + ( Origin gFrontEnd ) ) - ( objectStatus "RG2.F6" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i82:gnd(10)" - ( attribute "PN" "F7" - ( Origin gPackager ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) ) - ( objectStatus "RG2.F7" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i82:gnd(11)" - ( attribute "PN" "G4" - ( Origin gPackager ) + ( attribute "ROT" "1" + ( Origin gFrontEnd ) ) - ( objectStatus "RG2.G4" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i82:gnd(12)" - ( attribute "PN" "G5" + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "RG2.G5" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i82:gnd(13)" - ( attribute "PN" "G6" - ( Origin gPackager ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) ) - ( objectStatus "RG2.G6" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i82:gnd(14)" - ( attribute "PN" "H4" - ( Origin gPackager ) + ( attribute "VALUE" "100NF" + ( Origin gFrontEnd ) ) - ( objectStatus "RG2.H4" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i82:gnd(15)" - ( attribute "PN" "H7" - ( Origin gPackager ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) ) - ( objectStatus "RG2.H7" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i82:run" - ( attribute "PN" "F3" - ( Origin gPackager ) + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) ) - ( objectStatus "RG2.F3" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i82:ss" - ( attribute "PN" "H6" - ( Origin gPackager ) + ( attribute "XY" "(-250,-3175)" + ( Origin gFrontEnd ) ) - ( objectStatus "RG2.H6" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i82:vin(0)" - ( attribute "PN" "H1" + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Origin gPackager ) ) - ( objectStatus "RG2.H1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i82:vin(1)" - ( attribute "PN" "H2" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" ( Origin gPackager ) ) - ( objectStatus "RG2.H2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i82:vin(2)" - ( attribute "PN" "G1" - ( Origin gPackager ) + ( objectStatus "PAGE1_I162" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) ) - ( objectStatus "RG2.G1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i82:vin(3)" - ( attribute "PN" "G2" - ( Origin gPackager ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) ) - ( objectStatus "RG2.G2" ) ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i82:vout(0)" - ( attribute "PN" "A6" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i163" + ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( objectStatus "RG2.A6" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i82:vout(1)" - ( attribute "PN" "A7" + ( attribute "CDS_LOCATION" "C1" ( Origin gPackager ) ) - ( objectStatus "RG2.A7" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i82:vout(2)" - ( attribute "PN" "B6" + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( objectStatus "RG2.B6" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i82:vout(3)" - ( attribute "PN" "B7" + ( attribute "LOCATION" "C1" ( Origin gPackager ) ) - ( objectStatus "RG2.B7" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i82:vout(4)" - ( attribute "PN" "C6" - ( Origin gPackager ) + ( attribute "PACK_TYPE" "0603" + ( Origin gFrontEnd ) ) - ( objectStatus "RG2.C6" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i82:vout(5)" - ( attribute "PN" "C7" - ( Origin gPackager ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) ) - ( objectStatus "RG2.C7" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i82:\vout-\(0)" - ( attribute "PN" "A1" - ( Origin gPackager ) + ( attribute "ROT" "1" + ( Origin gFrontEnd ) ) - ( objectStatus "RG2.A1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i82:\vout-\(1)" - ( attribute "PN" "A2" + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "RG2.A2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i82:\vout-\(2)" - ( attribute "PN" "A3" - ( Origin gPackager ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) ) - ( objectStatus "RG2.A3" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i82:\vout-\(3)" - ( attribute "PN" "A4" - ( Origin gPackager ) + ( attribute "VALUE" "100NF" + ( Origin gFrontEnd ) ) - ( objectStatus "RG2.A4" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i82:\vout-\(4)" - ( attribute "PN" "A5" - ( Origin gPackager ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) ) - ( objectStatus "RG2.A5" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i82:\vout-\(5)" - ( attribute "PN" "B1" - ( Origin gPackager ) + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) ) - ( objectStatus "RG2.B1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i82:\vout-\(6)" - ( attribute "PN" "B2" - ( Origin gPackager ) + ( attribute "XY" "(-500,-3175)" + ( Origin gFrontEnd ) ) - ( objectStatus "RG2.B2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i82:\vout-\(7)" - ( attribute "PN" "B3" + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Origin gPackager ) ) - ( objectStatus "RG2.B3" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i82:\vout-\(8)" - ( attribute "PN" "B4" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" ( Origin gPackager ) ) - ( objectStatus "RG2.B4" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i82:\vout-\(9)" - ( attribute "PN" "B5" - ( Origin gPackager ) + ( objectStatus "PAGE1_I163" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) ) - ( objectStatus "RG2.B5" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i82:\vout-\(10)" - ( attribute "PN" "C1" - ( Origin gPackager ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) ) - ( objectStatus "RG2.C1" ) ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i82:\vout-\(11)" - ( attribute "PN" "C2" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i164" + ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( objectStatus "RG2.C2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i82:\vout-\(12)" - ( attribute "PN" "C3" + ( attribute "CDS_LOCATION" "C1" ( Origin gPackager ) ) - ( objectStatus "RG2.C3" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i82:\vout-\(13)" - ( attribute "PN" "C4" + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( objectStatus "RG2.C4" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i82:\vout-\(14)" - ( attribute "PN" "C5" + ( attribute "LOCATION" "C1" ( Origin gPackager ) ) - ( objectStatus "RG2.C5" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i83:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "PACK_TYPE" "0603" + ( Origin gFrontEnd ) ) - ( objectStatus "C6.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i83:b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) ) - ( objectStatus "C6.2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i85:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "ROT" "1" + ( Origin gFrontEnd ) ) - ( objectStatus "R3.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i85:b(0)" - ( attribute "PN" "2" + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "R3.2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i88:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) ) - ( objectStatus "C8.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i88:b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) + ( attribute "VALUE" "100NF" + ( Origin gFrontEnd ) ) - ( objectStatus "C8.2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i89:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) ) - ( objectStatus "C7.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i89:b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) ) - ( objectStatus "C7.2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i142:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "XY" "(-750,-3175)" + ( Origin gFrontEnd ) ) - ( objectStatus "C3.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i142:b(0)" - ( attribute "PN" "2" + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Origin gPackager ) ) - ( objectStatus "C3.2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i144:a(0)" - ( attribute "PN" "1" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" ( Origin gPackager ) ) - ( objectStatus "C4.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i144:b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) + ( objectStatus "PAGE1_I164" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) ) - ( objectStatus "C4.2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i146:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) ) - ( objectStatus "R1.1" ) ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i146:b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) + ( designInst "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78" + ( attribute "BLOCK" "TRUE" + ( Origin gFrontEnd ) ) - ( objectStatus "R1.2" ) - ) - ( bus "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1" - ( memberType ( signal ) ) - ( alias ( busRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(0)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(1)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(2)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(3)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(4)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(5)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(6)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(7)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(8)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(9)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(10)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(11)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(12)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(13)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(14)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):gnd_signal") ) - ( objectStatus "VM1" ) - ) - ( bus "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1" - ( memberType ( signal ) ) - ( objectFlag fObjectAlias ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(0)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(1)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(2)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(3)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(4)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(5)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(6)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(7)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(8)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(9)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(10)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(11)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(12)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(13)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(14)") ) - ( objectStatus "PAGE1_VM1" ) - ) - ( bus "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2" - ( memberType ( signal ) ) - ( alias ( busRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2") ) - ( attribute "BUS_NAME" "VM2" + ( attribute "CDS_LIB" "fmc_tlu_v1_lib" ( Origin gPackager ) ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(0)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(1)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(2)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(3)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(4)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(5)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(6)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(7)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(8)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(9)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(10)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(11)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(12)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(13)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(14)") ) - ( objectStatus "VM2" ) - ) - ( bus "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2" - ( memberType ( signal ) ) - ( objectFlag fObjectAlias ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(0)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(1)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(2)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(3)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(4)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(5)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(6)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(7)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(8)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(9)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(10)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(11)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(12)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(13)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(14)") ) - ( objectStatus "PAGE1_VM2" ) - ) - ( bus "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1" - ( memberType ( signal ) ) - ( alias ( busRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1") ) - ( attribute "BUS_NAME" "VP1" - ( Origin gPackager ) + ( attribute "LIBRARY1" "ieee" + ( Origin gFrontEnd ) ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(0)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(1)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(2)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(3)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(4)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(5)") ) - ( objectStatus "VP1" ) - ) - ( bus "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1" - ( memberType ( signal ) ) - ( objectFlag fObjectAlias ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1(0)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1(1)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1(2)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1(3)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1(4)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1(5)") ) - ( objectStatus "PAGE1_VP1" ) - ) - ( bus "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2" - ( memberType ( signal ) ) - ( alias ( busRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp2") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2(0)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2(1)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2(2)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2(3)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2(4)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2(5)") ) - ( objectStatus "VP2" ) - ) - ( bus "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp2" - ( memberType ( signal ) ) - ( objectFlag fObjectAlias ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp2(0)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp2(1)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp2(2)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp2(3)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp2(4)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp2(5)") ) - ( objectStatus "PAGE1_VP2" ) - ) - ( bus "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vi" - ( memberType ( signal ) ) - ( alias ( busRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vi") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vi(0)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vi(1)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vi(2)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vi(3)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vin_filtered") ) - ( objectStatus "VI" ) - ) - ( bus "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vi" - ( memberType ( signal ) ) - ( objectFlag fObjectAlias ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vi(0)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vi(1)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vi(2)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vi(3)") ) - ( objectStatus "PAGE1_VI" ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "USE1" "ieee.std_logic_1164.all" + ( Origin gFrontEnd ) + ) + ( attribute "USE2" "work.all" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(5400,-350)" + ( Origin gFrontEnd ) + ) + ( objectStatus "\page1_i78 (fmc_tlu_diode_clamp_b)\" ) ) ) ) diff --git a/circuit_board/Cadence/worklib/fmc_tlu_toplevel_c/sch_1/fmc_tlu_toplevel_c.dcf,2 b/circuit_board/Cadence/worklib/fmc_tlu_toplevel_c/sch_1/fmc_tlu_toplevel_c.dcf,2 index 9df670f3c7fafed90b2c0771bbe8eb706abdce78..55cfcb94cdeb9442bb3d82f6164566832cc28a47 100755 --- a/circuit_board/Cadence/worklib/fmc_tlu_toplevel_c/sch_1/fmc_tlu_toplevel_c.dcf,2 +++ b/circuit_board/Cadence/worklib/fmc_tlu_toplevel_c/sch_1/fmc_tlu_toplevel_c.dcf,2 @@ -7,7 +7,7 @@ ( 16.6 ) ) ( revisionNumber - ( logicalViewRevNum 171 ) + ( logicalViewRevNum 181 ) ( physicalViewRevNum 6 ) ( otherViewRevNum 0 ) ) @@ -541,6 +541,22 @@ ( Analysis ) ) + ( Attribute + ( Name "DIELECTRIC" ) + ( Description " " ) + ( Value + ( DataType ( dString ) ) + ( Status sProperty sPackage ) + ) + ( Objects + ( ValidObjects oGate oGateDefn oBlock oPart oDesign oSystem oPartDefn ) + ( NoInherit + ( oGate oPin ) + ) + ) + ( Analysis + ) + ) ( WorkbookCustomizations ( GridConfigurationVersion ( 16.5 ) @@ -1724,7 +1740,6 @@ ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):gnd_signal" ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_gnd_signal") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_gnd_signal") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_gnd_signal") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):a0") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):a1") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):gnd_signal") ) @@ -1733,10 +1748,8 @@ ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):gnd_signal") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):gnd") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):gnd_signal") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):gnd_signal") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):gnd_signal") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):gnd_signal") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):gnd_signal") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):gnd_signal") ) ( attribute "WEIGHT" "0" ( Status sBlockFlattened ) ( Origin gBackEnd ) @@ -1759,10 +1772,6 @@ ( objectFlag fObjectAlias ) ( objectStatus "page2_gnd_signal" ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_gnd_signal" - ( objectFlag fObjectAlias ) - ( objectStatus "page4_gnd_signal" ) - ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):a0" ( objectFlag fObjectAlias ) ( objectStatus "a0" ) @@ -1797,60 +1806,27 @@ ( objectFlag fObjectAlias ) ( objectStatus "gnd_signal" ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):gnd_signal" - ( objectFlag fObjectAlias ) - ( objectStatus "gnd_signal" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):gnd_signal" - ( objectFlag fObjectAlias ) - ( objectStatus "gnd_signal" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):gnd_signal" - ( objectFlag fObjectAlias ) - ( objectStatus "gnd_signal" ) - ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):gnd_signal" ( objectFlag fObjectAlias ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):busy2" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig5") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_busy2") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(12)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(12)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(12)") ) - ( attribute "CDS_PHYS_NET_NAME" "BUSY2" - ( Origin gPackager ) - ) - ( attribute "PNN" "FMC_LA<7>" - ( Status sAliasFlattened ) - ( Status sAliasConflict ) - ( Origin gPackager ) - ) - ( attribute "PHYS_NET_NAME" "BUSY2<10>" - ( Origin gPackager ) - ) - ( attribute "DIFFERENTIAL_PAIR" "CONT1" - ( Origin gFrontEnd ) - ) - ( objectStatus "BUSY2" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig5" - ( objectFlag fObjectAlias ) - ( objectStatus "sig5" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_busy2" + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):gnd_signal" ( objectFlag fObjectAlias ) - ( objectStatus "page1_busy2" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(12)" ( objectFlag fObjectAlias ) ( objectStatus "page1_fmc_la<12>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(12)" - ( attribute "BUS_NAME" "FMC_LA" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(12)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(12)") ) + ( attribute "DIFFERENTIAL_PAIR" "BUSY2" + ( Status sResolvedConflict ) ( Origin gFrontEnd ) ) - ( objectFlag fObjectAlias ) + ( attribute "PNN" "FMC_LA<12>" + ( Status sAliasFlattened ) + ( Origin gPackager ) + ) ( objectStatus "FMC_LA<12>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(12)" @@ -1899,7 +1875,7 @@ ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(10)" ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(10)") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(10)") ) - ( attribute "DIFFERENTIAL_PAIR" "TRIG2" + ( attribute "DIFFERENTIAL_PAIR" "FMC_LA10" ( Origin gFrontEnd ) ) ( attribute "PNN" "FMC_LA<10>" @@ -1915,12 +1891,6 @@ ( objectStatus "FMC_LA<10>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(10)" - ( attribute "PNN" "FMC_LA<10>" - ( Origin gPackager ) - ) - ( attribute "DIFFERENTIAL_PAIR" "TRIG2" - ( Origin gPackager ) - ) ( objectFlag fObjectAlias ) ( objectStatus "FMC_LA<10>" ) ) @@ -1929,19 +1899,21 @@ ( objectStatus "page1_fmc_la<7>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(7)" - ( attribute "BUS_NAME" "FMC_LA" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(7)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(7)") ) + ( attribute "DIFFERENTIAL_PAIR" "FMC_LA7" ( Origin gFrontEnd ) ) - ( objectFlag fObjectAlias ) + ( attribute "PNN" "FMC_LA<7>" + ( Status sAliasFlattened ) + ( Origin gPackager ) + ) ( objectStatus "FMC_LA<7>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(7)" ( attribute "PNN" "FMC_LA<7>" ( Origin gPackager ) ) - ( attribute "DIFFERENTIAL_PAIR" "BEAM_TRIGGER3" - ( Origin gPackager ) - ) ( objectFlag fObjectAlias ) ( objectStatus "FMC_LA<7>" ) ) @@ -1952,10 +1924,6 @@ ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):p2v5") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):p2v5") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):p2v5") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_p2v5") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):p2v5") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):p2v5") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):p2v5") ) ( attribute "CDS_PHYS_NET_NAME" "P2V5" ( Origin gPackager ) ) @@ -2004,27 +1972,11 @@ ( objectFlag fObjectAlias ) ( objectStatus "p2v5" ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_p2v5" - ( objectFlag fObjectAlias ) - ( objectStatus "page4_p2v5" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):p2v5" - ( objectFlag fObjectAlias ) - ( objectStatus "p2v5" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):p2v5" - ( objectFlag fObjectAlias ) - ( objectStatus "p2v5" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):p2v5" - ( objectFlag fObjectAlias ) - ( objectStatus "p2v5" ) - ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):p3v3" ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_p3v3") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):p3v3") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):p3v3") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_p3v3") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):p3v3") ) ( attribute "CDS_PHYS_NET_NAME" "P3V3" ( Origin gPackager ) ) @@ -2032,6 +1984,11 @@ ( Status sAliasFlattened ) ( Origin gPackager ) ) + ( attribute "PHYS_NET_NAME" "P3V3" + ( Status sBlockFlattened ) + ( Status sAliasFlattened ) + ( Origin gPackager ) + ) ( objectStatus "P3V3" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_p3v3" @@ -2046,9 +2003,8 @@ ( objectFlag fObjectAlias ) ( objectStatus "p3v3" ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_p3v3" + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):p3v3" ( objectFlag fObjectAlias ) - ( objectStatus "page4_p3v3" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):scl" ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):iic_scl_main") ) @@ -2102,9 +2058,6 @@ ( attribute "PNN" "FMC_LA<0>" ( Origin gPackager ) ) - ( attribute "DIFFERENTIAL_PAIR" "BEAM_TRIGGER_CFD0" - ( Origin gPackager ) - ) ( objectFlag fObjectAlias ) ( objectStatus "FMC_LA<0>" ) ) @@ -2112,9 +2065,6 @@ ( attribute "PNN" "FMC_LA<1>" ( Origin gPackager ) ) - ( attribute "DIFFERENTIAL_PAIR" "BEAM_TRIGGER0" - ( Origin gPackager ) - ) ( objectFlag fObjectAlias ) ( objectStatus "FMC_LA<1>" ) ) @@ -2142,9 +2092,6 @@ ( attribute "PNN" "FMC_LA<4>" ( Origin gPackager ) ) - ( attribute "DIFFERENTIAL_PAIR" "BEAM_TRIGGER_CFD2" - ( Origin gPackager ) - ) ( objectFlag fObjectAlias ) ( objectStatus "FMC_LA<4>" ) ) @@ -2152,9 +2099,6 @@ ( attribute "PNN" "FMC_LA<5>" ( Origin gPackager ) ) - ( attribute "DIFFERENTIAL_PAIR" "BEAM_TRIGGER2" - ( Origin gPackager ) - ) ( objectFlag fObjectAlias ) ( objectStatus "FMC_LA<5>" ) ) @@ -2221,12 +2165,6 @@ ( objectStatus "FMC_LA<16>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(17)" - ( attribute "PNN" "FMC_LA<17>" - ( Origin gPackager ) - ) - ( attribute "DIFFERENTIAL_PAIR" "SPARE2" - ( Origin gPackager ) - ) ( objectFlag fObjectAlias ) ( objectStatus "FMC_LA<17>" ) ) @@ -2354,9 +2292,6 @@ ( attribute "PNN" "FMC_LA*<0>" ( Origin gPackager ) ) - ( attribute "DIFFERENTIAL_PAIR" "BEAM_TRIGGER_CFD0" - ( Origin gPackager ) - ) ( objectFlag fObjectAlias ) ( objectStatus "FMC_LA*<0>" ) ) @@ -2364,9 +2299,6 @@ ( attribute "PNN" "FMC_LA*<1>" ( Origin gPackager ) ) - ( attribute "DIFFERENTIAL_PAIR" "BEAM_TRIGGER0" - ( Origin gPackager ) - ) ( objectFlag fObjectAlias ) ( objectStatus "FMC_LA*<1>" ) ) @@ -2394,9 +2326,6 @@ ( attribute "PNN" "FMC_LA*<4>" ( Origin gPackager ) ) - ( attribute "DIFFERENTIAL_PAIR" "BEAM_TRIGGER_CFD2" - ( Origin gPackager ) - ) ( objectFlag fObjectAlias ) ( objectStatus "FMC_LA*<4>" ) ) @@ -2424,9 +2353,6 @@ ( attribute "PNN" "FMC_LA*<7>" ( Origin gPackager ) ) - ( attribute "DIFFERENTIAL_PAIR" "BEAM_TRIGGER3" - ( Origin gPackager ) - ) ( objectFlag fObjectAlias ) ( objectStatus "FMC_LA*<7>" ) ) @@ -2448,12 +2374,6 @@ ( objectStatus "FMC_LA*<9>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(10)" - ( attribute "PNN" "FMC_LA*<10>" - ( Origin gPackager ) - ) - ( attribute "DIFFERENTIAL_PAIR" "TRIG2" - ( Origin gPackager ) - ) ( objectFlag fObjectAlias ) ( objectStatus "FMC_LA*<10>" ) ) @@ -2465,9 +2385,6 @@ ( attribute "PNN" "FMC_LA*<12>" ( Origin gPackager ) ) - ( attribute "DIFFERENTIAL_PAIR" "FMC_LA16" - ( Origin gPackager ) - ) ( objectFlag fObjectAlias ) ( objectStatus "FMC_LA*<12>" ) ) @@ -2489,9 +2406,6 @@ ( attribute "PNN" "FMC_LA*<15>" ( Origin gPackager ) ) - ( attribute "DIFFERENTIAL_PAIR" "BUSY1" - ( Origin gPackager ) - ) ( objectFlag fObjectAlias ) ( objectStatus "FMC_LA*<15>" ) ) @@ -2503,12 +2417,6 @@ ( objectStatus "FMC_LA*<16>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(17)" - ( attribute "PNN" "FMC_LA*<17>" - ( Origin gPackager ) - ) - ( attribute "DIFFERENTIAL_PAIR" "SPARE2" - ( Origin gPackager ) - ) ( objectFlag fObjectAlias ) ( objectStatus "FMC_LA*<17>" ) ) @@ -2806,10 +2714,19 @@ ( objectStatus "page1_fmc_la<2>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(2)" - ( attribute "BUS_NAME" "FMC_LA" - ( Origin gFrontEnd ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(2)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(2)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_trig_from_fpga(0)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):trig_from_fpga(0)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):trig_from_fpga") ) + ( attribute "PNN" "FMC_LA<2>" + ( Status sAliasFlattened ) + ( Origin gPackager ) + ) + ( attribute "DIFFERENTIAL_PAIR" "BEAM_TRIGGER_CFD1" + ( Status sAliasFlattened ) + ( Origin gPackager ) ) - ( objectFlag fObjectAlias ) ( objectStatus "fmc_la<2>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(1)" @@ -2819,19 +2736,22 @@ ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(1)" ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(1)") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(1)") ) - ( attribute "DIFFERENTIAL_PAIR" "BEAM_TRIGGER0" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_spare_from_fpga(0)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):spare_from_fpga(0)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):spare_from_fpga") ) + ( attribute "DIFFERENTIAL_PAIR" "FMC_LA1" ( Origin gFrontEnd ) ) - ( attribute "PNN" "FMC_LA<1>" - ( Status sAliasFlattened ) - ( Origin gPackager ) - ) ( attribute "PHYS_NET_NAME" "FMC_LA<1>" ( Origin gPackager ) ) ( attribute "CDS_PHYS_NET_NAME" "FMC_LA<1>" ( Origin gPackager ) ) + ( attribute "PNN" "FMC_LA<1>" + ( Status sAliasFlattened ) + ( Origin gPackager ) + ) ( objectStatus "FMC_LA<1>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):beam_trigger(1)" @@ -2955,13 +2875,11 @@ ( objectStatus "page1_fmc_la<11>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(11)" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(11)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(11)") ) ( attribute "PNN" "FMC_LA<11>" ( Origin gPackager ) ) - ( attribute "BUS_NAME" "FMC_LA" - ( Origin gFrontEnd ) - ) - ( objectFlag fObjectAlias ) ( objectStatus "FMC_LA<11>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(8)" @@ -2969,10 +2887,15 @@ ( objectStatus "page1_fmc_la<8>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(8)" - ( attribute "BUS_NAME" "FMC_LA" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(8)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(8)") ) + ( attribute "DIFFERENTIAL_PAIR" "CONT2" ( Origin gFrontEnd ) ) - ( objectFlag fObjectAlias ) + ( attribute "PNN" "FMC_LA<8>" + ( Status sAliasFlattened ) + ( Origin gPackager ) + ) ( objectStatus "FMC_LA<8>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(5)" @@ -2982,19 +2905,22 @@ ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(5)" ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(5)") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(5)") ) - ( attribute "DIFFERENTIAL_PAIR" "BEAM_TRIGGER2" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_hdmi_power_enable(0)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):hdmi_power_enable(0)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):hdmi_power_enable") ) + ( attribute "DIFFERENTIAL_PAIR" "FMC_LA5" ( Origin gFrontEnd ) ) - ( attribute "PNN" "FMC_LA<5>" - ( Status sAliasFlattened ) - ( Origin gPackager ) - ) ( attribute "PHYS_NET_NAME" "FMC_LA<5>" ( Origin gPackager ) ) ( attribute "CDS_PHYS_NET_NAME" "FMC_LA<5>" ( Origin gPackager ) ) + ( attribute "PNN" "FMC_LA<5>" + ( Status sAliasFlattened ) + ( Origin gPackager ) + ) ( objectStatus "FMC_LA<5>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):beam_trigger(3)" @@ -3042,10 +2968,12 @@ ( objectStatus "page1_fmc_la<16>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(16)" - ( attribute "BUS_NAME" "FMC_LA" - ( Origin gFrontEnd ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(16)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(16)") ) + ( attribute "PNN" "FMC_LA<16>" + ( Status sAliasFlattened ) + ( Origin gPackager ) ) - ( objectFlag fObjectAlias ) ( objectStatus "FMC_LA<16>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\beam_trigger*\(0)" @@ -3104,10 +3032,19 @@ ( objectStatus "page1_fmc_la*<2>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(2)" - ( attribute "BUS_NAME" "FMC_LA*" - ( Origin gFrontEnd ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(2)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(2)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_trig_to_fpga(0)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):trig_to_fpga(0)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):trig_to_fpga") ) + ( attribute "PNN" "FMC_LA*<2>" + ( Status sAliasFlattened ) + ( Origin gPackager ) + ) + ( attribute "DIFFERENTIAL_PAIR" "BEAM_TRIGGER_CFD1" + ( Status sAliasFlattened ) + ( Origin gPackager ) ) - ( objectFlag fObjectAlias ) ( objectStatus "fmc_la*<2>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(1)" @@ -3117,20 +3054,23 @@ ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(1)" ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(1)") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(1)") ) - ( attribute "DIFFERENTIAL_PAIR" "BEAM_TRIGGER0" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_spare_to_fpga(0)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):spare_to_fpga(0)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):spare_to_fpga") ) + ( attribute "DIFFERENTIAL_PAIR" "FMC_LA1" ( Status sResolvedConflict ) ( Origin gFrontEnd ) ) - ( attribute "PNN" "FMC_LA*<1>" - ( Status sAliasFlattened ) - ( Origin gPackager ) - ) ( attribute "PHYS_NET_NAME" "FMC_LA*<1>" ( Origin gPackager ) ) ( attribute "CDS_PHYS_NET_NAME" "FMC_LA*<1>" ( Origin gPackager ) ) + ( attribute "PNN" "FMC_LA*<1>" + ( Status sAliasFlattened ) + ( Origin gPackager ) + ) ( objectStatus "FMC_LA*<1>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\beam_trigger*\(1)" @@ -3167,21 +3107,16 @@ ( objectStatus "page1_fmc_la*<4>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(4)" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(4)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(4)") ) - ( attribute "DIFFERENTIAL_PAIR" "BEAM_TRIGGER_CFD2" - ( Origin gFrontEnd ) - ) - ( attribute "PNN" "FMC_LA*<4>" - ( Status sAliasFlattened ) - ( Origin gPackager ) - ) ( attribute "PHYS_NET_NAME" "FMC_LA*<4>" ( Origin gPackager ) ) ( attribute "CDS_PHYS_NET_NAME" "FMC_LA*<4>" ( Origin gPackager ) ) + ( attribute "BUS_NAME" "FMC_LA*" + ( Origin gFrontEnd ) + ) + ( objectFlag fObjectAlias ) ( objectStatus "FMC_LA*<4>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(3)" @@ -3262,13 +3197,11 @@ ( objectStatus "page1_fmc_la*<11>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(11)" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(11)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(11)") ) ( attribute "PNN" "FMC_LA*<11>" ( Origin gPackager ) ) - ( attribute "BUS_NAME" "FMC_LA*" - ( Origin gFrontEnd ) - ) - ( objectFlag fObjectAlias ) ( objectStatus "FMC_LA*<11>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(8)" @@ -3276,10 +3209,15 @@ ( objectStatus "page1_fmc_la*<8>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(8)" - ( attribute "BUS_NAME" "FMC_LA*" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(8)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(8)") ) + ( attribute "DIFFERENTIAL_PAIR" "CONT2" ( Origin gFrontEnd ) ) - ( objectFlag fObjectAlias ) + ( attribute "PNN" "FMC_LA*<8>" + ( Status sAliasFlattened ) + ( Origin gPackager ) + ) ( objectStatus "FMC_LA*<8>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(12)" @@ -3287,10 +3225,15 @@ ( objectStatus "page1_fmc_la*<12>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(12)" - ( attribute "BUS_NAME" "FMC_LA*" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(12)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(12)") ) + ( attribute "DIFFERENTIAL_PAIR" "FMC_LA12" ( Origin gFrontEnd ) ) - ( objectFlag fObjectAlias ) + ( attribute "PNN" "FMC_LA*<12>" + ( Status sAliasFlattened ) + ( Origin gPackager ) + ) ( objectStatus "FMC_LA*<12>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(23)" @@ -3382,10 +3325,15 @@ ( objectStatus "page1_fmc_la*<7>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(7)" - ( attribute "BUS_NAME" "FMC_LA*" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(7)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(7)") ) + ( attribute "DIFFERENTIAL_PAIR" "FMC_LA7" ( Origin gFrontEnd ) ) - ( objectFlag fObjectAlias ) + ( attribute "PNN" "FMC_LA*<7>" + ( Status sAliasFlattened ) + ( Origin gPackager ) + ) ( objectStatus "FMC_LA*<7>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(16)" @@ -3393,10 +3341,15 @@ ( objectStatus "page1_fmc_la*<16>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(16)" - ( attribute "BUS_NAME" "FMC_LA*" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(16)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(16)") ) + ( attribute "DIFFERENTIAL_PAIR" "TRIG2" ( Origin gFrontEnd ) ) - ( objectFlag fObjectAlias ) + ( attribute "PNN" "FMC_LA*<16>" + ( Status sAliasFlattened ) + ( Origin gPackager ) + ) ( objectStatus "FMC_LA*<16>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\beam_trigger_cfd*\(3)" @@ -3448,10 +3401,16 @@ ( objectStatus "page1_fmc_la*<27>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(27)" - ( attribute "BUS_NAME" "FMC_LA*" - ( Origin gFrontEnd ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(27)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(27)") ) + ( attribute "PNN" "FMC_LA*<27>" + ( Status sAliasFlattened ) + ( Origin gPackager ) + ) + ( attribute "DIFFERENTIAL_PAIR" "FMC_LA32" + ( Status sAliasFlattened ) + ( Origin gPackager ) ) - ( objectFlag fObjectAlias ) ( objectStatus "FMC_LA*<27>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(27)" @@ -3459,10 +3418,16 @@ ( objectStatus "page1_fmc_la<27>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(27)" - ( attribute "BUS_NAME" "FMC_LA" - ( Origin gFrontEnd ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(27)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(27)") ) + ( attribute "PNN" "FMC_LA<27>" + ( Status sAliasFlattened ) + ( Origin gPackager ) + ) + ( attribute "DIFFERENTIAL_PAIR" "FMC_LA32" + ( Status sAliasFlattened ) + ( Origin gPackager ) ) - ( objectFlag fObjectAlias ) ( objectStatus "FMC_LA<27>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(6)" @@ -3532,10 +3497,12 @@ ( objectStatus "page1_fmc_la*<18>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(18)" - ( attribute "BUS_NAME" "FMC_LA*" - ( Origin gFrontEnd ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(18)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(18)") ) + ( attribute "PNN" "FMC_LA*<18>" + ( Status sAliasFlattened ) + ( Origin gPackager ) ) - ( objectFlag fObjectAlias ) ( objectStatus "fmc_la*<18>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(18)" @@ -3543,10 +3510,12 @@ ( objectStatus "page1_fmc_la<18>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(18)" - ( attribute "BUS_NAME" "FMC_LA" - ( Origin gFrontEnd ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(18)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(18)") ) + ( attribute "PNN" "FMC_LA<18>" + ( Status sAliasFlattened ) + ( Origin gPackager ) ) - ( objectFlag fObjectAlias ) ( objectStatus "fmc_la<18>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):beam_trigger_cfd(3)" @@ -3668,10 +3637,12 @@ ( objectStatus "page1_fmc_la*<14>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(14)" - ( attribute "BUS_NAME" "FMC_LA*" - ( Origin gFrontEnd ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(14)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(14)") ) + ( attribute "PNN" "FMC_LA*<14>" + ( Status sAliasFlattened ) + ( Origin gPackager ) ) - ( objectFlag fObjectAlias ) ( objectStatus "fmc_la*<14>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(14)" @@ -3679,10 +3650,12 @@ ( objectStatus "page1_fmc_la<14>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(14)" - ( attribute "BUS_NAME" "FMC_LA" - ( Origin gFrontEnd ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(14)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(14)") ) + ( attribute "PNN" "FMC_LA<14>" + ( Status sAliasFlattened ) + ( Origin gPackager ) ) - ( objectFlag fObjectAlias ) ( objectStatus "fmc_la<14>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):beam_trigger_cfd(2)" @@ -3756,22 +3729,16 @@ ( objectStatus "page1_fmc_la*<0>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(0)" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(0)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(0)") ) - ( attribute "PNN" "FMC_LA*<0>" - ( Status sAliasFlattened ) - ( Origin gPackager ) - ) - ( attribute "DIFFERENTIAL_PAIR" "BEAM_TRIGGER_CFD0" - ( Status sAliasFlattened ) - ( Origin gPackager ) - ) ( attribute "PHYS_NET_NAME" "FMC_LA*<0>" ( Origin gPackager ) ) ( attribute "CDS_PHYS_NET_NAME" "FMC_LA*<0>" ( Origin gPackager ) ) + ( attribute "BUS_NAME" "FMC_LA*" + ( Origin gFrontEnd ) + ) + ( objectFlag fObjectAlias ) ( objectStatus "FMC_LA*<0>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):beam_trigger_cfd(1)" @@ -4075,10 +4042,10 @@ ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):p5v") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):p5v") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):p5v") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_p5v") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_p5v") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_p5v") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):\+5v_out\") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):p5v") ) ( attribute "CDS_PHYS_NET_NAME" "P5V" ( Origin gPackager ) ) @@ -4130,10 +4097,6 @@ ( objectFlag fObjectAlias ) ( objectStatus "p5v" ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_p5v" - ( objectFlag fObjectAlias ) - ( objectStatus "page4_p5v" ) - ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_p5v" ( objectFlag fObjectAlias ) ( objectStatus "page2_p5v" ) @@ -4145,11 +4108,8 @@ ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):\+5v_out\" ( objectFlag fObjectAlias ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):unnamed_4_capcersmdcl2_i9_b" - ( attribute "CDS_PHYS_NET_NAME" "UNNAMED_4_CAPCERSMDCL2_I9_B" - ( Origin gPackager ) - ) - ( objectStatus "UNNAMED_4_CAPCERSMDCL2_I9_B" ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):p5v" + ( objectFlag fObjectAlias ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):unnamed_1_ad5665r_i63_voutb" ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i32@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):\vin+\") ) @@ -4162,12 +4122,6 @@ ( objectFlag fObjectAlias ) ( objectStatus "vin+" ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):unnamed_4_capcersmdcl2_i8_b" - ( attribute "CDS_PHYS_NET_NAME" "UNNAMED_4_CAPCERSMDCL2_I8_B" - ( Origin gPackager ) - ) - ( objectStatus "UNNAMED_4_CAPCERSMDCL2_I8_B" ) - ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):unnamed_1_ad5665r_i63_voutc" ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i31@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):\vin+\") ) ( attribute "CDS_PHYS_NET_NAME" "UNNAMED_1_AD5665R_I63_VOUTC" @@ -4458,41 +4412,6 @@ ) ( objectStatus "IN<3>" ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):frame" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_frame") ) - ( attribute "CDS_PHYS_NET_NAME" "FRAME" - ( Origin gPackager ) - ) - ( objectStatus "FRAME" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_frame" - ( objectFlag fObjectAlias ) - ( objectStatus "page4_frame" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):gnd_hdmi1" - ( attribute "CDS_PHYS_NET_NAME" "GND_HDMI1" - ( Origin gPackager ) - ) - ( objectStatus "GND_HDMI1" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):gnd_hdmi2" - ( attribute "CDS_PHYS_NET_NAME" "GND_HDMI2" - ( Origin gPackager ) - ) - ( objectStatus "GND_HDMI2" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):unnamed_4_capcersmdcl2_i19_b" - ( attribute "CDS_PHYS_NET_NAME" "UNNAMED_4_CAPCERSMDCL2_I19_B" - ( Origin gPackager ) - ) - ( objectStatus "UNNAMED_4_CAPCERSMDCL2_I19_B" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):unnamed_4_capcersmdcl2_i22_b" - ( attribute "CDS_PHYS_NET_NAME" "UNNAMED_4_CAPCERSMDCL2_I22_B" - ( Origin gPackager ) - ) - ( objectStatus "UNNAMED_4_CAPCERSMDCL2_I22_B" ) - ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):unnamed_1_ad5665r_i63_voutd" ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i30@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):\vin+\") ) ( attribute "CDS_PHYS_NET_NAME" "UNNAMED_1_AD5665R_I63_VOUTD" @@ -4511,70 +4430,18 @@ ) ( objectStatus "UNNAMED_1_AD5665R_I63_VOUTA" ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\cont0*\" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig4") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_cont0*\") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(22)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(22)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(22)") ) - ( attribute "CDS_PHYS_NET_NAME" "CONT0*" - ( Origin gPackager ) - ) - ( attribute "PNN" "FMC_LA*<33>" - ( Status sAliasFlattened ) - ( Status sAliasConflict ) - ( Origin gPackager ) - ) - ( attribute "PHYS_NET_NAME" "CONT0*<32>" - ( Origin gPackager ) - ) - ( attribute "DIFFERENTIAL_PAIR" "FMC_LA22" - ( Origin gFrontEnd ) - ) - ( objectStatus "CONT0*" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig4" - ( objectFlag fObjectAlias ) - ( objectStatus "sig4" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_cont0*\" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_cont0*" ) - ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(22)" ( objectFlag fObjectAlias ) ( objectStatus "page1_fmc_la*<22>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(22)" - ( attribute "BUS_NAME" "FMC_LA*" - ( Origin gFrontEnd ) - ) - ( objectFlag fObjectAlias ) - ( objectStatus "FMC_LA*<22>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_trig1*\" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_trig1*" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\trig1*\" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_trig1*\") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(3)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(3)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(3)") ) - ( attribute "PNN" "FMC_LA*<32>" - ( Status sResolvedConflict ) - ( Origin gPackager ) - ) - ( attribute "PHYS_NET_NAME" "TRIG1*" - ( Origin gPackager ) - ) - ( attribute "CDS_PHYS_NET_NAME" "TRIG1*" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(22)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(22)") ) + ( attribute "PNN" "FMC_LA*<22>" + ( Status sAliasFlattened ) ( Origin gPackager ) ) - ( attribute "DIFFERENTIAL_PAIR" "BEAM_TRIGGER1" - ( Origin gFrontEnd ) - ) - ( objectStatus "TRIG1*" ) + ( objectStatus "FMC_LA*<22>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(26)" ( objectFlag fObjectAlias ) @@ -4620,100 +4487,18 @@ ) ( objectStatus "FMC_LA<26>" ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):cont0" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig3") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_cont0") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(22)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(22)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(22)") ) - ( attribute "CDS_PHYS_NET_NAME" "CONT0" - ( Origin gPackager ) - ) - ( attribute "PNN" "FMC_LA<33>" - ( Status sAliasFlattened ) - ( Status sAliasConflict ) - ( Origin gPackager ) - ) - ( attribute "PHYS_NET_NAME" "CONT0<32>" - ( Origin gPackager ) - ) - ( attribute "DIFFERENTIAL_PAIR" "FMC_LA22" - ( Origin gFrontEnd ) - ) - ( objectStatus "CONT0" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig3" - ( objectFlag fObjectAlias ) - ( objectStatus "sig3" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_cont0" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_cont0" ) - ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(22)" ( objectFlag fObjectAlias ) ( objectStatus "page1_fmc_la<22>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(22)" - ( attribute "BUS_NAME" "FMC_LA" - ( Origin gFrontEnd ) - ) - ( objectFlag fObjectAlias ) - ( objectStatus "FMC_LA<22>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_trig1" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_trig1" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):trig1" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_trig1") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(3)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(3)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(3)") ) - ( attribute "PNN" "FMC_LA<32>" - ( Status sResolvedConflict ) - ( Origin gPackager ) - ) - ( attribute "PHYS_NET_NAME" "TRIG1" - ( Origin gPackager ) - ) - ( attribute "CDS_PHYS_NET_NAME" "TRIG1" - ( Origin gPackager ) - ) - ( attribute "DIFFERENTIAL_PAIR" "BEAM_TRIGGER1" - ( Origin gFrontEnd ) - ) - ( objectStatus "TRIG1" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\clk1*\" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig3") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_clk1*\") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(27)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(27)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(27)") ) - ( attribute "CDS_PHYS_NET_NAME" "CLK1*" - ( Origin gPackager ) - ) - ( attribute "PNN" "FMC_LA*<21>" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(22)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(22)") ) + ( attribute "PNN" "FMC_LA<22>" ( Status sAliasFlattened ) - ( Status sAliasConflict ) ( Origin gPackager ) ) - ( attribute "PHYS_NET_NAME" "CLK1*<17>" - ( Origin gPackager ) - ) - ( attribute "DIFFERENTIAL_PAIR" "FMC_LA32" - ( Origin gFrontEnd ) - ) - ( objectStatus "CLK1*" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig3" - ( objectFlag fObjectAlias ) - ( objectStatus "sig3" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_clk1*\" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_clk1*" ) + ( objectStatus "FMC_LA<22>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(17)" ( objectFlag fObjectAlias ) @@ -4722,7 +4507,7 @@ ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(17)" ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(17)") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(17)") ) - ( attribute "DIFFERENTIAL_PAIR" "SPARE2" + ( attribute "DIFFERENTIAL_PAIR" "FMC_LA17" ( Origin gFrontEnd ) ) ( attribute "PNN" "FMC_LA*<17>" @@ -4742,10 +4527,12 @@ ( objectStatus "page1_fmc_la*<21>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(21)" - ( attribute "BUS_NAME" "FMC_LA*" - ( Origin gFrontEnd ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(21)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(21)") ) + ( attribute "PNN" "FMC_LA*<21>" + ( Status sAliasFlattened ) + ( Origin gPackager ) ) - ( objectFlag fObjectAlias ) ( objectStatus "FMC_LA*<21>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(21)" @@ -4753,41 +4540,13 @@ ( objectStatus "page1_fmc_la<21>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(21)" - ( attribute "BUS_NAME" "FMC_LA" - ( Origin gFrontEnd ) - ) - ( objectFlag fObjectAlias ) - ( objectStatus "FMC_LA<21>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):clk1" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig2") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_clk1") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(27)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(27)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(27)") ) - ( attribute "CDS_PHYS_NET_NAME" "CLK1" - ( Origin gPackager ) - ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(21)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(21)") ) ( attribute "PNN" "FMC_LA<21>" ( Status sAliasFlattened ) - ( Status sAliasConflict ) - ( Origin gPackager ) - ) - ( attribute "PHYS_NET_NAME" "CLK1<17>" ( Origin gPackager ) ) - ( attribute "DIFFERENTIAL_PAIR" "FMC_LA32" - ( Origin gFrontEnd ) - ) - ( objectStatus "CLK1" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig2" - ( objectFlag fObjectAlias ) - ( objectStatus "sig2" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_clk1" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_clk1" ) + ( objectStatus "FMC_LA<21>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(17)" ( objectFlag fObjectAlias ) @@ -4796,7 +4555,7 @@ ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(17)" ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(17)") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(17)") ) - ( attribute "DIFFERENTIAL_PAIR" "SPARE2" + ( attribute "DIFFERENTIAL_PAIR" "FMC_LA17" ( Origin gFrontEnd ) ) ( attribute "PNN" "FMC_LA<17>" @@ -4811,36 +4570,6 @@ ) ( objectStatus "FMC_LA<17>" ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\busy1*\" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig4") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_busy1*\") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(14)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(14)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(14)") ) - ( attribute "CDS_PHYS_NET_NAME" "BUSY1*" - ( Origin gPackager ) - ) - ( attribute "PNN" "FMC_LA*<28>" - ( Status sAliasFlattened ) - ( Status sAliasConflict ) - ( Origin gPackager ) - ) - ( attribute "PHYS_NET_NAME" "BUSY1*<23>" - ( Origin gPackager ) - ) - ( attribute "DIFFERENTIAL_PAIR" "FMC_LA14" - ( Origin gFrontEnd ) - ) - ( objectStatus "BUSY1*" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig4" - ( objectFlag fObjectAlias ) - ( objectStatus "sig4" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_busy1*\" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_busy1*" ) - ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(10)" ( objectFlag fObjectAlias ) ( objectStatus "page1_fmc_la*<10>" ) @@ -4848,7 +4577,7 @@ ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(10)" ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(10)") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(10)") ) - ( attribute "DIFFERENTIAL_PAIR" "TRIG2" + ( attribute "DIFFERENTIAL_PAIR" "FMC_LA10" ( Origin gFrontEnd ) ) ( attribute "PNN" "FMC_LA*<10>" @@ -4868,41 +4597,16 @@ ( objectStatus "page1_fmc_la*<15>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(15)" - ( attribute "BUS_NAME" "FMC_LA*" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(15)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(15)") ) + ( attribute "DIFFERENTIAL_PAIR" "FMC_LA15" ( Origin gFrontEnd ) ) - ( objectFlag fObjectAlias ) - ( objectStatus "FMC_LA*<15>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):busy1" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig5") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_busy1") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(14)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(14)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(14)") ) - ( attribute "CDS_PHYS_NET_NAME" "BUSY1" - ( Origin gPackager ) - ) - ( attribute "PNN" "FMC_LA<28>" + ( attribute "PNN" "FMC_LA*<15>" ( Status sAliasFlattened ) - ( Status sAliasConflict ) ( Origin gPackager ) ) - ( attribute "PHYS_NET_NAME" "BUSY1<23>" - ( Origin gPackager ) - ) - ( attribute "DIFFERENTIAL_PAIR" "FMC_LA14" - ( Origin gFrontEnd ) - ) - ( objectStatus "BUSY1" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig5" - ( objectFlag fObjectAlias ) - ( objectStatus "sig5" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_busy1" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_busy1" ) + ( objectStatus "FMC_LA*<15>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(15)" ( objectFlag fObjectAlias ) @@ -4926,121 +4630,39 @@ ) ( objectStatus "FMC_LA<15>" ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\busy0*\" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig5") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_busy0*\") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(19)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(19)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(19)") ) - ( attribute "CDS_PHYS_NET_NAME" "BUSY0*" - ( Origin gPackager ) - ) - ( attribute "PNN" "FMC_LA*<6>" - ( Status sAliasFlattened ) - ( Status sAliasConflict ) - ( Origin gPackager ) - ) - ( attribute "DIFFERENTIAL_PAIR" "BEAM_TRIGGER_CFD3" - ( Status sAliasFlattened ) - ( Status sAliasConflict ) - ( Origin gPackager ) - ) - ( attribute "PHYS_NET_NAME" "BUSY0*<31>" - ( Origin gPackager ) - ) - ( objectStatus "BUSY0*" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig5" - ( objectFlag fObjectAlias ) - ( objectStatus "sig5" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_busy0*\" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_busy0*" ) - ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(19)" ( objectFlag fObjectAlias ) ( objectStatus "page1_fmc_la*<19>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(19)" - ( attribute "BUS_NAME" "FMC_LA*" - ( Origin gFrontEnd ) - ) - ( objectFlag fObjectAlias ) - ( objectStatus "FMC_LA*<19>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):busy0" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig2") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_busy0") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(19)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(19)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(19)") ) - ( attribute "CDS_PHYS_NET_NAME" "BUSY0" - ( Origin gPackager ) - ) - ( attribute "PNN" "FMC_LA<6>" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(19)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(19)") ) + ( attribute "PNN" "FMC_LA*<19>" ( Status sAliasFlattened ) - ( Status sAliasConflict ) ( Origin gPackager ) ) - ( attribute "DIFFERENTIAL_PAIR" "BEAM_TRIGGER_CFD3" + ( attribute "DIFFERENTIAL_PAIR" "TRIG1" ( Status sAliasFlattened ) - ( Status sAliasConflict ) - ( Origin gPackager ) - ) - ( attribute "PHYS_NET_NAME" "BUSY0<31>" ( Origin gPackager ) ) - ( objectStatus "BUSY0" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig2" - ( objectFlag fObjectAlias ) - ( objectStatus "sig2" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_busy0" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_busy0" ) + ( objectStatus "FMC_LA*<19>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(19)" ( objectFlag fObjectAlias ) ( objectStatus "page1_fmc_la<19>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(19)" - ( attribute "BUS_NAME" "FMC_LA" - ( Origin gFrontEnd ) - ) - ( objectFlag fObjectAlias ) - ( objectStatus "FMC_LA<19>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\spare1*\" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig1") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_spare1*\") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(8)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(8)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(8)") ) - ( attribute "CDS_PHYS_NET_NAME" "SPARE1*" - ( Origin gPackager ) - ) - ( attribute "PNN" "FMC_LA*<30>" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(19)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(19)") ) + ( attribute "PNN" "FMC_LA<19>" ( Status sAliasFlattened ) - ( Status sAliasConflict ) ( Origin gPackager ) ) - ( attribute "PHYS_NET_NAME" "SPARE1*<26>" + ( attribute "DIFFERENTIAL_PAIR" "TRIG1" + ( Status sAliasFlattened ) ( Origin gPackager ) ) - ( attribute "DIFFERENTIAL_PAIR" "CONT2" - ( Origin gFrontEnd ) - ) - ( objectStatus "SPARE1*" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig1" - ( objectFlag fObjectAlias ) - ( objectStatus "sig1" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_spare1*\" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_spare1*" ) + ( objectStatus "FMC_LA<19>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(9)" ( objectFlag fObjectAlias ) @@ -5069,41 +4691,16 @@ ( objectStatus "page1_fmc_la*<20>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(20)" - ( attribute "BUS_NAME" "FMC_LA*" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(20)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(20)") ) + ( attribute "DIFFERENTIAL_PAIR" "SPARE1" ( Origin gFrontEnd ) ) - ( objectFlag fObjectAlias ) - ( objectStatus "FMC_LA*<20>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):spare1" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig0") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_spare1") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(8)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(8)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(8)") ) - ( attribute "CDS_PHYS_NET_NAME" "SPARE1" - ( Origin gPackager ) - ) - ( attribute "PNN" "FMC_LA<30>" + ( attribute "PNN" "FMC_LA*<20>" ( Status sAliasFlattened ) - ( Status sAliasConflict ) - ( Origin gPackager ) - ) - ( attribute "PHYS_NET_NAME" "SPARE1<26>" ( Origin gPackager ) ) - ( attribute "DIFFERENTIAL_PAIR" "CONT2" - ( Origin gFrontEnd ) - ) - ( objectStatus "SPARE1" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig0" - ( objectFlag fObjectAlias ) - ( objectStatus "sig0" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_spare1" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_spare1" ) + ( objectStatus "FMC_LA*<20>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(9)" ( objectFlag fObjectAlias ) @@ -5132,535 +4729,24 @@ ( objectStatus "page1_fmc_la<20>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(20)" - ( attribute "BUS_NAME" "FMC_LA" - ( Origin gFrontEnd ) - ) - ( objectFlag fObjectAlias ) - ( objectStatus "FMC_LA<20>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):unnamed_4_con19p_i2_a" - ( attribute "CDS_PHYS_NET_NAME" "UNNAMED_4_CON19P_I2_A" - ( Origin gPackager ) - ) - ( objectStatus "UNNAMED_4_CON19P_I2_A" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):cont1" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig6") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_cont1") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(18)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(18)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(18)") ) - ( attribute "CDS_PHYS_NET_NAME" "CONT1" - ( Origin gPackager ) - ) - ( attribute "PNN" "FMC_LA<24>" - ( Status sAliasFlattened ) - ( Status sAliasConflict ) - ( Origin gPackager ) - ) - ( attribute "PHYS_NET_NAME" "CONT1<18>" - ( Origin gPackager ) - ) - ( attribute "DIFFERENTIAL_PAIR" "FMC_LA18" - ( Origin gFrontEnd ) - ) - ( objectStatus "CONT1" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig6" - ( objectFlag fObjectAlias ) - ( objectStatus "sig6" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_cont1" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_cont1" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\cont1*\" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig7") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_cont1*\") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(18)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(18)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(18)") ) - ( attribute "CDS_PHYS_NET_NAME" "CONT1*" - ( Origin gPackager ) - ) - ( attribute "PNN" "FMC_LA*<16>" - ( Status sAliasFlattened ) - ( Status sAliasConflict ) - ( Origin gPackager ) - ) - ( attribute "PHYS_NET_NAME" "CONT1*<18>" - ( Origin gPackager ) - ) - ( attribute "DIFFERENTIAL_PAIR" "FMC_LA18" - ( Origin gFrontEnd ) - ) - ( objectStatus "CONT1*" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig7" - ( objectFlag fObjectAlias ) - ( objectStatus "sig7" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_cont1*\" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_cont1*" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):dut_clk0" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig6") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_dut_clk0") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(21)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(21)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(21)") ) - ( attribute "CDS_PHYS_NET_NAME" "DUT_CLK0" - ( Origin gPackager ) - ) - ( attribute "PNN" "FMC_LA<31>" - ( Status sAliasFlattened ) - ( Status sAliasConflict ) - ( Origin gPackager ) - ) - ( attribute "PHYS_NET_NAME" "DUT_CLK0<33>" - ( Origin gPackager ) - ) - ( attribute "DIFFERENTIAL_PAIR" "FMC_LA21" - ( Origin gFrontEnd ) - ) - ( objectStatus "DUT_CLK0" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig6" - ( objectFlag fObjectAlias ) - ( objectStatus "sig6" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_dut_clk0" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_dut_clk0" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\dut_clk0*\" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig7") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_dut_clk0*\") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(21)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(21)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(21)") ) - ( attribute "CDS_PHYS_NET_NAME" "DUT_CLK0*" - ( Origin gPackager ) - ) - ( attribute "PNN" "FMC_LA*<31>" - ( Status sAliasFlattened ) - ( Status sAliasConflict ) - ( Origin gPackager ) - ) - ( attribute "PHYS_NET_NAME" "DUT_CLK0*<33>" - ( Origin gPackager ) - ) - ( attribute "DIFFERENTIAL_PAIR" "FMC_LA21" - ( Origin gFrontEnd ) - ) - ( objectStatus "DUT_CLK0*" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig7" - ( objectFlag fObjectAlias ) - ( objectStatus "sig7" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_dut_clk0*\" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_dut_clk0*" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):trig0" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig0") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_trig0") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(20)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(20)") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(20)") ) - ( attribute "CDS_PHYS_NET_NAME" "TRIG0" - ( Origin gPackager ) - ) - ( attribute "PNN" "FMC_LA<10>" - ( Status sAliasFlattened ) - ( Status sAliasConflict ) - ( Origin gPackager ) - ) - ( attribute "PHYS_NET_NAME" "TRIG0<30>" - ( Origin gPackager ) - ) - ( attribute "DIFFERENTIAL_PAIR" "SPARE1" - ( Origin gFrontEnd ) - ) - ( objectStatus "TRIG0" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig0" - ( objectFlag fObjectAlias ) - ( objectStatus "sig0" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_trig0" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_trig0" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\trig0*\" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig1") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_trig0*\") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(20)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(20)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(20)") ) - ( attribute "CDS_PHYS_NET_NAME" "TRIG0*" - ( Origin gPackager ) - ) - ( attribute "PNN" "FMC_LA*<10>" - ( Status sAliasFlattened ) - ( Status sAliasConflict ) - ( Origin gPackager ) - ) - ( attribute "PHYS_NET_NAME" "TRIG0*<30>" - ( Origin gPackager ) - ) ( attribute "DIFFERENTIAL_PAIR" "SPARE1" ( Origin gFrontEnd ) ) - ( objectStatus "TRIG0*" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig1" - ( objectFlag fObjectAlias ) - ( objectStatus "sig1" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_trig0*\" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_trig0*" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):hdmi_power_enable1" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_hdmi_power_enable1") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(4)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(4)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(4)") ) - ( attribute "CDS_PHYS_NET_NAME" "HDMI_POWER_ENABLE1" - ( Origin gPackager ) - ) - ( attribute "PHYS_NET_NAME" "HDMI_POWER_ENABLE1<11>" - ( Origin gPackager ) - ) - ( attribute "PNN" "FMC_LA<11>" - ( Status sAliasFlattened ) - ( Status sAliasConflict ) - ( Origin gPackager ) - ) - ( attribute "DIFFERENTIAL_PAIR" "BEAM_TRIGGER_CFD2" - ( Origin gFrontEnd ) - ) - ( objectStatus "HDMI_POWER_ENABLE1" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_hdmi_power_enable1" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_hdmi_power_enable1" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):unnamed_4_74lvc1g07_i28_y" - ( attribute "CDS_PHYS_NET_NAME" "UNNAMED_4_74LVC1G07_I28_Y" - ( Origin gPackager ) - ) - ( objectStatus "UNNAMED_4_74LVC1G07_I28_Y" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\busy2*\" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig4") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_busy2*\") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(12)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(12)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(12)") ) - ( attribute "CDS_PHYS_NET_NAME" "BUSY2*" - ( Origin gPackager ) - ) - ( attribute "PNN" "FMC_LA*<7>" - ( Status sAliasFlattened ) - ( Status sAliasConflict ) - ( Origin gPackager ) - ) - ( attribute "PHYS_NET_NAME" "BUSY2*<10>" - ( Origin gPackager ) - ) - ( attribute "DIFFERENTIAL_PAIR" "CONT1" - ( Status sResolvedConflict ) - ( Origin gFrontEnd ) - ) - ( objectStatus "BUSY2*" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig4" - ( objectFlag fObjectAlias ) - ( objectStatus "sig4" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_busy2*\" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_busy2*" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):clk2" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig2") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_clk2") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(2)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(2)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(2)") ) - ( attribute "CDS_PHYS_NET_NAME" "CLK2" - ( Origin gPackager ) - ) - ( attribute "PNN" "FMC_LA<4>" - ( Status sAliasFlattened ) - ( Status sAliasConflict ) - ( Origin gPackager ) - ) - ( attribute "DIFFERENTIAL_PAIR" "BEAM_TRIGGER_CFD2" - ( Status sAliasFlattened ) - ( Status sAliasConflict ) - ( Origin gPackager ) - ) - ( attribute "PHYS_NET_NAME" "CLK2<6>" - ( Origin gPackager ) - ) - ( objectStatus "CLK2" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig2" - ( objectFlag fObjectAlias ) - ( objectStatus "sig2" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_clk2" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_clk2" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\clk2*\" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig3") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_clk2*\") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(2)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(2)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(2)") ) - ( attribute "CDS_PHYS_NET_NAME" "CLK2*" - ( Origin gPackager ) - ) - ( attribute "PNN" "FMC_LA*<4>" - ( Status sAliasFlattened ) - ( Status sAliasConflict ) - ( Origin gPackager ) - ) - ( attribute "DIFFERENTIAL_PAIR" "BEAM_TRIGGER_CFD2" - ( Status sAliasFlattened ) - ( Status sAliasConflict ) - ( Origin gPackager ) - ) - ( attribute "PHYS_NET_NAME" "CLK2*<6>" - ( Origin gPackager ) - ) - ( objectStatus "CLK2*" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig3" - ( objectFlag fObjectAlias ) - ( objectStatus "sig3" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_clk2*\" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_clk2*" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):cont2" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig6") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_cont2") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(7)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(7)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(7)") ) - ( attribute "CDS_PHYS_NET_NAME" "CONT2" - ( Origin gPackager ) - ) - ( attribute "PNN" "FMC_LA<8>" - ( Status sAliasFlattened ) - ( Status sAliasConflict ) - ( Origin gPackager ) - ) - ( attribute "PHYS_NET_NAME" "CONT2<9>" - ( Origin gPackager ) - ) - ( attribute "DIFFERENTIAL_PAIR" "BEAM_TRIGGER3" - ( Origin gFrontEnd ) - ) - ( objectStatus "CONT2" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig6" - ( objectFlag fObjectAlias ) - ( objectStatus "sig6" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_cont2" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_cont2" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\cont2*\" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig7") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_cont2*\") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(7)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(7)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(7)") ) - ( attribute "CDS_PHYS_NET_NAME" "CONT2*" - ( Origin gPackager ) - ) - ( attribute "PNN" "FMC_LA*<8>" - ( Status sAliasFlattened ) - ( Status sAliasConflict ) - ( Origin gPackager ) - ) - ( attribute "PHYS_NET_NAME" "CONT2*<9>" - ( Origin gPackager ) - ) - ( attribute "DIFFERENTIAL_PAIR" "BEAM_TRIGGER3" - ( Origin gFrontEnd ) - ) - ( objectStatus "CONT2*" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig7" - ( objectFlag fObjectAlias ) - ( objectStatus "sig7" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_cont2*\" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_cont2*" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):hdmi_power_enable2" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_hdmi_power_enable2") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(15)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(15)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(15)") ) - ( attribute "CDS_PHYS_NET_NAME" "HDMI_POWER_ENABLE2" - ( Origin gPackager ) - ) - ( attribute "PHYS_NET_NAME" "HDMI_POWER_ENABLE2<11>" - ( Origin gPackager ) - ) - ( attribute "DIFFERENTIAL_PAIR" "BUSY1" - ( Origin gFrontEnd ) - ) - ( attribute "PNN" "FMC_LA*<15>" - ( Status sAliasFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "HDMI_POWER_ENABLE2" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_hdmi_power_enable2" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_hdmi_power_enable2" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):spare2" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig0") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_spare2") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(11)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(11)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(11)") ) - ( attribute "CDS_PHYS_NET_NAME" "SPARE2" - ( Origin gPackager ) - ) - ( attribute "PNN" "FMC_LA<17>" - ( Status sAliasFlattened ) - ( Status sAliasConflict ) - ( Origin gPackager ) - ) - ( attribute "PHYS_NET_NAME" "SPARE2<13>" - ( Origin gPackager ) - ) - ( attribute "DIFFERENTIAL_PAIR" "FMC_LA11" - ( Origin gFrontEnd ) - ) - ( objectStatus "SPARE2" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig0" - ( objectFlag fObjectAlias ) - ( objectStatus "sig0" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_spare2" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_spare2" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\spare2*\" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig1") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_spare2*\") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(11)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(11)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(11)") ) - ( attribute "CDS_PHYS_NET_NAME" "SPARE2*" - ( Origin gPackager ) - ) - ( attribute "PNN" "FMC_LA*<17>" - ( Status sAliasFlattened ) - ( Status sAliasConflict ) - ( Origin gPackager ) - ) - ( attribute "PHYS_NET_NAME" "SPARE2*<13>" - ( Origin gPackager ) - ) - ( objectStatus "SPARE2*" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig1" - ( objectFlag fObjectAlias ) - ( objectStatus "sig1" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_spare2*\" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_spare2*" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):trig2" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_trig2") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(16)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(16)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(16)") ) - ( attribute "CDS_PHYS_NET_NAME" "TRIG2" - ( Origin gPackager ) - ) - ( attribute "PNN" "FMC_LA<9>" + ( attribute "PNN" "FMC_LA<20>" ( Status sAliasFlattened ) - ( Status sAliasConflict ) - ( Origin gPackager ) - ) - ( attribute "PHYS_NET_NAME" "TRIG2<14>" ( Origin gPackager ) ) - ( attribute "DIFFERENTIAL_PAIR" "FMC_LA16" - ( Origin gFrontEnd ) - ) - ( objectStatus "TRIG2" ) + ( objectStatus "FMC_LA<20>" ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_trig2" + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_hdmi_power_enable(0)" ( objectFlag fObjectAlias ) - ( objectStatus "page1_trig2" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\trig2*\" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_trig2*\") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(16)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(16)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(16)") ) - ( attribute "CDS_PHYS_NET_NAME" "TRIG2*" - ( Origin gPackager ) - ) - ( attribute "PNN" "FMC_LA*<9>" - ( Status sAliasFlattened ) - ( Status sAliasConflict ) - ( Origin gPackager ) - ) - ( attribute "PHYS_NET_NAME" "TRIG2*<14>" - ( Origin gPackager ) - ) - ( objectStatus "TRIG2*" ) + ( objectStatus "page1_hdmi_power_enable<0>" ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_trig2*\" + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):hdmi_power_enable(0)" ( objectFlag fObjectAlias ) - ( objectStatus "page1_trig2*" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):unnamed_4_74lvc1g07_i40_y" - ( attribute "CDS_PHYS_NET_NAME" "UNNAMED_4_74LVC1G07_I40_Y" - ( Origin gPackager ) - ) - ( objectStatus "UNNAMED_4_74LVC1G07_I40_Y" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):unnamed_4_capcersmdcl2_i41_b" - ( attribute "CDS_PHYS_NET_NAME" "UNNAMED_4_CAPCERSMDCL2_I41_B" - ( Origin gPackager ) - ) - ( objectStatus "UNNAMED_4_CAPCERSMDCL2_I41_B" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):unnamed_4_capcersmdcl2_i42_b" - ( attribute "CDS_PHYS_NET_NAME" "UNNAMED_4_CAPCERSMDCL2_I42_B" - ( Origin gPackager ) - ) - ( objectStatus "UNNAMED_4_CAPCERSMDCL2_I42_B" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):unnamed_4_con19p_i3_a" - ( attribute "CDS_PHYS_NET_NAME" "UNNAMED_4_CON19P_I3_A" - ( Origin gPackager ) - ) - ( objectStatus "UNNAMED_4_CON19P_I3_A" ) + ( objectStatus "hdmi_power_enable<0>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_vbus" ( objectStatus "page4_vbus" ) @@ -5683,30 +4769,6 @@ ) ( objectStatus "UNNAMED_1_24AA025E48_I8_A2" ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):ctrig1" - ( attribute "CDS_PHYS_NET_NAME" "CTRIG1" - ( Origin gPackager ) - ) - ( objectStatus "CTRIG1" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\ctrig1*\" - ( attribute "CDS_PHYS_NET_NAME" "CTRIG1*" - ( Origin gPackager ) - ) - ( objectStatus "CTRIG1*" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):ctrig2" - ( attribute "CDS_PHYS_NET_NAME" "CTRIG2" - ( Origin gPackager ) - ) - ( objectStatus "CTRIG2" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\ctrig2*\" - ( attribute "CDS_PHYS_NET_NAME" "CTRIG2*" - ( Origin gPackager ) - ) - ( objectStatus "CTRIG2*" ) - ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):front_panel_clk" ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_clk0_m2c") ) ( attribute "CDS_PHYS_NET_NAME" "FRONT_PANEL_CLK" @@ -5764,6 +4826,9 @@ ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(0)") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(0)") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(0)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_cont_from_fpga(0)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):cont_from_fpga(0)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):cont_from_fpga") ) ( attribute "PHYS_NET_NAME" "GPIO_CLK<29>" ( Origin gPackager ) ) @@ -5774,7 +4839,7 @@ ( attribute "CDS_PHYS_NET_NAME" "FMC_LA<29>" ( Origin gPackager ) ) - ( attribute "DIFFERENTIAL_PAIR" "BEAM_TRIGGER_CFD0" + ( attribute "DIFFERENTIAL_PAIR" "FMC_LA0" ( Origin gFrontEnd ) ) ( objectStatus "FMC_LA<29>" ) @@ -5928,106 +4993,445 @@ ) ( objectStatus "UNNAMED_1_CAPCERSMDCL2_I81_A_6" ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):page1_i1" - ( attribute "CDS_LIB" "cnconnector" + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):busy_from_fpga" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):clk_from_fpga" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):spare_from_fpga" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):enable_spare_from_fpga" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_commonmodelinefilter_i80_2" + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_commonmodelinefilter_i80_1" + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_capcersmdcl2_i8_b" + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_capcersmdcl2_i9_b" + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):enable_clk_to_dut" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_capn4i_i83_a" + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):busy" + ( attribute "PHYS_NET_NAME" "BUSY" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-150,1000,150,-1050" + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):\busy*\" + ( attribute "PHYS_NET_NAME" "BUSY*" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "<< NULL >>" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "1" + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):clk" + ( attribute "PHYS_NET_NAME" "CLK" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) - ( attribute "ROT" "0" + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):\clk*\" + ( attribute "PHYS_NET_NAME" "CLK*" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) - ( attribute "TYPE" "ASP-134606-01" + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):cont" + ( attribute "PHYS_NET_NAME" "CONT" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) - ( attribute "VER" "2" + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):\cont*\" + ( attribute "PHYS_NET_NAME" "CONT*" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) - ( attribute "XY" "(1525,3625)" + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):spare" + ( attribute "PHYS_NET_NAME" "SPARE" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) - ( attribute "CHIPS_PART_NAME" "CON160P_40CDGH" + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):\spare*\" + ( attribute "PHYS_NET_NAME" "SPARE*" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "ASP-134606-01" + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):trig" + ( attribute "PHYS_NET_NAME" "TRIG" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_SEC" "2" + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):\trig*\" + ( attribute "PHYS_NET_NAME" "TRIG*" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "LOCATION" "J4" + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):clk_to_dut" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):\clk_to_dut*\" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):hdmi_power" + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):hdmi_power_enable" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):busy_to_fpga" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_capcersmdcl2_i88_a" + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):trig_to_fpga" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):clk_to_fpga" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):cont_from_fpga" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):gnd_hdmi" + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):enable_busy_from_fpga" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):enable_clk_from_fpga" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):enable_cont_from_fpga" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):enable_trig_from_fpga" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):hdmi_clk" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):\hdmi_clk*\" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):spare_to_fpga" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):trig_from_fpga" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):cont_to_fpga" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_capn4i_i112_a" + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_capn4i_i124_a" + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_capn4i_i136_a" + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_capn4i_i148_a" + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_commonmodelinefilter_i109_1" + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_commonmodelinefilter_i109_2" + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_commonmodelinefilter_i121_1" + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_commonmodelinefilter_i121_2" + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_commonmodelinefilter_i133_1" + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_commonmodelinefilter_i133_2" + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):busy_from_fpga(0)" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):busy_from_fpga") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_busy_from_fpga(0)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(3)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(3)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(3)") ) + ( attribute "DIFFERENTIAL_PAIR" "BUSY0" + ( Status sResolvedConflict ) ( Origin gFrontEnd ) ) - ( attribute "SEC" "2" - ( Status sBlockFlattened ) + ( attribute "PNN" "FMC_LA<3>" + ( Status sAliasFlattened ) ( Origin gPackager ) ) - ( objectStatus "J4" ) - ( pin "g(1)" - ( attribute "PN" "G1" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "J4.G1" ) - ) - ( pin "g(2)" - ( attribute "PN" "G2" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "J4.G2" ) + ( objectStatus "busy_from_fpga<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):busy_to_fpga(0)" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):busy_to_fpga") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_busy_to_fpga(0)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(3)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(3)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(3)") ) + ( attribute "DIFFERENTIAL_PAIR" "BUSY0" + ( Status sResolvedConflict ) + ( Origin gFrontEnd ) ) - ( pin "g(3)" - ( attribute "PN" "G3" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "J4.G3" ) + ( attribute "PNN" "FMC_LA*<3>" + ( Status sAliasFlattened ) + ( Origin gPackager ) ) - ( pin "g(4)" - ( attribute "PN" "G4" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "J4.G4" ) + ( objectStatus "busy_to_fpga<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):clk_from_fpga(0)" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):clk_from_fpga") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_clk_from_fpga(0)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(4)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(4)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(4)") ) + ( attribute "DIFFERENTIAL_PAIR" "FMC_LA4" + ( Origin gFrontEnd ) ) - ( pin "g(5)" - ( attribute "PN" "G5" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "J4.G5" ) + ( attribute "PNN" "FMC_LA<4>" + ( Status sAliasFlattened ) + ( Origin gPackager ) ) - ( pin "g(6)" - ( attribute "PN" "G6" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "J4.G6" ) + ( objectStatus "clk_from_fpga<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):clk_to_dut(0)" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):clk_to_dut") ) + ( objectStatus "clk_to_dut<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\clk_to_dut*\(0)" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):\clk_to_dut*\") ) + ( objectStatus "clk_to_dut*<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):clk_to_fpga(0)" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):clk_to_fpga") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_clk_to_fpga(0)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(4)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(4)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(4)") ) + ( attribute "DIFFERENTIAL_PAIR" "FMC_LA4" + ( Origin gFrontEnd ) ) - ( pin "g(7)" - ( attribute "PN" "G7" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "J4.G7" ) + ( attribute "PNN" "FMC_LA*<4>" + ( Status sAliasFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "clk_to_fpga<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):cont_from_fpga(0)" + ( objectFlag fObjectAlias ) + ( objectStatus "cont_from_fpga<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):cont_to_fpga(0)" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):cont_to_fpga") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_cont_to_fpga(0)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(0)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(0)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(0)") ) + ( attribute "DIFFERENTIAL_PAIR" "FMC_LA0" + ( Origin gFrontEnd ) + ) + ( attribute "PNN" "FMC_LA*<0>" + ( Status sAliasFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "cont_to_fpga<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):enable_busy_from_fpga(0)" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):enable_busy_from_fpga") ) + ( objectStatus "enable_busy_from_fpga<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):enable_clk_from_fpga(0)" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):enable_clk_from_fpga") ) + ( objectStatus "enable_clk_from_fpga<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):enable_clk_to_dut(0)" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):enable_clk_to_dut") ) + ( objectStatus "enable_clk_to_dut<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):enable_cont_from_fpga(0)" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):enable_cont_from_fpga") ) + ( objectStatus "enable_cont_from_fpga<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):enable_spare_from_fpga(0)" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):enable_spare_from_fpga") ) + ( objectStatus "enable_spare_from_fpga<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):enable_trig_from_fpga(0)" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):enable_trig_from_fpga") ) + ( objectStatus "enable_trig_from_fpga<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):hdmi_clk(0)" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):hdmi_clk") ) + ( objectStatus "hdmi_clk<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\hdmi_clk*\(0)" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):\hdmi_clk*\") ) + ( objectStatus "hdmi_clk*<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\@fmc_tlu_v1_lib.fmc_tlu_toplevel_c\(sch_1):hdmi_power_enable(0)" + ( objectStatus "hdmi_power_enable" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):spare_from_fpga(0)" + ( objectFlag fObjectAlias ) + ( objectStatus "spare_from_fpga<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):spare_to_fpga(0)" + ( objectFlag fObjectAlias ) + ( objectStatus "spare_to_fpga<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):trig_from_fpga(0)" + ( objectFlag fObjectAlias ) + ( objectStatus "trig_from_fpga<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):trig_to_fpga(0)" + ( objectFlag fObjectAlias ) + ( objectStatus "trig_to_fpga<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_busy_from_fpga(0)" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_busy_from_fpga<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_busy_to_fpga(0)" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_busy_to_fpga<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_clk_from_fpga(0)" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_clk_from_fpga<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_clk_to_fpga(0)" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_clk_to_fpga<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_cont_from_fpga(0)" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_cont_from_fpga<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_cont_to_fpga(0)" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_cont_to_fpga<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_spare_from_fpga(0)" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_spare_from_fpga<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_spare_to_fpga(0)" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_spare_to_fpga<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_trig_from_fpga(0)" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_trig_from_fpga<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_trig_to_fpga(0)" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_trig_to_fpga<0>" ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):page1_i1" + ( attribute "CDS_LIB" "cnconnector" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_LMAN_SYM_OUTLINE" "-150,1000,150,-1050" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "<< NULL >>" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "TYPE" "ASP-134606-01" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VER" "2" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(1525,3625)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CON160P_40CDGH" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "ASP-134606-01" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "LOCATION" "J4" + ( Origin gFrontEnd ) + ) + ( attribute "SEC" "2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "J4" ) + ( pin "g(1)" + ( attribute "PN" "G1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "J4.G1" ) + ) + ( pin "g(2)" + ( attribute "PN" "G2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "J4.G2" ) + ) + ( pin "g(3)" + ( attribute "PN" "G3" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "J4.G3" ) + ) + ( pin "g(4)" + ( attribute "PN" "G4" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "J4.G4" ) + ) + ( pin "g(5)" + ( attribute "PN" "G5" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "J4.G5" ) + ) + ( pin "g(6)" + ( attribute "PN" "G6" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "J4.G6" ) + ) + ( pin "g(7)" + ( attribute "PN" "G7" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "J4.G7" ) ) ( pin "g(8)" ( attribute "PN" "G8" @@ -9051,164 +8455,6 @@ ( objectStatus "IC2_1.15" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i31" - ( attribute "CHIPS_PART_NAME" "PCOAX" - ( Origin gPackager ) - ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i2" - ( attribute "CDS_LIB" "cnconnector" - ( Origin gPackager ) - ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "0,25,150,-475" - ( Origin gPackager ) - ) - ( attribute "PHYS_PAGE" "2" - ( Origin gFrontEnd ) - ) - ( attribute "ROT" "0" - ( Origin gFrontEnd ) - ) - ( attribute "TYPE" "MHDMI-19-02-H-TH-L-TR" - ( Origin gFrontEnd ) - ) - ( attribute "VER" "1" - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(-250,250)" - ( Origin gFrontEnd ) - ) - ( attribute "CHIPS_PART_NAME" "CON19P" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "CON19P-MHDMI-19-02-H-TH-L-TR-GA" - ( Origin gPackager ) - ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "J1" - ( Origin gPackager ) - ) - ( attribute "CDS_LOCATION" "J1" - ( Origin gPackager ) - ) - ( objectStatus "J1" ) - ( pin "a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) - ) - ( objectStatus "J1.1" ) - ) - ( pin "a(1)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "J1.2" ) - ) - ( pin "a(2)" - ( attribute "PN" "3" - ( Origin gPackager ) - ) - ( objectStatus "J1.3" ) - ) - ( pin "a(3)" - ( attribute "PN" "4" - ( Origin gPackager ) - ) - ( objectStatus "J1.4" ) - ) - ( pin "a(4)" - ( attribute "PN" "5" - ( Origin gPackager ) - ) - ( objectStatus "J1.5" ) - ) - ( pin "a(5)" - ( attribute "PN" "6" - ( Origin gPackager ) - ) - ( objectStatus "J1.6" ) - ) - ( pin "a(6)" - ( attribute "PN" "7" - ( Origin gPackager ) - ) - ( objectStatus "J1.7" ) - ) - ( pin "a(7)" - ( attribute "PN" "8" - ( Origin gPackager ) - ) - ( objectStatus "J1.8" ) - ) - ( pin "a(8)" - ( attribute "PN" "9" - ( Origin gPackager ) - ) - ( objectStatus "J1.9" ) - ) - ( pin "a(9)" - ( attribute "PN" "10" - ( Origin gPackager ) - ) - ( objectStatus "J1.10" ) - ) - ( pin "a(10)" - ( attribute "PN" "11" - ( Origin gPackager ) - ) - ( objectStatus "J1.11" ) - ) - ( pin "a(11)" - ( attribute "PN" "12" - ( Origin gPackager ) - ) - ( objectStatus "J1.12" ) - ) - ( pin "a(12)" - ( attribute "PN" "13" - ( Origin gPackager ) - ) - ( objectStatus "J1.13" ) - ) - ( pin "a(13)" - ( attribute "PN" "14" - ( Origin gPackager ) - ) - ( objectStatus "J1.14" ) - ) - ( pin "a(14)" - ( attribute "PN" "15" - ( Origin gPackager ) - ) - ( objectStatus "J1.15" ) - ) - ( pin "a(15)" - ( attribute "PN" "16" - ( Origin gPackager ) - ) - ( objectStatus "J1.16" ) - ) - ( pin "a(16)" - ( attribute "PN" "17" - ( Origin gPackager ) - ) - ( objectStatus "J1.17" ) - ) - ( pin "a(17)" - ( attribute "PN" "18" - ( Origin gPackager ) - ) - ( objectStatus "J1.18" ) - ) - ( pin "a(18)" - ( attribute "PN" "19" - ( Origin gPackager ) - ) - ( objectStatus "J1.19" ) - ) - ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i7" ( attribute "CDS_LIB" "cnconnector" ( Origin gPackager ) @@ -9222,7 +8468,7 @@ ( attribute "ROT" "2" ( Origin gFrontEnd ) ) - ( attribute "TYPE" "PLEMO2-00B" + ( attribute "TYPE" "EPG.00.302.NLN" ( Origin gFrontEnd ) ) ( attribute "VER" "1" @@ -9234,7 +8480,7 @@ ( attribute "CHIPS_PART_NAME" "PLEMO2CI" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "PLEMO2CI-PLEMO2-00B-GND=GND_SIA" + ( attribute "CDS_PART_NAME" "PLEMO2CI-EPG.00.302.NLN-GND=GNA" ( Origin gPackager ) ) ( attribute "CDS_LOCATION" "PX1" @@ -9246,76 +8492,24 @@ ( attribute "LOCATION" "PX1" ( Origin gPackager ) ) - ( attribute "SEC" "1" - ( Origin gPackager ) - ) ( attribute "ABBREV" "PT6911" ( Origin gFrontEnd ) ) ( objectStatus "PX1" ) ( pin "a" - ( attribute "PN" "1" - ( Origin gPackager ) - ) ( objectStatus "PX1.1" ) ) ( pin "b" - ( attribute "PN" "2" - ( Origin gPackager ) - ) ( objectStatus "PX1.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i34" - ( attribute "CHIPS_PART_NAME" "PCOAX" - ( Origin gPackager ) - ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i33" - ( attribute "CHIPS_PART_NAME" "PCOAX" - ( Origin gPackager ) - ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i32" - ( attribute "CHIPS_PART_NAME" "PCOAX" - ( Origin gPackager ) - ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i78" - ( attribute "CDS_LIB" "cnconnector" - ( Origin gPackager ) - ) - ( attribute "CDS_LOCATION" "J3" - ( Origin gPackager ) - ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) - ) - ( attribute "PHYS_PAGE" "2" - ( Origin gFrontEnd ) - ) - ( attribute "ROT" "0" - ( Origin gFrontEnd ) - ) - ( attribute "TYPE" "44661-1011" - ( Origin gFrontEnd ) - ) - ( attribute "VER" "1" - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(150,-2800)" - ( Origin gFrontEnd ) - ) - ( attribute "CHIPS_PART_NAME" "CON8P" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "CON8P-44661-1011-GND=FRAME" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i2" + ( attribute "CHIPS_PART_NAME" "CON19P" ( Origin gPackager ) ) - ( attribute "LOCATION" "J3" + ( attribute "CDS_PART_NAME" "CON19P" ( Origin gPackager ) ) - ( objectStatus "J3" ) ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i153" ( attribute "CDS_LIB" "cnpassive" @@ -9901,25 +9095,6 @@ ( objectStatus "C3_1.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i58" - ( attribute "CHIPS_PART_NAME" "MC100EL29" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_LOCATION" "U2" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "U2" - ( Origin gPackager ) - ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) - ) - ( attribute "SEC" "1" - ( Origin gPackager ) - ) - ( objectStatus "U2" ) - ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i71" ( attribute "CDS_LIB" "cnlinear" ( Status sBlockFlattened ) @@ -10437,25 +9612,6 @@ ( objectStatus "R10_1.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i87" - ( attribute "CHIPS_PART_NAME" "MC100EL29" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_LOCATION" "U2" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "U2" - ( Origin gPackager ) - ) - ( attribute "CDS_SEC" "2" - ( Origin gPackager ) - ) - ( attribute "SEC" "2" - ( Origin gPackager ) - ) - ( objectStatus "U2" ) - ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i148" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) @@ -12169,25 +11325,6 @@ ( objectStatus "C3_2.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i58" - ( attribute "CHIPS_PART_NAME" "MC100EL29" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_LOCATION" "U4" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "U4" - ( Origin gPackager ) - ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) - ) - ( attribute "SEC" "1" - ( Origin gPackager ) - ) - ( objectStatus "U4" ) - ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i71" ( attribute "CDS_LIB" "cnlinear" ( Status sBlockFlattened ) @@ -12705,25 +11842,6 @@ ( objectStatus "R10_2.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i87" - ( attribute "CHIPS_PART_NAME" "MC100EL29" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_LOCATION" "U4" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "U4" - ( Origin gPackager ) - ) - ( attribute "CDS_SEC" "2" - ( Origin gPackager ) - ) - ( attribute "SEC" "2" - ( Origin gPackager ) - ) - ( objectStatus "U4" ) - ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i148" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) @@ -14031,11 +13149,6 @@ ( objectStatus "C1_3.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1" - ( attribute "CHIPS_PART_NAME" "CON8P" - ( Origin gPackager ) - ) - ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i39" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) @@ -14444,25 +13557,6 @@ ( objectStatus "C3_3.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i58" - ( attribute "CHIPS_PART_NAME" "MC100EL29" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_LOCATION" "U6" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "U6" - ( Origin gPackager ) - ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) - ) - ( attribute "SEC" "1" - ( Origin gPackager ) - ) - ( objectStatus "U6" ) - ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i71" ( attribute "CDS_LIB" "cnlinear" ( Status sBlockFlattened ) @@ -14980,25 +14074,6 @@ ( objectStatus "R10_3.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i87" - ( attribute "CHIPS_PART_NAME" "MC100EL29" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_LOCATION" "U6" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "U6" - ( Origin gPackager ) - ) - ( attribute "CDS_SEC" "2" - ( Origin gPackager ) - ) - ( attribute "SEC" "2" - ( Origin gPackager ) - ) - ( objectStatus "U6" ) - ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i148" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) @@ -16358,25 +15433,6 @@ ( objectStatus "C3_4.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i58" - ( attribute "CHIPS_PART_NAME" "MC100EL29" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_LOCATION" "U8" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "U8" - ( Origin gPackager ) - ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) - ) - ( attribute "SEC" "1" - ( Origin gPackager ) - ) - ( objectStatus "U8" ) - ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i71" ( attribute "CDS_LIB" "cnlinear" ( Status sBlockFlattened ) @@ -16894,26 +15950,115 @@ ( objectStatus "R10_4.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i87" - ( attribute "CHIPS_PART_NAME" "MC100EL29" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i148" + ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "U8" + ( attribute "DIST" "FLAT" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "LOCATION" "R6_4" + ( Origin gFrontEnd ) + ) + ( attribute "MAX_TEMP" "RTMAX" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "NEGTOL" "RTOL%" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PACK_TYPE" "125MW" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "POSTOL" "RTOL%" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "POWER" "RMAX" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "SLOPE" "RSMAX" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "TC1" "RTMPL" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "TC2" "RTMPQ" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "TOL" "1%" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "TOL_ON_OFF" "ON" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "100" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VER" "2" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "RVMAX" + ( Units "uVoltage" "V" 1.000000) + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(700,-1800)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "RSMD0805" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "LOCATION" "U8" + ( attribute "CDS_PART_NAME" "RSMD0805_125MW-100,1%" ( Origin gPackager ) ) - ( attribute "CDS_SEC" "2" + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( attribute "SEC" "2" + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "U8" ) + ( objectStatus "R6_4" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "R6_4.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "R6_4.2" ) + ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i148" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i92" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) @@ -16922,7 +16067,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "LOCATION" "R6_4" + ( attribute "LOCATION" "R7_4" ( Origin gFrontEnd ) ) ( attribute "MAX_TEMP" "RTMAX" @@ -16933,7 +16078,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "125MW" + ( attribute "PACK_TYPE" "1/10W" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -16990,15 +16135,15 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(700,-1800)" + ( attribute "XY" "(900,-1250)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "RSMD0805" + ( attribute "CHIPS_PART_NAME" "RSMD0603" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "RSMD0805_125MW-100,1%" + ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-100,1%" ( Origin gPackager ) ) ( attribute "CDS_SEC" "1" @@ -17007,21 +16152,21 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "R6_4" ) + ( objectStatus "R7_4" ) ( pin "a(0)" ( attribute "PN" "1" ( Origin gPackager ) ) - ( objectStatus "R6_4.1" ) + ( objectStatus "R7_4.1" ) ) ( pin "b(0)" ( attribute "PN" "2" ( Origin gPackager ) ) - ( objectStatus "R6_4.2" ) + ( objectStatus "R7_4.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i92" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i93" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) @@ -17030,7 +16175,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "LOCATION" "R7_4" + ( attribute "LOCATION" "R3_4" ( Origin gFrontEnd ) ) ( attribute "MAX_TEMP" "RTMAX" @@ -17057,7 +16202,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "ROT" "0" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -17085,7 +16230,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "100" + ( attribute "VALUE" "51" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -17098,7 +16243,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(900,-1250)" + ( attribute "XY" "(100,-1100)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -17106,7 +16251,7 @@ ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-100,1%" + ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-51,1%" ( Origin gPackager ) ) ( attribute "CDS_SEC" "1" @@ -17115,21 +16260,21 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "R7_4" ) + ( objectStatus "R3_4" ) ( pin "a(0)" ( attribute "PN" "1" ( Origin gPackager ) ) - ( objectStatus "R7_4.1" ) + ( objectStatus "R3_4.1" ) ) ( pin "b(0)" ( attribute "PN" "2" ( Origin gPackager ) ) - ( objectStatus "R7_4.2" ) + ( objectStatus "R3_4.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i93" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i94" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) @@ -17138,7 +16283,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "LOCATION" "R3_4" + ( attribute "LOCATION" "R2_4" ( Origin gFrontEnd ) ) ( attribute "MAX_TEMP" "RTMAX" @@ -17149,7 +16294,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "1/10W" + ( attribute "PACK_TYPE" "125MW" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -17193,7 +16338,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "51" + ( attribute "VALUE" "12" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -17206,38 +16351,40 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(100,-1100)" + ( attribute "XY" "(-300,-950)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( attribute "CHIPS_PART_NAME" "RSMD0805" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-51,1%" + ( attribute "CDS_PART_NAME" "RSMD0805_125MW-12,1%" ( Origin gPackager ) ) ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "R3_4" ) + ( objectStatus "R2_4" ) ( pin "a(0)" ( attribute "PN" "1" ( Origin gPackager ) ) - ( objectStatus "R3_4.1" ) + ( objectStatus "R2_4.1" ) ) ( pin "b(0)" ( attribute "PN" "2" ( Origin gPackager ) ) - ( objectStatus "R3_4.2" ) + ( objectStatus "R2_4.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i94" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i111" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) @@ -17246,7 +16393,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "LOCATION" "R2_4" + ( attribute "LOCATION" "R13_4" ( Origin gFrontEnd ) ) ( attribute "MAX_TEMP" "RTMAX" @@ -17257,7 +16404,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "125MW" + ( attribute "PACK_TYPE" "1/10W" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -17273,7 +16420,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "ROT" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -17301,7 +16448,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "12" + ( attribute "VALUE" "75" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -17314,40 +16461,38 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-300,-950)" + ( attribute "XY" "(3800,-250)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "RSMD0805" + ( attribute "CHIPS_PART_NAME" "RSMD0603" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "RSMD0805_125MW-12,1%" + ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-75,1%" ( Origin gPackager ) ) ( attribute "CDS_SEC" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "R2_4" ) + ( objectStatus "R13_4" ) ( pin "a(0)" ( attribute "PN" "1" ( Origin gPackager ) ) - ( objectStatus "R2_4.1" ) + ( objectStatus "R13_4.1" ) ) ( pin "b(0)" ( attribute "PN" "2" ( Origin gPackager ) ) - ( objectStatus "R2_4.2" ) + ( objectStatus "R13_4.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i111" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i113" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) @@ -17356,7 +16501,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "LOCATION" "R13_4" + ( attribute "LOCATION" "R11_4" ( Origin gFrontEnd ) ) ( attribute "MAX_TEMP" "RTMAX" @@ -17424,7 +16569,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(3800,-250)" + ( attribute "XY" "(3500,-250)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -17441,21 +16586,72 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "R13_4" ) + ( objectStatus "R11_4" ) ( pin "a(0)" ( attribute "PN" "1" ( Origin gPackager ) ) - ( objectStatus "R13_4.1" ) + ( objectStatus "R11_4.1" ) ) ( pin "b(0)" ( attribute "PN" "2" ( Origin gPackager ) ) - ( objectStatus "R13_4.2" ) + ( objectStatus "R11_4.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i113" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i54" + ( attribute "ABBREV" "PT6911" + ( Origin gFrontEnd ) + ) + ( attribute "CDS_LIB" "cnconnector" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "PX2" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "NEEDS_NO_SIZE" "TRUE" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "3" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "2" + ( Origin gFrontEnd ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( attribute "TYPE" "PLEMO00C" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(1750,2300)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "PCOAX" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "PCOAX-PLEMO00C-GND=GND_SIGNAL" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "PX2" + ( Origin gPackager ) + ) + ( objectStatus "PX2" ) + ( pin "a" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "PX2.1" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i147" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) @@ -17464,7 +16660,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "LOCATION" "R11_4" + ( attribute "LOCATION" "R8_4" ( Origin gFrontEnd ) ) ( attribute "MAX_TEMP" "RTMAX" @@ -17475,7 +16671,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "1/10W" + ( attribute "PACK_TYPE" "125MW" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -17519,7 +16715,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "75" + ( attribute "VALUE" "100" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -17532,15 +16728,15 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(3500,-250)" + ( attribute "XY" "(900,-1800)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( attribute "CHIPS_PART_NAME" "RSMD0805" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-75,1%" + ( attribute "CDS_PART_NAME" "RSMD0805_125MW-100,1%" ( Origin gPackager ) ) ( attribute "CDS_SEC" "1" @@ -17549,72 +16745,161 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "R11_4" ) + ( objectStatus "R8_4" ) ( pin "a(0)" ( attribute "PN" "1" ( Origin gPackager ) ) - ( objectStatus "R11_4.1" ) + ( objectStatus "R8_4.1" ) ) ( pin "b(0)" ( attribute "PN" "2" ( Origin gPackager ) ) - ( objectStatus "R11_4.2" ) + ( objectStatus "R8_4.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i54" - ( attribute "ABBREV" "PT6911" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i150" + ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "0603" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CDS_LIB" "cnconnector" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "100NF" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(5750,600)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "PX2" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" ( Origin gPackager ) ) + ( attribute "LOCATION" "C5_1" + ( Origin gFrontEnd ) + ) ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( attribute "NEEDS_NO_SIZE" "TRUE" + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "C5_1" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "C5_1.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "C5_1.2" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i151" + ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "0603" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "3" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "2" + ( attribute "ROT" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SEC" "1" - ( Origin gPackager ) + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( attribute "TYPE" "PLEMO00C" + ( attribute "VALUE" "100NF" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(1750,2300)" + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PCOAX" + ( attribute "XY" "(6050,600)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "PCOAX-PLEMO00C-GND=GND_SIGNAL" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" ( Origin gPackager ) ) - ( attribute "LOCATION" "PX2" + ( attribute "LOCATION" "C8_1" + ( Origin gFrontEnd ) + ) + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( objectStatus "PX2" ) - ( pin "a" + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "C8_1" ) + ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "PX2.1" ) + ( objectStatus "C8_1.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "C8_1.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i147" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i152" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) @@ -17623,7 +16908,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "LOCATION" "R8_4" + ( attribute "LOCATION" "R14_1" ( Origin gFrontEnd ) ) ( attribute "MAX_TEMP" "RTMAX" @@ -17634,7 +16919,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "125MW" + ( attribute "PACK_TYPE" "1/10W" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -17678,7 +16963,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "100" + ( attribute "VALUE" "75" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -17691,15 +16976,15 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(900,-1800)" + ( attribute "XY" "(2450,-1750)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "RSMD0805" + ( attribute "CHIPS_PART_NAME" "RSMD0603" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "RSMD0805_125MW-100,1%" + ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-75,1%" ( Origin gPackager ) ) ( attribute "CDS_SEC" "1" @@ -17708,174 +16993,271 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "R8_4" ) + ( objectStatus "R14_1" ) ( pin "a(0)" ( attribute "PN" "1" ( Origin gPackager ) ) - ( objectStatus "R8_4.1" ) + ( objectStatus "R14_1.1" ) ) ( pin "b(0)" ( attribute "PN" "2" ( Origin gPackager ) ) - ( objectStatus "R8_4.2" ) + ( objectStatus "R14_1.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i3" - ( attribute "CDS_LIB" "cnconnector" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i153" + ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "0,25,150,-475" - ( Origin gPackager ) + ( attribute "DIST" "FLAT" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "2" + ( attribute "LOCATION" "R12_1" ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "MAX_TEMP" "RTMAX" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TYPE" "MHDMI-19-02-H-TH-L-TR" + ( attribute "NEGTOL" "RTOL%" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "PACK_TYPE" "1/10W" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(3300,250)" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CON19P" - ( Origin gPackager ) + ( attribute "POSTOL" "RTOL%" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "POWER" "RMAX" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "SLOPE" "RSMAX" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "TC1" "RTMPL" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "TC2" "RTMPQ" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "TOL" "1%" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "TOL_ON_OFF" "ON" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "75" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VER" "2" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "RVMAX" + ( Units "uVoltage" "V" 1.000000) + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(2150,-1750)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( attribute "CDS_PART_NAME" "CON19P-MHDMI-19-02-H-TH-L-TR-GB" + ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "J2" + ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-75,1%" ( Origin gPackager ) ) ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( attribute "LOCATION" "J2" + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "J2" ) + ( objectStatus "R12_1" ) ( pin "a(0)" ( attribute "PN" "1" ( Origin gPackager ) ) - ( objectStatus "J2.1" ) + ( objectStatus "R12_1.1" ) ) - ( pin "a(1)" + ( pin "b(0)" ( attribute "PN" "2" ( Origin gPackager ) ) - ( objectStatus "J2.2" ) + ( objectStatus "R12_1.2" ) ) - ( pin "a(2)" - ( attribute "PN" "3" - ( Origin gPackager ) - ) - ( objectStatus "J2.3" ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i63" + ( attribute "CHIPS_PART_NAME" "AD5665R" + ( Origin gPackager ) ) - ( pin "a(3)" - ( attribute "PN" "4" - ( Origin gPackager ) - ) - ( objectStatus "J2.4" ) + ( attribute "CDS_LIB" "cnlinear" + ( Status sBlockFlattened ) + ( Origin gPackager ) ) - ( pin "a(4)" - ( attribute "PN" "5" - ( Origin gPackager ) - ) - ( objectStatus "J2.5" ) + ( attribute "CDS_LMAN_SYM_OUTLINE" "-275,175,275,-175" + ( Status sBlockFlattened ) + ( Origin gPackager ) ) - ( pin "a(5)" - ( attribute "PN" "6" - ( Origin gPackager ) - ) - ( objectStatus "J2.6" ) + ( attribute "PACK_TYPE" "TSSOP" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( pin "a(6)" - ( attribute "PN" "7" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "TYPE" "AD5665RBRUZ-1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(-1450,1250)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "CDS_PART_NAME" "AD5665RBRUZ-1-GND=GND_SIGNAL;VA" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "LOCATION" "IC8" + ( Origin gFrontEnd ) + ) + ( attribute "SEC" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "IC8" + ( Origin gPackager ) + ) + ( objectStatus "IC8" ) + ( pin "addr1" + ( attribute "PN" "2" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "J2.7" ) + ( objectStatus "IC8.2" ) ) - ( pin "a(7)" + ( pin "addr2" ( attribute "PN" "8" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "J2.8" ) + ( objectStatus "IC8.8" ) ) - ( pin "a(8)" + ( pin "\clr*\" ( attribute "PN" "9" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "J2.9" ) + ( objectStatus "IC8.9" ) ) - ( pin "a(9)" - ( attribute "PN" "10" + ( pin "\ldac*\" + ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "J2.10" ) + ( objectStatus "IC8.1" ) ) - ( pin "a(10)" - ( attribute "PN" "11" + ( pin "por" + ( attribute "PN" "6" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "J2.11" ) + ( objectStatus "IC8.6" ) ) - ( pin "a(11)" - ( attribute "PN" "12" + ( pin "scl" + ( attribute "PN" "14" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "J2.12" ) + ( objectStatus "IC8.14" ) ) - ( pin "a(12)" + ( pin "sda" ( attribute "PN" "13" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "J2.13" ) - ) - ( pin "a(13)" - ( attribute "PN" "14" - ( Origin gPackager ) - ) - ( objectStatus "J2.14" ) + ( objectStatus "IC8.13" ) ) - ( pin "a(14)" - ( attribute "PN" "15" + ( pin "vouta" + ( attribute "PN" "4" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "J2.15" ) + ( objectStatus "IC8.4" ) ) - ( pin "a(15)" - ( attribute "PN" "16" + ( pin "voutb" + ( attribute "PN" "11" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "J2.16" ) + ( objectStatus "IC8.11" ) ) - ( pin "a(16)" - ( attribute "PN" "17" + ( pin "voutc" + ( attribute "PN" "5" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "J2.17" ) + ( objectStatus "IC8.5" ) ) - ( pin "a(17)" - ( attribute "PN" "18" + ( pin "voutd" + ( attribute "PN" "10" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "J2.18" ) + ( objectStatus "IC8.10" ) ) - ( pin "a(18)" - ( attribute "PN" "19" + ( pin "\vrefin/vrefout\" + ( attribute "PN" "7" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "J2.19" ) + ( objectStatus "IC8.7" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i150" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i66" + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Origin gPackager ) + ) ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) @@ -17896,7 +17278,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "100NF" + ( attribute "VALUE" "1UF" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -17909,48 +17291,50 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(5750,600)" + ( attribute "XY" "(-2250,0)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" - ( Status sBlockFlattened ) + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-1UF,16V" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "LOCATION" "C5_1" + ( attribute "LOCATION" "C71" ( Origin gFrontEnd ) ) - ( attribute "CDS_SEC" "1" + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "SEC" "1" + ( attribute "CDS_LOCATION" "C71" ( Origin gPackager ) ) - ( objectStatus "C5_1" ) + ( objectStatus "C71" ) ( pin "a(0)" ( attribute "PN" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C5_1.1" ) + ( objectStatus "C71.1" ) ) ( pin "b(0)" ( attribute "PN" "2" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C5_1.2" ) + ( objectStatus "C71.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i151" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i159" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "0603" + ( attribute "PACK_TYPE" "HOLE" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -17958,7 +17342,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "ROT" "0" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -17966,31 +17350,30 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "100NF" + ( attribute "SYMMAP" "TRUE" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VALUE" "0.8MM" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "16V" - ( Units "uVoltage" "V" 1.000000) + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(6050,600)" + ( attribute "XY" "(2750,400)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( attribute "CHIPS_PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "LOCATION" "C8_1" + ( attribute "LOCATION" "TP2_1" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" @@ -17999,981 +17382,949 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "C8_1" ) + ( objectStatus "TP2_1" ) ( pin "a(0)" ( attribute "PN" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C8_1.1" ) - ) - ( pin "b(0)" - ( attribute "PN" "2" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "C8_1.2" ) + ( objectStatus "TP2_1.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i152" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i160" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "DIST" "FLAT" + ( attribute "PACK_TYPE" "HOLE" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "LOCATION" "R14_1" + ( attribute "PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "MAX_TEMP" "RTMAX" + ( attribute "PHYS_PAGE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "NEGTOL" "RTOL%" + ( attribute "ROT" "0" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "1/10W" + ( attribute "SIZE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" + ( attribute "SYMMAP" "TRUE" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POSTOL" "RTOL%" + ( attribute "VALUE" "0.8MM" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POWER" "RMAX" + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "XY" "(2750,200)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" + ( attribute "CHIPS_PART_NAME" "TP" ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "TP3_1" ( Origin gFrontEnd ) ) - ( attribute "SLOPE" "RSMAX" + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "TP3_1" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "TP3_1.1" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i161" + ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "HOLE" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TC1" "RTMPL" + ( attribute "PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TC2" "RTMPQ" + ( attribute "PHYS_PAGE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TOL" "1%" + ( attribute "ROT" "0" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TOL_ON_OFF" "ON" + ( attribute "SIZE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "75" + ( attribute "SYMMAP" "TRUE" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "2" + ( attribute "VALUE" "0.8MM" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "RVMAX" - ( Units "uVoltage" "V" 1.000000) + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2450,-1750)" + ( attribute "XY" "(2750,0)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( attribute "CHIPS_PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-75,1%" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) + ( attribute "LOCATION" "TP4_1" + ( Origin gFrontEnd ) + ) ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "R14_1" ) + ( objectStatus "TP4_1" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "R14_1.1" ) - ) - ( pin "b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "R14_1.2" ) + ( objectStatus "TP4_1.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i153" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i162" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "DIST" "FLAT" + ( attribute "PACK_TYPE" "HOLE" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "LOCATION" "R12_1" + ( attribute "PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "MAX_TEMP" "RTMAX" + ( attribute "PHYS_PAGE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "NEGTOL" "RTOL%" + ( attribute "ROT" "0" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "1/10W" + ( attribute "SIZE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" + ( attribute "SYMMAP" "TRUE" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POSTOL" "RTOL%" + ( attribute "VALUE" "0.8MM" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POWER" "RMAX" + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "XY" "(1000,800)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" + ( attribute "CHIPS_PART_NAME" "TP" ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "TP1_1" ( Origin gFrontEnd ) ) - ( attribute "SLOPE" "RSMAX" + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "TP1_1" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "TP1_1.1" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i163" + ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "HOLE" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TC1" "RTMPL" + ( attribute "PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TC2" "RTMPQ" + ( attribute "PHYS_PAGE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TOL" "1%" + ( attribute "ROT" "0" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TOL_ON_OFF" "ON" + ( attribute "SIZE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "75" + ( attribute "SYMMAP" "TRUE" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "2" + ( attribute "VALUE" "0.8MM" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "RVMAX" - ( Units "uVoltage" "V" 1.000000) + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2150,-1750)" + ( attribute "XY" "(2750,-1250)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( attribute "CHIPS_PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-75,1%" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) + ( attribute "LOCATION" "TP5_1" + ( Origin gFrontEnd ) + ) ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "R12_1" ) + ( objectStatus "TP5_1" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "R12_1.1" ) - ) - ( pin "b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "R12_1.2" ) + ( objectStatus "TP5_1.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i8" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i164" ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "0603" + ( attribute "PACK_TYPE" "HOLE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "2" + ( attribute "PART_NAME" "TP" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "100NF" + ( attribute "SYMMAP" "TRUE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VALUE" "0.8MM" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "16V" - ( Units "uVoltage" "V" 1.000000) + ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(1450,150)" + ( attribute "XY" "(2750,-1550)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( attribute "CHIPS_PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) + ( attribute "LOCATION" "TP6_1" + ( Origin gFrontEnd ) ) - ( attribute "LOCATION" "C9" + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "C9" - ( Origin gPackager ) - ) - ( objectStatus "C49" ) + ( objectStatus "TP6_1" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C49.1" ) - ) - ( pin "b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "C49.2" ) + ( objectStatus "TP6_1.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i9" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i165" ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "0603" + ( attribute "PACK_TYPE" "HOLE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "2" + ( attribute "PART_NAME" "TP" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "100NF" + ( attribute "SYMMAP" "TRUE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VALUE" "0.8MM" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "16V" - ( Units "uVoltage" "V" 1.000000) + ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(1450,350)" + ( attribute "XY" "(2750,-1750)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( attribute "CHIPS_PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) + ( attribute "LOCATION" "TP7_1" + ( Origin gFrontEnd ) ) - ( attribute "LOCATION" "C8" + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "C8" - ( Origin gPackager ) - ) - ( objectStatus "C48" ) + ( objectStatus "TP7_1" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C48.1" ) - ) - ( pin "b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "C48.2" ) + ( objectStatus "TP7_1.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i10" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i159" ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "DIST" "FLAT" - ( Origin gFrontEnd ) - ) - ( attribute "MAX_TEMP" "RTMAX" - ( Origin gFrontEnd ) - ) - ( attribute "NEGTOL" "RTOL%" - ( Origin gFrontEnd ) - ) - ( attribute "PACK_TYPE" "1/10W" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "2" + ( attribute "PACK_TYPE" "HOLE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POSTOL" "RTOL%" + ( attribute "PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POWER" "RMAX" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SLOPE" "RSMAX" - ( Origin gFrontEnd ) - ) - ( attribute "TC1" "RTMPL" - ( Origin gFrontEnd ) - ) - ( attribute "TC2" "RTMPQ" - ( Origin gFrontEnd ) - ) - ( attribute "TOL" "1%" - ( Origin gFrontEnd ) - ) - ( attribute "TOL_ON_OFF" "ON" - ( Origin gFrontEnd ) - ) - ( attribute "VALUE" "51" + ( attribute "SYMMAP" "TRUE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "2" + ( attribute "VALUE" "0.8MM" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "RVMAX" - ( Units "uVoltage" "V" 1.000000) + ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(1750,350)" + ( attribute "XY" "(2750,400)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( attribute "CHIPS_PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-51,1%" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) + ( attribute "LOCATION" "TP2_2" + ( Origin gFrontEnd ) ) - ( attribute "LOCATION" "R11" + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "R11" - ( Origin gPackager ) - ) - ( objectStatus "R66" ) + ( objectStatus "TP2_2" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "R66.1" ) - ) - ( pin "b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "R66.2" ) + ( objectStatus "TP2_2.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i11" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i160" ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "DIST" "FLAT" - ( Origin gFrontEnd ) - ) - ( attribute "MAX_TEMP" "RTMAX" - ( Origin gFrontEnd ) - ) - ( attribute "NEGTOL" "RTOL%" - ( Origin gFrontEnd ) - ) - ( attribute "PACK_TYPE" "1/10W" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "2" + ( attribute "PACK_TYPE" "HOLE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POSTOL" "RTOL%" + ( attribute "PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POWER" "RMAX" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SLOPE" "RSMAX" - ( Origin gFrontEnd ) - ) - ( attribute "TC1" "RTMPL" - ( Origin gFrontEnd ) - ) - ( attribute "TC2" "RTMPQ" - ( Origin gFrontEnd ) - ) - ( attribute "TOL" "1%" - ( Origin gFrontEnd ) - ) - ( attribute "TOL_ON_OFF" "ON" - ( Origin gFrontEnd ) - ) - ( attribute "VALUE" "51" + ( attribute "SYMMAP" "TRUE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "2" + ( attribute "VALUE" "0.8MM" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "RVMAX" - ( Units "uVoltage" "V" 1.000000) + ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(1750,150)" + ( attribute "XY" "(2750,200)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( attribute "CHIPS_PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-51,1%" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) + ( attribute "LOCATION" "TP3_2" + ( Origin gFrontEnd ) ) - ( attribute "LOCATION" "R12" + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "R12" - ( Origin gPackager ) - ) - ( objectStatus "R67" ) + ( objectStatus "TP3_2" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "R67.1" ) - ) - ( pin "b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "R67.2" ) + ( objectStatus "TP3_2.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i60" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i161" ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "C13" - ( Origin gPackager ) + ( attribute "PACK_TYPE" "HOLE" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "0603" + ( attribute "PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "2" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SEC" "1" - ( Origin gPackager ) - ) ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "100NF" + ( attribute "SYMMAP" "TRUE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VALUE" "0.8MM" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "16V" - ( Units "uVoltage" "V" 1.000000) + ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(5400,1050)" + ( attribute "XY" "(2750,0)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( attribute "CHIPS_PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "TP4_2" + ( Origin gFrontEnd ) + ) + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "C13" + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "C50" ) + ( objectStatus "TP4_2" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C50.1" ) - ) - ( pin "b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "C50.2" ) + ( objectStatus "TP4_2.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i56" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i162" ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "C1" - ( Origin gPackager ) + ( attribute "PACK_TYPE" "HOLE" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "0603" + ( attribute "PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "2" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SEC" "1" - ( Origin gPackager ) - ) ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "100NF" + ( attribute "SYMMAP" "TRUE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VALUE" "0.8MM" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "16V" - ( Units "uVoltage" "V" 1.000000) + ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(1900,1050)" + ( attribute "XY" "(1000,800)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( attribute "CHIPS_PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "C1" + ( attribute "LOCATION" "TP1_2" + ( Origin gFrontEnd ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "C51" ) + ( objectStatus "TP1_2" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C51.1" ) - ) - ( pin "b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "C51.2" ) + ( objectStatus "TP1_2.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i18" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i163" ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "DIST" "FLAT" - ( Origin gFrontEnd ) - ) - ( attribute "MAX_TEMP" "RTMAX" - ( Origin gFrontEnd ) - ) - ( attribute "NEGTOL" "RTOL%" - ( Origin gFrontEnd ) - ) - ( attribute "PACK_TYPE" "1/10W" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "2" + ( attribute "PACK_TYPE" "HOLE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POSTOL" "RTOL%" + ( attribute "PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POWER" "RMAX" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SLOPE" "RSMAX" - ( Origin gFrontEnd ) - ) - ( attribute "TC1" "RTMPL" - ( Origin gFrontEnd ) - ) - ( attribute "TC2" "RTMPQ" - ( Origin gFrontEnd ) - ) - ( attribute "TOL" "1%" - ( Origin gFrontEnd ) - ) - ( attribute "TOL_ON_OFF" "ON" - ( Origin gFrontEnd ) - ) - ( attribute "VALUE" "51" + ( attribute "SYMMAP" "TRUE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "2" + ( attribute "VALUE" "0.8MM" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "RVMAX" - ( Units "uVoltage" "V" 1.000000) + ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(300,-3400)" + ( attribute "XY" "(2750,-1250)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( attribute "CHIPS_PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-51,1%" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) + ( attribute "LOCATION" "TP5_2" + ( Origin gFrontEnd ) ) - ( attribute "LOCATION" "R13" + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "R13" - ( Origin gPackager ) - ) - ( objectStatus "R70" ) + ( objectStatus "TP5_2" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "R70.1" ) - ) - ( pin "b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "R70.2" ) + ( objectStatus "TP5_2.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i19" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i164" ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "0603" + ( attribute "PACK_TYPE" "HOLE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "2" + ( attribute "PART_NAME" "TP" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "100NF" + ( attribute "SYMMAP" "TRUE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VALUE" "0.8MM" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "16V" - ( Units "uVoltage" "V" 1.000000) + ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(0,-3400)" + ( attribute "XY" "(2750,-1550)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( attribute "CHIPS_PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) + ( attribute "LOCATION" "TP6_2" + ( Origin gFrontEnd ) ) - ( attribute "LOCATION" "C10" + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "C10" - ( Origin gPackager ) - ) - ( objectStatus "C52" ) + ( objectStatus "TP6_2" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C52.1" ) - ) - ( pin "b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "C52.2" ) + ( objectStatus "TP6_2.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i21" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i165" ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "DIST" "FLAT" - ( Origin gFrontEnd ) - ) - ( attribute "MAX_TEMP" "RTMAX" - ( Origin gFrontEnd ) - ) - ( attribute "NEGTOL" "RTOL%" - ( Origin gFrontEnd ) - ) - ( attribute "PACK_TYPE" "1/10W" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "2" + ( attribute "PACK_TYPE" "HOLE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POSTOL" "RTOL%" + ( attribute "PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POWER" "RMAX" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SLOPE" "RSMAX" - ( Origin gFrontEnd ) - ) - ( attribute "TC1" "RTMPL" - ( Origin gFrontEnd ) - ) - ( attribute "TC2" "RTMPQ" - ( Origin gFrontEnd ) - ) - ( attribute "TOL" "1%" - ( Origin gFrontEnd ) - ) - ( attribute "TOL_ON_OFF" "ON" - ( Origin gFrontEnd ) - ) - ( attribute "VALUE" "51" + ( attribute "SYMMAP" "TRUE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "2" + ( attribute "VALUE" "0.8MM" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "RVMAX" - ( Units "uVoltage" "V" 1.000000) + ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(300,-3600)" + ( attribute "XY" "(2750,-1750)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( attribute "CHIPS_PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-51,1%" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) + ( attribute "LOCATION" "TP7_2" + ( Origin gFrontEnd ) ) - ( attribute "LOCATION" "R14" + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "R14" - ( Origin gPackager ) - ) - ( objectStatus "R71" ) + ( objectStatus "TP7_2" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "R71.1" ) - ) - ( pin "b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "R71.2" ) + ( objectStatus "TP7_2.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i22" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i159" ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "0603" - ( Origin gFrontEnd ) + ( attribute "PACK_TYPE" "HOLE" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "2" + ( attribute "PART_NAME" "TP" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "100NF" + ( attribute "SYMMAP" "TRUE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VALUE" "0.8MM" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "16V" - ( Units "uVoltage" "V" 1.000000) + ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(0,-3600)" + ( attribute "XY" "(2750,400)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( attribute "CHIPS_PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) + ( attribute "LOCATION" "TP2_3" + ( Origin gFrontEnd ) ) - ( attribute "LOCATION" "C11" + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "C11" - ( Origin gPackager ) - ) - ( objectStatus "C53" ) + ( objectStatus "TP2_3" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C53.1" ) - ) - ( pin "b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "C53.2" ) + ( objectStatus "TP2_3.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i63" - ( attribute "CHIPS_PART_NAME" "AD5665R" - ( Origin gPackager ) - ) - ( attribute "CDS_LIB" "cnlinear" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i160" + ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-275,175,275,-175" + ( attribute "PACK_TYPE" "HOLE" ( Status sBlockFlattened ) - ( Origin gPackager ) + ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "TSSOP" + ( attribute "PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -18985,130 +18336,61 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TYPE" "AD5665RBRUZ-1" + ( attribute "SIZE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "SYMMAP" "TRUE" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-1450,1250)" + ( attribute "VALUE" "0.8MM" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CDS_PART_NAME" "AD5665RBRUZ-1-GND=GND_SIGNAL;VA" - ( Origin gPackager ) - ) - ( attribute "CDS_SEC" "1" + ( attribute "VER" "1" ( Status sBlockFlattened ) - ( Origin gPackager ) + ( Origin gFrontEnd ) ) - ( attribute "LOCATION" "IC8" + ( attribute "XY" "(2750,200)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SEC" "1" + ( attribute "CHIPS_PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "IC8" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( objectStatus "IC8" ) - ( pin "addr1" - ( attribute "PN" "2" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "IC8.2" ) + ( attribute "LOCATION" "TP3_3" + ( Origin gFrontEnd ) ) - ( pin "addr2" - ( attribute "PN" "8" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "IC8.8" ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) ) - ( pin "\clr*\" - ( attribute "PN" "9" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "IC8.9" ) + ( attribute "SEC" "1" + ( Origin gPackager ) ) - ( pin "\ldac*\" + ( objectStatus "TP3_3" ) + ( pin "a(0)" ( attribute "PN" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "IC8.1" ) - ) - ( pin "por" - ( attribute "PN" "6" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "IC8.6" ) - ) - ( pin "scl" - ( attribute "PN" "14" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "IC8.14" ) - ) - ( pin "sda" - ( attribute "PN" "13" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "IC8.13" ) - ) - ( pin "vouta" - ( attribute "PN" "4" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "IC8.4" ) - ) - ( pin "voutb" - ( attribute "PN" "11" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "IC8.11" ) - ) - ( pin "voutc" - ( attribute "PN" "5" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "IC8.5" ) - ) - ( pin "voutd" - ( attribute "PN" "10" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "IC8.10" ) - ) - ( pin "\vrefin/vrefout\" - ( attribute "PN" "7" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "IC8.7" ) + ( objectStatus "TP3_3.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i66" - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" - ( Origin gPackager ) - ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i161" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "0603" + ( attribute "PACK_TYPE" "HOLE" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -19116,7 +18398,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "ROT" "0" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -19124,707 +18406,585 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "1UF" + ( attribute "SYMMAP" "TRUE" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VALUE" "0.8MM" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "16V" - ( Units "uVoltage" "V" 1.000000) + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-2250,0)" + ( attribute "XY" "(2750,0)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-1UF,16V" + ( attribute "CHIPS_PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" - ( Status sBlockFlattened ) + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "LOCATION" "C71" + ( attribute "LOCATION" "TP4_3" ( Origin gFrontEnd ) ) - ( attribute "SEC" "1" + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "C71" + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "C71" ) + ( objectStatus "TP4_3" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C71.1" ) - ) - ( pin "b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "C71.2" ) + ( objectStatus "TP4_3.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i26" - ( attribute "CDS_LIB" "cndiscrete" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i162" + ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "GSD" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "2" + ( attribute "PACK_TYPE" "HOLE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "2" + ( attribute "PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TYPE" "SOT23" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "FDV301N" + ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(400,800)" + ( attribute "SYMMAP" "TRUE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TRANS MOSFET" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "TRANS MOSFET_GSD-FDV301N,SOT23" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "T1" - ( Origin gPackager ) - ) - ( objectStatus "T1" ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i28" - ( attribute "CDS_LIB" "cninterface" - ( Origin gPackager ) - ) - ( attribute "PACK_TYPE" "SC70" + ( attribute "VALUE" "0.8MM" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "2" + ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "2" + ( attribute "XY" "(1000,800)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TYPE" "SN74LVC1G07DCK" - ( Origin gFrontEnd ) + ( attribute "CHIPS_PART_NAME" "TP" + ( Status sBlockFlattened ) + ( Origin gPackager ) ) - ( attribute "VER" "1" - ( Origin gFrontEnd ) + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( Origin gPackager ) ) - ( attribute "XY" "(1450,750)" + ( attribute "LOCATION" "TP1_3" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "74LVC1G07" + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "SN74LVC1G07DCK-GND=GND_SIGNAL;A" + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "LOCATION" "IC4" - ( Origin gPackager ) + ( objectStatus "TP1_3" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "TP1_3.1" ) ) - ( objectStatus "IC2" ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i29" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i163" ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "DIST" "FLAT" - ( Origin gFrontEnd ) - ) - ( attribute "MAX_TEMP" "RTMAX" - ( Origin gFrontEnd ) - ) - ( attribute "NEGTOL" "RTOL%" - ( Origin gFrontEnd ) - ) - ( attribute "PACK_TYPE" "1/10W" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "2" + ( attribute "PACK_TYPE" "HOLE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POSTOL" "RTOL%" + ( attribute "PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POWER" "RMAX" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SLOPE" "RSMAX" - ( Origin gFrontEnd ) - ) - ( attribute "TC1" "RTMPL" - ( Origin gFrontEnd ) - ) - ( attribute "TC2" "RTMPQ" + ( attribute "SYMMAP" "TRUE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TOL" "1%" + ( attribute "VALUE" "0.8MM" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TOL_ON_OFF" "ON" + ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "1K" + ( attribute "XY" "(2750,-1250)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "2" - ( Origin gFrontEnd ) + ( attribute "CHIPS_PART_NAME" "TP" + ( Status sBlockFlattened ) + ( Origin gPackager ) ) - ( attribute "VOLTAGE" "RVMAX" - ( Units "uVoltage" "V" 1.000000) - ( Origin gFrontEnd ) + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( Origin gPackager ) ) - ( attribute "XY" "(800,900)" + ( attribute "LOCATION" "TP5_3" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-1K,1%" + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "LOCATION" "R15" - ( Origin gPackager ) + ( objectStatus "TP5_3" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "TP5_3.1" ) ) - ( objectStatus "R1" ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i33" - ( attribute "CDS_LIB" "cndiscrete" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i164" + ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "LOCATION" "D3" - ( Origin gPackager ) + ( attribute "PACK_TYPE" "HOLE" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "SOT23" + ( attribute "PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "2" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TYPE" "USBLC6-2SC6" + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "SYMMAP" "TRUE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(1100,-1800)" + ( attribute "VALUE" "0.8MM" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "USBLC6-2" - ( Origin gPackager ) + ( attribute "VER" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( attribute "CDS_PART_NAME" "USBLC6-2SC6" - ( Origin gPackager ) + ( attribute "XY" "(2750,-1550)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( objectStatus "D2" ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i38" - ( attribute "CDS_LIB" "cnpassive" + ( attribute "CHIPS_PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "DIST" "FLAT" + ( attribute "LOCATION" "TP6_3" ( Origin gFrontEnd ) ) - ( attribute "LOCATION" "R9" + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( attribute "MAX_TEMP" "RTMAX" - ( Origin gFrontEnd ) + ( attribute "SEC" "1" + ( Origin gPackager ) ) - ( attribute "NEGTOL" "RTOL%" - ( Origin gFrontEnd ) + ( objectStatus "TP6_3" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "TP6_3.1" ) ) - ( attribute "PACK_TYPE" "1/10W" - ( Origin gFrontEnd ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i165" + ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) + ( Origin gPackager ) ) - ( attribute "PHYS_PAGE" "2" + ( attribute "PACK_TYPE" "HOLE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POSTOL" "RTOL%" + ( attribute "PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POWER" "RMAX" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SEC" "1" - ( Origin gPackager ) - ) ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SLOPE" "RSMAX" - ( Origin gFrontEnd ) - ) - ( attribute "TC1" "RTMPL" - ( Origin gFrontEnd ) - ) - ( attribute "TC2" "RTMPQ" + ( attribute "SYMMAP" "TRUE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TOL" "1%" + ( attribute "VALUE" "0.8MM" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TOL_ON_OFF" "ON" + ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "51" + ( attribute "XY" "(2750,-1750)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "2" - ( Origin gFrontEnd ) + ( attribute "CHIPS_PART_NAME" "TP" + ( Status sBlockFlattened ) + ( Origin gPackager ) ) - ( attribute "VOLTAGE" "RVMAX" - ( Units "uVoltage" "V" 1.000000) - ( Origin gFrontEnd ) + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( Origin gPackager ) ) - ( attribute "XY" "(5300,350)" + ( attribute "LOCATION" "TP7_3" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "RSMD0603" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-51,1%" + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "R9" + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "R68" ) + ( objectStatus "TP7_3" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "R68.1" ) - ) - ( pin "b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "R68.2" ) + ( objectStatus "TP7_3.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i39" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i159" ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) - ) - ( attribute "DIST" "FLAT" + ( attribute "PACK_TYPE" "HOLE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "LOCATION" "R10" - ( Origin gPackager ) + ( attribute "PART_NAME" "TP" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( attribute "MAX_TEMP" "RTMAX" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "NEGTOL" "RTOL%" + ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "1/10W" + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "2" + ( attribute "SYMMAP" "TRUE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POSTOL" "RTOL%" + ( attribute "VALUE" "0.8MM" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POWER" "RMAX" + ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "XY" "(2750,400)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SEC" "1" + ( attribute "CHIPS_PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "SIZE" "1" - ( Origin gFrontEnd ) + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( Origin gPackager ) ) - ( attribute "SLOPE" "RSMAX" + ( attribute "LOCATION" "TP2_4" ( Origin gFrontEnd ) ) - ( attribute "TC1" "RTMPL" - ( Origin gFrontEnd ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) ) - ( attribute "TC2" "RTMPQ" - ( Origin gFrontEnd ) + ( attribute "SEC" "1" + ( Origin gPackager ) ) - ( attribute "TOL" "1%" - ( Origin gFrontEnd ) - ) - ( attribute "TOL_ON_OFF" "ON" - ( Origin gFrontEnd ) - ) - ( attribute "VALUE" "51" - ( Origin gFrontEnd ) - ) - ( attribute "VER" "2" - ( Origin gFrontEnd ) - ) - ( attribute "VOLTAGE" "RVMAX" - ( Units "uVoltage" "V" 1.000000) - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(5300,150)" - ( Origin gFrontEnd ) - ) - ( attribute "CHIPS_PART_NAME" "RSMD0603" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-51,1%" - ( Origin gPackager ) - ) - ( attribute "CDS_LOCATION" "R10" - ( Origin gPackager ) - ) - ( objectStatus "R69" ) + ( objectStatus "TP2_4" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "R69.1" ) - ) - ( pin "b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "R69.2" ) + ( objectStatus "TP2_4.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i40" - ( attribute "CDS_LIB" "cninterface" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i160" + ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "SC70" + ( attribute "PACK_TYPE" "HOLE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "2" + ( attribute "PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "2" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TYPE" "SN74LVC1G07DCK" + ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(5000,750)" + ( attribute "SYMMAP" "TRUE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "74LVC1G07" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "SN74LVC1G07DCK-GND=GND_SIGNAL;A" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "IC6" - ( Origin gPackager ) - ) - ( objectStatus "IC1" ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i41" - ( attribute "CDS_LIB" "cnpassive" - ( Origin gPackager ) - ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "C7" - ( Origin gPackager ) - ) - ( attribute "PACK_TYPE" "0603" + ( attribute "VALUE" "0.8MM" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "2" + ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "XY" "(2750,200)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SEC" "1" + ( attribute "CHIPS_PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "SIZE" "1" - ( Origin gFrontEnd ) - ) - ( attribute "VALUE" "100NF" - ( Origin gFrontEnd ) - ) - ( attribute "VER" "1" - ( Origin gFrontEnd ) - ) - ( attribute "VOLTAGE" "16V" - ( Units "uVoltage" "V" 1.000000) - ( Origin gFrontEnd ) + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( Origin gPackager ) ) - ( attribute "XY" "(5000,350)" + ( attribute "LOCATION" "TP3_4" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "C7" + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "C47" ) + ( objectStatus "TP3_4" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C47.1" ) - ) - ( pin "b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "C47.2" ) + ( objectStatus "TP3_4.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i42" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i161" ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "C12" - ( Origin gPackager ) + ( attribute "PACK_TYPE" "HOLE" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "0603" + ( attribute "PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "2" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SEC" "1" - ( Origin gPackager ) - ) ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "100NF" + ( attribute "SYMMAP" "TRUE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VALUE" "0.8MM" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "16V" - ( Units "uVoltage" "V" 1.000000) + ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(5000,150)" + ( attribute "XY" "(2750,0)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( attribute "CHIPS_PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "C12" + ( attribute "LOCATION" "TP4_4" + ( Origin gFrontEnd ) + ) + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( objectStatus "C46" ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "TP4_4" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C46.1" ) - ) - ( pin "b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "C46.2" ) + ( objectStatus "TP4_4.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i44" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i162" ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "DIST" "FLAT" - ( Origin gFrontEnd ) - ) - ( attribute "MAX_TEMP" "RTMAX" - ( Origin gFrontEnd ) - ) - ( attribute "NEGTOL" "RTOL%" - ( Origin gFrontEnd ) - ) - ( attribute "PACK_TYPE" "1/10W" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "2" + ( attribute "PACK_TYPE" "HOLE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POSTOL" "RTOL%" + ( attribute "PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POWER" "RMAX" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SLOPE" "RSMAX" - ( Origin gFrontEnd ) - ) - ( attribute "TC1" "RTMPL" - ( Origin gFrontEnd ) - ) - ( attribute "TC2" "RTMPQ" - ( Origin gFrontEnd ) - ) - ( attribute "TOL" "1%" - ( Origin gFrontEnd ) - ) - ( attribute "TOL_ON_OFF" "ON" - ( Origin gFrontEnd ) - ) - ( attribute "VALUE" "1K" - ( Origin gFrontEnd ) - ) - ( attribute "VER" "2" - ( Origin gFrontEnd ) - ) - ( attribute "VOLTAGE" "RVMAX" - ( Units "uVoltage" "V" 1.000000) - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(4350,900)" - ( Origin gFrontEnd ) - ) - ( attribute "CHIPS_PART_NAME" "RSMD0603" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-1K,1%" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "R16" - ( Origin gPackager ) - ) - ( objectStatus "R2" ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i45" - ( attribute "CDS_LIB" "cndiscrete" - ( Origin gPackager ) - ) - ( attribute "PACK_TYPE" "GSD" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "2" - ( Origin gFrontEnd ) - ) - ( attribute "ROT" "2" - ( Origin gFrontEnd ) - ) - ( attribute "TYPE" "SOT23" + ( attribute "SYMMAP" "TRUE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "FDV301N" + ( attribute "VALUE" "0.8MM" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(3950,800)" + ( attribute "XY" "(1000,800)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TRANS MOSFET" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "TRANS MOSFET_GSD-FDV301N,SOT23" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "T2" - ( Origin gPackager ) - ) - ( objectStatus "T2" ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i49" - ( attribute "CDS_LIB" "cndiscrete" + ( attribute "CHIPS_PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "LOCATION" "D2" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "SOT23" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "2" - ( Origin gFrontEnd ) - ) - ( attribute "ROT" "0" - ( Origin gFrontEnd ) - ) - ( attribute "TYPE" "USBLC6-2SC6" - ( Origin gFrontEnd ) - ) - ( attribute "VER" "1" - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(4650,-1800)" + ( attribute "LOCATION" "TP1_4" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "USBLC6-2" + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "USBLC6-2SC6" + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "D1" ) + ( objectStatus "TP1_4" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "TP1_4.1" ) + ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i9" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i163" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "0603" + ( attribute "PACK_TYPE" "HOLE" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -19832,7 +18992,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "ROT" "0" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -19840,31 +19000,30 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "100NF" + ( attribute "SYMMAP" "TRUE" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VALUE" "0.8MM" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "16V" - ( Units "uVoltage" "V" 1.000000) + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(4450,500)" + ( attribute "XY" "(2750,-1250)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( attribute "CHIPS_PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "LOCATION" "C55" + ( attribute "LOCATION" "TP5_4" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" @@ -19873,49 +19032,45 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "C55" - ( Origin gPackager ) - ) - ( objectStatus "C55" ) + ( objectStatus "TP5_4" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C55.1" ) - ) - ( pin "b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "C55.2" ) + ( objectStatus "TP5_4.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i7" - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i164" + ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_LIB" "bris_cds_analogue" + ( attribute "PACK_TYPE" "HOLE" ( Status sBlockFlattened ) - ( Origin gPackager ) + ( Origin gFrontEnd ) ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,50,125,-50" + ( attribute "PART_NAME" "TP" ( Status sBlockFlattened ) - ( Origin gPackager ) + ( Origin gFrontEnd ) ) - ( attribute "MANUF" "NXP" + ( attribute "PHYS_PAGE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "TSSOP" + ( attribute "ROT" "0" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "SYMMAP" "TRUE" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "3" + ( attribute "VALUE" "0.8MM" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -19923,55 +19078,65 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(350,550)" + ( attribute "XY" "(2750,-1550)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP-GND=GND_SA" + ( attribute "CHIPS_PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "LOCATION" "U2" - ( Origin gFrontEnd ) - ) - ( attribute "SEC" "7" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "CDS_SEC" "7" + ( attribute "LOCATION" "TP6_4" + ( Origin gFrontEnd ) + ) + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "U2" + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "U2" ) - ( pin "\in\" - ( attribute "PN" "9" + ( objectStatus "TP6_4" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "U2.9" ) + ( objectStatus "TP6_4.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i43" - ( attribute "CDS_LIB" "bris_cds_analogue" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i165" + ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,50,125,-50" + ( attribute "PACK_TYPE" "HOLE" ( Status sBlockFlattened ) - ( Origin gPackager ) + ( Origin gFrontEnd ) ) - ( attribute "MANUF" "NXP" + ( attribute "PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "TSSOP" + ( attribute "PHYS_PAGE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" + ( attribute "ROT" "0" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "SYMMAP" "TRUE" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "3" + ( attribute "VALUE" "0.8MM" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -19979,51 +19144,68 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(350,-2750)" + ( attribute "XY" "(2750,-1750)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" + ( attribute "CHIPS_PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP-GND=GND_SA" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "LOCATION" "U2" + ( attribute "LOCATION" "TP7_4" ( Origin gFrontEnd ) ) - ( attribute "SEC" "3" - ( Origin gPackager ) - ) - ( attribute "CDS_SEC" "3" + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "U2" + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "U2" ) - ( pin "\in\" - ( attribute "PN" "4" + ( objectStatus "TP7_4" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "U2.4" ) + ( objectStatus "TP7_4.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i44" - ( attribute "CDS_LIB" "bris_cds_analogue" - ( Status sBlockFlattened ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i78" + ( attribute "CHIPS_PART_NAME" "CON8P" + ( Origin gPackager ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i40" + ( attribute "CHIPS_PART_NAME" "74LVC1G07" + ( Origin gPackager ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i28" + ( attribute "CHIPS_PART_NAME" "74LVC1G07" + ( Origin gPackager ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i3" + ( attribute "CHIPS_PART_NAME" "CON19P" ( Origin gPackager ) ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,50,125,-50" + ( attribute "CDS_PART_NAME" "CON19P" + ( Origin gPackager ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i68" + ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "MANUF" "NXP" + ( attribute "PACK_TYPE" "HOLE" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "TSSOP" + ( attribute "PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -20031,7 +19213,19 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "3" + ( attribute "ROT" "0" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "SYMMAP" "TRUE" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "0.8MM" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -20039,59 +19233,67 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(350,-2200)" + ( attribute "XY" "(-1225,500)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" + ( attribute "CHIPS_PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP-GND=GND_SA" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "LOCATION" "U2" - ( Origin gFrontEnd ) + ( attribute "CDS_LOCATION" "TP13" + ( Origin gPackager ) ) - ( attribute "SEC" "5" + ( attribute "LOCATION" "TP13" ( Origin gPackager ) ) - ( attribute "CDS_SEC" "5" + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "U2" + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "U2" ) - ( pin "\in\" - ( attribute "PN" "6" + ( objectStatus "TP13" ) + ( pin "a(0)" + ( attribute "PN" "1" ( Origin gPackager ) ) - ( objectStatus "U2.6" ) + ( objectStatus "TP13.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i45" - ( attribute "CDS_LIB" "bris_cds_analogue" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i69" + ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,50,125,-50" + ( attribute "PACK_TYPE" "HOLE" ( Status sBlockFlattened ) - ( Origin gPackager ) + ( Origin gFrontEnd ) ) - ( attribute "MANUF" "NXP" + ( attribute "PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "TSSOP" + ( attribute "PHYS_PAGE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" + ( attribute "ROT" "0" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "SYMMAP" "TRUE" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "3" + ( attribute "VALUE" "0.8MM" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -20099,323 +19301,315 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(350,-1650)" + ( attribute "XY" "(-700,1350)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" + ( attribute "CHIPS_PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP-GND=GND_SA" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "LOCATION" "U2" + ( attribute "LOCATION" "TP22" ( Origin gFrontEnd ) ) - ( attribute "SEC" "6" + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_SEC" "6" + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "U2" + ( attribute "CDS_LOCATION" "TP22" ( Origin gPackager ) ) - ( objectStatus "U2" ) - ( pin "\in\" - ( attribute "PN" "7" + ( objectStatus "TP22" ) + ( pin "a(0)" + ( attribute "PN" "1" ( Origin gPackager ) ) - ( objectStatus "U2.7" ) + ( objectStatus "TP22.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i46" - ( attribute "CDS_LIB" "bris_cds_analogue" - ( Status sBlockFlattened ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i8" + ( attribute "CDS_LIB" "cnmemory" ( Origin gPackager ) ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,50,125,-50" - ( Status sBlockFlattened ) + ( attribute "CDS_LMAN_SYM_OUTLINE" "-150,75,150,-150" ( Origin gPackager ) ) - ( attribute "MANUF" "NXP" - ( Status sBlockFlattened ) + ( attribute "PACK_TYPE" "SOIC" ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "TSSOP" - ( Status sBlockFlattened ) + ( attribute "PHYS_PAGE" "1" ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" - ( Status sBlockFlattened ) + ( attribute "ROT" "0" ( Origin gFrontEnd ) ) - ( attribute "ROT" "3" - ( Status sBlockFlattened ) + ( attribute "TYPE" "24AA025E48T-I/SN" ( Origin gFrontEnd ) ) ( attribute "VER" "1" - ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(350,-1100)" - ( Status sBlockFlattened ) + ( attribute "XY" "(-200,-2950)" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP-GND=GND_SA" + ( attribute "CHIPS_PART_NAME" "24AA025E48" ( Origin gPackager ) ) - ( attribute "LOCATION" "U2" - ( Origin gFrontEnd ) - ) - ( attribute "SEC" "1" + ( attribute "CDS_PART_NAME" "24AA025E48T-I/SN" ( Origin gPackager ) ) ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "U2" + ( attribute "CDS_LOCATION" "IC9" ( Origin gPackager ) ) - ( objectStatus "U2" ) - ( pin "\in\" - ( attribute "PN" "1" - ( Origin gPackager ) - ) - ( objectStatus "U2.1" ) - ) + ( objectStatus "IC9" ) + ( pin "a0" + ( objectStatus "IC9.1" ) + ) + ( pin "a1" + ( objectStatus "IC9.2" ) + ) + ( pin "a2" + ( objectStatus "IC9.3" ) + ) + ( pin "scl" + ( objectStatus "IC9.6" ) + ) + ( pin "sda" + ( objectStatus "IC9.5" ) + ) + ( pin "vcc" + ( objectStatus "IC9.8" ) + ) + ( pin "vss" + ( objectStatus "IC9.4" ) + ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i47" - ( attribute "CDS_LIB" "bris_cds_analogue" - ( Status sBlockFlattened ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i11" + ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,50,125,-50" - ( Status sBlockFlattened ) - ( Origin gPackager ) + ( attribute "PACK_TYPE" "0603" + ( Origin gFrontEnd ) ) - ( attribute "MANUF" "NXP" - ( Status sBlockFlattened ) + ( attribute "PHYS_PAGE" "1" ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "TSSOP" - ( Status sBlockFlattened ) + ( attribute "ROT" "0" ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" - ( Status sBlockFlattened ) + ( attribute "SIZE" "1" ( Origin gFrontEnd ) ) - ( attribute "ROT" "3" - ( Status sBlockFlattened ) + ( attribute "VALUE" "100NF" ( Origin gFrontEnd ) ) ( attribute "VER" "1" - ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(350,-550)" - ( Status sBlockFlattened ) + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Status sBlockFlattened ) + ( attribute "XY" "(-200,-3300)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP-GND=GND_SA" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" ( Origin gPackager ) ) - ( attribute "LOCATION" "U2" + ( attribute "LOCATION" "C70" ( Origin gFrontEnd ) ) - ( attribute "SEC" "2" + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_SEC" "2" + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "U2" + ( attribute "CDS_LOCATION" "C70" ( Origin gPackager ) ) - ( objectStatus "U2" ) - ( pin "\in\" + ( objectStatus "C70" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "C70.1" ) + ) + ( pin "b(0)" ( attribute "PN" "2" ( Origin gPackager ) ) - ( objectStatus "U2.2" ) + ( objectStatus "C70.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i48" - ( attribute "CDS_LIB" "bris_cds_analogue" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,50,125,-50" - ( Status sBlockFlattened ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i12" + ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "MANUF" "NXP" - ( Status sBlockFlattened ) + ( attribute "DIST" "FLAT" ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "TSSOP" - ( Status sBlockFlattened ) + ( attribute "MAX_TEMP" "RTMAX" ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" - ( Status sBlockFlattened ) + ( attribute "NEGTOL" "RTOL%" ( Origin gFrontEnd ) ) - ( attribute "ROT" "3" - ( Status sBlockFlattened ) + ( attribute "PACK_TYPE" "1/10W" ( Origin gFrontEnd ) ) - ( attribute "VER" "1" - ( Status sBlockFlattened ) + ( attribute "PHYS_PAGE" "1" ( Origin gFrontEnd ) ) - ( attribute "XY" "(350,0)" - ( Status sBlockFlattened ) + ( attribute "POSTOL" "RTOL%" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP-GND=GND_SA" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "U2" + ( attribute "POWER" "RMAX" ( Origin gFrontEnd ) ) - ( attribute "SEC" "8" - ( Origin gPackager ) - ) - ( attribute "CDS_SEC" "8" - ( Origin gPackager ) + ( attribute "ROT" "1" + ( Origin gFrontEnd ) ) - ( attribute "CDS_LOCATION" "U2" - ( Origin gPackager ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) ) - ( objectStatus "U2" ) - ( pin "\in\" - ( attribute "PN" "10" - ( Origin gPackager ) - ) - ( objectStatus "U2.10" ) + ( attribute "SLOPE" "RSMAX" + ( Origin gFrontEnd ) ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i50" - ( attribute "CDS_LIB" "bris_cds_analogue" - ( Status sBlockFlattened ) - ( Origin gPackager ) + ( attribute "TC1" "RTMPL" + ( Origin gFrontEnd ) ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,50,125,-50" - ( Status sBlockFlattened ) - ( Origin gPackager ) + ( attribute "TC2" "RTMPQ" + ( Origin gFrontEnd ) ) - ( attribute "MANUF" "NXP" - ( Status sBlockFlattened ) + ( attribute "TOL" "1%" ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "TSSOP" - ( Status sBlockFlattened ) + ( attribute "TOL_ON_OFF" "ON" ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" - ( Status sBlockFlattened ) + ( attribute "VALUE" "XX" ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" - ( Status sBlockFlattened ) + ( attribute "VER" "2" ( Origin gFrontEnd ) ) - ( attribute "VER" "1" - ( Status sBlockFlattened ) + ( attribute "VOLTAGE" "RVMAX" + ( Units "uVoltage" "V" 1.000000) ( Origin gFrontEnd ) ) - ( attribute "XY" "(350,-3300)" - ( Status sBlockFlattened ) + ( attribute "XY" "(-1050,-3050)" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Status sBlockFlattened ) + ( attribute "CHIPS_PART_NAME" "RSMD0603" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP-GND=GND_SA" + ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-XX,1%" ( Origin gPackager ) ) - ( attribute "LOCATION" "U2" + ( attribute "LOCATION" "R61" ( Origin gFrontEnd ) ) - ( attribute "SEC" "4" + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_SEC" "4" + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "U2" + ( attribute "CDS_LOCATION" "R61" ( Origin gPackager ) ) - ( objectStatus "U2" ) - ( pin "\in\" - ( attribute "PN" "5" + ( objectStatus "R61" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "R61.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" ( Origin gPackager ) ) - ( objectStatus "U2.5" ) + ( objectStatus "R61.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i9" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i13" ( attribute "CDS_LIB" "cnpassive" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "0603" - ( Status sBlockFlattened ) + ( attribute "DIST" "FLAT" + ( Origin gFrontEnd ) + ) + ( attribute "MAX_TEMP" "RTMAX" + ( Origin gFrontEnd ) + ) + ( attribute "NEGTOL" "RTOL%" + ( Origin gFrontEnd ) + ) + ( attribute "PACK_TYPE" "1/10W" ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" - ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "POSTOL" "RTOL%" + ( Origin gFrontEnd ) + ) + ( attribute "POWER" "RMAX" ( Origin gFrontEnd ) ) ( attribute "ROT" "1" - ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "SIZE" "1" - ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "100NF" - ( Status sBlockFlattened ) + ( attribute "SLOPE" "RSMAX" ( Origin gFrontEnd ) ) - ( attribute "VER" "1" - ( Status sBlockFlattened ) + ( attribute "TC1" "RTMPL" ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "16V" + ( attribute "TC2" "RTMPQ" + ( Origin gFrontEnd ) + ) + ( attribute "TOL" "1%" + ( Origin gFrontEnd ) + ) + ( attribute "TOL_ON_OFF" "ON" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "XX" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "2" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "RVMAX" ( Units "uVoltage" "V" 1.000000) - ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(4450,500)" - ( Status sBlockFlattened ) + ( attribute "XY" "(-900,-3050)" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" - ( Status sBlockFlattened ) + ( attribute "CHIPS_PART_NAME" "RSMD0603" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-XX,1%" ( Origin gPackager ) ) - ( attribute "LOCATION" "C56" + ( attribute "LOCATION" "R63" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" @@ -20424,708 +19618,556 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "C56" + ( attribute "CDS_LOCATION" "R63" ( Origin gPackager ) ) - ( objectStatus "C56" ) + ( objectStatus "R63" ) ( pin "a(0)" ( attribute "PN" "1" ( Origin gPackager ) ) - ( objectStatus "C56.1" ) + ( objectStatus "R63.1" ) ) ( pin "b(0)" ( attribute "PN" "2" ( Origin gPackager ) ) - ( objectStatus "C56.2" ) + ( objectStatus "R63.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i7" - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Status sBlockFlattened ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i14" + ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "CDS_LIB" "bris_cds_analogue" - ( Status sBlockFlattened ) - ( Origin gPackager ) + ( attribute "DIST" "FLAT" + ( Origin gFrontEnd ) ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,50,125,-50" - ( Status sBlockFlattened ) - ( Origin gPackager ) + ( attribute "MAX_TEMP" "RTMAX" + ( Origin gFrontEnd ) ) - ( attribute "MANUF" "NXP" - ( Status sBlockFlattened ) + ( attribute "NEGTOL" "RTOL%" ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "TSSOP" - ( Status sBlockFlattened ) + ( attribute "PACK_TYPE" "1/10W" ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" - ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "3" - ( Status sBlockFlattened ) + ( attribute "POSTOL" "RTOL%" ( Origin gFrontEnd ) ) - ( attribute "VER" "1" - ( Status sBlockFlattened ) + ( attribute "POWER" "RMAX" ( Origin gFrontEnd ) ) - ( attribute "XY" "(350,550)" - ( Status sBlockFlattened ) + ( attribute "ROT" "1" ( Origin gFrontEnd ) ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP-GND=GND_SA" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "U3" + ( attribute "SIZE" "1" ( Origin gFrontEnd ) ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) - ) - ( attribute "SEC" "1" - ( Origin gPackager ) - ) - ( attribute "CDS_LOCATION" "U3" - ( Origin gPackager ) - ) - ( objectStatus "U3" ) - ( pin "\in\" - ( attribute "PN" "1" - ( Origin gPackager ) - ) - ( objectStatus "U3.1" ) + ( attribute "SLOPE" "RSMAX" + ( Origin gFrontEnd ) ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i43" - ( attribute "CDS_LIB" "bris_cds_analogue" - ( Status sBlockFlattened ) - ( Origin gPackager ) + ( attribute "TC1" "RTMPL" + ( Origin gFrontEnd ) ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,50,125,-50" - ( Status sBlockFlattened ) - ( Origin gPackager ) + ( attribute "TC2" "RTMPQ" + ( Origin gFrontEnd ) ) - ( attribute "MANUF" "NXP" - ( Status sBlockFlattened ) + ( attribute "TOL" "1%" ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "TSSOP" - ( Status sBlockFlattened ) + ( attribute "TOL_ON_OFF" "ON" ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" - ( Status sBlockFlattened ) + ( attribute "VALUE" "XX" ( Origin gFrontEnd ) ) - ( attribute "ROT" "3" - ( Status sBlockFlattened ) + ( attribute "VER" "2" ( Origin gFrontEnd ) ) - ( attribute "VER" "1" - ( Status sBlockFlattened ) + ( attribute "VOLTAGE" "RVMAX" + ( Units "uVoltage" "V" 1.000000) ( Origin gFrontEnd ) ) - ( attribute "XY" "(350,-2750)" - ( Status sBlockFlattened ) + ( attribute "XY" "(-750,-3050)" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Status sBlockFlattened ) + ( attribute "CHIPS_PART_NAME" "RSMD0603" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP-GND=GND_SA" + ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-XX,1%" ( Origin gPackager ) ) - ( attribute "LOCATION" "U3" + ( attribute "LOCATION" "R65" ( Origin gFrontEnd ) ) - ( attribute "CDS_SEC" "7" + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( attribute "SEC" "7" + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "U3" + ( attribute "CDS_LOCATION" "R65" ( Origin gPackager ) ) - ( objectStatus "U3" ) - ( pin "\in\" - ( attribute "PN" "9" + ( objectStatus "R65" ) + ( pin "a(0)" + ( attribute "PN" "1" ( Origin gPackager ) ) - ( objectStatus "U3.9" ) + ( objectStatus "R65.1" ) ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i44" - ( attribute "CDS_LIB" "bris_cds_analogue" - ( Status sBlockFlattened ) - ( Origin gPackager ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "R65.2" ) ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,50,125,-50" - ( Status sBlockFlattened ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i15" + ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "MANUF" "NXP" - ( Status sBlockFlattened ) + ( attribute "DIST" "FLAT" ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "TSSOP" - ( Status sBlockFlattened ) + ( attribute "MAX_TEMP" "RTMAX" ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" - ( Status sBlockFlattened ) + ( attribute "NEGTOL" "RTOL%" ( Origin gFrontEnd ) ) - ( attribute "ROT" "3" - ( Status sBlockFlattened ) + ( attribute "PACK_TYPE" "<< NULL >>" ( Origin gFrontEnd ) ) - ( attribute "VER" "1" - ( Status sBlockFlattened ) + ( attribute "PHYS_PAGE" "1" ( Origin gFrontEnd ) ) - ( attribute "XY" "(350,-2200)" - ( Status sBlockFlattened ) + ( attribute "POSTOL" "RTOL%" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP-GND=GND_SA" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "U3" + ( attribute "POWER" "RMAX" ( Origin gFrontEnd ) ) - ( attribute "SEC" "4" - ( Origin gPackager ) - ) - ( attribute "CDS_SEC" "4" - ( Origin gPackager ) + ( attribute "ROT" "1" + ( Origin gFrontEnd ) ) - ( attribute "CDS_LOCATION" "U3" - ( Origin gPackager ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) ) - ( objectStatus "U3" ) - ( pin "\in\" - ( attribute "PN" "5" - ( Origin gPackager ) - ) - ( objectStatus "U3.5" ) + ( attribute "SLOPE" "RSMAX" + ( Origin gFrontEnd ) ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i45" - ( attribute "CDS_LIB" "bris_cds_analogue" - ( Status sBlockFlattened ) - ( Origin gPackager ) + ( attribute "TC1" "RTMPL" + ( Origin gFrontEnd ) ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,50,125,-50" - ( Status sBlockFlattened ) - ( Origin gPackager ) + ( attribute "TC2" "RTMPQ" + ( Origin gFrontEnd ) ) - ( attribute "MANUF" "NXP" - ( Status sBlockFlattened ) + ( attribute "TOL" "<< NULL >>" ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "TSSOP" - ( Status sBlockFlattened ) + ( attribute "TOL_ON_OFF" "ON" ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" - ( Status sBlockFlattened ) + ( attribute "VALUE" "00" ( Origin gFrontEnd ) ) - ( attribute "ROT" "3" - ( Status sBlockFlattened ) + ( attribute "VER" "2" ( Origin gFrontEnd ) ) - ( attribute "VER" "1" - ( Status sBlockFlattened ) + ( attribute "VOLTAGE" "RVMAX" + ( Units "uVoltage" "V" 1.000000) ( Origin gFrontEnd ) ) - ( attribute "XY" "(350,-1650)" - ( Status sBlockFlattened ) + ( attribute "XY" "(-1050,-2600)" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Status sBlockFlattened ) + ( attribute "CHIPS_PART_NAME" "RSMD0603" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP-GND=GND_SA" + ( attribute "CDS_PART_NAME" "RSMD0603_-00," ( Origin gPackager ) ) - ( attribute "LOCATION" "U3" + ( attribute "LOCATION" "R60" ( Origin gFrontEnd ) ) - ( attribute "CDS_SEC" "5" + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( attribute "SEC" "5" + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "U3" + ( attribute "CDS_LOCATION" "R60" ( Origin gPackager ) ) - ( objectStatus "U3" ) - ( pin "\in\" - ( attribute "PN" "6" + ( objectStatus "R60" ) + ( pin "a(0)" + ( attribute "PN" "1" ( Origin gPackager ) ) - ( objectStatus "U3.6" ) + ( objectStatus "R60.1" ) ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i46" - ( attribute "CDS_LIB" "bris_cds_analogue" - ( Status sBlockFlattened ) - ( Origin gPackager ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "R60.2" ) ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,50,125,-50" - ( Status sBlockFlattened ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i16" + ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "MANUF" "NXP" - ( Status sBlockFlattened ) + ( attribute "DIST" "FLAT" ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "TSSOP" - ( Status sBlockFlattened ) + ( attribute "MAX_TEMP" "RTMAX" ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" - ( Status sBlockFlattened ) + ( attribute "NEGTOL" "RTOL%" ( Origin gFrontEnd ) ) - ( attribute "ROT" "3" - ( Status sBlockFlattened ) + ( attribute "PACK_TYPE" "<< NULL >>" ( Origin gFrontEnd ) ) - ( attribute "VER" "1" - ( Status sBlockFlattened ) + ( attribute "PHYS_PAGE" "1" ( Origin gFrontEnd ) ) - ( attribute "XY" "(350,-1100)" - ( Status sBlockFlattened ) + ( attribute "POSTOL" "RTOL%" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP-GND=GND_SA" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "U3" + ( attribute "POWER" "RMAX" ( Origin gFrontEnd ) ) - ( attribute "SEC" "6" - ( Origin gPackager ) - ) - ( attribute "CDS_SEC" "6" - ( Origin gPackager ) + ( attribute "ROT" "1" + ( Origin gFrontEnd ) ) - ( attribute "CDS_LOCATION" "U3" - ( Origin gPackager ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) ) - ( objectStatus "U3" ) - ( pin "\in\" - ( attribute "PN" "7" - ( Origin gPackager ) - ) - ( objectStatus "U3.7" ) + ( attribute "SLOPE" "RSMAX" + ( Origin gFrontEnd ) ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i47" - ( attribute "CDS_LIB" "bris_cds_analogue" - ( Status sBlockFlattened ) - ( Origin gPackager ) + ( attribute "TC1" "RTMPL" + ( Origin gFrontEnd ) ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,50,125,-50" - ( Status sBlockFlattened ) - ( Origin gPackager ) + ( attribute "TC2" "RTMPQ" + ( Origin gFrontEnd ) ) - ( attribute "MANUF" "NXP" - ( Status sBlockFlattened ) + ( attribute "TOL" "<< NULL >>" ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "TSSOP" - ( Status sBlockFlattened ) + ( attribute "TOL_ON_OFF" "ON" ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" - ( Status sBlockFlattened ) + ( attribute "VALUE" "00" ( Origin gFrontEnd ) ) - ( attribute "ROT" "3" - ( Status sBlockFlattened ) + ( attribute "VER" "2" ( Origin gFrontEnd ) ) - ( attribute "VER" "1" - ( Status sBlockFlattened ) + ( attribute "VOLTAGE" "RVMAX" + ( Units "uVoltage" "V" 1.000000) ( Origin gFrontEnd ) ) - ( attribute "XY" "(350,-550)" - ( Status sBlockFlattened ) + ( attribute "XY" "(-900,-2600)" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Status sBlockFlattened ) + ( attribute "CHIPS_PART_NAME" "RSMD0603" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP-GND=GND_SA" + ( attribute "CDS_PART_NAME" "RSMD0603_-00," ( Origin gPackager ) ) - ( attribute "LOCATION" "U3" + ( attribute "LOCATION" "R62" ( Origin gFrontEnd ) ) - ( attribute "CDS_SEC" "3" + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( attribute "SEC" "3" + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "U3" + ( attribute "CDS_LOCATION" "R62" ( Origin gPackager ) ) - ( objectStatus "U3" ) - ( pin "\in\" - ( attribute "PN" "4" + ( objectStatus "R62" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "R62.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" ( Origin gPackager ) ) - ( objectStatus "U3.4" ) + ( objectStatus "R62.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i48" - ( attribute "CDS_LIB" "bris_cds_analogue" - ( Status sBlockFlattened ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i17" + ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,50,125,-50" - ( Status sBlockFlattened ) - ( Origin gPackager ) + ( attribute "DIST" "FLAT" + ( Origin gFrontEnd ) ) - ( attribute "MANUF" "NXP" - ( Status sBlockFlattened ) + ( attribute "MAX_TEMP" "RTMAX" ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "TSSOP" - ( Status sBlockFlattened ) + ( attribute "NEGTOL" "RTOL%" + ( Origin gFrontEnd ) + ) + ( attribute "PACK_TYPE" "<< NULL >>" ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" - ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "3" - ( Status sBlockFlattened ) + ( attribute "POSTOL" "RTOL%" ( Origin gFrontEnd ) ) - ( attribute "VER" "1" - ( Status sBlockFlattened ) + ( attribute "POWER" "RMAX" ( Origin gFrontEnd ) ) - ( attribute "XY" "(350,0)" - ( Status sBlockFlattened ) + ( attribute "ROT" "1" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Status sBlockFlattened ) - ( Origin gPackager ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP-GND=GND_SA" - ( Origin gPackager ) + ( attribute "SLOPE" "RSMAX" + ( Origin gFrontEnd ) ) - ( attribute "LOCATION" "U3" + ( attribute "TC1" "RTMPL" ( Origin gFrontEnd ) ) - ( attribute "CDS_SEC" "2" - ( Origin gPackager ) - ) - ( attribute "SEC" "2" - ( Origin gPackager ) - ) - ( attribute "CDS_LOCATION" "U3" - ( Origin gPackager ) - ) - ( objectStatus "U3" ) - ( pin "\in\" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "U3.2" ) - ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i50" - ( attribute "CDS_LIB" "bris_cds_analogue" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,50,125,-50" - ( Status sBlockFlattened ) - ( Origin gPackager ) + ( attribute "TC2" "RTMPQ" + ( Origin gFrontEnd ) ) - ( attribute "MANUF" "NXP" - ( Status sBlockFlattened ) + ( attribute "TOL" "<< NULL >>" ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "TSSOP" - ( Status sBlockFlattened ) + ( attribute "TOL_ON_OFF" "ON" ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" - ( Status sBlockFlattened ) + ( attribute "VALUE" "00" ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" - ( Status sBlockFlattened ) + ( attribute "VER" "2" ( Origin gFrontEnd ) ) - ( attribute "VER" "1" - ( Status sBlockFlattened ) + ( attribute "VOLTAGE" "RVMAX" + ( Units "uVoltage" "V" 1.000000) ( Origin gFrontEnd ) ) - ( attribute "XY" "(350,-3300)" - ( Status sBlockFlattened ) + ( attribute "XY" "(-750,-2600)" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Status sBlockFlattened ) + ( attribute "CHIPS_PART_NAME" "RSMD0603" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP-GND=GND_SA" + ( attribute "CDS_PART_NAME" "RSMD0603_-00," ( Origin gPackager ) ) - ( attribute "LOCATION" "U3" + ( attribute "LOCATION" "R64" ( Origin gFrontEnd ) ) - ( attribute "CDS_SEC" "8" + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( attribute "SEC" "8" + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "U3" + ( attribute "CDS_LOCATION" "R64" ( Origin gPackager ) ) - ( objectStatus "U3" ) - ( pin "\in\" - ( attribute "PN" "10" + ( objectStatus "R64" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "R64.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" ( Origin gPackager ) ) - ( objectStatus "U3.10" ) + ( objectStatus "R64.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i9" - ( attribute "CDS_LIB" "cnpassive" - ( Status sBlockFlattened ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i51" + ( attribute "ABBREV" "PT6911" + ( Origin gFrontEnd ) + ) + ( attribute "CDS_LIB" "cnconnector" ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "0603" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( attribute "CDS_LOCATION" "PX5" + ( Origin gPackager ) ) - ( attribute "PHYS_PAGE" "1" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) ) - ( attribute "ROT" "1" - ( Status sBlockFlattened ) + ( attribute "NEEDS_NO_SIZE" "TRUE" ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" - ( Status sBlockFlattened ) + ( attribute "PHYS_PAGE" "3" ( Origin gFrontEnd ) ) - ( attribute "VALUE" "100NF" - ( Status sBlockFlattened ) + ( attribute "ROT" "2" ( Origin gFrontEnd ) ) - ( attribute "VER" "1" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( attribute "SEC" "1" + ( Origin gPackager ) ) - ( attribute "VOLTAGE" "16V" - ( Units "uVoltage" "V" 1.000000) - ( Status sBlockFlattened ) + ( attribute "TYPE" "PLEMO00C" ( Origin gFrontEnd ) ) - ( attribute "XY" "(4450,500)" - ( Status sBlockFlattened ) + ( attribute "VER" "1" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "C54" + ( attribute "XY" "(1750,50)" ( Origin gFrontEnd ) ) - ( attribute "CDS_SEC" "1" + ( attribute "CHIPS_PART_NAME" "PCOAX" ( Origin gPackager ) ) - ( attribute "SEC" "1" + ( attribute "CDS_PART_NAME" "PCOAX-PLEMO00C-GND=GND_SIGNAL" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "C54" + ( attribute "LOCATION" "PX5" ( Origin gPackager ) ) - ( objectStatus "C54" ) - ( pin "a(0)" + ( objectStatus "PX5" ) + ( pin "a" ( attribute "PN" "1" ( Origin gPackager ) ) - ( objectStatus "C54.1" ) - ) - ( pin "b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "C54.2" ) + ( objectStatus "PX5.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i7" - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Status sBlockFlattened ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i52" + ( attribute "ABBREV" "PT6911" + ( Origin gFrontEnd ) + ) + ( attribute "CDS_LIB" "cnconnector" ( Origin gPackager ) ) - ( attribute "CDS_LIB" "bris_cds_analogue" - ( Status sBlockFlattened ) + ( attribute "CDS_LOCATION" "PX4" ( Origin gPackager ) ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,50,125,-50" - ( Status sBlockFlattened ) + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( attribute "MANUF" "NXP" - ( Status sBlockFlattened ) + ( attribute "NEEDS_NO_SIZE" "TRUE" ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "TSSOP" - ( Status sBlockFlattened ) + ( attribute "PHYS_PAGE" "3" ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" - ( Status sBlockFlattened ) + ( attribute "ROT" "2" ( Origin gFrontEnd ) ) - ( attribute "ROT" "3" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( attribute "SEC" "1" + ( Origin gPackager ) ) - ( attribute "VER" "1" - ( Status sBlockFlattened ) + ( attribute "TYPE" "PLEMO00C" ( Origin gFrontEnd ) ) - ( attribute "XY" "(350,550)" - ( Status sBlockFlattened ) + ( attribute "VER" "1" ( Origin gFrontEnd ) ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP-GND=GND_SA" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "U1" + ( attribute "XY" "(1750,800)" ( Origin gFrontEnd ) ) - ( attribute "SEC" "7" + ( attribute "CHIPS_PART_NAME" "PCOAX" ( Origin gPackager ) ) - ( attribute "CDS_SEC" "7" + ( attribute "CDS_PART_NAME" "PCOAX-PLEMO00C-GND=GND_SIGNAL" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "U1" + ( attribute "LOCATION" "PX4" ( Origin gPackager ) ) - ( objectStatus "U1" ) - ( pin "\in\" - ( attribute "PN" "9" + ( objectStatus "PX4" ) + ( pin "a" + ( attribute "PN" "1" ( Origin gPackager ) ) - ( objectStatus "U1.9" ) + ( objectStatus "PX4.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i43" - ( attribute "CDS_LIB" "bris_cds_analogue" - ( Status sBlockFlattened ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i53" + ( attribute "ABBREV" "PT6911" + ( Origin gFrontEnd ) + ) + ( attribute "CDS_LIB" "cnconnector" ( Origin gPackager ) ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,50,125,-50" - ( Status sBlockFlattened ) + ( attribute "CDS_LOCATION" "PX3" ( Origin gPackager ) ) - ( attribute "MANUF" "NXP" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "TSSOP" - ( Status sBlockFlattened ) + ( attribute "NEEDS_NO_SIZE" "TRUE" ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" - ( Status sBlockFlattened ) + ( attribute "PHYS_PAGE" "3" ( Origin gFrontEnd ) ) - ( attribute "ROT" "3" - ( Status sBlockFlattened ) + ( attribute "ROT" "2" ( Origin gFrontEnd ) ) - ( attribute "VER" "1" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( attribute "SEC" "1" + ( Origin gPackager ) ) - ( attribute "XY" "(350,-2750)" - ( Status sBlockFlattened ) + ( attribute "TYPE" "PLEMO00C" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP-GND=GND_SA" - ( Origin gPackager ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) ) - ( attribute "LOCATION" "U1" + ( attribute "XY" "(1750,1550)" ( Origin gFrontEnd ) ) - ( attribute "SEC" "3" + ( attribute "CHIPS_PART_NAME" "PCOAX" ( Origin gPackager ) ) - ( attribute "CDS_SEC" "3" + ( attribute "CDS_PART_NAME" "PCOAX-PLEMO00C-GND=GND_SIGNAL" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "U1" + ( attribute "LOCATION" "PX3" ( Origin gPackager ) ) - ( objectStatus "U1" ) - ( pin "\in\" - ( attribute "PN" "4" + ( objectStatus "PX3" ) + ( pin "a" + ( attribute "PN" "1" ( Origin gPackager ) ) - ( objectStatus "U1.4" ) + ( objectStatus "PX3.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i44" - ( attribute "CDS_LIB" "bris_cds_analogue" - ( Status sBlockFlattened ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i120" + ( attribute "CHIPS_PART_NAME" "DIODE_DUAL_SERIES" ( Origin gPackager ) ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,50,125,-50" + ( attribute "CASE" "SOT23" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "CDS_LIB" "cndiscrete" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "MANUF" "NXP" - ( Status sBlockFlattened ) + ( attribute "LOCATION" "D1_1" ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "TSSOP" + ( attribute "PACK_TYPE" "A1-C2-AC3" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -21133,59 +20175,67 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "3" + ( attribute "ROT" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "TYPE" "HBAT-540C" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(350,-2200)" + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" + ( attribute "XY" "(0,-500)" ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP-GND=GND_SA" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "U1" ( Origin gFrontEnd ) ) - ( attribute "SEC" "5" + ( attribute "CDS_PART_NAME" "HBAT-540C" ( Origin gPackager ) ) - ( attribute "CDS_SEC" "5" + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "U1" + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "U1" ) - ( pin "\in\" - ( attribute "PN" "6" + ( objectStatus "D1_1" ) + ( pin "a" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "D1_1.1" ) + ) + ( pin "ac" + ( attribute "PN" "3" + ( Origin gPackager ) + ) + ( objectStatus "D1_1.3" ) + ) + ( pin "c" + ( attribute "PN" "2" ( Origin gPackager ) ) - ( objectStatus "U1.6" ) + ( objectStatus "D1_1.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i45" - ( attribute "CDS_LIB" "bris_cds_analogue" - ( Status sBlockFlattened ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i120" + ( attribute "CHIPS_PART_NAME" "DIODE_DUAL_SERIES" ( Origin gPackager ) ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,50,125,-50" + ( attribute "CASE" "SOT23" ( Status sBlockFlattened ) - ( Origin gPackager ) + ( Origin gFrontEnd ) ) - ( attribute "MANUF" "NXP" + ( attribute "CDS_LIB" "cndiscrete" ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "LOCATION" "D1_2" ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "TSSOP" + ( attribute "PACK_TYPE" "A1-C2-AC3" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -21193,59 +20243,67 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "3" + ( attribute "ROT" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "TYPE" "HBAT-540C" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(350,-1650)" + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" + ( attribute "XY" "(0,-500)" ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP-GND=GND_SA" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "U1" ( Origin gFrontEnd ) ) - ( attribute "SEC" "6" + ( attribute "CDS_PART_NAME" "HBAT-540C" ( Origin gPackager ) ) - ( attribute "CDS_SEC" "6" + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "U1" + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "U1" ) - ( pin "\in\" - ( attribute "PN" "7" + ( objectStatus "D1_2" ) + ( pin "a" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "D1_2.1" ) + ) + ( pin "ac" + ( attribute "PN" "3" + ( Origin gPackager ) + ) + ( objectStatus "D1_2.3" ) + ) + ( pin "c" + ( attribute "PN" "2" ( Origin gPackager ) ) - ( objectStatus "U1.7" ) + ( objectStatus "D1_2.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i46" - ( attribute "CDS_LIB" "bris_cds_analogue" - ( Status sBlockFlattened ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i120" + ( attribute "CHIPS_PART_NAME" "DIODE_DUAL_SERIES" ( Origin gPackager ) ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,50,125,-50" + ( attribute "CASE" "SOT23" ( Status sBlockFlattened ) - ( Origin gPackager ) + ( Origin gFrontEnd ) ) - ( attribute "MANUF" "NXP" + ( attribute "CDS_LIB" "cndiscrete" ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "LOCATION" "D1_3" ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "TSSOP" + ( attribute "PACK_TYPE" "A1-C2-AC3" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -21253,59 +20311,67 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "3" + ( attribute "ROT" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "TYPE" "HBAT-540C" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(350,-1100)" + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" + ( attribute "XY" "(0,-500)" ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP-GND=GND_SA" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "U1" ( Origin gFrontEnd ) ) - ( attribute "SEC" "1" + ( attribute "CDS_PART_NAME" "HBAT-540C" ( Origin gPackager ) ) ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "U1" + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "U1" ) - ( pin "\in\" + ( objectStatus "D1_3" ) + ( pin "a" ( attribute "PN" "1" ( Origin gPackager ) ) - ( objectStatus "U1.1" ) + ( objectStatus "D1_3.1" ) + ) + ( pin "ac" + ( attribute "PN" "3" + ( Origin gPackager ) + ) + ( objectStatus "D1_3.3" ) + ) + ( pin "c" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "D1_3.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i47" - ( attribute "CDS_LIB" "bris_cds_analogue" - ( Status sBlockFlattened ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i120" + ( attribute "CHIPS_PART_NAME" "DIODE_DUAL_SERIES" ( Origin gPackager ) ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,50,125,-50" + ( attribute "CASE" "SOT23" ( Status sBlockFlattened ) - ( Origin gPackager ) + ( Origin gFrontEnd ) ) - ( attribute "MANUF" "NXP" + ( attribute "CDS_LIB" "cndiscrete" ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "LOCATION" "D1_4" ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "TSSOP" + ( attribute "PACK_TYPE" "A1-C2-AC3" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -21313,215 +20379,220 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "3" + ( attribute "ROT" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "TYPE" "HBAT-540C" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(350,-550)" + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" + ( attribute "XY" "(0,-500)" ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP-GND=GND_SA" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "U1" ( Origin gFrontEnd ) ) - ( attribute "SEC" "2" + ( attribute "CDS_PART_NAME" "HBAT-540C" ( Origin gPackager ) ) - ( attribute "CDS_SEC" "2" + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "U1" + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "U1" ) - ( pin "\in\" + ( objectStatus "D1_4" ) + ( pin "a" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "D1_4.1" ) + ) + ( pin "ac" + ( attribute "PN" "3" + ( Origin gPackager ) + ) + ( objectStatus "D1_4.3" ) + ) + ( pin "c" ( attribute "PN" "2" ( Origin gPackager ) ) - ( objectStatus "U1.2" ) + ( objectStatus "D1_4.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i48" - ( attribute "CDS_LIB" "bris_cds_analogue" - ( Status sBlockFlattened ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i90" + ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,50,125,-50" - ( Status sBlockFlattened ) + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( attribute "MANUF" "NXP" - ( Status sBlockFlattened ) + ( attribute "LOCATION" "C1" ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "TSSOP" - ( Status sBlockFlattened ) + ( attribute "PACK_TYPE" "0603" ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" - ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "3" - ( Status sBlockFlattened ) + ( attribute "ROT" "1" ( Origin gFrontEnd ) ) - ( attribute "VER" "1" - ( Status sBlockFlattened ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SIZE" "1" ( Origin gFrontEnd ) ) - ( attribute "XY" "(350,0)" - ( Status sBlockFlattened ) + ( attribute "VALUE" "100NF" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Status sBlockFlattened ) - ( Origin gPackager ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP-GND=GND_SA" - ( Origin gPackager ) + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) ) - ( attribute "LOCATION" "U1" + ( attribute "XY" "(3050,500)" ( Origin gFrontEnd ) ) - ( attribute "SEC" "8" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" ( Origin gPackager ) ) - ( attribute "CDS_SEC" "8" + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "U1" + ( attribute "CDS_LOCATION" "C1" ( Origin gPackager ) ) - ( objectStatus "U1" ) - ( pin "\in\" - ( attribute "PN" "10" + ( objectStatus "C1" ) + ( pin "a(0)" + ( attribute "PN" "1" ( Origin gPackager ) ) - ( objectStatus "U1.10" ) + ( objectStatus "C1.1" ) ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i50" - ( attribute "CDS_LIB" "bris_cds_analogue" - ( Status sBlockFlattened ) - ( Origin gPackager ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "C1.2" ) ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,50,125,-50" - ( Status sBlockFlattened ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i92" + ( attribute "CDS_LIB" "cndiscrete" ( Origin gPackager ) ) - ( attribute "MANUF" "NXP" - ( Status sBlockFlattened ) + ( attribute "PACK_TYPE" "SOT23" ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "TSSOP" - ( Status sBlockFlattened ) + ( attribute "PHYS_PAGE" "1" ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" - ( Status sBlockFlattened ) + ( attribute "ROT" "0" ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" - ( Status sBlockFlattened ) + ( attribute "TYPE" "USBLC6-2SC6" ( Origin gFrontEnd ) ) ( attribute "VER" "1" - ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(350,-3300)" - ( Status sBlockFlattened ) + ( attribute "XY" "(2600,100)" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Status sBlockFlattened ) + ( attribute "CHIPS_PART_NAME" "USBLC6-2" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP-GND=GND_SA" + ( attribute "CDS_PART_NAME" "USBLC6-2SC6" ( Origin gPackager ) ) - ( attribute "LOCATION" "U1" + ( attribute "LOCATION" "D3" ( Origin gFrontEnd ) ) - ( attribute "SEC" "4" + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_SEC" "4" + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "U1" + ( attribute "CDS_LOCATION" "D3" ( Origin gPackager ) ) - ( objectStatus "U1" ) - ( pin "\in\" + ( objectStatus "D3" ) + ( pin "gnd" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "D3.2" ) + ) + ( pin "\i/o1\(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "D3.1" ) + ) + ( pin "\i/o1\(1)" + ( attribute "PN" "6" + ( Origin gPackager ) + ) + ( objectStatus "D3.6" ) + ) + ( pin "\i/o2\(0)" + ( attribute "PN" "3" + ( Origin gPackager ) + ) + ( objectStatus "D3.3" ) + ) + ( pin "\i/o2\(1)" + ( attribute "PN" "4" + ( Origin gPackager ) + ) + ( objectStatus "D3.4" ) + ) + ( pin "vbus" ( attribute "PN" "5" ( Origin gPackager ) ) - ( objectStatus "U1.5" ) + ( objectStatus "D3.5" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i159" - ( attribute "CDS_LIB" "cnpassive" - ( Status sBlockFlattened ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i93" + ( attribute "CDS_LIB" "cnconnector" ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "PART_NAME" "TP" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( attribute "LOCATION" "PL1" + ( Origin gPackager ) ) ( attribute "PHYS_PAGE" "1" - ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" - ( Status sBlockFlattened ) + ( attribute "ROT" "6" ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" - ( Status sBlockFlattened ) + ( attribute "TYPE" "HW8_08G_SM" ( Origin gFrontEnd ) ) - ( attribute "SYMMAP" "TRUE" - ( Status sBlockFlattened ) + ( attribute "VER" "1" ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" - ( Status sBlockFlattened ) + ( attribute "XY" "(900,-2950)" ( Origin gFrontEnd ) ) - ( attribute "VER" "1" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(2750,400)" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "CHIPS_PART_NAME" "TP" - ( Status sBlockFlattened ) + ( attribute "CHIPS_PART_NAME" "CON16P" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "CON16P-HW8_08G_SM" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP2_1" - ( Origin gFrontEnd ) + ( attribute "CDS_LOCATION" "PL1" + ( Origin gPackager ) ) ( attribute "CDS_SEC" "1" ( Origin gPackager ) @@ -21529,91 +20600,110 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "TP2_1" ) + ( objectStatus "PL1" ) ( pin "a(0)" ( attribute "PN" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP2_1.1" ) - ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i160" - ( attribute "CDS_LIB" "cnpassive" - ( Status sBlockFlattened ) - ( Origin gPackager ) + ( objectStatus "PL1.1" ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( pin "a(1)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "PL1.2" ) ) - ( attribute "PART_NAME" "TP" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( pin "a(2)" + ( attribute "PN" "3" + ( Origin gPackager ) + ) + ( objectStatus "PL1.3" ) ) - ( attribute "PHYS_PAGE" "1" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( pin "a(3)" + ( attribute "PN" "4" + ( Origin gPackager ) + ) + ( objectStatus "PL1.4" ) ) - ( attribute "ROT" "0" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( pin "a(4)" + ( attribute "PN" "5" + ( Origin gPackager ) + ) + ( objectStatus "PL1.5" ) ) - ( attribute "SIZE" "1" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( pin "a(5)" + ( attribute "PN" "6" + ( Origin gPackager ) + ) + ( objectStatus "PL1.6" ) ) - ( attribute "SYMMAP" "TRUE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( pin "a(6)" + ( attribute "PN" "7" + ( Origin gPackager ) + ) + ( objectStatus "PL1.7" ) ) - ( attribute "VALUE" "0.8MM" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( pin "a(7)" + ( attribute "PN" "8" + ( Origin gPackager ) + ) + ( objectStatus "PL1.8" ) ) - ( attribute "VER" "1" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( pin "a(8)" + ( attribute "PN" "9" + ( Origin gPackager ) + ) + ( objectStatus "PL1.9" ) ) - ( attribute "XY" "(2750,200)" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( pin "a(9)" + ( attribute "PN" "10" + ( Origin gPackager ) + ) + ( objectStatus "PL1.10" ) ) - ( attribute "CHIPS_PART_NAME" "TP" - ( Status sBlockFlattened ) - ( Origin gPackager ) + ( pin "a(10)" + ( attribute "PN" "11" + ( Origin gPackager ) + ) + ( objectStatus "PL1.11" ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" - ( Origin gPackager ) + ( pin "a(11)" + ( attribute "PN" "12" + ( Origin gPackager ) + ) + ( objectStatus "PL1.12" ) ) - ( attribute "LOCATION" "TP3_1" - ( Origin gFrontEnd ) + ( pin "a(12)" + ( attribute "PN" "13" + ( Origin gPackager ) + ) + ( objectStatus "PL1.13" ) ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) + ( pin "a(13)" + ( attribute "PN" "14" + ( Origin gPackager ) + ) + ( objectStatus "PL1.14" ) ) - ( attribute "SEC" "1" - ( Origin gPackager ) + ( pin "a(14)" + ( attribute "PN" "15" + ( Origin gPackager ) + ) + ( objectStatus "PL1.15" ) ) - ( objectStatus "TP3_1" ) - ( pin "a(0)" - ( attribute "PN" "1" - ( Status sBlockFlattened ) + ( pin "a(15)" + ( attribute "PN" "16" ( Origin gPackager ) ) - ( objectStatus "TP3_1.1" ) + ( objectStatus "PL1.16" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i161" - ( attribute "CDS_LIB" "cnpassive" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i168" + ( attribute "CDS_LIB" "cnconnector" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "PART_NAME" "TP" + ( attribute "NEEDS_NO_SIZE" "TRUE" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -21625,15 +20715,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "SYMMAP" "TRUE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "VALUE" "0.8MM" + ( attribute "TYPE" "UFL_R_SMT" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -21641,45 +20723,43 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2750,0)" + ( attribute "XY" "(-300,-1550)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "CHIPS_PART_NAME" "PCOAXSMD" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "PCOAXSMD-UFL_R_SMT-GND=GND_SIGA" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP4_1" + ( attribute "LOCATION" "PX1_1" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP4_1" ) - ( pin "a(0)" + ( objectStatus "PX1_1" ) + ( pin "a" ( attribute "PN" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP4_1.1" ) + ( objectStatus "PX1_1.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i162" - ( attribute "CDS_LIB" "cnpassive" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i168" + ( attribute "CDS_LIB" "cnconnector" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "PART_NAME" "TP" + ( attribute "NEEDS_NO_SIZE" "TRUE" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -21691,15 +20771,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "SYMMAP" "TRUE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "VALUE" "0.8MM" + ( attribute "TYPE" "UFL_R_SMT" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -21707,45 +20779,43 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(1000,800)" + ( attribute "XY" "(-300,-1550)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "CHIPS_PART_NAME" "PCOAXSMD" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "PCOAXSMD-UFL_R_SMT-GND=GND_SIGA" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP1_1" + ( attribute "LOCATION" "PX1_2" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP1_1" ) - ( pin "a(0)" + ( objectStatus "PX1_2" ) + ( pin "a" ( attribute "PN" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP1_1.1" ) + ( objectStatus "PX1_2.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i163" - ( attribute "CDS_LIB" "cnpassive" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i168" + ( attribute "CDS_LIB" "cnconnector" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "PART_NAME" "TP" + ( attribute "NEEDS_NO_SIZE" "TRUE" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -21757,15 +20827,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "SYMMAP" "TRUE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "VALUE" "0.8MM" + ( attribute "TYPE" "UFL_R_SMT" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -21773,45 +20835,43 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2750,-1250)" + ( attribute "XY" "(-300,-1550)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "CHIPS_PART_NAME" "PCOAXSMD" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "PCOAXSMD-UFL_R_SMT-GND=GND_SIGA" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP5_1" + ( attribute "LOCATION" "PX1_3" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP5_1" ) - ( pin "a(0)" + ( objectStatus "PX1_3" ) + ( pin "a" ( attribute "PN" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP5_1.1" ) + ( objectStatus "PX1_3.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i164" - ( attribute "CDS_LIB" "cnpassive" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i168" + ( attribute "CDS_LIB" "cnconnector" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "PART_NAME" "TP" + ( attribute "NEEDS_NO_SIZE" "TRUE" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -21823,15 +20883,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "SYMMAP" "TRUE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "VALUE" "0.8MM" + ( attribute "TYPE" "UFL_R_SMT" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -21839,45 +20891,43 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2750,-1550)" + ( attribute "XY" "(-300,-1550)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "CHIPS_PART_NAME" "PCOAXSMD" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "PCOAXSMD-UFL_R_SMT-GND=GND_SIGA" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP6_1" + ( attribute "LOCATION" "PX1_4" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP6_1" ) - ( pin "a(0)" + ( objectStatus "PX1_4" ) + ( pin "a" ( attribute "PN" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP6_1.1" ) + ( objectStatus "PX1_4.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i165" - ( attribute "CDS_LIB" "cnpassive" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i169" + ( attribute "CDS_LIB" "cnconnector" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "PART_NAME" "TP" + ( attribute "NEEDS_NO_SIZE" "TRUE" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -21885,19 +20935,11 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "SIZE" "1" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "SYMMAP" "TRUE" + ( attribute "ROT" "2" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" + ( attribute "TYPE" "UFL_R_SMT" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -21905,45 +20947,43 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2750,-1750)" + ( attribute "XY" "(325,-1550)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "CHIPS_PART_NAME" "PCOAXSMD" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "PCOAXSMD-UFL_R_SMT-GND=GND_SIGA" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP7_1" + ( attribute "LOCATION" "PX2_1" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP7_1" ) - ( pin "a(0)" + ( objectStatus "PX2_1" ) + ( pin "a" ( attribute "PN" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP7_1.1" ) + ( objectStatus "PX2_1.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i159" - ( attribute "CDS_LIB" "cnpassive" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i169" + ( attribute "CDS_LIB" "cnconnector" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "PART_NAME" "TP" + ( attribute "NEEDS_NO_SIZE" "TRUE" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -21951,19 +20991,11 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "SIZE" "1" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "SYMMAP" "TRUE" + ( attribute "ROT" "2" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" + ( attribute "TYPE" "UFL_R_SMT" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -21971,45 +21003,43 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2750,400)" + ( attribute "XY" "(325,-1550)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "CHIPS_PART_NAME" "PCOAXSMD" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "PCOAXSMD-UFL_R_SMT-GND=GND_SIGA" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP2_2" + ( attribute "LOCATION" "PX2_2" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP2_2" ) - ( pin "a(0)" + ( objectStatus "PX2_2" ) + ( pin "a" ( attribute "PN" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP2_2.1" ) + ( objectStatus "PX2_2.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i160" - ( attribute "CDS_LIB" "cnpassive" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i169" + ( attribute "CDS_LIB" "cnconnector" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "PART_NAME" "TP" + ( attribute "NEEDS_NO_SIZE" "TRUE" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -22017,19 +21047,11 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "SIZE" "1" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "SYMMAP" "TRUE" + ( attribute "ROT" "2" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" + ( attribute "TYPE" "UFL_R_SMT" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -22037,45 +21059,43 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2750,200)" + ( attribute "XY" "(325,-1550)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "CHIPS_PART_NAME" "PCOAXSMD" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "PCOAXSMD-UFL_R_SMT-GND=GND_SIGA" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP3_2" + ( attribute "LOCATION" "PX2_3" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP3_2" ) - ( pin "a(0)" + ( objectStatus "PX2_3" ) + ( pin "a" ( attribute "PN" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP3_2.1" ) + ( objectStatus "PX2_3.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i161" - ( attribute "CDS_LIB" "cnpassive" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i169" + ( attribute "CDS_LIB" "cnconnector" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "PART_NAME" "TP" + ( attribute "NEEDS_NO_SIZE" "TRUE" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -22083,19 +21103,11 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "SIZE" "1" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "SYMMAP" "TRUE" + ( attribute "ROT" "2" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" + ( attribute "TYPE" "UFL_R_SMT" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -22103,131 +21115,105 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2750,0)" + ( attribute "XY" "(325,-1550)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "CHIPS_PART_NAME" "PCOAXSMD" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "PCOAXSMD-UFL_R_SMT-GND=GND_SIGA" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP4_2" + ( attribute "LOCATION" "PX2_4" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP4_2" ) - ( pin "a(0)" + ( objectStatus "PX2_4" ) + ( pin "a" ( attribute "PN" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP4_2.1" ) + ( objectStatus "PX2_4.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i162" - ( attribute "CDS_LIB" "cnpassive" - ( Status sBlockFlattened ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i98" + ( attribute "CDS_LIB" "cndiscrete" ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "PART_NAME" "TP" - ( Status sBlockFlattened ) + ( attribute "PACK_TYPE" "SOD123-CA" ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" - ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "ROT" "0" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "SIZE" "1" - ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SYMMAP" "TRUE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "VALUE" "0.8MM" - ( Status sBlockFlattened ) + ( attribute "TYPE" "BZT52-C3V6" ( Origin gFrontEnd ) ) ( attribute "VER" "1" - ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(1000,800)" - ( Status sBlockFlattened ) + ( attribute "XY" "(3325,525)" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" - ( Status sBlockFlattened ) + ( attribute "CHIPS_PART_NAME" "ZENER" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "ZENER_SOD123-CA-BZT52-C3V6" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP1_2" - ( Origin gFrontEnd ) - ) ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( attribute "SEC" "1" + ( attribute "CDS_LOCATION" "Z4" ( Origin gPackager ) ) - ( objectStatus "TP1_2" ) + ( objectStatus "Z4" ) ( pin "a(0)" - ( attribute "PN" "1" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "TP1_2.1" ) + ( objectStatus "Z4.A" ) + ) + ( pin "k(0)" + ( objectStatus "Z4.C" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i163" - ( attribute "CDS_LIB" "cnpassive" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i70" + ( attribute "CDS_LIB" "bris_cds_analogue" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "PART_NAME" "TP" + ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,125,125,-125" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) - ( attribute "PHYS_PAGE" "1" + ( attribute "MANUF" "TEXAS INSTRUMENTS" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "PACK_TYPE" "SOT23-5" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" + ( attribute "PHYS_PAGE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SYMMAP" "TRUE" + ( attribute "ROT" "0" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" + ( attribute "VALUE" "1.3V" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -22235,19 +21221,22 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2750,-1250)" + ( attribute "XY" "(-2625,125)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "CHIPS_PART_NAME" "LP5951" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "LP5951_SOT23-5-1.3V,TEXAS INSTA" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP5_2" - ( Origin gFrontEnd ) + ( attribute "CDS_LOCATION" "U4" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "U4" + ( Origin gPackager ) ) ( attribute "CDS_SEC" "1" ( Origin gPackager ) @@ -22255,25 +21244,38 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "TP5_2" ) - ( pin "a(0)" + ( objectStatus "U4" ) + ( pin "gnd" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "U4.2" ) + ) + ( pin "\on/off\" + ( attribute "PN" "3" + ( Origin gPackager ) + ) + ( objectStatus "U4.3" ) + ) + ( pin "vin" ( attribute "PN" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP5_2.1" ) + ( objectStatus "U4.1" ) + ) + ( pin "vout" + ( attribute "PN" "5" + ( Origin gPackager ) + ) + ( objectStatus "U4.5" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i164" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i73" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "PART_NAME" "TP" + ( attribute "PACK_TYPE" "0603" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -22281,7 +21283,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "ROT" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -22289,30 +21291,31 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SYMMAP" "TRUE" + ( attribute "VALUE" "1UF" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2750,-1550)" + ( attribute "XY" "(-2925,-125)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-1UF,16V" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP6_2" + ( attribute "LOCATION" "C29" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" @@ -22321,45 +21324,45 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "TP6_2" ) + ( attribute "CDS_LOCATION" "C29" + ( Origin gPackager ) + ) + ( objectStatus "C29" ) ( pin "a(0)" ( attribute "PN" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP6_2.1" ) + ( objectStatus "C29.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "C29.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i165" - ( attribute "CDS_LIB" "cnpassive" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i40" + ( attribute "CDS_LIB" "bris_cds_special" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "PART_NAME" "TP" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "1" + ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,125,125,-125" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) - ( attribute "ROT" "0" + ( attribute "MANUF" "LINEAR TECHNOLOGY" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" + ( attribute "PACK_TYPE" "SOT_223" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SYMMAP" "TRUE" + ( attribute "PHYS_PAGE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" + ( attribute "ROT" "6" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -22367,45 +21370,68 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2750,-1750)" + ( attribute "XY" "(1700,825)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "CHIPS_PART_NAME" "LT1175" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "LT1175_SOT_223" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP7_2" + ( attribute "LOCATION" "REG1_6" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP7_2" ) - ( pin "a(0)" + ( objectStatus "REG1_6" ) + ( pin "gnd" + ( attribute "PN" "3" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "REG1_6.3" ) + ) + ( pin "v_in0" + ( attribute "PN" "2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "REG1_6.2" ) + ) + ( pin "v_in1" + ( attribute "PN" "4" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "REG1_6.4" ) + ) + ( pin "v_out" ( attribute "PN" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP7_2.1" ) + ( objectStatus "REG1_6.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i159" - ( attribute "CDS_LIB" "cnpassive" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i42" + ( attribute "CDS_LIB" "cndiscrete" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" + ( attribute "MODEL" "WURTH" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PART_NAME" "TP" + ( attribute "PACK_TYPE" "SMD" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -22417,15 +21443,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "SYMMAP" "TRUE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "VALUE" "0.8MM" + ( attribute "VALUE" "7427921" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -22433,45 +21451,40 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2750,400)" + ( attribute "XY" "(625,2900)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "CHIPS_PART_NAME" "FERRITE" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "FERRITE_SMD-7427921,WURTH" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP2_3" + ( attribute "LOCATION" "L2_6" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP2_3" ) - ( pin "a(0)" - ( attribute "PN" "1" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "TP2_3.1" ) - ) + ( objectStatus "L2_6" ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i160" - ( attribute "CDS_LIB" "cnpassive" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i44" + ( attribute "CDS_LIB" "cndiscrete" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" + ( attribute "MODEL" "WURTH" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PART_NAME" "TP" + ( attribute "PACK_TYPE" "SMD" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -22483,15 +21496,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "SYMMAP" "TRUE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "VALUE" "0.8MM" + ( attribute "VALUE" "7427921" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -22499,65 +21504,76 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2750,200)" + ( attribute "XY" "(625,875)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "CHIPS_PART_NAME" "FERRITE" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "FERRITE_SMD-7427921,WURTH" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP3_3" + ( attribute "LOCATION" "L3_6" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP3_3" ) - ( pin "a(0)" - ( attribute "PN" "1" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "TP3_3.1" ) - ) + ( objectStatus "L3_6" ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i161" - ( attribute "CDS_LIB" "cnpassive" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i50" + ( attribute "CDS_LIB" "bris_cds_analogue" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" + ( attribute "CDS_LMAN_SYM_OUTLINE" "-150,200,150,-150" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "KL_COMMENTS" "-" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PART_NAME" "TP" + ( attribute "MANUF" "LINEAR" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" + ( attribute "OL_COMMENTS" "-" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "PACK_TYPE" "SOT223" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" + ( attribute "PART_NAME" "lt1129CST-5" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SYMMAP" "TRUE" + ( attribute "PHYS_DES_PREFIX" "VR" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PL_COMMENTS" "-" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -22565,45 +21581,48 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2750,0)" + ( attribute "XY" "(1700,2850)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "CHIPS_PART_NAME" "LT1129CST-5" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "LT1129CST-5_SOT223-LINEAR" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP4_3" + ( attribute "LOCATION" "VR1_6" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP4_3" ) - ( pin "a(0)" - ( attribute "PN" "1" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "TP4_3.1" ) + ( objectStatus "VR1_6" ) + ( pin "gnd" + ( objectStatus "VR1_6.2" ) + ) + ( pin "tab" + ( objectStatus "VR1_6.4" ) + ) + ( pin "vin" + ( objectStatus "VR1_6.1" ) + ) + ( pin "vout" + ( objectStatus "VR1_6.3" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i162" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i52" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "PART_NAME" "TP" + ( attribute "PACK_TYPE" "0805" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -22611,7 +21630,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "ROT" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -22619,57 +21638,63 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SYMMAP" "TRUE" + ( attribute "VALUE" "4.7UF" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VOLTAGE" "10V" + ( Units "uVoltage" "V" 1.000000) ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(1000,800)" + ( attribute "XY" "(1100,2750)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0805-4.7UF,10V" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP1_3" + ( attribute "LOCATION" "C9_6" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP1_3" ) + ( objectStatus "C9_6" ) ( pin "a(0)" ( attribute "PN" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP1_3.1" ) + ( objectStatus "C9_6.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "C9_6.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i163" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i54" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "PART_NAME" "TP" + ( attribute "PACK_TYPE" "0805" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -22677,7 +21702,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "ROT" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -22685,57 +21710,63 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SYMMAP" "TRUE" + ( attribute "VALUE" "4.7UF" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VOLTAGE" "10V" + ( Units "uVoltage" "V" 1.000000) ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2750,-1250)" + ( attribute "XY" "(1100,725)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0805-4.7UF,10V" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP5_3" + ( attribute "LOCATION" "C10_6" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP5_3" ) + ( objectStatus "C10_6" ) ( pin "a(0)" ( attribute "PN" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP5_3.1" ) + ( objectStatus "C10_6.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "C10_6.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i164" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i56" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "PART_NAME" "TP" + ( attribute "PACK_TYPE" "0805" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -22743,7 +21774,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "ROT" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -22751,57 +21782,63 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SYMMAP" "TRUE" + ( attribute "VALUE" "4.7UF" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VOLTAGE" "10V" + ( Units "uVoltage" "V" 1.000000) ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2750,-1550)" + ( attribute "XY" "(2475,2750)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0805-4.7UF,10V" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP6_3" + ( attribute "LOCATION" "C12_6" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP6_3" ) + ( objectStatus "C12_6" ) ( pin "a(0)" ( attribute "PN" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP6_3.1" ) + ( objectStatus "C12_6.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "C12_6.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i165" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i58" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "PART_NAME" "TP" + ( attribute "PACK_TYPE" "0805" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -22809,7 +21846,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "ROT" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -22817,57 +21854,67 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SYMMAP" "TRUE" + ( attribute "VALUE" "4.7UF" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VOLTAGE" "10V" + ( Units "uVoltage" "V" 1.000000) ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2750,-1750)" + ( attribute "XY" "(2450,675)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0805-4.7UF,10V" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP7_3" + ( attribute "LOCATION" "C11_6" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP7_3" ) + ( objectStatus "C11_6" ) ( pin "a(0)" ( attribute "PN" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP7_3.1" ) + ( objectStatus "C11_6.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "C11_6.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i159" - ( attribute "CDS_LIB" "cnpassive" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i66" + ( attribute "CDS_LIB" "cndiscrete" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" + ( attribute "MODEL" "MURATA" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PART_NAME" "TP" + ( attribute "PACK_TYPE" "SMD" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -22879,15 +21926,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "SYMMAP" "TRUE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "VALUE" "0.8MM" + ( attribute "VALUE" "BLM41P800S" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -22895,45 +21934,36 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2750,400)" + ( attribute "XY" "(-3100,2375)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "CHIPS_PART_NAME" "FERRITE" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "FERRITE_SMD-BLM41P800S,MURATA" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP2_4" + ( attribute "LOCATION" "L1_6" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP2_4" ) - ( pin "a(0)" - ( attribute "PN" "1" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "TP2_4.1" ) - ) + ( objectStatus "L1_6" ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i160" - ( attribute "CDS_LIB" "cnpassive" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i70" + ( attribute "CDS_LIB" "cnlinear" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "PART_NAME" "TP" + ( attribute "PACK_TYPE" "BGA" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -22945,15 +21975,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "SYMMAP" "TRUE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "VALUE" "0.8MM" + ( attribute "TYPE" "LTM8047EY#PBF" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -22961,45 +21983,351 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2750,200)" + ( attribute "XY" "(-725,1550)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "CHIPS_PART_NAME" "LTM8047" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "LTM8047EY#PBF" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP3_4" + ( attribute "LOCATION" "RG1_6" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP3_4" ) - ( pin "a(0)" - ( attribute "PN" "1" + ( objectStatus "RG1_6" ) + ( pin "adj" + ( attribute "PN" "G7" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP3_4.1" ) + ( objectStatus "RG1_6.G7" ) ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i161" - ( attribute "CDS_LIB" "cnpassive" - ( Status sBlockFlattened ) - ( Origin gPackager ) + ( pin "bias" + ( attribute "PN" "H5" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.H5" ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( pin "gnd(0)" + ( attribute "PN" "E1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.E1" ) ) - ( attribute "PART_NAME" "TP" + ( pin "gnd(1)" + ( attribute "PN" "E2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.E2" ) + ) + ( pin "gnd(2)" + ( attribute "PN" "E3" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.E3" ) + ) + ( pin "gnd(3)" + ( attribute "PN" "E4" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.E4" ) + ) + ( pin "gnd(4)" + ( attribute "PN" "E5" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.E5" ) + ) + ( pin "gnd(5)" + ( attribute "PN" "E6" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.E6" ) + ) + ( pin "gnd(6)" + ( attribute "PN" "E7" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.E7" ) + ) + ( pin "gnd(7)" + ( attribute "PN" "F4" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.F4" ) + ) + ( pin "gnd(8)" + ( attribute "PN" "F5" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.F5" ) + ) + ( pin "gnd(9)" + ( attribute "PN" "F6" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.F6" ) + ) + ( pin "gnd(10)" + ( attribute "PN" "F7" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.F7" ) + ) + ( pin "gnd(11)" + ( attribute "PN" "G4" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.G4" ) + ) + ( pin "gnd(12)" + ( attribute "PN" "G5" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.G5" ) + ) + ( pin "gnd(13)" + ( attribute "PN" "G6" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.G6" ) + ) + ( pin "gnd(14)" + ( attribute "PN" "H4" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.H4" ) + ) + ( pin "gnd(15)" + ( attribute "PN" "H7" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.H7" ) + ) + ( pin "run" + ( attribute "PN" "F3" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.F3" ) + ) + ( pin "ss" + ( attribute "PN" "H6" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.H6" ) + ) + ( pin "vin(0)" + ( attribute "PN" "H1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.H1" ) + ) + ( pin "vin(1)" + ( attribute "PN" "H2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.H2" ) + ) + ( pin "vin(2)" + ( attribute "PN" "G1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.G1" ) + ) + ( pin "vin(3)" + ( attribute "PN" "G2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.G2" ) + ) + ( pin "vout(0)" + ( attribute "PN" "A6" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.A6" ) + ) + ( pin "vout(1)" + ( attribute "PN" "A7" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.A7" ) + ) + ( pin "vout(2)" + ( attribute "PN" "B6" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.B6" ) + ) + ( pin "vout(3)" + ( attribute "PN" "B7" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.B7" ) + ) + ( pin "vout(4)" + ( attribute "PN" "C6" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.C6" ) + ) + ( pin "vout(5)" + ( attribute "PN" "C7" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.C7" ) + ) + ( pin "\vout-\(0)" + ( attribute "PN" "A1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.A1" ) + ) + ( pin "\vout-\(1)" + ( attribute "PN" "A2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.A2" ) + ) + ( pin "\vout-\(2)" + ( attribute "PN" "A3" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.A3" ) + ) + ( pin "\vout-\(3)" + ( attribute "PN" "A4" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.A4" ) + ) + ( pin "\vout-\(4)" + ( attribute "PN" "A5" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.A5" ) + ) + ( pin "\vout-\(5)" + ( attribute "PN" "B1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.B1" ) + ) + ( pin "\vout-\(6)" + ( attribute "PN" "B2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.B2" ) + ) + ( pin "\vout-\(7)" + ( attribute "PN" "B3" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.B3" ) + ) + ( pin "\vout-\(8)" + ( attribute "PN" "B4" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.B4" ) + ) + ( pin "\vout-\(9)" + ( attribute "PN" "B5" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.B5" ) + ) + ( pin "\vout-\(10)" + ( attribute "PN" "C1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.C1" ) + ) + ( pin "\vout-\(11)" + ( attribute "PN" "C2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.C2" ) + ) + ( pin "\vout-\(12)" + ( attribute "PN" "C3" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.C3" ) + ) + ( pin "\vout-\(13)" + ( attribute "PN" "C4" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.C4" ) + ) + ( pin "\vout-\(14)" + ( attribute "PN" "C5" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.C5" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i72" + ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "1210" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -23007,7 +22335,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "ROT" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -23015,189 +22343,175 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SYMMAP" "TRUE" + ( attribute "VALUE" "4.7UF" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VOLTAGE" "50V" + ( Units "uVoltage" "V" 1.000000) ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2750,0)" + ( attribute "XY" "(-1825,1525)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210-4.7UF,50V" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP4_4" + ( attribute "LOCATION" "C5_6" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP4_4" ) + ( objectStatus "C5_6" ) ( pin "a(0)" ( attribute "PN" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP4_4.1" ) + ( objectStatus "C5_6.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "C5_6.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i162" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i74" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "PART_NAME" "TP" + ( attribute "DIST" "FLAT" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" + ( attribute "MAX_TEMP" "RTMAX" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "NEGTOL" "RTOL%" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" + ( attribute "PACK_TYPE" "1/10W" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SYMMAP" "TRUE" + ( attribute "PHYS_PAGE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" + ( attribute "POSTOL" "RTOL%" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "POWER" "RMAX" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(1000,800)" + ( attribute "ROT" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "SIZE" "1" ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "TP1_4" ( Origin gFrontEnd ) ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) - ) - ( attribute "SEC" "1" - ( Origin gPackager ) - ) - ( objectStatus "TP1_4" ) - ( pin "a(0)" - ( attribute "PN" "1" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "TP1_4.1" ) - ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i163" - ( attribute "CDS_LIB" "cnpassive" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "PACK_TYPE" "HOLE" + ( attribute "SLOPE" "RSMAX" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PART_NAME" "TP" + ( attribute "TC1" "RTMPL" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" + ( attribute "TC2" "RTMPQ" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "TOL" "1%" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" + ( attribute "TOL_ON_OFF" "ON" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SYMMAP" "TRUE" + ( attribute "VALUE" "6.19K" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" + ( attribute "VER" "2" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VOLTAGE" "RVMAX" + ( Units "uVoltage" "V" 1.000000) ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2750,-1250)" + ( attribute "XY" "(-1300,1250)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "CHIPS_PART_NAME" "RSMD0603" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-6.19K,1%" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP5_4" + ( attribute "LOCATION" "R2_6" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP5_4" ) + ( objectStatus "R2_6" ) ( pin "a(0)" ( attribute "PN" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP5_4.1" ) + ( objectStatus "R2_6.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "R2_6.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i164" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i79" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "PART_NAME" "TP" + ( attribute "PACK_TYPE" "1210" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -23205,7 +22519,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "ROT" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -23213,57 +22527,63 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SYMMAP" "TRUE" + ( attribute "VALUE" "4.7UF" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VOLTAGE" "50V" + ( Units "uVoltage" "V" 1.000000) ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2750,-1550)" + ( attribute "XY" "(-3550,2075)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210-4.7UF,50V" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP6_4" + ( attribute "LOCATION" "C1_6" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP6_4" ) + ( objectStatus "C1_6" ) ( pin "a(0)" ( attribute "PN" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP6_4.1" ) + ( objectStatus "C1_6.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "C1_6.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i165" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i81" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "PART_NAME" "TP" + ( attribute "PACK_TYPE" "1210" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -23271,7 +22591,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "ROT" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -23279,597 +22599,683 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SYMMAP" "TRUE" + ( attribute "VALUE" "4.7UF" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VOLTAGE" "50V" + ( Units "uVoltage" "V" 1.000000) ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2750,-1750)" + ( attribute "XY" "(-2725,2200)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210-4.7UF,50V" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP7_4" + ( attribute "LOCATION" "C2_6" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP7_4" ) + ( objectStatus "C2_6" ) ( pin "a(0)" ( attribute "PN" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP7_4.1" ) + ( objectStatus "C2_6.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "C2_6.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i65" - ( attribute "CDS_LIB" "cnpassive" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i82" + ( attribute "CDS_LIB" "cnlinear" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" + ( attribute "PACK_TYPE" "BGA" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PART_NAME" "TP" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "2" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" - ( Origin gFrontEnd ) - ) - ( attribute "VALUE" "0.8MM" + ( attribute "TYPE" "LTM8047EY#PBF" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(700,-150)" + ( attribute "XY" "(-700,2700)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "CHIPS_PART_NAME" "LTM8047" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "LTM8047EY#PBF" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP11" + ( attribute "LOCATION" "RG2_6" + ( Origin gFrontEnd ) + ) + ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP11" ) - ( pin "a(0)" - ( attribute "PN" "1" + ( attribute "SEC" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6" ) + ( pin "adj" + ( attribute "PN" "G7" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP11.1" ) + ( objectStatus "RG2_6.G7" ) ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i66" - ( attribute "CDS_LIB" "cnpassive" - ( Origin gPackager ) + ( pin "bias" + ( attribute "PN" "H5" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.H5" ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Origin gFrontEnd ) + ( pin "gnd(0)" + ( attribute "PN" "E1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.E1" ) ) - ( attribute "PART_NAME" "TP" - ( Origin gFrontEnd ) + ( pin "gnd(1)" + ( attribute "PN" "E2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.E2" ) ) - ( attribute "PHYS_PAGE" "2" - ( Origin gFrontEnd ) + ( pin "gnd(2)" + ( attribute "PN" "E3" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.E3" ) ) - ( attribute "ROT" "0" - ( Origin gFrontEnd ) + ( pin "gnd(3)" + ( attribute "PN" "E4" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.E4" ) ) - ( attribute "SIZE" "1" - ( Origin gFrontEnd ) + ( pin "gnd(4)" + ( attribute "PN" "E5" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.E5" ) ) - ( attribute "VALUE" "0.8MM" - ( Origin gFrontEnd ) + ( pin "gnd(5)" + ( attribute "PN" "E6" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.E6" ) ) - ( attribute "VER" "1" - ( Origin gFrontEnd ) + ( pin "gnd(6)" + ( attribute "PN" "E7" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.E7" ) ) - ( attribute "XY" "(700,-250)" - ( Origin gFrontEnd ) + ( pin "gnd(7)" + ( attribute "PN" "F4" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.F4" ) ) - ( attribute "CHIPS_PART_NAME" "TP" - ( Origin gPackager ) + ( pin "gnd(8)" + ( attribute "PN" "F5" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.F5" ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" - ( Origin gPackager ) + ( pin "gnd(9)" + ( attribute "PN" "F6" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.F6" ) ) - ( attribute "LOCATION" "TP12" - ( Origin gPackager ) + ( pin "gnd(10)" + ( attribute "PN" "F7" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.F7" ) ) - ( objectStatus "TP12" ) - ( pin "a(0)" - ( attribute "PN" "1" + ( pin "gnd(11)" + ( attribute "PN" "G4" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP12.1" ) + ( objectStatus "RG2_6.G4" ) ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i67" - ( attribute "CDS_LIB" "cnpassive" - ( Origin gPackager ) + ( pin "gnd(12)" + ( attribute "PN" "G5" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.G5" ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Origin gFrontEnd ) + ( pin "gnd(13)" + ( attribute "PN" "G6" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.G6" ) ) - ( attribute "PART_NAME" "TP" - ( Origin gFrontEnd ) + ( pin "gnd(14)" + ( attribute "PN" "H4" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.H4" ) ) - ( attribute "PHYS_PAGE" "2" - ( Origin gFrontEnd ) + ( pin "gnd(15)" + ( attribute "PN" "H7" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.H7" ) ) - ( attribute "ROT" "1" - ( Origin gFrontEnd ) + ( pin "run" + ( attribute "PN" "F3" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.F3" ) ) - ( attribute "SIZE" "1" - ( Origin gFrontEnd ) + ( pin "ss" + ( attribute "PN" "H6" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.H6" ) ) - ( attribute "VALUE" "0.8MM" - ( Origin gFrontEnd ) + ( pin "vin(0)" + ( attribute "PN" "H1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.H1" ) ) - ( attribute "VER" "1" - ( Origin gFrontEnd ) + ( pin "vin(1)" + ( attribute "PN" "H2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.H2" ) ) - ( attribute "XY" "(-750,-1050)" - ( Origin gFrontEnd ) + ( pin "vin(2)" + ( attribute "PN" "G1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.G1" ) ) - ( attribute "CHIPS_PART_NAME" "TP" - ( Origin gPackager ) + ( pin "vin(3)" + ( attribute "PN" "G2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.G2" ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" - ( Origin gPackager ) + ( pin "vout(0)" + ( attribute "PN" "A6" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.A6" ) ) - ( attribute "LOCATION" "TP4" - ( Origin gPackager ) + ( pin "vout(1)" + ( attribute "PN" "A7" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.A7" ) ) - ( objectStatus "TP4" ) - ( pin "a(0)" - ( attribute "PN" "1" + ( pin "vout(2)" + ( attribute "PN" "B6" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP4.1" ) + ( objectStatus "RG2_6.B6" ) ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i68" - ( attribute "CDS_LIB" "cnpassive" - ( Origin gPackager ) + ( pin "vout(3)" + ( attribute "PN" "B7" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.B7" ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Origin gFrontEnd ) + ( pin "vout(4)" + ( attribute "PN" "C6" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.C6" ) ) - ( attribute "PART_NAME" "TP" - ( Origin gFrontEnd ) + ( pin "vout(5)" + ( attribute "PN" "C7" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.C7" ) ) - ( attribute "PHYS_PAGE" "2" - ( Origin gFrontEnd ) + ( pin "\vout-\(0)" + ( attribute "PN" "A1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.A1" ) ) - ( attribute "ROT" "0" - ( Origin gFrontEnd ) + ( pin "\vout-\(1)" + ( attribute "PN" "A2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.A2" ) ) - ( attribute "SIZE" "1" - ( Origin gFrontEnd ) + ( pin "\vout-\(2)" + ( attribute "PN" "A3" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.A3" ) ) - ( attribute "VALUE" "0.8MM" - ( Origin gFrontEnd ) + ( pin "\vout-\(3)" + ( attribute "PN" "A4" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.A4" ) ) - ( attribute "VER" "1" - ( Origin gFrontEnd ) + ( pin "\vout-\(4)" + ( attribute "PN" "A5" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.A5" ) ) - ( attribute "XY" "(350,-150)" - ( Origin gFrontEnd ) + ( pin "\vout-\(5)" + ( attribute "PN" "B1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.B1" ) ) - ( attribute "CHIPS_PART_NAME" "TP" - ( Origin gPackager ) + ( pin "\vout-\(6)" + ( attribute "PN" "B2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.B2" ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" - ( Origin gPackager ) + ( pin "\vout-\(7)" + ( attribute "PN" "B3" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.B3" ) ) - ( attribute "LOCATION" "TP9" - ( Origin gPackager ) + ( pin "\vout-\(8)" + ( attribute "PN" "B4" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.B4" ) ) - ( objectStatus "TP9" ) - ( pin "a(0)" - ( attribute "PN" "1" + ( pin "\vout-\(9)" + ( attribute "PN" "B5" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP9.1" ) + ( objectStatus "RG2_6.B5" ) + ) + ( pin "\vout-\(10)" + ( attribute "PN" "C1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.C1" ) + ) + ( pin "\vout-\(11)" + ( attribute "PN" "C2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.C2" ) + ) + ( pin "\vout-\(12)" + ( attribute "PN" "C3" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.C3" ) + ) + ( pin "\vout-\(13)" + ( attribute "PN" "C4" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.C4" ) + ) + ( pin "\vout-\(14)" + ( attribute "PN" "C5" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.C5" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i69" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i83" ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Origin gFrontEnd ) - ) - ( attribute "PART_NAME" "TP" + ( attribute "PACK_TYPE" "1210" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "2" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "ROT" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" + ( attribute "VALUE" "4.7UF" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(350,-250)" + ( attribute "VOLTAGE" "50V" + ( Units "uVoltage" "V" 1.000000) + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "XY" "(-1800,2675)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210-4.7UF,50V" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP10" + ( attribute "LOCATION" "C6_6" + ( Origin gFrontEnd ) + ) + ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP10" ) + ( objectStatus "C6_6" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP10.1" ) + ( objectStatus "C6_6.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "C6_6.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i70" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i85" ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" + ( attribute "DIST" "FLAT" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PART_NAME" "TP" + ( attribute "MAX_TEMP" "RTMAX" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "2" + ( attribute "NEGTOL" "RTOL%" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "PACK_TYPE" "1/10W" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" + ( attribute "POSTOL" "RTOL%" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "POWER" "RMAX" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-900,-1050)" + ( attribute "ROT" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "TP3" - ( Origin gPackager ) - ) - ( objectStatus "TP3" ) - ( pin "a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) - ) - ( objectStatus "TP3.1" ) + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i71" - ( attribute "CDS_LIB" "cnpassive" - ( Origin gPackager ) + ( attribute "SLOPE" "RSMAX" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "HOLE" + ( attribute "TC1" "RTMPL" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PART_NAME" "TP" + ( attribute "TC2" "RTMPQ" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "2" + ( attribute "TOL" "1%" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "TOL_ON_OFF" "ON" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" + ( attribute "VALUE" "6.19K" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" + ( attribute "VER" "2" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VOLTAGE" "RVMAX" + ( Units "uVoltage" "V" 1.000000) + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-250,-1250)" + ( attribute "XY" "(-1275,2400)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-6.19K,1%" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP7" - ( Origin gPackager ) - ) - ( objectStatus "TP7" ) - ( pin "a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) - ) - ( objectStatus "TP7.1" ) - ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i72" - ( attribute "CDS_LIB" "cnpassive" - ( Origin gPackager ) - ) - ( attribute "PACK_TYPE" "HOLE" - ( Origin gFrontEnd ) - ) - ( attribute "PART_NAME" "TP" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "2" - ( Origin gFrontEnd ) - ) - ( attribute "ROT" "2" - ( Origin gFrontEnd ) - ) - ( attribute "SIZE" "1" - ( Origin gFrontEnd ) - ) - ( attribute "VALUE" "0.8MM" - ( Origin gFrontEnd ) - ) - ( attribute "VER" "1" - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(-100,-1250)" + ( attribute "LOCATION" "R3_6" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "LOCATION" "TP8" + ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP8" ) + ( objectStatus "R3_6" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP8.1" ) - ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i73" - ( attribute "CDS_LIB" "cnpassive" - ( Origin gPackager ) - ) - ( attribute "PACK_TYPE" "HOLE" - ( Origin gFrontEnd ) - ) - ( attribute "PART_NAME" "TP" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "2" - ( Origin gFrontEnd ) - ) - ( attribute "ROT" "2" - ( Origin gFrontEnd ) - ) - ( attribute "SIZE" "1" - ( Origin gFrontEnd ) - ) - ( attribute "VALUE" "0.8MM" - ( Origin gFrontEnd ) - ) - ( attribute "VER" "1" - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(-600,-400)" - ( Origin gFrontEnd ) - ) - ( attribute "CHIPS_PART_NAME" "TP" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "TP5" - ( Origin gPackager ) + ( objectStatus "R3_6.1" ) ) - ( objectStatus "TP5" ) - ( pin "a(0)" - ( attribute "PN" "1" + ( pin "b(0)" + ( attribute "PN" "2" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP5.1" ) + ( objectStatus "R3_6.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i74" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i88" ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Origin gFrontEnd ) - ) - ( attribute "PART_NAME" "TP" + ( attribute "PACK_TYPE" "1210" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "2" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "2" + ( attribute "ROT" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" + ( attribute "VALUE" "22UF" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-600,-500)" + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" - ( Origin gPackager ) + ( attribute "XY" "(250,650)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( attribute "LOCATION" "TP6" + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP6" ) - ( pin "a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) - ) - ( objectStatus "TP6.1" ) - ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i75" - ( attribute "CDS_LIB" "cnpassive" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210-22UF,16V" ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Origin gFrontEnd ) - ) - ( attribute "PART_NAME" "TP" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "2" - ( Origin gFrontEnd ) - ) - ( attribute "ROT" "2" - ( Origin gFrontEnd ) - ) - ( attribute "SIZE" "1" - ( Origin gFrontEnd ) - ) - ( attribute "VALUE" "0.8MM" - ( Origin gFrontEnd ) - ) - ( attribute "VER" "1" - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(-950,-600)" + ( attribute "LOCATION" "C8_6" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "LOCATION" "TP1" + ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP1" ) + ( objectStatus "C8_6" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP1.1" ) - ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i76" - ( attribute "CDS_LIB" "cnpassive" - ( Origin gPackager ) - ) - ( attribute "PACK_TYPE" "HOLE" - ( Origin gFrontEnd ) - ) - ( attribute "PART_NAME" "TP" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "2" - ( Origin gFrontEnd ) - ) - ( attribute "ROT" "2" - ( Origin gFrontEnd ) - ) - ( attribute "SIZE" "1" - ( Origin gFrontEnd ) - ) - ( attribute "VALUE" "0.8MM" - ( Origin gFrontEnd ) - ) - ( attribute "VER" "1" - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(-950,-700)" - ( Origin gFrontEnd ) - ) - ( attribute "CHIPS_PART_NAME" "TP" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "TP2" - ( Origin gPackager ) + ( objectStatus "C8_6.1" ) ) - ( objectStatus "TP2" ) - ( pin "a(0)" - ( attribute "PN" "1" + ( pin "b(0)" + ( attribute "PN" "2" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP2.1" ) + ( objectStatus "C8_6.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i68" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i89" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "PART_NAME" "TP" + ( attribute "PACK_TYPE" "1210" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -23877,7 +23283,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "ROT" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -23885,59 +23291,63 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SYMMAP" "TRUE" + ( attribute "VALUE" "22UF" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-1225,500)" + ( attribute "XY" "(250,2675)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" - ( Origin gPackager ) - ) - ( attribute "CDS_LOCATION" "TP13" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210-22UF,16V" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP13" - ( Origin gPackager ) + ( attribute "LOCATION" "C7_6" + ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP13" ) + ( objectStatus "C7_6" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP13.1" ) + ( objectStatus "C7_6.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "C7_6.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i69" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i142" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "PART_NAME" "TP" + ( attribute "PACK_TYPE" "1210" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -23945,7 +23355,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "ROT" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -23953,924 +23363,1016 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SYMMAP" "TRUE" + ( attribute "VALUE" "4.7UF" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VOLTAGE" "50V" + ( Units "uVoltage" "V" 1.000000) ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-700,1350)" + ( attribute "XY" "(-2150,2675)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210-4.7UF,50V" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP22" + ( attribute "LOCATION" "C3_6" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "TP22" - ( Origin gPackager ) - ) - ( objectStatus "TP22" ) + ( objectStatus "C3_6" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP22.1" ) - ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i8" - ( attribute "CDS_LIB" "cnmemory" - ( Origin gPackager ) - ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-150,75,150,-150" - ( Origin gPackager ) - ) - ( attribute "PACK_TYPE" "SOIC" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "1" - ( Origin gFrontEnd ) - ) - ( attribute "ROT" "0" - ( Origin gFrontEnd ) - ) - ( attribute "TYPE" "24AA025E48" - ( Origin gFrontEnd ) - ) - ( attribute "VER" "1" - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(-200,-2950)" - ( Origin gFrontEnd ) - ) - ( attribute "CHIPS_PART_NAME" "24AA025E48" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "24AA025E48" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "IC9" - ( Origin gFrontEnd ) - ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) - ) - ( attribute "SEC" "1" - ( Origin gPackager ) - ) - ( attribute "CDS_LOCATION" "IC9" - ( Origin gPackager ) - ) - ( objectStatus "IC9" ) - ( pin "a0" - ( attribute "PN" "1" - ( Origin gPackager ) - ) - ( objectStatus "IC9.1" ) + ( objectStatus "C3_6.1" ) ) - ( pin "a1" + ( pin "b(0)" ( attribute "PN" "2" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "IC9.2" ) - ) - ( pin "a2" - ( attribute "PN" "3" - ( Origin gPackager ) - ) - ( objectStatus "IC9.3" ) - ) - ( pin "scl" - ( attribute "PN" "6" - ( Origin gPackager ) - ) - ( objectStatus "IC9.6" ) - ) - ( pin "sda" - ( attribute "PN" "5" - ( Origin gPackager ) - ) - ( objectStatus "IC9.5" ) - ) - ( pin "vcc" - ( attribute "PN" "8" - ( Origin gPackager ) - ) - ( objectStatus "IC9.8" ) - ) - ( pin "vss" - ( attribute "PN" "4" - ( Origin gPackager ) - ) - ( objectStatus "IC9.4" ) + ( objectStatus "C3_6.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i11" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i144" ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "0603" + ( attribute "PACK_TYPE" "1210" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "ROT" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "100NF" + ( attribute "VALUE" "4.7UF" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "16V" + ( attribute "VOLTAGE" "50V" ( Units "uVoltage" "V" 1.000000) + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-200,-3300)" + ( attribute "XY" "(-2150,1525)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210-4.7UF,50V" ( Origin gPackager ) ) - ( attribute "LOCATION" "C70" + ( attribute "LOCATION" "C4_6" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "C70" - ( Origin gPackager ) - ) - ( objectStatus "C70" ) + ( objectStatus "C4_6" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C70.1" ) + ( objectStatus "C4_6.1" ) ) ( pin "b(0)" ( attribute "PN" "2" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C70.2" ) + ( objectStatus "C4_6.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i12" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i146" ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "DIST" "FLAT" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "MAX_TEMP" "RTMAX" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "NEGTOL" "RTOL%" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "PACK_TYPE" "1/10W" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "POSTOL" "RTOL%" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "POWER" "RMAX" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "ROT" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "SLOPE" "RSMAX" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "TC1" "RTMPL" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "TC2" "RTMPQ" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "TOL" "1%" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "TOL_ON_OFF" "ON" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "XX" + ( attribute "VALUE" "3.3" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "VER" "2" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "VOLTAGE" "RVMAX" ( Units "uVoltage" "V" 1.000000) + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-1050,-3050)" + ( attribute "XY" "(-2725,1900)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-XX,1%" + ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-3.3,1%" ( Origin gPackager ) ) - ( attribute "LOCATION" "R61" + ( attribute "LOCATION" "R1_6" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "R61" - ( Origin gPackager ) - ) - ( objectStatus "R61" ) + ( objectStatus "R1_6" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "R61.1" ) + ( objectStatus "R1_6.1" ) ) ( pin "b(0)" ( attribute "PN" "2" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "R61.2" ) + ( objectStatus "R1_6.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i13" - ( attribute "CDS_LIB" "cnpassive" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i2" + ( attribute "CDS_LIB" "cnconnector" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "DIST" "FLAT" - ( Origin gFrontEnd ) + ( attribute "CDS_LMAN_SYM_OUTLINE" "0,25,150,-475" + ( Status sBlockFlattened ) + ( Origin gPackager ) ) - ( attribute "MAX_TEMP" "RTMAX" - ( Origin gFrontEnd ) + ( attribute "CDS_LOCATION" "J1" + ( Status sBlockFlattened ) + ( Origin gPackager ) ) - ( attribute "NEGTOL" "RTOL%" - ( Origin gFrontEnd ) + ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "1/10W" - ( Origin gFrontEnd ) + ( attribute "LOCATION" "J1" + ( Status sBlockFlattened ) + ( Origin gPackager ) ) ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POSTOL" "RTOL%" + ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POWER" "RMAX" + ( attribute "TYPE" "HDMI-19-01-X-SM" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" + ( attribute "XY" "(3550,875)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SLOPE" "RSMAX" - ( Origin gFrontEnd ) + ( attribute "CHIPS_PART_NAME" "CON19P" + ( Status sBlockFlattened ) + ( Origin gPackager ) ) - ( attribute "TC1" "RTMPL" - ( Origin gFrontEnd ) + ( attribute "CDS_PART_NAME" "CON19P-HDMI-19-01-X-SM-GND=GNDA" + ( Origin gPackager ) ) - ( attribute "TC2" "RTMPQ" - ( Origin gFrontEnd ) + ( pin "a(0)" + ( attribute "PN" "#" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "J1.1" ) ) - ( attribute "TOL" "1%" - ( Origin gFrontEnd ) + ( pin "a(1)" + ( attribute "PN" "#" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "J1.2" ) ) - ( attribute "TOL_ON_OFF" "ON" - ( Origin gFrontEnd ) + ( pin "a(2)" + ( attribute "PN" "#" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "J1.3" ) ) - ( attribute "VALUE" "XX" - ( Origin gFrontEnd ) + ( pin "a(3)" + ( attribute "PN" "#" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "J1.4" ) ) - ( attribute "VER" "2" - ( Origin gFrontEnd ) + ( pin "a(4)" + ( attribute "PN" "#" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "J1.5" ) ) - ( attribute "VOLTAGE" "RVMAX" - ( Units "uVoltage" "V" 1.000000) - ( Origin gFrontEnd ) + ( pin "a(5)" + ( attribute "PN" "#" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "J1.6" ) ) - ( attribute "XY" "(-900,-3050)" - ( Origin gFrontEnd ) + ( pin "a(6)" + ( attribute "PN" "#" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "J1.7" ) ) - ( attribute "CHIPS_PART_NAME" "RSMD0603" - ( Origin gPackager ) + ( pin "a(7)" + ( attribute "PN" "#" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "J1.8" ) ) - ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-XX,1%" - ( Origin gPackager ) + ( pin "a(8)" + ( attribute "PN" "#" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "J1.9" ) ) - ( attribute "LOCATION" "R63" - ( Origin gFrontEnd ) + ( pin "a(9)" + ( attribute "PN" "#" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "J1.10" ) ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) + ( pin "a(10)" + ( attribute "PN" "#" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "J1.11" ) ) - ( attribute "SEC" "1" - ( Origin gPackager ) + ( pin "a(11)" + ( attribute "PN" "#" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "J1.12" ) ) - ( attribute "CDS_LOCATION" "R63" - ( Origin gPackager ) + ( pin "a(12)" + ( attribute "PN" "#" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "J1.13" ) ) - ( objectStatus "R63" ) - ( pin "a(0)" - ( attribute "PN" "1" + ( pin "a(13)" + ( attribute "PN" "#" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "R63.1" ) + ( objectStatus "J1.14" ) ) - ( pin "b(0)" - ( attribute "PN" "2" + ( pin "a(14)" + ( attribute "PN" "#" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "R63.2" ) + ( objectStatus "J1.15" ) + ) + ( pin "a(15)" + ( attribute "PN" "#" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "J1.16" ) + ) + ( pin "a(16)" + ( attribute "PN" "#" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "J1.17" ) + ) + ( pin "a(17)" + ( attribute "PN" "#" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "J1.18" ) + ) + ( pin "a(18)" + ( attribute "PN" "#" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "J1.19" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i14" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i8" ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "DIST" "FLAT" - ( Origin gFrontEnd ) + ( attribute "CDS_LOCATION" "C9" + ( Status sBlockFlattened ) + ( Origin gPackager ) ) - ( attribute "MAX_TEMP" "RTMAX" - ( Origin gFrontEnd ) + ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) ) - ( attribute "NEGTOL" "RTOL%" - ( Origin gFrontEnd ) + ( attribute "LOCATION" "C9" + ( Status sBlockFlattened ) + ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "1/10W" + ( attribute "PACK_TYPE" "0603" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POSTOL" "RTOL%" - ( Origin gFrontEnd ) - ) - ( attribute "POWER" "RMAX" + ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" - ( Origin gFrontEnd ) + ( attribute "SEC" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) ) ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SLOPE" "RSMAX" - ( Origin gFrontEnd ) - ) - ( attribute "TC1" "RTMPL" - ( Origin gFrontEnd ) - ) - ( attribute "TC2" "RTMPQ" - ( Origin gFrontEnd ) - ) - ( attribute "TOL" "1%" - ( Origin gFrontEnd ) - ) - ( attribute "TOL_ON_OFF" "ON" - ( Origin gFrontEnd ) - ) - ( attribute "VALUE" "XX" + ( attribute "VALUE" "100NF" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "2" + ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "RVMAX" + ( attribute "VOLTAGE" "16V" ( Units "uVoltage" "V" 1.000000) + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-750,-3050)" - ( Origin gFrontEnd ) - ) - ( attribute "CHIPS_PART_NAME" "RSMD0603" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-XX,1%" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "R65" + ( attribute "XY" "(5625,675)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) - ) - ( attribute "SEC" "1" + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "R65" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" ( Origin gPackager ) ) - ( objectStatus "R65" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "R65.1" ) + ( objectStatus "C9.1" ) ) ( pin "b(0)" ( attribute "PN" "2" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "R65.2" ) + ( objectStatus "C9.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i15" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i9" ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "DIST" "FLAT" - ( Origin gFrontEnd ) + ( attribute "CDS_LOCATION" "C8" + ( Status sBlockFlattened ) + ( Origin gPackager ) ) - ( attribute "MAX_TEMP" "RTMAX" - ( Origin gFrontEnd ) + ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) ) - ( attribute "NEGTOL" "RTOL%" - ( Origin gFrontEnd ) + ( attribute "LOCATION" "C8" + ( Status sBlockFlattened ) + ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "<< NULL >>" + ( attribute "PACK_TYPE" "0603" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POSTOL" "RTOL%" - ( Origin gFrontEnd ) - ) - ( attribute "POWER" "RMAX" + ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" - ( Origin gFrontEnd ) + ( attribute "SEC" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) ) ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SLOPE" "RSMAX" - ( Origin gFrontEnd ) - ) - ( attribute "TC1" "RTMPL" - ( Origin gFrontEnd ) - ) - ( attribute "TC2" "RTMPQ" - ( Origin gFrontEnd ) - ) - ( attribute "TOL" "<< NULL >>" - ( Origin gFrontEnd ) - ) - ( attribute "TOL_ON_OFF" "ON" - ( Origin gFrontEnd ) - ) - ( attribute "VALUE" "00" + ( attribute "VALUE" "100NF" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "2" + ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "RVMAX" + ( attribute "VOLTAGE" "16V" ( Units "uVoltage" "V" 1.000000) + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-1050,-2600)" - ( Origin gFrontEnd ) - ) - ( attribute "CHIPS_PART_NAME" "RSMD0603" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "RSMD0603_-00," - ( Origin gPackager ) - ) - ( attribute "LOCATION" "R60" + ( attribute "XY" "(5625,875)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) - ) - ( attribute "SEC" "1" + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "R60" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" ( Origin gPackager ) ) - ( objectStatus "R60" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "R60.1" ) + ( objectStatus "C8.1" ) ) ( pin "b(0)" ( attribute "PN" "2" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "R60.2" ) + ( objectStatus "C8.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i16" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i10" ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R11" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "DIST" "FLAT" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) + ( attribute "LOCATION" "R11" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) ( attribute "MAX_TEMP" "RTMAX" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "NEGTOL" "RTOL%" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "<< NULL >>" + ( attribute "PACK_TYPE" "1/10W" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "POSTOL" "RTOL%" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "POWER" "RMAX" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) + ( attribute "SEC" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "SLOPE" "RSMAX" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "TC1" "RTMPL" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "TC2" "RTMPQ" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TOL" "<< NULL >>" + ( attribute "TOL" "1%" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "TOL_ON_OFF" "ON" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "00" + ( attribute "VALUE" "51" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "VER" "2" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "VOLTAGE" "RVMAX" ( Units "uVoltage" "V" 1.000000) + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-900,-2600)" + ( attribute "XY" "(5925,875)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "RSMD0603_-00," - ( Origin gPackager ) - ) - ( attribute "LOCATION" "R62" - ( Origin gFrontEnd ) - ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) - ) - ( attribute "SEC" "1" - ( Origin gPackager ) - ) - ( attribute "CDS_LOCATION" "R62" + ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-51,1%" ( Origin gPackager ) ) - ( objectStatus "R62" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "R62.1" ) + ( objectStatus "R11.1" ) ) ( pin "b(0)" ( attribute "PN" "2" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "R62.2" ) + ( objectStatus "R11.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i17" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i11" ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R12" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "DIST" "FLAT" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) + ( attribute "LOCATION" "R12" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) ( attribute "MAX_TEMP" "RTMAX" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "NEGTOL" "RTOL%" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "<< NULL >>" + ( attribute "PACK_TYPE" "1/10W" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "POSTOL" "RTOL%" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "POWER" "RMAX" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) + ( attribute "SEC" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "SLOPE" "RSMAX" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "TC1" "RTMPL" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "TC2" "RTMPQ" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TOL" "<< NULL >>" + ( attribute "TOL" "1%" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "TOL_ON_OFF" "ON" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "00" + ( attribute "VALUE" "51" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "VER" "2" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "VOLTAGE" "RVMAX" ( Units "uVoltage" "V" 1.000000) + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-750,-2600)" + ( attribute "XY" "(5925,675)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "RSMD0603_-00," - ( Origin gPackager ) - ) - ( attribute "LOCATION" "R64" - ( Origin gFrontEnd ) - ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) - ) - ( attribute "SEC" "1" - ( Origin gPackager ) - ) - ( attribute "CDS_LOCATION" "R64" + ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-51,1%" ( Origin gPackager ) ) - ( objectStatus "R64" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "R64.1" ) + ( objectStatus "R12.1" ) ) ( pin "b(0)" ( attribute "PN" "2" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "R64.2" ) + ( objectStatus "R12.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i51" - ( attribute "ABBREV" "PT6911" - ( Origin gFrontEnd ) - ) - ( attribute "CDS_LIB" "cnconnector" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i88" + ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "PX5" + ( attribute "CDS_LOCATION" "C1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "NEEDS_NO_SIZE" "TRUE" + ( attribute "LOCATION" "C1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "0603" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "3" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "2" + ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "TYPE" "PLEMO00C" + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "100NF" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(1750,50)" + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PCOAX" - ( Origin gPackager ) + ( attribute "XY" "(5800,-1450)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( attribute "CDS_PART_NAME" "PCOAX-PLEMO00C-GND=GND_SIGNAL" + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "LOCATION" "PX5" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" ( Origin gPackager ) ) - ( objectStatus "PX5" ) - ( pin "a" + ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "PX5.1" ) - ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i52" - ( attribute "ABBREV" "PT6911" - ( Origin gFrontEnd ) - ) - ( attribute "CDS_LIB" "cnconnector" - ( Origin gPackager ) + ( objectStatus "C1.1" ) ) - ( attribute "CDS_LOCATION" "PX4" - ( Origin gPackager ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "C1.2" ) ) - ( attribute "CDS_SEC" "1" + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i87" + ( attribute "CDS_LIB" "cninterface" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "NEEDS_NO_SIZE" "TRUE" + ( attribute "PACK_TYPE" "LLP" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "3" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "ROT" "2" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SEC" "1" - ( Origin gPackager ) - ) - ( attribute "TYPE" "PLEMO00C" + ( attribute "TYPE" "DS92001TLD" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(1750,800)" + ( attribute "XY" "(3050,-1225)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PCOAX" + ( attribute "CHIPS_PART_NAME" "DS92001" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "PCOAX-PLEMO00C-GND=GND_SIGNAL" + ( attribute "CDS_PART_NAME" "DS92001TLD-GND=GND_SIGNAL;VCC=A" ( Origin gPackager ) ) - ( attribute "LOCATION" "PX4" - ( Origin gPackager ) + ( pin "en" + ( objectStatus "page1_i87.8" ) ) - ( objectStatus "PX4" ) - ( pin "a" - ( attribute "PN" "1" - ( Origin gPackager ) - ) - ( objectStatus "PX4.1" ) + ( pin "\in+\" + ( objectStatus "page1_i87.3" ) ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i53" - ( attribute "ABBREV" "PT6911" - ( Origin gFrontEnd ) + ( pin "\in-\" + ( objectStatus "page1_i87.2" ) ) - ( attribute "CDS_LIB" "cnconnector" - ( Origin gPackager ) + ( pin "\out+\" + ( objectStatus "page1_i87.6" ) ) - ( attribute "CDS_LOCATION" "PX3" - ( Origin gPackager ) + ( pin "\out-\" + ( objectStatus "page1_i87.7" ) ) - ( attribute "CDS_SEC" "1" + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i85" + ( attribute "CDS_LIB" "cnlinear" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "NEEDS_NO_SIZE" "TRUE" + ( attribute "PACK_TYPE" "SOT223" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "3" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "2" + ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SEC" "1" - ( Origin gPackager ) - ) - ( attribute "TYPE" "PLEMO00C" + ( attribute "TYPE" "TPS78633DCQ" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(1750,1550)" + ( attribute "XY" "(5375,-1675)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PCOAX" + ( attribute "CHIPS_PART_NAME" "TPS786XX" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "PCOAX-PLEMO00C-GND=GND_SIGNAL" + ( attribute "CDS_PART_NAME" "TPS78633DCQ" ( Origin gPackager ) ) - ( attribute "LOCATION" "PX3" - ( Origin gPackager ) + ( pin "en" + ( objectStatus "page1_i85.1" ) ) - ( objectStatus "PX3" ) - ( pin "a" - ( attribute "PN" "1" - ( Origin gPackager ) - ) - ( objectStatus "PX3.1" ) + ( pin "fb" + ( objectStatus "page1_i85.5" ) + ) + ( pin "gnd(0)" + ( objectStatus "page1_i85.3" ) + ) + ( pin "gnd(1)" + ( objectStatus "page1_i85.6" ) + ) + ( pin "\in\" + ( objectStatus "page1_i85.2" ) + ) + ( pin "\out\" + ( objectStatus "page1_i85.4" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i120" - ( attribute "CHIPS_PART_NAME" "DIODE_DUAL_SERIES" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i83" + ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CASE" "SOT23" + ( attribute "DIELECTRIC" "X5R" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CDS_LIB" "cndiscrete" + ( attribute "PHYS_PAGE" "1" ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "LOCATION" "D1_1" ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "A1-C2-AC3" + ( attribute "ROT" "0" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" + ( attribute "SIZE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "TYPE" "GNM21" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TYPE" "HBAT-540C" + ( attribute "VALUE" "1UF" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -24878,67 +24380,55 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(0,-500)" + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CDS_PART_NAME" "HBAT-540C" - ( Origin gPackager ) + ( attribute "XY" "(-200,825)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( attribute "CDS_SEC" "1" + ( attribute "CHIPS_PART_NAME" "CAPN4I" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "SEC" "1" + ( attribute "CDS_PART_NAME" "CAPN4I-1UF,16V,X5R,GNM21" ( Origin gPackager ) ) - ( objectStatus "D1_1" ) - ( pin "a" - ( attribute "PN" "1" - ( Origin gPackager ) - ) - ( objectStatus "D1_1.1" ) - ) - ( pin "ac" - ( attribute "PN" "3" - ( Origin gPackager ) - ) - ( objectStatus "D1_1.3" ) + ( pin "a(0)" + ( objectStatus "page1_i83.7" ) ) - ( pin "c" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "D1_1.2" ) + ( pin "b(0)" + ( objectStatus "page1_i83.8" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i120" - ( attribute "CHIPS_PART_NAME" "DIODE_DUAL_SERIES" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i82" + ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CASE" "SOT23" + ( attribute "PACK_TYPE" "1206_TC164" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CDS_LIB" "cndiscrete" + ( attribute "PHYS_PAGE" "1" ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "LOCATION" "D1_2" ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "A1-C2-AC3" + ( attribute "ROT" "0" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" + ( attribute "SIZE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "TOL" "1%" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TYPE" "HBAT-540C" + ( attribute "VALUE" "47" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -24946,67 +24436,50 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(0,-500)" + ( attribute "XY" "(-475,775)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CDS_PART_NAME" "HBAT-540C" - ( Origin gPackager ) - ) - ( attribute "CDS_SEC" "1" + ( attribute "CHIPS_PART_NAME" "RES_ARRAY_X4" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "SEC" "1" + ( attribute "CDS_PART_NAME" "RES_ARRAY_X4_1206_TC164-47,1%" ( Origin gPackager ) ) - ( objectStatus "D1_2" ) - ( pin "a" - ( attribute "PN" "1" - ( Origin gPackager ) - ) - ( objectStatus "D1_2.1" ) - ) - ( pin "ac" - ( attribute "PN" "3" - ( Origin gPackager ) - ) - ( objectStatus "D1_2.3" ) + ( pin "a(0)" + ( objectStatus "page1_i82.1" ) ) - ( pin "c" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "D1_2.2" ) + ( pin "b(0)" + ( objectStatus "page1_i82.8" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i120" - ( attribute "CHIPS_PART_NAME" "DIODE_DUAL_SERIES" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i81" + ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CASE" "SOT23" + ( attribute "PACK_TYPE" "1206_TC164" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CDS_LIB" "cndiscrete" + ( attribute "PHYS_PAGE" "1" ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "LOCATION" "D1_3" ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "A1-C2-AC3" + ( attribute "ROT" "0" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" + ( attribute "SIZE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "TOL" "1%" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TYPE" "HBAT-540C" + ( attribute "VALUE" "47" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -25014,55 +24487,42 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(0,-500)" + ( attribute "XY" "(-625,875)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CDS_PART_NAME" "HBAT-540C" - ( Origin gPackager ) - ) - ( attribute "CDS_SEC" "1" + ( attribute "CHIPS_PART_NAME" "RES_ARRAY_X4" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "SEC" "1" + ( attribute "CDS_PART_NAME" "RES_ARRAY_X4_1206_TC164-47,1%" ( Origin gPackager ) ) - ( objectStatus "D1_3" ) - ( pin "a" - ( attribute "PN" "1" - ( Origin gPackager ) - ) - ( objectStatus "D1_3.1" ) - ) - ( pin "ac" - ( attribute "PN" "3" - ( Origin gPackager ) - ) - ( objectStatus "D1_3.3" ) + ( pin "a(0)" + ( objectStatus "page1_i81.1" ) ) - ( pin "c" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "D1_3.2" ) + ( pin "b(0)" + ( objectStatus "page1_i81.8" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i120" - ( attribute "CHIPS_PART_NAME" "DIODE_DUAL_SERIES" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i106" + ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CASE" "SOT23" + ( attribute "DIST" "FLAT" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CDS_LIB" "cndiscrete" + ( attribute "MAX_TEMP" "RTMAX" ( Status sBlockFlattened ) - ( Origin gPackager ) + ( Origin gFrontEnd ) ) - ( attribute "LOCATION" "D1_4" + ( attribute "NEGTOL" "RTOL%" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "A1-C2-AC3" + ( attribute "PACK_TYPE" "1/10W" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -25070,331 +24530,221 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "POSTOL" "RTOL%" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TYPE" "HBAT-540C" + ( attribute "POWER" "RMAX" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "ROT" "0" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(0,-500)" + ( attribute "SIZE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CDS_PART_NAME" "HBAT-540C" - ( Origin gPackager ) - ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) - ) - ( attribute "SEC" "1" - ( Origin gPackager ) - ) - ( objectStatus "D1_4" ) - ( pin "a" - ( attribute "PN" "1" - ( Origin gPackager ) - ) - ( objectStatus "D1_4.1" ) - ) - ( pin "ac" - ( attribute "PN" "3" - ( Origin gPackager ) - ) - ( objectStatus "D1_4.3" ) - ) - ( pin "c" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "D1_4.2" ) - ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i90" - ( attribute "CDS_LIB" "cnpassive" - ( Origin gPackager ) - ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "C1" + ( attribute "SLOPE" "RSMAX" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "0603" + ( attribute "TC1" "RTMPL" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" + ( attribute "TC2" "RTMPQ" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "TOL" "1%" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SEC" "1" - ( Origin gPackager ) - ) - ( attribute "SIZE" "1" + ( attribute "TOL_ON_OFF" "ON" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "100NF" + ( attribute "VALUE" "100" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "16V" + ( attribute "VOLTAGE" "RVMAX" ( Units "uVoltage" "V" 1.000000) + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(3050,500)" + ( attribute "XY" "(3475,-1075)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" - ( Origin gPackager ) - ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "C1" + ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-100,1%" ( Origin gPackager ) ) - ( objectStatus "C1" ) ( pin "a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) - ) - ( objectStatus "C1.1" ) + ( objectStatus "page1_i106.1" ) ) ( pin "b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "C1.2" ) + ( objectStatus "page1_i106.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i92" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i80" ( attribute "CDS_LIB" "cndiscrete" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "SOT23" + ( attribute "PACK_TYPE" "4312" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TYPE" "USBLC6-2SC6" + ( attribute "TYPE" "744231091" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VALUE" "90OHM" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2600,100)" + ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "USBLC6-2" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "USBLC6-2SC6" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "D3" + ( attribute "XY" "(-1025,825)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) - ) - ( attribute "SEC" "1" + ( attribute "CHIPS_PART_NAME" "COMMON_MODE_LINE_FILTER" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "D3" + ( attribute "CDS_PART_NAME" "COMMON_MODE_LINE_FILTER_4312-7A" ( Origin gPackager ) ) - ( objectStatus "D3" ) - ( pin "gnd" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "D3.2" ) - ) - ( pin "\i/o1\(0)" - ( attribute "PN" "1" - ( Origin gPackager ) - ) - ( objectStatus "D3.1" ) - ) - ( pin "\i/o1\(1)" - ( attribute "PN" "6" - ( Origin gPackager ) - ) - ( objectStatus "D3.6" ) + ( pin "\1\(0)" + ( objectStatus "page1_i80.3" ) ) - ( pin "\i/o2\(0)" - ( attribute "PN" "3" - ( Origin gPackager ) - ) - ( objectStatus "D3.3" ) + ( pin "\1dot\(0)" + ( objectStatus "page1_i80.4" ) ) - ( pin "\i/o2\(1)" - ( attribute "PN" "4" - ( Origin gPackager ) - ) - ( objectStatus "D3.4" ) + ( pin "\2\(0)" + ( objectStatus "page1_i80.2" ) ) - ( pin "vbus" - ( attribute "PN" "5" - ( Origin gPackager ) - ) - ( objectStatus "D3.5" ) + ( pin "\2dot\(0)" + ( objectStatus "page1_i80.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i93" - ( attribute "CDS_LIB" "cnconnector" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i79" + ( attribute "CDS_LIB" "cninterface" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "LOCATION" "PL1" - ( Origin gPackager ) + ( attribute "PACK_TYPE" "VQFN" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "6" + ( attribute "ROT" "2" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TYPE" "HW8_08G_SM" + ( attribute "TYPE" "SN65MLVD040RGZ" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(900,-2950)" + ( attribute "XY" "(475,800)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CON16P" + ( attribute "CHIPS_PART_NAME" "SN65MLVD040" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CON16P-HW8_08G_SM" + ( attribute "CDS_PART_NAME" "SN65MLVD040RGZ-GND=GND_SIGNAL;A" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "PL1" - ( Origin gPackager ) + ( pin "a(0)" + ( objectStatus "page1_i79.13" ) ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) + ( pin "\b*\(0)" + ( objectStatus "page1_i79.14" ) ) - ( attribute "SEC" "1" - ( Origin gPackager ) + ( pin "d(0)" + ( objectStatus "page1_i79.25" ) ) - ( objectStatus "PL1" ) - ( pin "a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) - ) - ( objectStatus "PL1.1" ) + ( pin "de(0)" + ( objectStatus "page1_i79.12" ) ) - ( pin "a(1)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "PL1.2" ) + ( pin "fsen(0)" + ( objectStatus "page1_i79.22" ) ) - ( pin "a(2)" - ( attribute "PN" "3" - ( Origin gPackager ) - ) - ( objectStatus "PL1.3" ) + ( pin "pdn" + ( objectStatus "page1_i79.30" ) ) - ( pin "a(3)" - ( attribute "PN" "4" - ( Origin gPackager ) - ) - ( objectStatus "PL1.4" ) + ( pin "r(0)" + ( objectStatus "page1_i79.26" ) ) - ( pin "a(4)" - ( attribute "PN" "5" - ( Origin gPackager ) - ) - ( objectStatus "PL1.5" ) + ( pin "\re*\(0)" + ( objectStatus "page1_i79.21" ) ) - ( pin "a(5)" - ( attribute "PN" "6" - ( Origin gPackager ) - ) - ( objectStatus "PL1.6" ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78" + ( attribute "CHIPS_PART_NAME" "CON8P" + ( Status sBlockFlattened ) + ( Origin gPackager ) ) - ( pin "a(6)" - ( attribute "PN" "7" - ( Origin gPackager ) - ) - ( objectStatus "PL1.7" ) + ( pin "sig0_n" ) - ( pin "a(7)" - ( attribute "PN" "8" - ( Origin gPackager ) - ) - ( objectStatus "PL1.8" ) + ( pin "sig0_p" ) - ( pin "a(8)" - ( attribute "PN" "9" - ( Origin gPackager ) - ) - ( objectStatus "PL1.9" ) + ( pin "sig1_n" ) - ( pin "a(9)" - ( attribute "PN" "10" - ( Origin gPackager ) - ) - ( objectStatus "PL1.10" ) + ( pin "sig1_p" ) - ( pin "a(10)" - ( attribute "PN" "11" - ( Origin gPackager ) - ) - ( objectStatus "PL1.11" ) + ( pin "sig2_n" ) - ( pin "a(11)" - ( attribute "PN" "12" - ( Origin gPackager ) - ) - ( objectStatus "PL1.12" ) + ( pin "sig2_p" ) - ( pin "a(12)" - ( attribute "PN" "13" - ( Origin gPackager ) - ) - ( objectStatus "PL1.13" ) + ( pin "sig3_n" ) - ( pin "a(13)" - ( attribute "PN" "14" - ( Origin gPackager ) - ) - ( objectStatus "PL1.14" ) + ( pin "sig3_p" ) - ( pin "a(14)" - ( attribute "PN" "15" - ( Origin gPackager ) - ) - ( objectStatus "PL1.15" ) + ( pin "sig4_n" ) - ( pin "a(15)" - ( attribute "PN" "16" - ( Origin gPackager ) - ) - ( objectStatus "PL1.16" ) + ( pin "sig4_p" + ) + ( pin "vclamp" ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i168" - ( attribute "CDS_LIB" "cnconnector" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):page1_i67" + ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "NEEDS_NO_SIZE" "TRUE" + ( attribute "PACK_TYPE" "0603" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -25402,55 +24752,61 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "ROT" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TYPE" "UFL_R_SMT" + ( attribute "SIZE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VALUE" "100NF" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-300,-1550)" + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PCOAXSMD" + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "PCOAXSMD-UFL_R_SMT-GND=GND_SIGA" - ( Origin gPackager ) + ( Origin gFrontEnd ) ) - ( attribute "LOCATION" "PX1_1" + ( attribute "XY" "(5975,0)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CDS_SEC" "1" + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "SEC" "1" - ( Status sBlockFlattened ) + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" ( Origin gPackager ) ) - ( objectStatus "PX1_1" ) - ( pin "a" - ( attribute "PN" "1" + ( pin "a(0)" + ( attribute "PN" "#" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "PX1_1.1" ) ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i168" - ( attribute "CDS_LIB" "cnconnector" - ( Status sBlockFlattened ) + ( pin "b(0)" + ( attribute "PN" "#" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):page1_i70" + ( attribute "CDS_LIB" "cndiscrete" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "NEEDS_NO_SIZE" "TRUE" + ( attribute "LOCATION" "D3" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "SOT23" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -25462,7 +24818,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TYPE" "UFL_R_SMT" + ( attribute "TYPE" "USBLC6-2SC6" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -25470,43 +24826,36 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-300,-1550)" + ( attribute "XY" "(5025,175)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PCOAXSMD" + ( attribute "CHIPS_PART_NAME" "USBLC6-2" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "PCOAXSMD-UFL_R_SMT-GND=GND_SIGA" + ( attribute "CDS_PART_NAME" "USBLC6-2SC6" ( Origin gPackager ) ) - ( attribute "LOCATION" "PX1_2" - ( Origin gFrontEnd ) + ( pin "gnd" ) - ( attribute "CDS_SEC" "1" - ( Status sBlockFlattened ) - ( Origin gPackager ) + ( pin "\i/o1\(0)" ) - ( attribute "SEC" "1" - ( Status sBlockFlattened ) - ( Origin gPackager ) + ( pin "\i/o1\(1)" ) - ( objectStatus "PX1_2" ) - ( pin "a" - ( attribute "PN" "1" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "PX1_2.1" ) + ( pin "\i/o2\(0)" + ) + ( pin "\i/o2\(1)" + ) + ( pin "vbus" ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i168" - ( attribute "CDS_LIB" "cnconnector" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):page1_i58" + ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "NEEDS_NO_SIZE" "TRUE" + ( attribute "PACK_TYPE" "0603" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -25514,55 +24863,57 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "ROT" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TYPE" "UFL_R_SMT" + ( attribute "SIZE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VALUE" "100NF" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-300,-1550)" + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PCOAXSMD" + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "PCOAXSMD-UFL_R_SMT-GND=GND_SIGA" - ( Origin gPackager ) + ( Origin gFrontEnd ) ) - ( attribute "LOCATION" "PX1_3" + ( attribute "XY" "(2575,-1875)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CDS_SEC" "1" + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "SEC" "1" - ( Status sBlockFlattened ) + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" ( Origin gPackager ) ) - ( objectStatus "PX1_3" ) - ( pin "a" - ( attribute "PN" "1" + ( pin "a(0)" + ( attribute "PN" "#" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ) + ( pin "b(0)" + ( attribute "PN" "#" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "PX1_3.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i168" - ( attribute "CDS_LIB" "cnconnector" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):page1_i56" + ( attribute "CDS_LIB" "cndiscrete" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "NEEDS_NO_SIZE" "TRUE" + ( attribute "PACK_TYPE" "SC88" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -25574,7 +24925,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TYPE" "UFL_R_SMT" + ( attribute "TYPE" "PRTR5V0U4Y" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -25582,43 +24933,36 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-300,-1550)" + ( attribute "XY" "(1050,-2450)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PCOAXSMD" + ( attribute "CHIPS_PART_NAME" "PRTR5V0U4Y" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "PCOAXSMD-UFL_R_SMT-GND=GND_SIGA" + ( attribute "CDS_PART_NAME" "PRTR5V0U4Y" ( Origin gPackager ) ) - ( attribute "LOCATION" "PX1_4" - ( Origin gFrontEnd ) + ( pin "esd1" ) - ( attribute "CDS_SEC" "1" - ( Status sBlockFlattened ) - ( Origin gPackager ) + ( pin "esd2" ) - ( attribute "SEC" "1" - ( Status sBlockFlattened ) - ( Origin gPackager ) + ( pin "esd3" ) - ( objectStatus "PX1_4" ) - ( pin "a" - ( attribute "PN" "1" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "PX1_4.1" ) + ( pin "esd4" + ) + ( pin "gnd" + ) + ( pin "vcc" ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i169" - ( attribute "CDS_LIB" "cnconnector" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):page1_i55" + ( attribute "CDS_LIB" "cndiscrete" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "NEEDS_NO_SIZE" "TRUE" + ( attribute "PACK_TYPE" "SC88" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -25626,11 +24970,11 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "2" + ( attribute "ROT" "0" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TYPE" "UFL_R_SMT" + ( attribute "TYPE" "PRTR5V0U4Y" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -25638,43 +24982,44 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(325,-1550)" + ( attribute "XY" "(1025,-250)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PCOAXSMD" + ( attribute "CHIPS_PART_NAME" "PRTR5V0U4Y" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "PCOAXSMD-UFL_R_SMT-GND=GND_SIGA" + ( attribute "CDS_PART_NAME" "PRTR5V0U4Y" ( Origin gPackager ) ) - ( attribute "LOCATION" "PX2_1" - ( Origin gFrontEnd ) + ( pin "esd1" ) - ( attribute "CDS_SEC" "1" + ( pin "esd2" + ) + ( pin "esd3" + ) + ( pin "esd4" + ) + ( pin "gnd" + ) + ( pin "vcc" + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i65" + ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "SEC" "1" + ( attribute "LOCATION" "TP11" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "PX2_1" ) - ( pin "a" - ( attribute "PN" "1" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "PX2_1.1" ) - ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i169" - ( attribute "CDS_LIB" "cnconnector" + ( attribute "PACK_TYPE" "HOLE" ( Status sBlockFlattened ) - ( Origin gPackager ) + ( Origin gFrontEnd ) ) - ( attribute "NEEDS_NO_SIZE" "TRUE" + ( attribute "PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -25682,221 +25027,223 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "2" + ( attribute "ROT" "0" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TYPE" "UFL_R_SMT" + ( attribute "SIZE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VALUE" "0.8MM" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(325,-1550)" + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PCOAXSMD" + ( attribute "XY" "(4500,475)" ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "PCOAXSMD-UFL_R_SMT-GND=GND_SIGA" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "PX2_2" ( Origin gFrontEnd ) ) - ( attribute "CDS_SEC" "1" + ( attribute "CHIPS_PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "SEC" "1" - ( Status sBlockFlattened ) + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( objectStatus "PX2_2" ) - ( pin "a" + ( pin "a(0)" ( attribute "PN" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "PX2_2.1" ) + ( objectStatus "TP11.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i169" - ( attribute "CDS_LIB" "cnconnector" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i66" + ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "NEEDS_NO_SIZE" "TRUE" + ( attribute "LOCATION" "TP12" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) - ( attribute "PHYS_PAGE" "1" + ( attribute "PACK_TYPE" "HOLE" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "2" + ( attribute "PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TYPE" "UFL_R_SMT" + ( attribute "PHYS_PAGE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "ROT" "0" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(325,-1550)" + ( attribute "SIZE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PCOAXSMD" + ( attribute "VALUE" "0.8MM" ( Status sBlockFlattened ) - ( Origin gPackager ) + ( Origin gFrontEnd ) ) - ( attribute "CDS_PART_NAME" "PCOAXSMD-UFL_R_SMT-GND=GND_SIGA" - ( Origin gPackager ) + ( attribute "VER" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( attribute "LOCATION" "PX2_3" + ( attribute "XY" "(4500,375)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CDS_SEC" "1" + ( attribute "CHIPS_PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "SEC" "1" - ( Status sBlockFlattened ) + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( objectStatus "PX2_3" ) - ( pin "a" + ( pin "a(0)" ( attribute "PN" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "PX2_3.1" ) + ( objectStatus "TP12.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i169" - ( attribute "CDS_LIB" "cnconnector" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i67" + ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "NEEDS_NO_SIZE" "TRUE" + ( attribute "LOCATION" "TP4" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) - ( attribute "PHYS_PAGE" "1" + ( attribute "PACK_TYPE" "HOLE" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "2" + ( attribute "PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TYPE" "UFL_R_SMT" + ( attribute "PHYS_PAGE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "ROT" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(325,-1550)" + ( attribute "SIZE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PCOAXSMD" + ( attribute "VALUE" "0.8MM" ( Status sBlockFlattened ) - ( Origin gPackager ) + ( Origin gFrontEnd ) ) - ( attribute "CDS_PART_NAME" "PCOAXSMD-UFL_R_SMT-GND=GND_SIGA" - ( Origin gPackager ) + ( attribute "VER" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( attribute "LOCATION" "PX2_4" + ( attribute "XY" "(3050,-425)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CDS_SEC" "1" + ( attribute "CHIPS_PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "SEC" "1" - ( Status sBlockFlattened ) + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( objectStatus "PX2_4" ) - ( pin "a" + ( pin "a(0)" ( attribute "PN" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "PX2_4.1" ) + ( objectStatus "TP4.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i98" - ( attribute "CDS_LIB" "cndiscrete" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i68" + ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "SOD123-CA" + ( attribute "LOCATION" "TP9" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "HOLE" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TYPE" "BZT52C2V7" + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VALUE" "0.8MM" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(3325,525)" + ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "ZENER" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "ZENER_SOD123-CA-BZT52C2V7" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "Z4" + ( attribute "XY" "(4150,475)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) - ) - ( attribute "SEC" "1" + ( attribute "CHIPS_PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "Z4" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( objectStatus "Z4" ) ( pin "a(0)" - ( attribute "PN" "A" - ( Origin gPackager ) - ) - ( objectStatus "Z4.A" ) - ) - ( pin "k(0)" - ( attribute "PN" "C" + ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "Z4.C" ) + ( objectStatus "TP9.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i51" - ( attribute "CDS_LIB" "cndiscrete" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i69" + ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "SOD123-CA" + ( attribute "LOCATION" "TP10" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "HOLE" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -25908,119 +25255,107 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TYPE" "BZT52C2V7" + ( attribute "SIZE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VALUE" "0.8MM" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(4800,500)" + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "ZENER" + ( attribute "XY" "(4150,375)" ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "ZENER_SOD123-CA-BZT52C2V7" - ( Origin gPackager ) - ) - ( attribute "CDS_LOCATION" "Z2" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "Z2" - ( Origin gPackager ) + ( Origin gFrontEnd ) ) - ( attribute "CDS_SEC" "1" + ( attribute "CHIPS_PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "SEC" "1" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( objectStatus "Z2" ) ( pin "a(0)" - ( attribute "PN" "A" - ( Origin gPackager ) - ) - ( objectStatus "Z2.A" ) - ) - ( pin "k(0)" - ( attribute "PN" "C" + ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "Z2.C" ) + ( objectStatus "TP10.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i51" - ( attribute "CDS_LIB" "cndiscrete" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i70" + ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "SOD123-CA" + ( attribute "LOCATION" "TP3" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) - ( attribute "PHYS_PAGE" "1" + ( attribute "PACK_TYPE" "HOLE" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TYPE" "BZT52C2V7" + ( attribute "PHYS_PAGE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "ROT" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(4800,500)" + ( attribute "SIZE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "ZENER" + ( attribute "VALUE" "0.8MM" ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "ZENER_SOD123-CA-BZT52C2V7" - ( Origin gPackager ) + ( Origin gFrontEnd ) ) - ( attribute "CDS_LOCATION" "Z3" - ( Origin gPackager ) + ( attribute "VER" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( attribute "LOCATION" "Z3" - ( Origin gPackager ) + ( attribute "XY" "(2900,-425)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( attribute "CDS_SEC" "1" + ( attribute "CHIPS_PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "SEC" "1" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( objectStatus "Z3" ) ( pin "a(0)" - ( attribute "PN" "A" - ( Origin gPackager ) - ) - ( objectStatus "Z3.A" ) - ) - ( pin "k(0)" - ( attribute "PN" "C" + ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "Z3.C" ) + ( objectStatus "TP3.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i51" - ( attribute "CDS_LIB" "cndiscrete" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i71" + ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "SOD123-CA" + ( attribute "LOCATION" "TP7" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "HOLE" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -26032,65 +25367,51 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TYPE" "BZT52C2V7" + ( attribute "SIZE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VALUE" "0.8MM" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(4800,500)" + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "ZENER" + ( attribute "XY" "(3550,-475)" ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "ZENER_SOD123-CA-BZT52C2V7" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "Z1" ( Origin gFrontEnd ) ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) - ) - ( attribute "SEC" "1" + ( attribute "CHIPS_PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "Z1" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( objectStatus "Z1" ) ( pin "a(0)" - ( attribute "PN" "A" - ( Origin gPackager ) - ) - ( objectStatus "Z1.A" ) - ) - ( pin "k(0)" - ( attribute "PN" "C" + ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "Z1.C" ) + ( objectStatus "TP7.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i70" - ( attribute "CDS_LIB" "bris_cds_analogue" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i72" + ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,125,125,-125" + ( attribute "LOCATION" "TP8" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "MANUF" "TEXAS INSTRUMENTS" + ( attribute "PACK_TYPE" "HOLE" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "SOT23-5" + ( attribute "PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -26098,152 +25419,111 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "ROT" "2" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "1.3V" + ( attribute "SIZE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VALUE" "0.8MM" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-2625,125)" + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "LP5951" + ( attribute "XY" "(3700,-550)" ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "LP5951_SOT23-5-1.3V,TEXAS INSTA" - ( Origin gPackager ) - ) - ( attribute "CDS_LOCATION" "U4" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "U4" - ( Origin gPackager ) + ( Origin gFrontEnd ) ) - ( attribute "CDS_SEC" "1" + ( attribute "CHIPS_PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "SEC" "1" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( objectStatus "U4" ) - ( pin "gnd" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "U4.2" ) - ) - ( pin "\on/off\" - ( attribute "PN" "3" - ( Origin gPackager ) - ) - ( objectStatus "U4.3" ) - ) - ( pin "vin" + ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "U4.1" ) - ) - ( pin "vout" - ( attribute "PN" "5" - ( Origin gPackager ) - ) - ( objectStatus "U4.5" ) + ( objectStatus "TP8.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i73" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i73" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "0603" + ( attribute "LOCATION" "TP5" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) - ( attribute "PHYS_PAGE" "1" + ( attribute "PACK_TYPE" "HOLE" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" + ( attribute "PHYS_PAGE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "1UF" + ( attribute "ROT" "2" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "SIZE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "16V" - ( Units "uVoltage" "V" 1.000000) + ( attribute "VALUE" "0.8MM" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-2925,-125)" + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( attribute "XY" "(3200,225)" ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-1UF,16V" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "C29" ( Origin gFrontEnd ) ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) - ) - ( attribute "SEC" "1" + ( attribute "CHIPS_PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "C29" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( objectStatus "C29" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C29.1" ) - ) - ( pin "b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "C29.2" ) + ( objectStatus "TP5.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i40" - ( attribute "CDS_LIB" "bris_cds_special" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i74" + ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,125,125,-125" + ( attribute "LOCATION" "TP6" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "MANUF" "LINEAR TECHNOLOGY" + ( attribute "PACK_TYPE" "HOLE" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "SOT_223" + ( attribute "PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -26251,76 +25531,55 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "6" + ( attribute "ROT" "2" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "SIZE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(1700,825)" + ( attribute "VALUE" "0.8MM" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "LT1175" + ( attribute "VER" "1" ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "LT1175_SOT_223" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "REG1_6" ( Origin gFrontEnd ) ) - ( attribute "CDS_SEC" "1" + ( attribute "XY" "(3200,125)" ( Status sBlockFlattened ) - ( Origin gPackager ) + ( Origin gFrontEnd ) ) - ( attribute "SEC" "1" + ( attribute "CHIPS_PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "REG1_6" ) - ( pin "gnd" - ( attribute "PN" "3" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "REG1_6.3" ) - ) - ( pin "v_in0" - ( attribute "PN" "2" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "REG1_6.2" ) - ) - ( pin "v_in1" - ( attribute "PN" "4" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "REG1_6.4" ) + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( Origin gPackager ) ) - ( pin "v_out" + ( pin "a(0)" ( attribute "PN" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "REG1_6.1" ) + ( objectStatus "TP6.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i42" - ( attribute "CDS_LIB" "cndiscrete" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i75" + ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "MODEL" "WURTH" + ( attribute "LOCATION" "TP1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "HOLE" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "SMD" + ( attribute "PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -26328,11 +25587,15 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "ROT" "2" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "7427921" + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "0.8MM" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -26340,52 +25603,55 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(625,2900)" + ( attribute "XY" "(2850,25)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "FERRITE" + ( attribute "CHIPS_PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "FERRITE_SMD-7427921,WURTH" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "LOCATION" "L2_6" - ( Origin gFrontEnd ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "TP1.1" ) ) - ( attribute "CDS_SEC" "1" + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i76" + ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "SEC" "1" + ( attribute "LOCATION" "TP2" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "L2_6" ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i44" - ( attribute "CDS_LIB" "cndiscrete" + ( attribute "PACK_TYPE" "HOLE" ( Status sBlockFlattened ) - ( Origin gPackager ) + ( Origin gFrontEnd ) ) - ( attribute "MODEL" "WURTH" + ( attribute "PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "SMD" + ( attribute "PHYS_PAGE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" + ( attribute "ROT" "2" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "SIZE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "7427921" + ( attribute "VALUE" "0.8MM" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -26393,60 +25659,93 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(625,875)" + ( attribute "XY" "(2850,125)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "FERRITE" + ( attribute "CHIPS_PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "FERRITE_SMD-7427921,WURTH" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "LOCATION" "L3_6" - ( Origin gFrontEnd ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "TP2.1" ) ) - ( attribute "CDS_SEC" "1" + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):page1_i9" + ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "SEC" "1" + ( attribute "PACK_TYPE" "0603" ( Status sBlockFlattened ) - ( Origin gPackager ) + ( Origin gFrontEnd ) ) - ( objectStatus "L3_6" ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i50" - ( attribute "CDS_LIB" "bris_cds_analogue" + ( attribute "PHYS_PAGE" "1" ( Status sBlockFlattened ) - ( Origin gPackager ) + ( Origin gFrontEnd ) ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-150,200,150,-150" + ( attribute "ROT" "1" ( Status sBlockFlattened ) - ( Origin gPackager ) + ( Origin gFrontEnd ) ) - ( attribute "KL_COMMENTS" "-" + ( attribute "SIZE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "MANUF" "LINEAR" + ( attribute "VALUE" "100NF" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "OL_COMMENTS" "-" + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "SOT223" + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PART_NAME" "lt1129CST-5" + ( attribute "XY" "(2175,-1875)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_DES_PREFIX" "VR" + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( Origin gPackager ) + ) + ( pin "a(0)" + ( attribute "PN" "#" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ) + ( pin "b(0)" + ( attribute "PN" "#" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i90" + ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "LOCATION" "C12" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "0805" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -26454,15 +25753,15 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PL_COMMENTS" "-" + ( attribute "ROT" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "SIZE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" + ( attribute "VALUE" "4.7UF" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -26470,47 +25769,46 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(1700,2850)" + ( attribute "VOLTAGE" "10V" + ( Units "uVoltage" "V" 1.000000) ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "LT1129CST-5" + ( attribute "XY" "(5950,-1900)" ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "LT1129CST-5_SOT223-LINEAR" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "VR1_6" ( Origin gFrontEnd ) ) - ( attribute "CDS_SEC" "1" + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "SEC" "1" - ( Status sBlockFlattened ) + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0805-4.7UF,10V" ( Origin gPackager ) ) - ( objectStatus "VR1_6" ) - ( pin "gnd" - ( objectStatus "VR1_6.2" ) - ) - ( pin "tab" - ( objectStatus "VR1_6.4" ) - ) - ( pin "vin" - ( objectStatus "VR1_6.1" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "C12.1" ) ) - ( pin "vout" - ( objectStatus "VR1_6.3" ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "C12.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i52" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i91" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) + ( attribute "LOCATION" "C12" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) ( attribute "PACK_TYPE" "0805" ( Status sBlockFlattened ) ( Origin gFrontEnd ) @@ -26540,7 +25838,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(1100,2750)" + ( attribute "XY" "(4675,-1425)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -26551,39 +25849,27 @@ ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0805-4.7UF,10V" ( Origin gPackager ) ) - ( attribute "LOCATION" "C9_6" - ( Origin gFrontEnd ) - ) - ( attribute "CDS_SEC" "1" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "SEC" "1" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "C9_6" ) ( pin "a(0)" ( attribute "PN" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C9_6.1" ) + ( objectStatus "C12.1" ) ) ( pin "b(0)" ( attribute "PN" "2" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C9_6.2" ) + ( objectStatus "C12.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i54" - ( attribute "CDS_LIB" "cnpassive" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i109" + ( attribute "CDS_LIB" "cndiscrete" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "0805" + ( attribute "PACK_TYPE" "4312" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -26591,15 +25877,15 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "ROT" "0" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" + ( attribute "TYPE" "744231091" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "4.7UF" + ( attribute "VALUE" "90OHM" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -26607,55 +25893,87 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "10V" - ( Units "uVoltage" "V" 1.000000) + ( attribute "XY" "(-1025,-2375)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(1100,725)" + ( attribute "CHIPS_PART_NAME" "COMMON_MODE_LINE_FILTER" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" - ( Status sBlockFlattened ) + ( attribute "CDS_PART_NAME" "COMMON_MODE_LINE_FILTER_4312-7A" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0805-4.7UF,10V" + ( pin "\1\(0)" + ( objectStatus "page1_i109.3" ) + ) + ( pin "\1dot\(0)" + ( objectStatus "page1_i109.4" ) + ) + ( pin "\2\(0)" + ( objectStatus "page1_i109.2" ) + ) + ( pin "\2dot\(0)" + ( objectStatus "page1_i109.1" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i110" + ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "LOCATION" "C10_6" + ( attribute "PACK_TYPE" "1206_TC164" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CDS_SEC" "1" + ( attribute "PHYS_PAGE" "1" ( Status sBlockFlattened ) - ( Origin gPackager ) + ( Origin gFrontEnd ) ) - ( attribute "SEC" "1" + ( attribute "ROT" "0" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "TOL" "1%" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "47" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(-625,-2325)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "RES_ARRAY_X4" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C10_6" ) + ( attribute "CDS_PART_NAME" "RES_ARRAY_X4_1206_TC164-47,1%" + ( Origin gPackager ) + ) ( pin "a(0)" - ( attribute "PN" "1" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "C10_6.1" ) + ( objectStatus "page1_i110.1" ) ) ( pin "b(0)" - ( attribute "PN" "2" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "C10_6.2" ) + ( objectStatus "page1_i110.8" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i56" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i111" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "0805" + ( attribute "PACK_TYPE" "1206_TC164" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -26663,7 +25981,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "ROT" "0" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -26671,63 +25989,42 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "4.7UF" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "VER" "1" + ( attribute "TOL" "1%" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "10V" - ( Units "uVoltage" "V" 1.000000) + ( attribute "VALUE" "47" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2475,2750)" + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( attribute "XY" "(-475,-2425)" ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0805-4.7UF,10V" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "C12_6" ( Origin gFrontEnd ) ) - ( attribute "CDS_SEC" "1" + ( attribute "CHIPS_PART_NAME" "RES_ARRAY_X4" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "SEC" "1" - ( Status sBlockFlattened ) + ( attribute "CDS_PART_NAME" "RES_ARRAY_X4_1206_TC164-47,1%" ( Origin gPackager ) ) - ( objectStatus "C12_6" ) ( pin "a(0)" - ( attribute "PN" "1" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "C12_6.1" ) + ( objectStatus "page1_i111.1" ) ) ( pin "b(0)" - ( attribute "PN" "2" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "C12_6.2" ) + ( objectStatus "page1_i111.8" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i58" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i112" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "0805" + ( attribute "DIELECTRIC" "X5R" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -26735,7 +26032,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "ROT" "0" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -26743,7 +26040,11 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "4.7UF" + ( attribute "TYPE" "GNM21" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "1UF" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -26751,71 +26052,112 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "10V" + ( attribute "VOLTAGE" "16V" ( Units "uVoltage" "V" 1.000000) ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2450,675)" + ( attribute "XY" "(-200,-2375)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( attribute "CHIPS_PART_NAME" "CAPN4I" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0805-4.7UF,10V" + ( attribute "CDS_PART_NAME" "CAPN4I-1UF,16V,X5R,GNM21" ( Origin gPackager ) ) - ( attribute "LOCATION" "C11_6" - ( Origin gFrontEnd ) + ( pin "a(0)" + ( objectStatus "page1_i112.7" ) ) - ( attribute "CDS_SEC" "1" + ( pin "b(0)" + ( objectStatus "page1_i112.8" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i116" + ( attribute "CDS_LIB" "cninterface" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "SEC" "1" + ( attribute "PACK_TYPE" "VQFN" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "2" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "TYPE" "SN65MLVD040RGZ" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(475,-2400)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "SN65MLVD040" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C11_6" ) + ( attribute "CDS_PART_NAME" "SN65MLVD040RGZ-GND=GND_SIGNAL;A" + ( Origin gPackager ) + ) ( pin "a(0)" - ( attribute "PN" "1" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "C11_6.1" ) + ( objectStatus "page1_i116.13" ) ) - ( pin "b(0)" - ( attribute "PN" "2" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "C11_6.2" ) + ( pin "\b*\(0)" + ( objectStatus "page1_i116.14" ) + ) + ( pin "d(0)" + ( objectStatus "page1_i116.25" ) + ) + ( pin "de(0)" + ( objectStatus "page1_i116.12" ) + ) + ( pin "fsen(0)" + ( objectStatus "page1_i116.22" ) + ) + ( pin "pdn" + ( objectStatus "page1_i116.30" ) + ) + ( pin "r(0)" + ( objectStatus "page1_i116.26" ) + ) + ( pin "\re*\(0)" + ( objectStatus "page1_i116.21" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i66" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i121" ( attribute "CDS_LIB" "cndiscrete" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "MODEL" "MURATA" + ( attribute "PACK_TYPE" "4312" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "SMD" + ( attribute "PHYS_PAGE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" + ( attribute "ROT" "0" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "TYPE" "744231091" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "BLM41P800S" + ( attribute "VALUE" "90OHM" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -26823,36 +26165,36 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-3100,2375)" + ( attribute "XY" "(-1025,-1575)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "FERRITE" + ( attribute "CHIPS_PART_NAME" "COMMON_MODE_LINE_FILTER" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "FERRITE_SMD-BLM41P800S,MURATA" + ( attribute "CDS_PART_NAME" "COMMON_MODE_LINE_FILTER_4312-7A" ( Origin gPackager ) ) - ( attribute "LOCATION" "L1_6" - ( Origin gFrontEnd ) + ( pin "\1\(0)" + ( objectStatus "page1_i121.3" ) ) - ( attribute "CDS_SEC" "1" - ( Status sBlockFlattened ) - ( Origin gPackager ) + ( pin "\1dot\(0)" + ( objectStatus "page1_i121.4" ) ) - ( attribute "SEC" "1" - ( Status sBlockFlattened ) - ( Origin gPackager ) + ( pin "\2\(0)" + ( objectStatus "page1_i121.2" ) + ) + ( pin "\2dot\(0)" + ( objectStatus "page1_i121.1" ) ) - ( objectStatus "L1_6" ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i70" - ( attribute "CDS_LIB" "cnlinear" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i122" + ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "BGA" + ( attribute "PACK_TYPE" "1206_TC164" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -26864,7 +26206,15 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TYPE" "LTM8047EY#PBF" + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "TOL" "1%" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "47" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -26872,351 +26222,198 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-725,1550)" + ( attribute "XY" "(-625,-1525)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "LTM8047" + ( attribute "CHIPS_PART_NAME" "RES_ARRAY_X4" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "LTM8047EY#PBF" + ( attribute "CDS_PART_NAME" "RES_ARRAY_X4_1206_TC164-47,1%" ( Origin gPackager ) ) - ( attribute "LOCATION" "RG1_6" - ( Origin gFrontEnd ) + ( pin "a(0)" + ( objectStatus "page1_i122.1" ) ) - ( attribute "CDS_SEC" "1" + ( pin "b(0)" + ( objectStatus "page1_i122.8" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i123" + ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "SEC" "1" + ( attribute "PACK_TYPE" "1206_TC164" ( Status sBlockFlattened ) - ( Origin gPackager ) + ( Origin gFrontEnd ) ) - ( objectStatus "RG1_6" ) - ( pin "adj" - ( attribute "PN" "G7" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.G7" ) + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( pin "bias" - ( attribute "PN" "H5" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.H5" ) + ( attribute "ROT" "0" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( pin "gnd(0)" - ( attribute "PN" "E1" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.E1" ) + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( pin "gnd(1)" - ( attribute "PN" "E2" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.E2" ) + ( attribute "TOL" "1%" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( pin "gnd(2)" - ( attribute "PN" "E3" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.E3" ) + ( attribute "VALUE" "47" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( pin "gnd(3)" - ( attribute "PN" "E4" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.E4" ) - ) - ( pin "gnd(4)" - ( attribute "PN" "E5" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.E5" ) - ) - ( pin "gnd(5)" - ( attribute "PN" "E6" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.E6" ) - ) - ( pin "gnd(6)" - ( attribute "PN" "E7" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.E7" ) + ( attribute "VER" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( pin "gnd(7)" - ( attribute "PN" "F4" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.F4" ) + ( attribute "XY" "(-475,-1625)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( pin "gnd(8)" - ( attribute "PN" "F5" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.F5" ) + ( attribute "CHIPS_PART_NAME" "RES_ARRAY_X4" + ( Status sBlockFlattened ) + ( Origin gPackager ) ) - ( pin "gnd(9)" - ( attribute "PN" "F6" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.F6" ) + ( attribute "CDS_PART_NAME" "RES_ARRAY_X4_1206_TC164-47,1%" + ( Origin gPackager ) ) - ( pin "gnd(10)" - ( attribute "PN" "F7" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.F7" ) + ( pin "a(0)" + ( objectStatus "page1_i123.1" ) ) - ( pin "gnd(11)" - ( attribute "PN" "G4" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.G4" ) + ( pin "b(0)" + ( objectStatus "page1_i123.8" ) ) - ( pin "gnd(12)" - ( attribute "PN" "G5" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.G5" ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i124" + ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) + ( Origin gPackager ) ) - ( pin "gnd(13)" - ( attribute "PN" "G6" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.G6" ) + ( attribute "DIELECTRIC" "X5R" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( pin "gnd(14)" - ( attribute "PN" "H4" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.H4" ) + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( pin "gnd(15)" - ( attribute "PN" "H7" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.H7" ) + ( attribute "ROT" "0" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( pin "run" - ( attribute "PN" "F3" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.F3" ) + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( pin "ss" - ( attribute "PN" "H6" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.H6" ) + ( attribute "TYPE" "GNM21" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( pin "vin(0)" - ( attribute "PN" "H1" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.H1" ) + ( attribute "VALUE" "1UF" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( pin "vin(1)" - ( attribute "PN" "H2" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.H2" ) + ( attribute "VER" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( pin "vin(2)" - ( attribute "PN" "G1" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.G1" ) + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( pin "vin(3)" - ( attribute "PN" "G2" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.G2" ) + ( attribute "XY" "(-200,-1575)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( pin "vout(0)" - ( attribute "PN" "A6" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.A6" ) + ( attribute "CHIPS_PART_NAME" "CAPN4I" + ( Status sBlockFlattened ) + ( Origin gPackager ) ) - ( pin "vout(1)" - ( attribute "PN" "A7" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.A7" ) + ( attribute "CDS_PART_NAME" "CAPN4I-1UF,16V,X5R,GNM21" + ( Origin gPackager ) ) - ( pin "vout(2)" - ( attribute "PN" "B6" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.B6" ) + ( pin "a(0)" + ( objectStatus "page1_i124.7" ) ) - ( pin "vout(3)" - ( attribute "PN" "B7" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.B7" ) + ( pin "b(0)" + ( objectStatus "page1_i124.8" ) ) - ( pin "vout(4)" - ( attribute "PN" "C6" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.C6" ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i128" + ( attribute "CDS_LIB" "cninterface" + ( Status sBlockFlattened ) + ( Origin gPackager ) ) - ( pin "vout(5)" - ( attribute "PN" "C7" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.C7" ) + ( attribute "PACK_TYPE" "VQFN" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( pin "\vout-\(0)" - ( attribute "PN" "A1" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.A1" ) + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( pin "\vout-\(1)" - ( attribute "PN" "A2" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.A2" ) + ( attribute "ROT" "2" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( pin "\vout-\(2)" - ( attribute "PN" "A3" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.A3" ) + ( attribute "TYPE" "SN65MLVD040RGZ" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( pin "\vout-\(3)" - ( attribute "PN" "A4" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.A4" ) + ( attribute "VER" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( pin "\vout-\(4)" - ( attribute "PN" "A5" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.A5" ) + ( attribute "XY" "(475,-1600)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( pin "\vout-\(5)" - ( attribute "PN" "B1" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.B1" ) + ( attribute "CHIPS_PART_NAME" "SN65MLVD040" + ( Status sBlockFlattened ) + ( Origin gPackager ) ) - ( pin "\vout-\(6)" - ( attribute "PN" "B2" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.B2" ) + ( attribute "CDS_PART_NAME" "SN65MLVD040RGZ-GND=GND_SIGNAL;A" + ( Origin gPackager ) ) - ( pin "\vout-\(7)" - ( attribute "PN" "B3" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.B3" ) + ( pin "a(0)" + ( objectStatus "page1_i128.13" ) ) - ( pin "\vout-\(8)" - ( attribute "PN" "B4" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.B4" ) + ( pin "\b*\(0)" + ( objectStatus "page1_i128.14" ) ) - ( pin "\vout-\(9)" - ( attribute "PN" "B5" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.B5" ) + ( pin "d(0)" + ( objectStatus "page1_i128.25" ) ) - ( pin "\vout-\(10)" - ( attribute "PN" "C1" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.C1" ) + ( pin "de(0)" + ( objectStatus "page1_i128.12" ) ) - ( pin "\vout-\(11)" - ( attribute "PN" "C2" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.C2" ) + ( pin "fsen(0)" + ( objectStatus "page1_i128.22" ) ) - ( pin "\vout-\(12)" - ( attribute "PN" "C3" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.C3" ) + ( pin "pdn" + ( objectStatus "page1_i128.30" ) ) - ( pin "\vout-\(13)" - ( attribute "PN" "C4" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.C4" ) + ( pin "r(0)" + ( objectStatus "page1_i128.26" ) ) - ( pin "\vout-\(14)" - ( attribute "PN" "C5" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.C5" ) + ( pin "\re*\(0)" + ( objectStatus "page1_i128.21" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i72" - ( attribute "CDS_LIB" "cnpassive" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i133" + ( attribute "CDS_LIB" "cndiscrete" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "1210" + ( attribute "PACK_TYPE" "4312" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -27224,15 +26421,15 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "ROT" "0" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" + ( attribute "TYPE" "744231091" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "4.7UF" + ( attribute "VALUE" "90OHM" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -27240,167 +26437,138 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "50V" - ( Units "uVoltage" "V" 1.000000) - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(-1825,1525)" + ( attribute "XY" "(-1025,-775)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( attribute "CHIPS_PART_NAME" "COMMON_MODE_LINE_FILTER" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210-4.7UF,50V" + ( attribute "CDS_PART_NAME" "COMMON_MODE_LINE_FILTER_4312-7A" ( Origin gPackager ) ) - ( attribute "LOCATION" "C5_6" - ( Origin gFrontEnd ) - ) - ( attribute "CDS_SEC" "1" - ( Status sBlockFlattened ) - ( Origin gPackager ) + ( pin "\1\(0)" + ( objectStatus "page1_i133.3" ) ) - ( attribute "SEC" "1" - ( Status sBlockFlattened ) - ( Origin gPackager ) + ( pin "\1dot\(0)" + ( objectStatus "page1_i133.4" ) ) - ( objectStatus "C5_6" ) - ( pin "a(0)" - ( attribute "PN" "1" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "C5_6.1" ) + ( pin "\2\(0)" + ( objectStatus "page1_i133.2" ) ) - ( pin "b(0)" - ( attribute "PN" "2" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "C5_6.2" ) + ( pin "\2dot\(0)" + ( objectStatus "page1_i133.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i74" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i134" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "DIST" "FLAT" + ( attribute "PACK_TYPE" "1206_TC164" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "MAX_TEMP" "RTMAX" + ( attribute "PHYS_PAGE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "NEGTOL" "RTOL%" + ( attribute "ROT" "0" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "1/10W" + ( attribute "SIZE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" + ( attribute "TOL" "1%" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POSTOL" "RTOL%" + ( attribute "VALUE" "47" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POWER" "RMAX" + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "XY" "(-625,-725)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" + ( attribute "CHIPS_PART_NAME" "RES_ARRAY_X4" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) - ( attribute "SLOPE" "RSMAX" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( attribute "CDS_PART_NAME" "RES_ARRAY_X4_1206_TC164-47,1%" + ( Origin gPackager ) ) - ( attribute "TC1" "RTMPL" + ( pin "a(0)" + ( objectStatus "page1_i134.1" ) + ) + ( pin "b(0)" + ( objectStatus "page1_i134.8" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i135" + ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) - ( attribute "TC2" "RTMPQ" + ( attribute "PACK_TYPE" "1206_TC164" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TOL" "1%" + ( attribute "PHYS_PAGE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TOL_ON_OFF" "ON" + ( attribute "ROT" "0" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "6.19K" + ( attribute "SIZE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "2" + ( attribute "TOL" "1%" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "RVMAX" - ( Units "uVoltage" "V" 1.000000) + ( attribute "VALUE" "47" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-1300,1250)" + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( attribute "XY" "(-475,-825)" ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-6.19K,1%" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "R2_6" ( Origin gFrontEnd ) ) - ( attribute "CDS_SEC" "1" + ( attribute "CHIPS_PART_NAME" "RES_ARRAY_X4" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "SEC" "1" - ( Status sBlockFlattened ) + ( attribute "CDS_PART_NAME" "RES_ARRAY_X4_1206_TC164-47,1%" ( Origin gPackager ) ) - ( objectStatus "R2_6" ) ( pin "a(0)" - ( attribute "PN" "1" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "R2_6.1" ) + ( objectStatus "page1_i135.1" ) ) ( pin "b(0)" - ( attribute "PN" "2" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "R2_6.2" ) + ( objectStatus "page1_i135.8" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i79" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i136" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "1210" + ( attribute "DIELECTRIC" "X5R" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -27408,7 +26576,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "ROT" "0" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -27416,63 +26584,47 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "4.7UF" + ( attribute "TYPE" "GNM21" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VALUE" "1UF" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "50V" - ( Units "uVoltage" "V" 1.000000) + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-3550,2075)" + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( attribute "XY" "(-200,-775)" ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210-4.7UF,50V" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "C1_6" ( Origin gFrontEnd ) ) - ( attribute "CDS_SEC" "1" + ( attribute "CHIPS_PART_NAME" "CAPN4I" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "SEC" "1" - ( Status sBlockFlattened ) + ( attribute "CDS_PART_NAME" "CAPN4I-1UF,16V,X5R,GNM21" ( Origin gPackager ) ) - ( objectStatus "C1_6" ) ( pin "a(0)" - ( attribute "PN" "1" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "C1_6.1" ) + ( objectStatus "page1_i136.7" ) ) ( pin "b(0)" - ( attribute "PN" "2" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "C1_6.2" ) + ( objectStatus "page1_i136.8" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i81" - ( attribute "CDS_LIB" "cnpassive" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i140" + ( attribute "CDS_LIB" "cninterface" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "1210" + ( attribute "PACK_TYPE" "VQFN" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -27480,15 +26632,11 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "SIZE" "1" + ( attribute "ROT" "2" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "4.7UF" + ( attribute "TYPE" "SN65MLVD040RGZ" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -27496,55 +26644,48 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "50V" - ( Units "uVoltage" "V" 1.000000) - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(-2725,2200)" + ( attribute "XY" "(475,-800)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( attribute "CHIPS_PART_NAME" "SN65MLVD040" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210-4.7UF,50V" + ( attribute "CDS_PART_NAME" "SN65MLVD040RGZ-GND=GND_SIGNAL;A" ( Origin gPackager ) ) - ( attribute "LOCATION" "C2_6" - ( Origin gFrontEnd ) + ( pin "a(0)" + ( objectStatus "page1_i140.13" ) ) - ( attribute "CDS_SEC" "1" - ( Status sBlockFlattened ) - ( Origin gPackager ) + ( pin "\b*\(0)" + ( objectStatus "page1_i140.14" ) ) - ( attribute "SEC" "1" - ( Status sBlockFlattened ) - ( Origin gPackager ) + ( pin "d(0)" + ( objectStatus "page1_i140.25" ) ) - ( objectStatus "C2_6" ) - ( pin "a(0)" - ( attribute "PN" "1" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "C2_6.1" ) + ( pin "de(0)" + ( objectStatus "page1_i140.12" ) ) - ( pin "b(0)" - ( attribute "PN" "2" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "C2_6.2" ) + ( pin "fsen(0)" + ( objectStatus "page1_i140.22" ) + ) + ( pin "pdn" + ( objectStatus "page1_i140.30" ) + ) + ( pin "r(0)" + ( objectStatus "page1_i140.26" ) + ) + ( pin "\re*\(0)" + ( objectStatus "page1_i140.21" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i82" - ( attribute "CDS_LIB" "cnlinear" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i145" + ( attribute "CDS_LIB" "cndiscrete" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "BGA" + ( attribute "PACK_TYPE" "4312" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -27556,7 +26697,11 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TYPE" "LTM8047EY#PBF" + ( attribute "TYPE" "744231091" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "90OHM" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -27564,351 +26709,87 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-700,2700)" + ( attribute "XY" "(-1025,25)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "LTM8047" + ( attribute "CHIPS_PART_NAME" "COMMON_MODE_LINE_FILTER" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "LTM8047EY#PBF" + ( attribute "CDS_PART_NAME" "COMMON_MODE_LINE_FILTER_4312-7A" ( Origin gPackager ) ) - ( attribute "LOCATION" "RG2_6" - ( Origin gFrontEnd ) + ( pin "\1\(0)" + ( objectStatus "page1_i145.3" ) ) - ( attribute "CDS_SEC" "1" + ( pin "\1dot\(0)" + ( objectStatus "page1_i145.4" ) + ) + ( pin "\2\(0)" + ( objectStatus "page1_i145.2" ) + ) + ( pin "\2dot\(0)" + ( objectStatus "page1_i145.1" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i146" + ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "SEC" "1" + ( attribute "PACK_TYPE" "1206_TC164" ( Status sBlockFlattened ) - ( Origin gPackager ) + ( Origin gFrontEnd ) ) - ( objectStatus "RG2_6" ) - ( pin "adj" - ( attribute "PN" "G7" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.G7" ) - ) - ( pin "bias" - ( attribute "PN" "H5" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.H5" ) - ) - ( pin "gnd(0)" - ( attribute "PN" "E1" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.E1" ) - ) - ( pin "gnd(1)" - ( attribute "PN" "E2" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.E2" ) - ) - ( pin "gnd(2)" - ( attribute "PN" "E3" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.E3" ) - ) - ( pin "gnd(3)" - ( attribute "PN" "E4" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.E4" ) - ) - ( pin "gnd(4)" - ( attribute "PN" "E5" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.E5" ) - ) - ( pin "gnd(5)" - ( attribute "PN" "E6" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.E6" ) - ) - ( pin "gnd(6)" - ( attribute "PN" "E7" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.E7" ) - ) - ( pin "gnd(7)" - ( attribute "PN" "F4" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.F4" ) - ) - ( pin "gnd(8)" - ( attribute "PN" "F5" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.F5" ) - ) - ( pin "gnd(9)" - ( attribute "PN" "F6" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.F6" ) - ) - ( pin "gnd(10)" - ( attribute "PN" "F7" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.F7" ) - ) - ( pin "gnd(11)" - ( attribute "PN" "G4" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.G4" ) - ) - ( pin "gnd(12)" - ( attribute "PN" "G5" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.G5" ) - ) - ( pin "gnd(13)" - ( attribute "PN" "G6" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.G6" ) - ) - ( pin "gnd(14)" - ( attribute "PN" "H4" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.H4" ) - ) - ( pin "gnd(15)" - ( attribute "PN" "H7" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.H7" ) - ) - ( pin "run" - ( attribute "PN" "F3" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.F3" ) - ) - ( pin "ss" - ( attribute "PN" "H6" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.H6" ) - ) - ( pin "vin(0)" - ( attribute "PN" "H1" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.H1" ) - ) - ( pin "vin(1)" - ( attribute "PN" "H2" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.H2" ) - ) - ( pin "vin(2)" - ( attribute "PN" "G1" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.G1" ) - ) - ( pin "vin(3)" - ( attribute "PN" "G2" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.G2" ) - ) - ( pin "vout(0)" - ( attribute "PN" "A6" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.A6" ) - ) - ( pin "vout(1)" - ( attribute "PN" "A7" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.A7" ) - ) - ( pin "vout(2)" - ( attribute "PN" "B6" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.B6" ) - ) - ( pin "vout(3)" - ( attribute "PN" "B7" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.B7" ) - ) - ( pin "vout(4)" - ( attribute "PN" "C6" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.C6" ) - ) - ( pin "vout(5)" - ( attribute "PN" "C7" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.C7" ) - ) - ( pin "\vout-\(0)" - ( attribute "PN" "A1" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.A1" ) - ) - ( pin "\vout-\(1)" - ( attribute "PN" "A2" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.A2" ) - ) - ( pin "\vout-\(2)" - ( attribute "PN" "A3" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.A3" ) - ) - ( pin "\vout-\(3)" - ( attribute "PN" "A4" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.A4" ) - ) - ( pin "\vout-\(4)" - ( attribute "PN" "A5" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.A5" ) + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( pin "\vout-\(5)" - ( attribute "PN" "B1" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.B1" ) + ( attribute "ROT" "0" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( pin "\vout-\(6)" - ( attribute "PN" "B2" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.B2" ) + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( pin "\vout-\(7)" - ( attribute "PN" "B3" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.B3" ) + ( attribute "TOL" "1%" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( pin "\vout-\(8)" - ( attribute "PN" "B4" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.B4" ) + ( attribute "VALUE" "47" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( pin "\vout-\(9)" - ( attribute "PN" "B5" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.B5" ) + ( attribute "VER" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( pin "\vout-\(10)" - ( attribute "PN" "C1" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.C1" ) + ( attribute "XY" "(-625,75)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( pin "\vout-\(11)" - ( attribute "PN" "C2" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.C2" ) + ( attribute "CHIPS_PART_NAME" "RES_ARRAY_X4" + ( Status sBlockFlattened ) + ( Origin gPackager ) ) - ( pin "\vout-\(12)" - ( attribute "PN" "C3" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.C3" ) + ( attribute "CDS_PART_NAME" "RES_ARRAY_X4_1206_TC164-47,1%" + ( Origin gPackager ) ) - ( pin "\vout-\(13)" - ( attribute "PN" "C4" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.C4" ) + ( pin "a(0)" + ( objectStatus "page1_i146.1" ) ) - ( pin "\vout-\(14)" - ( attribute "PN" "C5" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.C5" ) + ( pin "b(0)" + ( objectStatus "page1_i146.8" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i83" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i147" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "1210" + ( attribute "PACK_TYPE" "1206_TC164" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -27916,7 +26797,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "ROT" "0" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -27924,175 +26805,171 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "4.7UF" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "VER" "1" + ( attribute "TOL" "1%" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "50V" - ( Units "uVoltage" "V" 1.000000) + ( attribute "VALUE" "47" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-1800,2675)" + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( attribute "XY" "(-475,-25)" ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210-4.7UF,50V" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "C6_6" ( Origin gFrontEnd ) ) - ( attribute "CDS_SEC" "1" + ( attribute "CHIPS_PART_NAME" "RES_ARRAY_X4" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "SEC" "1" - ( Status sBlockFlattened ) + ( attribute "CDS_PART_NAME" "RES_ARRAY_X4_1206_TC164-47,1%" ( Origin gPackager ) ) - ( objectStatus "C6_6" ) ( pin "a(0)" - ( attribute "PN" "1" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "C6_6.1" ) + ( objectStatus "page1_i147.1" ) ) ( pin "b(0)" - ( attribute "PN" "2" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "C6_6.2" ) + ( objectStatus "page1_i147.8" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i85" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i148" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "DIST" "FLAT" + ( attribute "DIELECTRIC" "X5R" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "MAX_TEMP" "RTMAX" + ( attribute "PHYS_PAGE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "NEGTOL" "RTOL%" + ( attribute "ROT" "0" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "1/10W" + ( attribute "SIZE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" + ( attribute "TYPE" "GNM21" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POSTOL" "RTOL%" + ( attribute "VALUE" "1UF" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POWER" "RMAX" + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" + ( attribute "XY" "(-200,25)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SLOPE" "RSMAX" + ( attribute "CHIPS_PART_NAME" "CAPN4I" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) - ( attribute "TC1" "RTMPL" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( attribute "CDS_PART_NAME" "CAPN4I-1UF,16V,X5R,GNM21" + ( Origin gPackager ) ) - ( attribute "TC2" "RTMPQ" + ( pin "a(0)" + ( objectStatus "page1_i148.7" ) + ) + ( pin "b(0)" + ( objectStatus "page1_i148.8" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i152" + ( attribute "CDS_LIB" "cninterface" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) - ( attribute "TOL" "1%" + ( attribute "PACK_TYPE" "VQFN" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TOL_ON_OFF" "ON" + ( attribute "PHYS_PAGE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "6.19K" + ( attribute "ROT" "2" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "2" + ( attribute "TYPE" "SN65MLVD040RGZ" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "RVMAX" - ( Units "uVoltage" "V" 1.000000) + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-1275,2400)" + ( attribute "XY" "(475,0)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( attribute "CHIPS_PART_NAME" "SN65MLVD040" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-6.19K,1%" + ( attribute "CDS_PART_NAME" "SN65MLVD040RGZ-GND=GND_SIGNAL;A" ( Origin gPackager ) ) - ( attribute "LOCATION" "R3_6" - ( Origin gFrontEnd ) + ( pin "a(0)" + ( objectStatus "page1_i152.13" ) ) - ( attribute "CDS_SEC" "1" + ( pin "\b*\(0)" + ( objectStatus "page1_i152.14" ) + ) + ( pin "d(0)" + ( objectStatus "page1_i152.25" ) + ) + ( pin "de(0)" + ( objectStatus "page1_i152.12" ) + ) + ( pin "fsen(0)" + ( objectStatus "page1_i152.22" ) + ) + ( pin "pdn" + ( objectStatus "page1_i152.30" ) + ) + ( pin "r(0)" + ( objectStatus "page1_i152.26" ) + ) + ( pin "\re*\(0)" + ( objectStatus "page1_i152.21" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i157" + ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "SEC" "1" + ( attribute "CDS_LOCATION" "C1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "R3_6" ) - ( pin "a(0)" - ( attribute "PN" "1" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "R3_6.1" ) - ) - ( pin "b(0)" - ( attribute "PN" "2" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "R3_6.2" ) + ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i88" - ( attribute "CDS_LIB" "cnpassive" + ( attribute "LOCATION" "C1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "1210" + ( attribute "PACK_TYPE" "0603" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -28100,15 +26977,19 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "ROT" "0" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) + ( attribute "SEC" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) ( attribute "SIZE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "22UF" + ( attribute "VALUE" "100NF" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -28121,7 +27002,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(250,650)" + ( attribute "XY" "(3425,-2075)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -28129,42 +27010,42 @@ ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210-22UF,16V" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "C8_6" - ( Origin gFrontEnd ) - ) - ( attribute "CDS_SEC" "1" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "SEC" "1" - ( Status sBlockFlattened ) + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" ( Origin gPackager ) ) - ( objectStatus "C8_6" ) ( pin "a(0)" ( attribute "PN" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C8_6.1" ) + ( objectStatus "C1.1" ) ) ( pin "b(0)" ( attribute "PN" "2" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C8_6.2" ) + ( objectStatus "C1.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i89" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i160" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "1210" + ( attribute "CDS_LOCATION" "C1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "LOCATION" "C1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "0603" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -28176,11 +27057,15 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) + ( attribute "SEC" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) ( attribute "SIZE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "22UF" + ( attribute "VALUE" "100NF" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -28193,7 +27078,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(250,2675)" + ( attribute "XY" "(-1000,-3175)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -28201,42 +27086,42 @@ ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210-22UF,16V" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "C7_6" - ( Origin gFrontEnd ) - ) - ( attribute "CDS_SEC" "1" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "SEC" "1" - ( Status sBlockFlattened ) + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" ( Origin gPackager ) ) - ( objectStatus "C7_6" ) ( pin "a(0)" ( attribute "PN" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C7_6.1" ) + ( objectStatus "C1.1" ) ) ( pin "b(0)" ( attribute "PN" "2" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C7_6.2" ) + ( objectStatus "C1.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i142" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i161" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "1210" + ( attribute "CDS_LOCATION" "C1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "LOCATION" "C1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "0603" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -28248,11 +27133,15 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) + ( attribute "SEC" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) ( attribute "SIZE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "4.7UF" + ( attribute "VALUE" "100NF" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -28260,12 +27149,12 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "50V" + ( attribute "VOLTAGE" "16V" ( Units "uVoltage" "V" 1.000000) ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-2150,2675)" + ( attribute "XY" "(0,-3175)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -28273,42 +27162,42 @@ ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210-4.7UF,50V" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "C3_6" - ( Origin gFrontEnd ) - ) - ( attribute "CDS_SEC" "1" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "SEC" "1" - ( Status sBlockFlattened ) + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" ( Origin gPackager ) ) - ( objectStatus "C3_6" ) ( pin "a(0)" ( attribute "PN" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C3_6.1" ) + ( objectStatus "C1.1" ) ) ( pin "b(0)" ( attribute "PN" "2" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C3_6.2" ) + ( objectStatus "C1.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i144" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i162" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "1210" + ( attribute "CDS_LOCATION" "C1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "LOCATION" "C1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "0603" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -28320,11 +27209,15 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) + ( attribute "SEC" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) ( attribute "SIZE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "4.7UF" + ( attribute "VALUE" "100NF" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -28332,12 +27225,12 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "50V" + ( attribute "VOLTAGE" "16V" ( Units "uVoltage" "V" 1.000000) ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-2150,1525)" + ( attribute "XY" "(-250,-3175)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -28345,54 +27238,42 @@ ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210-4.7UF,50V" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "C4_6" - ( Origin gFrontEnd ) - ) - ( attribute "CDS_SEC" "1" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "SEC" "1" - ( Status sBlockFlattened ) + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" ( Origin gPackager ) ) - ( objectStatus "C4_6" ) ( pin "a(0)" ( attribute "PN" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C4_6.1" ) + ( objectStatus "C1.1" ) ) ( pin "b(0)" ( attribute "PN" "2" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C4_6.2" ) + ( objectStatus "C1.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i146" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i163" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "DIST" "FLAT" + ( attribute "CDS_LOCATION" "C1" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) - ( attribute "MAX_TEMP" "RTMAX" + ( attribute "CDS_SEC" "1" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) - ( attribute "NEGTOL" "RTOL%" + ( attribute "LOCATION" "C1" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "1/10W" + ( attribute "PACK_TYPE" "0603" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -28400,542 +27281,198 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POSTOL" "RTOL%" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "POWER" "RMAX" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) ( attribute "ROT" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "SLOPE" "RSMAX" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "TC1" "RTMPL" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "TC2" "RTMPQ" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "TOL" "1%" + ( attribute "SEC" "1" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) - ( attribute "TOL_ON_OFF" "ON" + ( attribute "SIZE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "3.3" + ( attribute "VALUE" "100NF" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "2" + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "RVMAX" + ( attribute "VOLTAGE" "16V" ( Units "uVoltage" "V" 1.000000) ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-2725,1900)" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( attribute "XY" "(-500,-3175)" ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-3.3,1%" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "R1_6" ( Origin gFrontEnd ) ) - ( attribute "CDS_SEC" "1" + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "SEC" "1" - ( Status sBlockFlattened ) + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" ( Origin gPackager ) ) - ( objectStatus "R1_6" ) ( pin "a(0)" ( attribute "PN" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "R1_6.1" ) + ( objectStatus "C1.1" ) ) ( pin "b(0)" ( attribute "PN" "2" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "R1_6.2" ) + ( objectStatus "C1.2" ) ) ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i42:a" - ( attribute "PN" "1" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i164" + ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "L2_6.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i42:b" - ( attribute "PN" "2" + ( attribute "CDS_LOCATION" "C1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "L2_6.2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i44:a" - ( attribute "PN" "1" + ( attribute "CDS_SEC" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "L3_6.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i44:b" - ( attribute "PN" "2" + ( attribute "LOCATION" "C1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "L3_6.2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i66:a" - ( attribute "PN" "1" + ( attribute "PACK_TYPE" "0603" ( Status sBlockFlattened ) - ( Origin gPackager ) + ( Origin gFrontEnd ) ) - ( objectStatus "L1_6.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i66:b" - ( attribute "PN" "2" + ( attribute "PHYS_PAGE" "1" ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "L1_6.2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:a1" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "IC3_1.2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:a2" - ( attribute "PN" "15" - ( Origin gPackager ) - ) - ( objectStatus "IC3_1.15" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:b1" - ( attribute "PN" "7" - ( Origin gPackager ) - ) - ( objectStatus "IC3_1.7" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:b2" - ( attribute "PN" "10" - ( Origin gPackager ) - ) - ( objectStatus "IC3_1.10" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:sh(0)" - ( attribute "PN" "1" - ( Origin gPackager ) - ) - ( objectStatus "IC3_1.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:sh(1)" - ( attribute "PN" "3" - ( Origin gPackager ) - ) - ( objectStatus "IC3_1.3" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:sh(2)" - ( attribute "PN" "4" - ( Origin gPackager ) - ) - ( objectStatus "IC3_1.4" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:sh(3)" - ( attribute "PN" "5" - ( Origin gPackager ) - ) - ( objectStatus "IC3_1.5" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:sh(4)" - ( attribute "PN" "6" - ( Origin gPackager ) - ) - ( objectStatus "IC3_1.6" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:sh(5)" - ( attribute "PN" "8" - ( Origin gPackager ) - ) - ( objectStatus "IC3_1.8" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:sh(6)" - ( attribute "PN" "9" - ( Origin gPackager ) - ) - ( objectStatus "IC3_1.9" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:sh(7)" - ( attribute "PN" "11" - ( Origin gPackager ) - ) - ( objectStatus "IC3_1.11" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:sh(8)" - ( attribute "PN" "12" - ( Origin gPackager ) - ) - ( objectStatus "IC3_1.12" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:sh(9)" - ( attribute "PN" "13" - ( Origin gPackager ) - ) - ( objectStatus "IC3_1.13" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:sh(10)" - ( attribute "PN" "14" - ( Origin gPackager ) - ) - ( objectStatus "IC3_1.14" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:sh(11)" - ( attribute "PN" "16" - ( Origin gPackager ) - ) - ( objectStatus "IC3_1.16" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:a1" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "IC3_2.2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:a2" - ( attribute "PN" "15" - ( Origin gPackager ) - ) - ( objectStatus "IC3_2.15" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:b1" - ( attribute "PN" "7" - ( Origin gPackager ) - ) - ( objectStatus "IC3_2.7" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:b2" - ( attribute "PN" "10" - ( Origin gPackager ) - ) - ( objectStatus "IC3_2.10" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:sh(0)" - ( attribute "PN" "1" - ( Origin gPackager ) - ) - ( objectStatus "IC3_2.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:sh(1)" - ( attribute "PN" "3" - ( Origin gPackager ) - ) - ( objectStatus "IC3_2.3" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:sh(2)" - ( attribute "PN" "4" - ( Origin gPackager ) - ) - ( objectStatus "IC3_2.4" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:sh(3)" - ( attribute "PN" "5" - ( Origin gPackager ) - ) - ( objectStatus "IC3_2.5" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:sh(4)" - ( attribute "PN" "6" - ( Origin gPackager ) - ) - ( objectStatus "IC3_2.6" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:sh(5)" - ( attribute "PN" "8" - ( Origin gPackager ) - ) - ( objectStatus "IC3_2.8" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:sh(6)" - ( attribute "PN" "9" - ( Origin gPackager ) - ) - ( objectStatus "IC3_2.9" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:sh(7)" - ( attribute "PN" "11" - ( Origin gPackager ) - ) - ( objectStatus "IC3_2.11" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:sh(8)" - ( attribute "PN" "12" - ( Origin gPackager ) - ) - ( objectStatus "IC3_2.12" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:sh(9)" - ( attribute "PN" "13" - ( Origin gPackager ) - ) - ( objectStatus "IC3_2.13" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:sh(10)" - ( attribute "PN" "14" - ( Origin gPackager ) - ) - ( objectStatus "IC3_2.14" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:sh(11)" - ( attribute "PN" "16" - ( Origin gPackager ) + ( Origin gFrontEnd ) ) - ( objectStatus "IC3_2.16" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:a1" - ( attribute "PN" "2" - ( Origin gPackager ) + ( attribute "ROT" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( objectStatus "IC3_3.2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:a2" - ( attribute "PN" "15" + ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "IC3_3.15" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:b1" - ( attribute "PN" "7" - ( Origin gPackager ) + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( objectStatus "IC3_3.7" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:b2" - ( attribute "PN" "10" - ( Origin gPackager ) + ( attribute "VALUE" "100NF" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( objectStatus "IC3_3.10" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:sh(0)" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "VER" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( objectStatus "IC3_3.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:sh(1)" - ( attribute "PN" "3" - ( Origin gPackager ) + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( objectStatus "IC3_3.3" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:sh(2)" - ( attribute "PN" "4" - ( Origin gPackager ) + ( attribute "XY" "(-750,-3175)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( objectStatus "IC3_3.4" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:sh(3)" - ( attribute "PN" "5" + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "IC3_3.5" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:sh(4)" - ( attribute "PN" "6" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" ( Origin gPackager ) ) - ( objectStatus "IC3_3.6" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:sh(5)" - ( attribute "PN" "8" - ( Origin gPackager ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "C1.1" ) ) - ( objectStatus "IC3_3.8" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:sh(6)" - ( attribute "PN" "9" - ( Origin gPackager ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "C1.2" ) ) - ( objectStatus "IC3_3.9" ) ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:sh(7)" - ( attribute "PN" "11" - ( Origin gPackager ) - ) - ( objectStatus "IC3_3.11" ) + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i29:\vin+\" ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:sh(8)" - ( attribute "PN" "12" - ( Origin gPackager ) - ) - ( objectStatus "IC3_3.12" ) + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i29:\vin-\" ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:sh(9)" - ( attribute "PN" "13" - ( Origin gPackager ) - ) - ( objectStatus "IC3_3.13" ) + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i29:vout" ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:sh(10)" - ( attribute "PN" "14" - ( Origin gPackager ) - ) - ( objectStatus "IC3_3.14" ) + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i30:\vin+\" ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:sh(11)" - ( attribute "PN" "16" - ( Origin gPackager ) - ) - ( objectStatus "IC3_3.16" ) + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i30:\vin-\" ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:a1" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "IC3_4.2" ) + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i30:vout" ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:a2" - ( attribute "PN" "15" - ( Origin gPackager ) - ) - ( objectStatus "IC3_4.15" ) + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i31:\vin+\" ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:b1" - ( attribute "PN" "7" - ( Origin gPackager ) - ) - ( objectStatus "IC3_4.7" ) + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i31:\vin-\" ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:b2" - ( attribute "PN" "10" - ( Origin gPackager ) - ) - ( objectStatus "IC3_4.10" ) + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i31:vout" ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:sh(0)" - ( attribute "PN" "1" - ( Origin gPackager ) - ) - ( objectStatus "IC3_4.1" ) + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i32:\vin+\" ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:sh(1)" - ( attribute "PN" "3" - ( Origin gPackager ) - ) - ( objectStatus "IC3_4.3" ) + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i32:\vin-\" ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:sh(2)" - ( attribute "PN" "4" - ( Origin gPackager ) - ) - ( objectStatus "IC3_4.4" ) + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i32:vout" ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:sh(3)" - ( attribute "PN" "5" - ( Origin gPackager ) - ) - ( objectStatus "IC3_4.5" ) + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1:busy_from_fpga" ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:sh(4)" - ( attribute "PN" "6" - ( Origin gPackager ) - ) - ( objectStatus "IC3_4.6" ) + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1:busy_to_fpga" ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:sh(5)" - ( attribute "PN" "8" - ( Origin gPackager ) - ) - ( objectStatus "IC3_4.8" ) + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1:clk_from_fpga" ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:sh(6)" - ( attribute "PN" "9" - ( Origin gPackager ) - ) - ( objectStatus "IC3_4.9" ) + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1:clk_to_dut" ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:sh(7)" - ( attribute "PN" "11" - ( Origin gPackager ) - ) - ( objectStatus "IC3_4.11" ) + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1:\clk_to_dut*\" ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:sh(8)" - ( attribute "PN" "12" - ( Origin gPackager ) - ) - ( objectStatus "IC3_4.12" ) + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1:clk_to_fpga" ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:sh(9)" - ( attribute "PN" "13" - ( Origin gPackager ) - ) - ( objectStatus "IC3_4.13" ) + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1:cont_from_fpga" ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:sh(10)" - ( attribute "PN" "14" - ( Origin gPackager ) - ) - ( objectStatus "IC3_4.14" ) + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1:cont_to_fpga" ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i141:sh(11)" - ( attribute "PN" "16" - ( Origin gPackager ) - ) - ( objectStatus "IC3_4.16" ) + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1:enable_busy_from_fpga" ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i29:\vin+\" + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1:enable_clk_from_fpga" ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i29:\vin-\" + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1:enable_clk_to_dut" ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i29:vout" + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1:enable_cont_from_fpga" ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i30:\vin+\" + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1:enable_spare_from_fpga" ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i30:\vin-\" + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1:enable_trig_from_fpga" ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i30:vout" + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1:hdmi_clk" ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i31:\vin+\" + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1:\hdmi_clk*\" ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i31:\vin-\" + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1:hdmi_power_enable" ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i31:vout" + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1:spare_from_fpga" ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i32:\vin+\" + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1:spare_to_fpga" ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i32:\vin-\" + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1:trig_from_fpga" ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i32:vout" + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1:trig_to_fpga" ) ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\BEAM_TRIGGER0\" ( memberType ( signal ) ) @@ -28947,8 +27484,8 @@ ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA1\" ( memberType ( signal ) ) ( objectFlag fObjectAlias ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(1)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(1)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(1)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(1)") ) ( objectStatus "FMC_LA1" ) ) ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\BEAM_TRIGGER1\" @@ -28975,8 +27512,8 @@ ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA5\" ( memberType ( signal ) ) ( objectFlag fObjectAlias ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(5)") ) ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(5)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(5)") ) ( objectStatus "FMC_LA5" ) ) ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\BEAM_TRIGGER3\" @@ -28989,29 +27526,28 @@ ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA7\" ( memberType ( signal ) ) ( objectFlag fObjectAlias ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(7)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(7)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(7)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(7)") ) ( objectStatus "FMC_LA7" ) ) ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA0\" ( memberType ( signal ) ) ( objectFlag fObjectAlias ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(0)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(0)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):cont_to_fpga(0)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):gpio_clk") ) ( objectStatus "FMC_LA0" ) ) ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA10\" ( memberType ( signal ) ) - ( objectFlag fObjectAlias ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(10)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(10)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(10)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(10)") ) ( objectStatus "FMC_LA10" ) ) ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\CONT2\" ( memberType ( signal ) ) ( alias ( diffPairRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA8\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\spare1*\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):spare1") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(8)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(8)") ) ( objectStatus "CONT2" ) ) ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA8\" @@ -29021,25 +27557,10 @@ ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(8)") ) ( objectStatus "FMC_LA8" ) ) - ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA12\" - ( memberType ( signal ) ) - ( objectFlag fObjectAlias ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(12)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(12)") ) - ( objectStatus "FMC_LA12" ) - ) - ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA15\" - ( memberType ( signal ) ) - ( objectFlag fObjectAlias ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(15)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(15)") ) - ( objectStatus "FMC_LA15" ) - ) ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA17\" ( memberType ( signal ) ) - ( objectFlag fObjectAlias ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(17)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(17)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(17)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(17)") ) ( objectStatus "FMC_LA17" ) ) ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA19\" @@ -29056,13 +27577,6 @@ ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(20)") ) ( objectStatus "FMC_LA20" ) ) - ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA26\" - ( memberType ( signal ) ) - ( objectFlag fObjectAlias ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(26)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(26)") ) - ( objectStatus "FMC_LA26" ) - ) ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA2\" ( memberType ( signal ) ) ( objectFlag fObjectAlias ) @@ -29077,18 +27591,11 @@ ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(30)") ) ( objectStatus "FMC_LA30" ) ) - ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA31\" - ( memberType ( signal ) ) - ( objectFlag fObjectAlias ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(31)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(31)") ) - ( objectStatus "FMC_LA31" ) - ) ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA4\" ( memberType ( signal ) ) ( objectFlag fObjectAlias ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(4)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(4)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):clk_from_fpga(0)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):clk_to_fpga(0)") ) ( objectStatus "FMC_LA4" ) ) ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA6\" @@ -29108,7 +27615,6 @@ ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\BEAM_TRIGGER_CFD1\" ( memberType ( signal ) ) ( alias ( diffPairRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA2\") ) - ( objectFlag fObjectAlias ) ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(2)") ) ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(2)") ) ( objectStatus "BEAM_TRIGGER_CFD1" ) @@ -29129,79 +27635,26 @@ ) ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\BUSY0\" ( memberType ( signal ) ) - ( alias ( diffPairRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\TRIG1\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\trig1*\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):trig1") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):busy_from_fpga(0)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):busy_to_fpga(0)") ) ( objectStatus "BUSY0" ) ) ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\TRIG1\" ( memberType ( signal ) ) ( alias ( diffPairRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA19\") ) - ( objectFlag fObjectAlias ) ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(19)") ) ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(19)") ) ( objectStatus "TRIG1" ) ) - ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\DUT_CLK0\" - ( memberType ( signal ) ) - ( alias ( diffPairRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA31\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\dut_clk0*\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):dut_clk0") ) - ( objectStatus "DUT_CLK0" ) - ) - ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\BUSY1\" - ( memberType ( signal ) ) - ( alias ( diffPairRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA15\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\busy1*\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):busy1") ) - ( objectStatus "BUSY1" ) - ) - ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\BUSY2\" - ( memberType ( signal ) ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\busy2*\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):busy2") ) - ( objectStatus "BUSY2" ) - ) - ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\TRIG2\" - ( memberType ( signal ) ) - ( alias ( diffPairRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA10\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\trig2*\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):trig2") ) - ( objectStatus "TRIG2" ) - ) - ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\CLK2\" - ( memberType ( signal ) ) - ( alias ( diffPairRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\BEAM_TRIGGER_CFD1\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\clk2*\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):clk2") ) - ( objectStatus "CLK2" ) - ) - ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\CONT0\" - ( memberType ( signal ) ) - ( alias ( diffPairRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA26\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\cont0*\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):cont0") ) - ( objectStatus "CONT0" ) - ) - ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\CONT1\" - ( memberType ( signal ) ) - ( alias ( diffPairRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA12\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\cont1*\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):cont1") ) - ( objectStatus "CONT1" ) - ) ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\SPARE1\" ( memberType ( signal ) ) ( alias ( diffPairRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA20\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\trig0*\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):trig0") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(20)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(20)") ) ( objectStatus "SPARE1" ) ) ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\SPARE2\" ( memberType ( signal ) ) - ( alias ( diffPairRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA17\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\spare2*\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):spare2") ) ( objectStatus "SPARE2" ) ) ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\TRIG0\" @@ -29211,18 +27664,6 @@ ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):beam_trigger_cfd(1)") ) ( objectStatus "TRIG0" ) ) - ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\CTRIG1\" - ( memberType ( signal ) ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\ctrig1*\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):ctrig1") ) - ( objectStatus "CTRIG1" ) - ) - ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\CTRIG2\" - ( memberType ( signal ) ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\ctrig2*\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):ctrig2") ) - ( objectStatus "CTRIG2" ) - ) ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FRONT_PANEL_CLK\" ( memberType ( signal ) ) ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\front_panel_clk*\") ) @@ -29374,22 +27815,6 @@ ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(31)") ) ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(32)") ) ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(33)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):busy2") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):busy0") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):cont2") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):trig2") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):trig0") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):hdmi_power_enable1") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):spare2") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):cont1") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):gpio_clk") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):dut_clk0") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):trig1") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):cont0") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):clk2") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):clk1") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):busy1") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):spare1") ) ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):beam_trigger(0)") ) ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):beam_trigger(1)") ) ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):beam_trigger(3)") ) @@ -29480,21 +27905,6 @@ ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(31)") ) ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(32)") ) ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(33)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\trig1*\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\dut_clk0*\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\cont1*\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\spare2*\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):hdmi_power_enable2") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\trig0*\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\trig2*\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\cont2*\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\busy2*\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\busy0*\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\cont0*\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\spare1*\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\busy1*\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\clk1*\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\clk2*\") ) ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\beam_trigger*\(0)") ) ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\beam_trigger*\(1)") ) ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\beam_trigger*\(2)") ) @@ -29576,64 +27986,245 @@ ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vin_filtered") ) ( objectStatus "VI" ) ) - ( designInst "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2" - ( attribute "BLOCK" "TRUE" - ( Origin gFrontEnd ) - ) - ( attribute "CDS_LIB" "fmc_tlu_v1_lib" - ( Origin gPackager ) - ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-600,725,600,-975" - ( Origin gPackager ) - ) - ( attribute "LIBRARY1" "ieee" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "1" - ( Origin gFrontEnd ) - ) - ( attribute "ROT" "0" - ( Origin gFrontEnd ) - ) - ( attribute "USE1" "ieee.std_logic_1164.all" - ( Origin gFrontEnd ) - ) - ( attribute "USE2" "work.all" - ( Origin gFrontEnd ) - ) - ( attribute "VER" "1" - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(4350,-350)" - ( Origin gFrontEnd ) - ) - ( objectStatus "page1_i2 (pc036a_fmc_lpc_connector)" ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):busy_from_fpga" + ( memberType ( signal ) ) + ( alias ( busRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_busy_from_fpga") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):busy_from_fpga(0)") ) + ( objectStatus "BUSY_FROM_FPGA" ) ) - ( designInst "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5" - ( attribute "BLOCK" "TRUE" - ( Origin gFrontEnd ) - ) - ( attribute "CDS_LIB" "fmc_tlu_v1_lib" - ( Origin gPackager ) - ) - ( attribute "LIBRARY1" "ieee" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "3" - ( Origin gFrontEnd ) - ) - ( attribute "ROT" "0" - ( Origin gFrontEnd ) - ) - ( attribute "USE1" "ieee.std_logic_1164.all" - ( Origin gFrontEnd ) - ) - ( attribute "USE2" "work.all" - ( Origin gFrontEnd ) - ) - ( attribute "VER" "1" - ( Origin gFrontEnd ) - ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):busy_to_fpga" + ( memberType ( signal ) ) + ( alias ( busRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_busy_to_fpga") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):busy_to_fpga(0)") ) + ( objectStatus "BUSY_TO_FPGA" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):clk_from_fpga" + ( memberType ( signal ) ) + ( alias ( busRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_clk_from_fpga") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):clk_from_fpga(0)") ) + ( objectStatus "CLK_FROM_FPGA" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):clk_to_dut" + ( memberType ( signal ) ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):clk_to_dut(0)") ) + ( objectStatus "CLK_TO_DUT" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\clk_to_dut*\" + ( memberType ( signal ) ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\clk_to_dut*\(0)") ) + ( objectStatus "CLK_TO_DUT*" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):clk_to_fpga" + ( memberType ( signal ) ) + ( alias ( busRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_clk_to_fpga") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):clk_to_fpga(0)") ) + ( objectStatus "CLK_TO_FPGA" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):cont_from_fpga" + ( memberType ( signal ) ) + ( alias ( busRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_cont_from_fpga") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):gpio_clk") ) + ( objectStatus "CONT_FROM_FPGA" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):cont_to_fpga" + ( memberType ( signal ) ) + ( alias ( busRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_cont_to_fpga") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):cont_to_fpga(0)") ) + ( objectStatus "CONT_TO_FPGA" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):enable_busy_from_fpga" + ( memberType ( signal ) ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):enable_busy_from_fpga(0)") ) + ( objectStatus "ENABLE_BUSY_FROM_FPGA" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):enable_clk_from_fpga" + ( memberType ( signal ) ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):enable_clk_from_fpga(0)") ) + ( objectStatus "ENABLE_CLK_FROM_FPGA" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):enable_clk_to_dut" + ( memberType ( signal ) ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):enable_clk_to_dut(0)") ) + ( objectStatus "ENABLE_CLK_TO_DUT" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):enable_cont_from_fpga" + ( memberType ( signal ) ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):enable_cont_from_fpga(0)") ) + ( objectStatus "ENABLE_CONT_FROM_FPGA" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):enable_spare_from_fpga" + ( memberType ( signal ) ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):enable_spare_from_fpga(0)") ) + ( objectStatus "ENABLE_SPARE_FROM_FPGA" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):enable_trig_from_fpga" + ( memberType ( signal ) ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):enable_trig_from_fpga(0)") ) + ( objectStatus "ENABLE_TRIG_FROM_FPGA" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):hdmi_clk" + ( memberType ( signal ) ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):hdmi_clk(0)") ) + ( objectStatus "HDMI_CLK" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\hdmi_clk*\" + ( memberType ( signal ) ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\hdmi_clk*\(0)") ) + ( objectStatus "HDMI_CLK*" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):spare_from_fpga" + ( memberType ( signal ) ) + ( alias ( busRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_spare_from_fpga") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):spare_from_fpga(0)") ) + ( objectStatus "SPARE_FROM_FPGA" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):spare_to_fpga" + ( memberType ( signal ) ) + ( alias ( busRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_spare_to_fpga") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):spare_to_fpga(0)") ) + ( objectStatus "SPARE_TO_FPGA" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):trig_from_fpga" + ( memberType ( signal ) ) + ( alias ( busRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_trig_from_fpga") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):trig_from_fpga(0)") ) + ( objectStatus "TRIG_FROM_FPGA" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):trig_to_fpga" + ( memberType ( signal ) ) + ( alias ( busRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_trig_to_fpga") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):trig_to_fpga(0)") ) + ( objectStatus "TRIG_TO_FPGA" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_busy_from_fpga" + ( memberType ( signal ) ) + ( objectFlag fObjectAlias ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_busy_from_fpga(0)") ) + ( objectStatus "PAGE1_BUSY_FROM_FPGA" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_busy_to_fpga" + ( memberType ( signal ) ) + ( objectFlag fObjectAlias ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_busy_to_fpga(0)") ) + ( objectStatus "PAGE1_BUSY_TO_FPGA" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_clk_from_fpga" + ( memberType ( signal ) ) + ( objectFlag fObjectAlias ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_clk_from_fpga(0)") ) + ( objectStatus "PAGE1_CLK_FROM_FPGA" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_clk_to_fpga" + ( memberType ( signal ) ) + ( objectFlag fObjectAlias ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_clk_to_fpga(0)") ) + ( objectStatus "PAGE1_CLK_TO_FPGA" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_cont_from_fpga" + ( memberType ( signal ) ) + ( objectFlag fObjectAlias ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_cont_from_fpga(0)") ) + ( objectStatus "PAGE1_CONT_FROM_FPGA" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_cont_to_fpga" + ( memberType ( signal ) ) + ( objectFlag fObjectAlias ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_cont_to_fpga(0)") ) + ( objectStatus "PAGE1_CONT_TO_FPGA" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_spare_from_fpga" + ( memberType ( signal ) ) + ( objectFlag fObjectAlias ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_spare_from_fpga(0)") ) + ( objectStatus "PAGE1_SPARE_FROM_FPGA" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_spare_to_fpga" + ( memberType ( signal ) ) + ( objectFlag fObjectAlias ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_spare_to_fpga(0)") ) + ( objectStatus "PAGE1_SPARE_TO_FPGA" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_trig_from_fpga" + ( memberType ( signal ) ) + ( objectFlag fObjectAlias ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_trig_from_fpga(0)") ) + ( objectStatus "PAGE1_TRIG_FROM_FPGA" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_trig_to_fpga" + ( memberType ( signal ) ) + ( objectFlag fObjectAlias ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_trig_to_fpga(0)") ) + ( objectStatus "PAGE1_TRIG_TO_FPGA" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):hdmi_power_enable" + ( memberType ( signal ) ) + ( alias ( busRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_hdmi_power_enable") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):hdmi_power_enable(0)") ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_hdmi_power_enable" + ( memberType ( signal ) ) + ( objectFlag fObjectAlias ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_hdmi_power_enable(0)") ) + ( objectStatus "PAGE1_HDMI_POWER_ENABLE" ) + ) + ( designInst "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2" + ( attribute "BLOCK" "TRUE" + ( Origin gFrontEnd ) + ) + ( attribute "CDS_LIB" "fmc_tlu_v1_lib" + ( Origin gPackager ) + ) + ( attribute "CDS_LMAN_SYM_OUTLINE" "-600,725,600,-975" + ( Origin gPackager ) + ) + ( attribute "LIBRARY1" "ieee" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "USE1" "ieee.std_logic_1164.all" + ( Origin gFrontEnd ) + ) + ( attribute "USE2" "work.all" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(4350,-350)" + ( Origin gFrontEnd ) + ) + ( objectStatus "page1_i2 (pc036a_fmc_lpc_connector)" ) + ) + ( designInst "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5" + ( attribute "BLOCK" "TRUE" + ( Origin gFrontEnd ) + ) + ( attribute "CDS_LIB" "fmc_tlu_v1_lib" + ( Origin gPackager ) + ) + ( attribute "LIBRARY1" "ieee" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "3" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "USE1" "ieee.std_logic_1164.all" + ( Origin gFrontEnd ) + ) + ( attribute "USE2" "work.all" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) ( attribute "XY" "(-200,950)" ( Origin gFrontEnd ) ) @@ -29915,7 +28506,7 @@ ) ( objectStatus "page2_i38 (fmc_tlu_cfd)" ) ) - ( designInst "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62" + ( designInst "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55" ( attribute "BLOCK" "TRUE" ( Origin gFrontEnd ) ) @@ -29925,7 +28516,7 @@ ( attribute "LIBRARY1" "ieee" ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "2" + ( attribute "PHYS_PAGE" "3" ( Origin gFrontEnd ) ) ( attribute "ROT" "0" @@ -29940,46 +28531,19 @@ ( attribute "VER" "1" ( Origin gFrontEnd ) ) - ( attribute "XY" "(1550,-850)" + ( attribute "XY" "(50,-1250)" ( Origin gFrontEnd ) ) - ( objectStatus "page4_i62 (fmc_tlu_diode_clamp)" ) + ( objectStatus "page2_i55 (fmc_tlu_vsupply5v)" ) ) - ( designInst "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63" + ( designInst "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1" ( attribute "BLOCK" "TRUE" ( Origin gFrontEnd ) ) ( attribute "CDS_LIB" "fmc_tlu_v1_lib" ( Origin gPackager ) ) - ( attribute "LIBRARY1" "ieee" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "2" - ( Origin gFrontEnd ) - ) - ( attribute "ROT" "0" - ( Origin gFrontEnd ) - ) - ( attribute "USE1" "ieee.std_logic_1164.all" - ( Origin gFrontEnd ) - ) - ( attribute "USE2" "work.all" - ( Origin gFrontEnd ) - ) - ( attribute "VER" "1" - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(2050,-2850)" - ( Origin gFrontEnd ) - ) - ( objectStatus "page4_i63 (fmc_tlu_diode_clamp)" ) - ) - ( designInst "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64" - ( attribute "BLOCK" "TRUE" - ( Origin gFrontEnd ) - ) - ( attribute "CDS_LIB" "fmc_tlu_v1_lib" + ( attribute "CDS_LMAN_SYM_OUTLINE" "-775,775,750,-725" ( Origin gPackager ) ) ( attribute "LIBRARY1" "ieee" @@ -30000,40 +28564,48 @@ ( attribute "VER" "1" ( Origin gFrontEnd ) ) - ( attribute "XY" "(5000,-800)" + ( attribute "XY" "(775,250)" ( Origin gFrontEnd ) ) - ( objectStatus "page4_i64 (fmc_tlu_diode_clamp)" ) + ( objectStatus "\page4_i1 (fmc_tlu_hdmi_dut_connector)\" ) ) - ( designInst "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55" + ( designInst "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78" ( attribute "BLOCK" "TRUE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "CDS_LIB" "fmc_tlu_v1_lib" + ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "LIBRARY1" "ieee" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "3" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "USE1" "ieee.std_logic_1164.all" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "USE2" "work.all" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(50,-1250)" + ( attribute "XY" "(5400,-350)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( objectStatus "page2_i55 (fmc_tlu_vsupply5v)" ) ) ) ) @@ -30050,7 +28622,7 @@ ( properties ) ) ) - ( designConstraints + ( designConstraints ( ruleChanges ( allRules ) ( design "pc023a_dac_vthresh" @@ -31241,7 +29813,7 @@ ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-1UF,16V" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603" ( Origin gPackager ) ) ( attribute "CDS_LOCATION" "C6" @@ -31300,7 +29872,7 @@ ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-1UF,16V" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603" ( Origin gPackager ) ) ( attribute "CDS_LOCATION" "C2" @@ -31359,7 +29931,7 @@ ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-1UF,16V" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603" ( Origin gPackager ) ) ( attribute "CDS_LOCATION" "C3" @@ -31418,7 +29990,7 @@ ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603" ( Origin gPackager ) ) ( attribute "CDS_LOCATION" "C5" @@ -31582,7 +30154,7 @@ ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-1UF,16V" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603" ( Origin gPackager ) ) ( attribute "CDS_LOCATION" "C4" @@ -31640,7 +30212,7 @@ ( attribute "CHIPS_PART_NAME" "TP" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "TP_HOLE" ( Origin gPackager ) ) ( objectStatus "PAGE1_I68" ) @@ -31684,7 +30256,7 @@ ( attribute "CHIPS_PART_NAME" "TP" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "TP_HOLE" ( Origin gPackager ) ) ( objectStatus "PAGE1_I69" ) @@ -31770,7 +30342,7 @@ ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-1UF,16V" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603" ( Origin gPackager ) ) ( objectStatus "PAGE1_I73" ) @@ -33961,6 +32533,48 @@ ( Origin gPackager ) ) ( objectStatus "IC2" ) + ( pin "hys(0)" + ( attribute "PN" "8" + ( Origin gPackager ) + ) + ( objectStatus "IC2.8" ) + ) + ( pin "\in+\(0)" + ( attribute "PN" "10" + ( Origin gPackager ) + ) + ( objectStatus "IC2.10" ) + ) + ( pin "\in-\(0)" + ( attribute "PN" "9" + ( Origin gPackager ) + ) + ( objectStatus "IC2.9" ) + ) + ( pin "le(0)" + ( attribute "PN" "4" + ( Origin gPackager ) + ) + ( objectStatus "IC2.4" ) + ) + ( pin "\le*\(0)" + ( attribute "PN" "5" + ( Origin gPackager ) + ) + ( objectStatus "IC2.5" ) + ) + ( pin "q(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "IC2.1" ) + ) + ( pin "\q*\(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "IC2.2" ) + ) ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i123" ( attribute "CDS_LIB" "cnpassive" @@ -33994,7 +32608,7 @@ ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603" ( Origin gPackager ) ) ( attribute "LOCATION" "C1" @@ -34010,6 +32624,18 @@ ( Origin gPackager ) ) ( objectStatus "C1" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "C1.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "C1.2" ) + ) ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i39" ( attribute "CDS_LIB" "cnpassive" @@ -34043,7 +32669,7 @@ ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-1.0UF,6.3V" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603" ( Origin gPackager ) ) ( attribute "LOCATION" "C4" @@ -34059,6 +32685,18 @@ ( Origin gPackager ) ) ( objectStatus "C4" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "C4.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "C4.2" ) + ) ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i40" ( attribute "CDS_LIB" "cnpassive" @@ -34092,7 +32730,7 @@ ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603" ( Origin gPackager ) ) ( attribute "LOCATION" "C7" @@ -34108,6 +32746,18 @@ ( Origin gPackager ) ) ( objectStatus "C7" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "C7.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "C7.2" ) + ) ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i41" ( attribute "CDS_LIB" "cnpassive" @@ -34141,7 +32791,7 @@ ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603" ( Origin gPackager ) ) ( attribute "LOCATION" "C10" @@ -34157,6 +32807,18 @@ ( Origin gPackager ) ) ( objectStatus "C10" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "C10.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "C10.2" ) + ) ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i43" ( attribute "CDS_LIB" "cnpassive" @@ -34190,7 +32852,7 @@ ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603" ( Origin gPackager ) ) ( attribute "LOCATION" "C9" @@ -34206,6 +32868,18 @@ ( Origin gPackager ) ) ( objectStatus "C9" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "C9.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "C9.2" ) + ) ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i44" ( attribute "CDS_LIB" "cnpassive" @@ -34239,7 +32913,7 @@ ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-1.0UF,6.3V" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603" ( Origin gPackager ) ) ( attribute "LOCATION" "C6" @@ -34255,6 +32929,18 @@ ( Origin gPackager ) ) ( objectStatus "C6" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "C6.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "C6.2" ) + ) ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i47" ( attribute "CDS_LIB" "cnpassive" @@ -34288,7 +32974,7 @@ ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-1.0UF,6.3V" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603" ( Origin gPackager ) ) ( attribute "LOCATION" "C3" @@ -34304,6 +32990,18 @@ ( Origin gPackager ) ) ( objectStatus "C3" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "C3.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "C3.2" ) + ) ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i71" ( attribute "CDS_LIB" "cnlinear" @@ -34346,6 +33044,48 @@ ( Origin gPackager ) ) ( objectStatus "IC2" ) + ( pin "hys(0)" + ( attribute "PN" "13" + ( Origin gPackager ) + ) + ( objectStatus "IC2.13" ) + ) + ( pin "\in+\(0)" + ( attribute "PN" "11" + ( Origin gPackager ) + ) + ( objectStatus "IC2.11" ) + ) + ( pin "\in-\(0)" + ( attribute "PN" "12" + ( Origin gPackager ) + ) + ( objectStatus "IC2.12" ) + ) + ( pin "le(0)" + ( attribute "PN" "17" + ( Origin gPackager ) + ) + ( objectStatus "IC2.17" ) + ) + ( pin "\le*\(0)" + ( attribute "PN" "16" + ( Origin gPackager ) + ) + ( objectStatus "IC2.16" ) + ) + ( pin "q(0)" + ( attribute "PN" "20" + ( Origin gPackager ) + ) + ( objectStatus "IC2.20" ) + ) + ( pin "\q*\(0)" + ( attribute "PN" "19" + ( Origin gPackager ) + ) + ( objectStatus "IC2.19" ) + ) ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i73" ( attribute "CDS_LIB" "cnpassive" @@ -34425,6 +33165,18 @@ ( Origin gPackager ) ) ( objectStatus "R9" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "R9.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "R9.2" ) + ) ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i78" ( attribute "CDS_LIB" "cnpassive" @@ -34458,7 +33210,7 @@ ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-10NF,50V" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603" ( Origin gPackager ) ) ( attribute "LOCATION" "C2" @@ -34474,6 +33226,18 @@ ( Origin gPackager ) ) ( objectStatus "C2" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "C2.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "C2.2" ) + ) ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i79" ( attribute "CDS_LIB" "cnpassive" @@ -34553,6 +33317,18 @@ ( Origin gPackager ) ) ( objectStatus "R5" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "R5.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "R5.2" ) + ) ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i81" ( attribute "CDS_LIB" "cnpassive" @@ -34632,6 +33408,18 @@ ( Origin gPackager ) ) ( objectStatus "R10" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "R10.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "R10.2" ) + ) ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i148" ( attribute "CDS_LIB" "cnpassive" @@ -34711,6 +33499,18 @@ ( Origin gPackager ) ) ( objectStatus "R6" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "R6.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "R6.2" ) + ) ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i92" ( attribute "CDS_LIB" "cnpassive" @@ -34790,6 +33590,18 @@ ( Origin gPackager ) ) ( objectStatus "R7" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "R7.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "R7.2" ) + ) ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i93" ( attribute "CDS_LIB" "cnpassive" @@ -34869,6 +33681,18 @@ ( Origin gPackager ) ) ( objectStatus "R3" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "R3.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "R3.2" ) + ) ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i94" ( attribute "CDS_LIB" "cnpassive" @@ -34948,6 +33772,18 @@ ( Origin gPackager ) ) ( objectStatus "R2" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "R2.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "R2.2" ) + ) ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i111" ( attribute "CDS_LIB" "cnpassive" @@ -35027,6 +33863,18 @@ ( Origin gPackager ) ) ( objectStatus "R13" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "R13.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "R13.2" ) + ) ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i113" ( attribute "CDS_LIB" "cnpassive" @@ -35106,15 +33954,17 @@ ( Origin gPackager ) ) ( objectStatus "R11" ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i87" - ( attribute "CHIPS_PART_NAME" "MC100EL29" - ( Origin gPackager ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "R11.1" ) ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i58" - ( attribute "CHIPS_PART_NAME" "MC100EL29" - ( Origin gPackager ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "R11.2" ) ) ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i147" @@ -35195,57 +34045,81 @@ ( Origin gPackager ) ) ( objectStatus "R8" ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i150" - ( attribute "CDS_LIB" "cnpassive" - ( Origin gPackager ) - ) - ( attribute "PACK_TYPE" "0603" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "1" - ( Origin gFrontEnd ) - ) - ( attribute "ROT" "1" - ( Origin gFrontEnd ) - ) - ( attribute "SIZE" "1" - ( Origin gFrontEnd ) - ) - ( attribute "VALUE" "100NF" - ( Origin gFrontEnd ) - ) - ( attribute "VER" "1" - ( Origin gFrontEnd ) - ) - ( attribute "VOLTAGE" "16V" - ( Units "uVoltage" "V" 1.000000) - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(5750,600)" - ( Origin gFrontEnd ) - ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "C5" - ( Origin gPackager ) - ) - ( attribute "CDS_LOCATION" "C5" - ( Origin gPackager ) - ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "R8.1" ) ) - ( attribute "SEC" "1" - ( Origin gPackager ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "R8.2" ) ) - ( objectStatus "C5" ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i151" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i150" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "0603" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "100NF" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(5750,600)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "C5" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C5" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "C5" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "C5.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "C5.2" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i151" ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) @@ -35277,7 +34151,7 @@ ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603" ( Origin gPackager ) ) ( attribute "LOCATION" "C8" @@ -35293,6 +34167,18 @@ ( Origin gPackager ) ) ( objectStatus "C8" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "C8.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "C8.2" ) + ) ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i152" ( attribute "CDS_LIB" "cnpassive" @@ -35372,6 +34258,18 @@ ( Origin gPackager ) ) ( objectStatus "R14" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "R14.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "R14.2" ) + ) ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i153" ( attribute "CDS_LIB" "cnpassive" @@ -35451,6 +34349,18 @@ ( Origin gPackager ) ) ( objectStatus "R12" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "R12.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "R12.2" ) + ) ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i159" ( attribute "CDS_LIB" "cnpassive" @@ -35486,7 +34396,7 @@ ( attribute "CHIPS_PART_NAME" "TP" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "TP_HOLE" ( Origin gPackager ) ) ( attribute "CDS_LOCATION" "TP2" @@ -35502,6 +34412,12 @@ ( Origin gPackager ) ) ( objectStatus "TP2" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "TP2.1" ) + ) ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i160" ( attribute "CDS_LIB" "cnpassive" @@ -35537,7 +34453,7 @@ ( attribute "CHIPS_PART_NAME" "TP" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "TP_HOLE" ( Origin gPackager ) ) ( attribute "CDS_LOCATION" "TP3" @@ -35553,6 +34469,12 @@ ( Origin gPackager ) ) ( objectStatus "TP3" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "TP3.1" ) + ) ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i161" ( attribute "CDS_LIB" "cnpassive" @@ -35588,7 +34510,7 @@ ( attribute "CHIPS_PART_NAME" "TP" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "TP_HOLE" ( Origin gPackager ) ) ( attribute "CDS_LOCATION" "TP4" @@ -35604,6 +34526,12 @@ ( Origin gPackager ) ) ( objectStatus "TP4" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "TP4.1" ) + ) ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i162" ( attribute "CDS_LIB" "cnpassive" @@ -35639,7 +34567,7 @@ ( attribute "CHIPS_PART_NAME" "TP" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "TP_HOLE" ( Origin gPackager ) ) ( attribute "CDS_LOCATION" "TP1" @@ -35655,6 +34583,12 @@ ( Origin gPackager ) ) ( objectStatus "TP1" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "TP1.1" ) + ) ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i163" ( attribute "CDS_LIB" "cnpassive" @@ -35690,7 +34624,7 @@ ( attribute "CHIPS_PART_NAME" "TP" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "TP_HOLE" ( Origin gPackager ) ) ( attribute "CDS_LOCATION" "TP5" @@ -35706,6 +34640,12 @@ ( Origin gPackager ) ) ( objectStatus "TP5" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "TP5.1" ) + ) ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i164" ( attribute "CDS_LIB" "cnpassive" @@ -35741,7 +34681,7 @@ ( attribute "CHIPS_PART_NAME" "TP" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "TP_HOLE" ( Origin gPackager ) ) ( attribute "CDS_LOCATION" "TP6" @@ -35757,6 +34697,12 @@ ( Origin gPackager ) ) ( objectStatus "TP6" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "TP6.1" ) + ) ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i165" ( attribute "CDS_LIB" "cnpassive" @@ -35792,7 +34738,7 @@ ( attribute "CHIPS_PART_NAME" "TP" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "TP_HOLE" ( Origin gPackager ) ) ( attribute "CDS_LOCATION" "TP7" @@ -35808,6 +34754,12 @@ ( Origin gPackager ) ) ( objectStatus "TP7" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "TP7.1" ) + ) ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i120" ( attribute "CASE" "SOT23" @@ -35853,6 +34805,24 @@ ( Origin gPackager ) ) ( objectStatus "D1" ) + ( pin "a" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "D1.1" ) + ) + ( pin "ac" + ( attribute "PN" "3" + ( Origin gPackager ) + ) + ( objectStatus "D1.3" ) + ) + ( pin "c" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "D1.2" ) + ) ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i168" ( attribute "CDS_LIB" "cnconnector" @@ -35895,6 +34865,12 @@ ( Origin gPackager ) ) ( objectStatus "PX1" ) + ( pin "a" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "PX1.1" ) + ) ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i169" ( attribute "CDS_LIB" "cnconnector" @@ -35937,1408 +34913,496 @@ ( Origin gPackager ) ) ( objectStatus "PX2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i1:hys(0)" - ( attribute "PN" "8" - ( Origin gPackager ) - ) - ( objectStatus "IC2.8" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i1:\in+\(0)" - ( attribute "PN" "10" - ( Origin gPackager ) + ( pin "a" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "PX2.1" ) ) - ( objectStatus "IC2.10" ) ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i1:\in-\(0)" - ( attribute "PN" "9" - ( Origin gPackager ) - ) - ( objectStatus "IC2.9" ) + ) + ) + ( EndBaseCopy ) + ) + ( BaseCopy "fmc_tlu_vsupply5v" + ( constraintHeader + ( revisionNumber + ( logicalViewRevNum 15 ) + ( physicalViewRevNum 1 ) + ( otherViewRevNum 0 ) ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i1:le(0)" - ( attribute "PN" "4" - ( Origin gPackager ) - ) - ( objectStatus "IC2.4" ) + ( contents + ( electricalConstraints ) + ( physicalConstraints ) + ( spacingConstraints ) + ( sameNetSpacingConstraints ) + ( netClasses ) + ( properties ) ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i1:\le*\(0)" - ( attribute "PN" "5" - ( Origin gPackager ) - ) - ( objectStatus "IC2.5" ) + ) + ( designConstraints + ( ruleChanges + ( allRules ) + ( design "fmc_tlu_vsupply5v" + ( physicalCSetRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):\DEFAULT\" ) + ( spacingCSetRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):\DEFAULT\" ) + ( sameNetSpacingCSetRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):\DEFAULT\" ) ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i1:q(0)" - ( attribute "PN" "1" - ( Origin gPackager ) + ( physicalCSet "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):\DEFAULT\" + ( attribute "DIFFP_PRIMARY_GAP" "0.2000,0.2000,0.2000,0.2000,0.2000,0.2000" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "IC2.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i1:\q*\(0)" - ( attribute "PN" "2" - ( Origin gPackager ) + ( attribute "DIFFP_COUPLED_PLUS" "0.0000,0.0000,0.0000,0.0000,0.0000,0.0000" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "IC2.2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i111:b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) + ( attribute "DIFFP_COUPLED_MINUS" "0.0000,0.0000,0.0000,0.0000,0.0000,0.0000" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "R13.2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i111:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "DIFFP_MIN_SPACE" "0.0000,0.0000,0.0000,0.0000,0.0000,0.0000" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "R13.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i123:b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) + ( attribute "MIN_LINE_WIDTH" "0.2257,0.2257,0.2257,0.2257,0.2257,0.2257" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "C1.2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i123:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "MAX_LINE_WIDTH" "0.0000,0.0000,0.0000,0.0000,0.0000,0.0000" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "C1.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i39:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "DIFFP_NECK_GAP" "0.1800,0.1800,0.1800,0.1800,0.1800,0.1800" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "C4.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i39:b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) + ( attribute "MIN_NECK_WIDTH" "0.1800,0.1800,0.1800,0.1800,0.1800,0.1800" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "C4.2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i40:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "MAXIMUM_NECK_LENGTH" "5.0000,5.0000,5.0000,5.0000,5.0000,5.0000" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "C7.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i40:b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) + ( attribute "VIA_LIST" "VIA,VIA32RD0-3D,VIA55-30-80,VIA36RD0-4D" + ( Origin gBackEnd ) ) - ( objectStatus "C7.2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i41:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "ALLOW_ON_ETCH_SUBCLASS" "TRUE,TRUE,TRUE,TRUE,TRUE,TRUE" + ( Origin gBackEnd ) ) - ( objectStatus "C10.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i41:b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) + ( attribute "TS_ALLOWED" "ANYWHERE,ANYWHERE,ANYWHERE,ANYWHERE,ANYWHERE,ANYWHERE" + ( Origin gBackEnd ) ) - ( objectStatus "C10.2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i43:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "MIN_BVIA_STAGGER" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "C9.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i43:b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) + ( attribute "MAX_BVIA_STAGGER" "0.0000,0.0000,0.0000,0.0000,0.0000,0.0000" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "C9.2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i44:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "PAD_PAD_DIRECT_CONNECT" "ALL_ALLOWED,ALL_ALLOWED,ALL_ALLOWED,ALL_ALLOWED,ALL_ALLOWED,ALL_ALLOWED" + ( Origin gBackEnd ) ) - ( objectStatus "C6.1" ) + ( objectStatus "DEFAULT" ) ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i44:b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) + ( spacingCSet "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):\DEFAULT\" + ( attribute "THRUPIN_TO_THRUPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "C6.2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i47:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "THRUPIN_TO_SMDPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "C3.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i47:b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) + ( attribute "TESTPIN_TO_THRUPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "C3.2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i71:hys(0)" - ( attribute "PN" "13" - ( Origin gPackager ) + ( attribute "THRUPIN_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "IC2.13" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i71:\in+\(0)" - ( attribute "PN" "11" - ( Origin gPackager ) + ( attribute "TESTVIA_TO_THRUPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "IC2.11" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i71:\in-\(0)" - ( attribute "PN" "12" - ( Origin gPackager ) + ( attribute "BBV_TO_THRUPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "IC2.12" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i71:le(0)" - ( attribute "PN" "17" - ( Origin gPackager ) + ( attribute "THRUPIN_TO_BONDPAD_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "IC2.17" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i71:\le*\(0)" - ( attribute "PN" "16" - ( Origin gPackager ) + ( attribute "LINE_TO_THRUPIN_SPACING" "0.2000,0.2000,0.2000,0.2000,0.2000,0.2000" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "IC2.16" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i71:q(0)" - ( attribute "PN" "20" - ( Origin gPackager ) + ( attribute "THRUPIN_TO_SHAPE_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "IC2.20" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i71:\q*\(0)" - ( attribute "PN" "19" - ( Origin gPackager ) + ( attribute "SMDPIN_TO_SMDPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "IC2.19" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i73:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "SMDPIN_TO_TESTPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "R9.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i73:b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) + ( attribute "SMDPIN_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "R9.2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i78:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "SMDPIN_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "C2.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i78:b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) + ( attribute "BBV_TO_SMDPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "C2.2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i79:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "SMDPIN_TO_BONDPAD_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "R5.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i79:b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) + ( attribute "LINE_TO_SMDPIN_SPACING" "0.1800,0.2000,0.2000,0.2000,0.2000,0.2000" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "R5.2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i81:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "SHAPE_TO_SMDPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "R10.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i81:b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) + ( attribute "TESTPIN_TO_TESTPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "R10.2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i148:b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) + ( attribute "TESTPIN_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "R6.2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i148:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "TESTPIN_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "R6.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i92:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "BBV_TO_TESTPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "R7.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i92:b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) + ( attribute "BONDPAD_TO_TESTPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "R7.2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i93:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "LINE_TO_TESTPIN_SPACING" "0.2000,0.2000,0.2000,0.2000,0.2000,0.2000" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "R3.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i93:b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) + ( attribute "SHAPE_TO_TESTPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "R3.2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i94:b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) + ( attribute "THRUVIA_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "R2.2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i94:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "TESTVIA_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "R2.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i113:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "BBV_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "R11.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i113:b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) + ( attribute "BONDPAD_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "R11.2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i147:b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) + ( attribute "LINE_TO_THRUVIA_SPACING" "0.2000,0.2000,0.2000,0.2000,0.2000,0.2000" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "R8.2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i147:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "SHAPE_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "R8.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i150:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "TESTVIA_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "C5.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i150:b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) + ( attribute "BBV_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "C5.2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i151:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "BONDPAD_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "C8.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i151:b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) + ( attribute "LINE_TO_TESTVIA_SPACING" "0.2000,0.2000,0.2000,0.2000,0.2000,0.2000" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "C8.2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i152:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "SHAPE_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "R14.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i152:b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) + ( attribute "BBV_TO_BBV_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "R14.2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i153:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "BONDPAD_TO_BBV_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "R12.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i153:b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) + ( attribute "BBV_TO_LINE_SPACING" "0.2000,0.2000,0.2000,0.2000,0.2000,0.2000" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "R12.2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i159:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "BBV_TO_SHAPE_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "TP2.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i160:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "BONDPAD_TO_BONDPAD_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "TP3.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i161:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "BONDPAD_TO_LINE_SPACING" "0.2000,0.2000,0.2000,0.2000,0.2000,0.2000" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "TP4.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i162:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "BONDPAD_TO_SHAPE_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "TP1.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i163:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "LINE_TO_LINE_SPACING" "0.2570,0.2000,0.2000,0.2000,0.2000,0.2570" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "TP5.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i164:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "LINE_TO_SHAPE_SPACING" "0.2000,0.2000,0.2000,0.2000,0.2000,0.2000" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "TP6.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i165:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "SHAPE_TO_SHAPE_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "TP7.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i120:a" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "HOLE_TO_PIN_SPACING" "0.2032,0.2032,0.2032,0.2032,0.2032,0.2032" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "D1.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i120:ac" - ( attribute "PN" "3" - ( Origin gPackager ) + ( attribute "HOLE_TO_VIA_SPACING" "0.2032,0.2032,0.2032,0.2032,0.2032,0.2032" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "D1.3" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i120:c" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "D1.2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i168:a" - ( attribute "PN" "1" - ( Origin gPackager ) - ) - ( objectStatus "PX1.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i169:a" - ( attribute "PN" "1" - ( Origin gPackager ) - ) - ( objectStatus "PX2.1" ) - ) - ) - ) - ( EndBaseCopy ) - ) - ( BaseCopy "fmc_tlu_diode_clamp" - ( constraintHeader - ( revisionNumber - ( logicalViewRevNum 15 ) - ( physicalViewRevNum 0 ) - ( otherViewRevNum 0 ) - ) - ( contents - ( electricalConstraints ) - ( netClasses ) - ( properties ) - ) - ) - ( designConstraints - ( ruleChanges - ( allRules ) - ( design "fmc_tlu_diode_clamp" - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig5" - ( objectStatus "sig5" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig7" - ( objectStatus "sig7" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig4" - ( objectStatus "sig4" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig6" - ( objectStatus "sig6" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig0" - ( objectStatus "sig0" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig1" - ( objectStatus "sig1" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig3" - ( objectStatus "sig3" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig2" - ( objectStatus "sig2" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):gnd_signal" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_gnd_signal") ) - ( objectStatus "gnd_signal" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_gnd_signal" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_gnd_signal" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):p2v5" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_p2v5") ) - ( objectStatus "p2v5" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_p2v5" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_p2v5" ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i9" - ( attribute "CDS_LIB" "cnpassive" - ( Origin gPackager ) - ) - ( attribute "PACK_TYPE" "0603" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "1" - ( Origin gFrontEnd ) - ) - ( attribute "ROT" "1" - ( Origin gFrontEnd ) - ) - ( attribute "SIZE" "1" - ( Origin gFrontEnd ) - ) - ( attribute "VALUE" "100NF" - ( Origin gFrontEnd ) - ) - ( attribute "VER" "1" - ( Origin gFrontEnd ) - ) - ( attribute "VOLTAGE" "16V" - ( Units "uVoltage" "V" 1.000000) - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(4450,500)" - ( Origin gFrontEnd ) - ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" - ( Origin gPackager ) - ) - ( objectStatus "PAGE1_I9" ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i11" - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Origin gPackager ) - ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i13" - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Origin gPackager ) - ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i15" - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Origin gPackager ) - ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i17" - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Origin gPackager ) - ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i19" - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Origin gPackager ) - ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i21" - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Origin gPackager ) - ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i23" - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Origin gPackager ) - ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i7" - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Origin gPackager ) - ) - ( attribute "CDS_LIB" "bris_cds_analogue" - ( Origin gPackager ) - ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,50,125,-50" - ( Origin gPackager ) - ) - ( attribute "MANUF" "NXP" - ( Origin gFrontEnd ) - ) - ( attribute "PACK_TYPE" "TSSOP" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "1" - ( Origin gFrontEnd ) - ) - ( attribute "ROT" "3" - ( Origin gFrontEnd ) - ) - ( attribute "VER" "1" - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(350,550)" - ( Origin gFrontEnd ) - ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP-GND=GND_SA" - ( Origin gPackager ) - ) - ( objectStatus "PAGE1_I7" ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i40" - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Origin gPackager ) - ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i39" - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Origin gPackager ) - ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i38" - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Origin gPackager ) - ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i37" - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Origin gPackager ) - ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i36" - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Origin gPackager ) - ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i35" - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Origin gPackager ) - ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i34" - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Origin gPackager ) - ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i43" - ( attribute "CDS_LIB" "bris_cds_analogue" - ( Origin gPackager ) - ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,50,125,-50" - ( Origin gPackager ) - ) - ( attribute "MANUF" "NXP" - ( Origin gFrontEnd ) - ) - ( attribute "PACK_TYPE" "TSSOP" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "1" - ( Origin gFrontEnd ) - ) - ( attribute "ROT" "3" - ( Origin gFrontEnd ) - ) - ( attribute "VER" "1" - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(350,-2750)" - ( Origin gFrontEnd ) - ) - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP-GND=GND_SA" - ( Origin gPackager ) - ) - ( objectStatus "PAGE1_I43" ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i44" - ( attribute "CDS_LIB" "bris_cds_analogue" - ( Origin gPackager ) - ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,50,125,-50" - ( Origin gPackager ) - ) - ( attribute "MANUF" "NXP" - ( Origin gFrontEnd ) - ) - ( attribute "PACK_TYPE" "TSSOP" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "1" - ( Origin gFrontEnd ) - ) - ( attribute "ROT" "3" - ( Origin gFrontEnd ) - ) - ( attribute "VER" "1" - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(350,-2200)" - ( Origin gFrontEnd ) - ) - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP-GND=GND_SA" - ( Origin gPackager ) - ) - ( objectStatus "PAGE1_I44" ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i45" - ( attribute "CDS_LIB" "bris_cds_analogue" - ( Origin gPackager ) - ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,50,125,-50" - ( Origin gPackager ) - ) - ( attribute "MANUF" "NXP" - ( Origin gFrontEnd ) - ) - ( attribute "PACK_TYPE" "TSSOP" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "1" - ( Origin gFrontEnd ) - ) - ( attribute "ROT" "3" - ( Origin gFrontEnd ) - ) - ( attribute "VER" "1" - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(350,-1650)" - ( Origin gFrontEnd ) - ) - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP-GND=GND_SA" - ( Origin gPackager ) - ) - ( objectStatus "PAGE1_I45" ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i46" - ( attribute "CDS_LIB" "bris_cds_analogue" - ( Origin gPackager ) - ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,50,125,-50" - ( Origin gPackager ) - ) - ( attribute "MANUF" "NXP" - ( Origin gFrontEnd ) - ) - ( attribute "PACK_TYPE" "TSSOP" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "1" - ( Origin gFrontEnd ) - ) - ( attribute "ROT" "3" - ( Origin gFrontEnd ) - ) - ( attribute "VER" "1" - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(350,-1100)" - ( Origin gFrontEnd ) - ) - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP-GND=GND_SA" - ( Origin gPackager ) - ) - ( objectStatus "PAGE1_I46" ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i47" - ( attribute "CDS_LIB" "bris_cds_analogue" - ( Origin gPackager ) - ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,50,125,-50" - ( Origin gPackager ) - ) - ( attribute "MANUF" "NXP" - ( Origin gFrontEnd ) - ) - ( attribute "PACK_TYPE" "TSSOP" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "1" - ( Origin gFrontEnd ) - ) - ( attribute "ROT" "3" - ( Origin gFrontEnd ) - ) - ( attribute "VER" "1" - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(350,-550)" - ( Origin gFrontEnd ) - ) - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP-GND=GND_SA" - ( Origin gPackager ) - ) - ( objectStatus "PAGE1_I47" ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i48" - ( attribute "CDS_LIB" "bris_cds_analogue" - ( Origin gPackager ) - ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,50,125,-50" - ( Origin gPackager ) - ) - ( attribute "MANUF" "NXP" - ( Origin gFrontEnd ) - ) - ( attribute "PACK_TYPE" "TSSOP" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "1" - ( Origin gFrontEnd ) - ) - ( attribute "ROT" "3" - ( Origin gFrontEnd ) - ) - ( attribute "VER" "1" - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(350,0)" - ( Origin gFrontEnd ) - ) - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP-GND=GND_SA" - ( Origin gPackager ) - ) - ( objectStatus "PAGE1_I48" ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i49" - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Origin gPackager ) - ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i50" - ( attribute "CDS_LIB" "bris_cds_analogue" - ( Origin gPackager ) - ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,50,125,-50" - ( Origin gPackager ) - ) - ( attribute "MANUF" "NXP" - ( Origin gFrontEnd ) - ) - ( attribute "PACK_TYPE" "TSSOP" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "1" - ( Origin gFrontEnd ) - ) - ( attribute "ROT" "1" - ( Origin gFrontEnd ) - ) - ( attribute "VER" "1" - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(350,-3300)" - ( Origin gFrontEnd ) - ) - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP-GND=GND_SA" - ( Origin gPackager ) - ) - ( objectStatus "PAGE1_I50" ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i51" - ( attribute "CDS_LIB" "cndiscrete" - ( Origin gPackager ) - ) - ( attribute "PACK_TYPE" "SOD123-CA" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "1" - ( Origin gFrontEnd ) - ) - ( attribute "ROT" "0" - ( Origin gFrontEnd ) - ) - ( attribute "TYPE" "BZT52C2V7" - ( Origin gFrontEnd ) - ) - ( attribute "VER" "1" - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(4800,500)" - ( Origin gFrontEnd ) - ) - ( attribute "CHIPS_PART_NAME" "ZENER" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "ZENER_SOD123-CA-BZT52C2V7" - ( Origin gPackager ) - ) - ( objectStatus "PAGE1_I51" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i50:\in\" - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i9:b(0)" - ( attribute "PN" "#" - ( Origin gPackager ) - ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i9:a(0)" - ( attribute "PN" "#" - ( Origin gPackager ) - ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i7:\in\" - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i43:\in\" - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i44:\in\" - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i45:\in\" - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i46:\in\" - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i47:\in\" - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i48:\in\" - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i51:a(0)" - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i51:k(0)" - ) - ) - ) - ( EndBaseCopy ) - ) - ( BaseCopy "fmc_tlu_vsupply5v" - ( constraintHeader - ( revisionNumber - ( logicalViewRevNum 15 ) - ( physicalViewRevNum 1 ) - ( otherViewRevNum 0 ) - ) - ( contents - ( electricalConstraints ) - ( physicalConstraints ) - ( spacingConstraints ) - ( sameNetSpacingConstraints ) - ( netClasses ) - ( properties ) - ) - ) - ( designConstraints - ( ruleChanges - ( allRules ) - ( design "fmc_tlu_vsupply5v" - ( physicalCSetRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):\DEFAULT\" ) - ( spacingCSetRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):\DEFAULT\" ) - ( sameNetSpacingCSetRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):\DEFAULT\" ) - ) - ( physicalCSet "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):\DEFAULT\" - ( attribute "DIFFP_PRIMARY_GAP" "0.2000,0.2000,0.2000,0.2000,0.2000,0.2000" + ( attribute "HOLE_TO_LINE_SPACING" "0.2032,0.2032,0.2032,0.2032,0.2032,0.2032" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "DIFFP_COUPLED_PLUS" "0.0000,0.0000,0.0000,0.0000,0.0000,0.0000" + ( attribute "HOLE_TO_SHAPE_SPACING" "0.2032,0.2032,0.2032,0.2032,0.2032,0.2032" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "DIFFP_COUPLED_MINUS" "0.0000,0.0000,0.0000,0.0000,0.0000,0.0000" + ( attribute "HOLE_TO_HOLE_SPACING" "0.2032,0.2032,0.2032,0.2032,0.2032,0.2032" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "DIFFP_MIN_SPACE" "0.0000,0.0000,0.0000,0.0000,0.0000,0.0000" + ( attribute "MVIA_TO_MVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "MIN_LINE_WIDTH" "0.2257,0.2257,0.2257,0.2257,0.2257,0.2257" + ( attribute "MVIA_TO_THRUPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "MAX_LINE_WIDTH" "0.0000,0.0000,0.0000,0.0000,0.0000,0.0000" + ( attribute "MVIA_TO_SMDPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "DIFFP_NECK_GAP" "0.1800,0.1800,0.1800,0.1800,0.1800,0.1800" + ( attribute "MVIA_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "MIN_NECK_WIDTH" "0.1800,0.1800,0.1800,0.1800,0.1800,0.1800" + ( attribute "MVIA_TO_BBV_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "MAXIMUM_NECK_LENGTH" "5.0000,5.0000,5.0000,5.0000,5.0000,5.0000" + ( attribute "MVIA_TO_LINE_SPACING" "0.2000,0.2000,0.2000,0.2000,0.2000,0.2000" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "VIA_LIST" "VIA,VIA32RD0-3D,VIA55-30-80,VIA36RD0-4D" + ( attribute "MVIA_TO_SHAPE_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "ALLOW_ON_ETCH_SUBCLASS" "TRUE,TRUE,TRUE,TRUE,TRUE,TRUE" + ( attribute "MVIA_TO_TESTPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "TS_ALLOWED" "ANYWHERE,ANYWHERE,ANYWHERE,ANYWHERE,ANYWHERE,ANYWHERE" + ( attribute "MVIA_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "MIN_BVIA_STAGGER" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "BONDPAD_TO_MVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "MAX_BVIA_STAGGER" "0.0000,0.0000,0.0000,0.0000,0.0000,0.0000" + ( attribute "MIN_BVIA_GAP" "0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "PAD_PAD_DIRECT_CONNECT" "ALL_ALLOWED,ALL_ALLOWED,ALL_ALLOWED,ALL_ALLOWED,ALL_ALLOWED,ALL_ALLOWED" + ( attribute "BONDPAD_TO_BONDPAD_DIFFP_SPC" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) ( objectStatus "DEFAULT" ) ) - ( spacingCSet "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):\DEFAULT\" - ( attribute "THRUPIN_TO_THRUPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) + ( sameNetSpacingCSet "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):\DEFAULT\" + ( attribute "SAME_NET" "FALSE,FALSE,FALSE,FALSE,FALSE,FALSE" ( Origin gBackEnd ) ) - ( attribute "THRUPIN_TO_SMDPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "SN_THRUPIN_TO_THRUPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "TESTPIN_TO_THRUPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "SN_THRUPIN_TO_SMDPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "THRUPIN_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "SN_TESTPIN_TO_THRUPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "TESTVIA_TO_THRUPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "SN_THRUPIN_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "BBV_TO_THRUPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "SN_TESTVIA_TO_THRUPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "THRUPIN_TO_BONDPAD_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "SN_BBV_TO_THRUPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "LINE_TO_THRUPIN_SPACING" "0.2000,0.2000,0.2000,0.2000,0.2000,0.2000" + ( attribute "SN_THRUPIN_TO_BONDPAD_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "THRUPIN_TO_SHAPE_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "SN_LINE_TO_THRUPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "SMDPIN_TO_SMDPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "SN_THRUPIN_TO_SHAPE_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "SMDPIN_TO_TESTPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "SN_SMDPIN_TO_SMDPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "SMDPIN_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "SN_SMDPIN_TO_TESTPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "SMDPIN_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "SN_SMDPIN_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "BBV_TO_SMDPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "SN_SMDPIN_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "SMDPIN_TO_BONDPAD_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "SN_BBV_TO_SMDPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "LINE_TO_SMDPIN_SPACING" "0.1800,0.2000,0.2000,0.2000,0.2000,0.2000" + ( attribute "SN_SMDPIN_TO_BONDPAD_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "SHAPE_TO_SMDPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "SN_LINE_TO_SMDPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "TESTPIN_TO_TESTPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "SN_SHAPE_TO_SMDPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "TESTPIN_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "SN_TESTPIN_TO_TESTPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "TESTPIN_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "SN_TESTPIN_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "BBV_TO_TESTPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "SN_TESTPIN_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "BONDPAD_TO_TESTPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "SN_BBV_TO_TESTPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "LINE_TO_TESTPIN_SPACING" "0.2000,0.2000,0.2000,0.2000,0.2000,0.2000" + ( attribute "SN_BONDPAD_TO_TESTPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "SHAPE_TO_TESTPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "SN_LINE_TO_TESTPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "THRUVIA_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "SN_SHAPE_TO_TESTPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "TESTVIA_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "SN_THRUVIA_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "BBV_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "SN_TESTVIA_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "BONDPAD_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "SN_BBV_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "LINE_TO_THRUVIA_SPACING" "0.2000,0.2000,0.2000,0.2000,0.2000,0.2000" + ( attribute "SN_BONDPAD_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "SHAPE_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "SN_LINE_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "TESTVIA_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "SN_SHAPE_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "BBV_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "SN_TESTVIA_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "BONDPAD_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "SN_BBV_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "LINE_TO_TESTVIA_SPACING" "0.2000,0.2000,0.2000,0.2000,0.2000,0.2000" + ( attribute "SN_BONDPAD_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "SHAPE_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "SN_LINE_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "BBV_TO_BBV_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "SN_SHAPE_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "BONDPAD_TO_BBV_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "BBV_TO_LINE_SPACING" "0.2000,0.2000,0.2000,0.2000,0.2000,0.2000" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "BBV_TO_SHAPE_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "BONDPAD_TO_BONDPAD_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "BONDPAD_TO_LINE_SPACING" "0.2000,0.2000,0.2000,0.2000,0.2000,0.2000" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "BONDPAD_TO_SHAPE_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "LINE_TO_LINE_SPACING" "0.2570,0.2000,0.2000,0.2000,0.2000,0.2570" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "LINE_TO_SHAPE_SPACING" "0.2000,0.2000,0.2000,0.2000,0.2000,0.2000" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SHAPE_TO_SHAPE_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "HOLE_TO_PIN_SPACING" "0.2032,0.2032,0.2032,0.2032,0.2032,0.2032" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "HOLE_TO_VIA_SPACING" "0.2032,0.2032,0.2032,0.2032,0.2032,0.2032" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "HOLE_TO_LINE_SPACING" "0.2032,0.2032,0.2032,0.2032,0.2032,0.2032" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "HOLE_TO_SHAPE_SPACING" "0.2032,0.2032,0.2032,0.2032,0.2032,0.2032" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "HOLE_TO_HOLE_SPACING" "0.2032,0.2032,0.2032,0.2032,0.2032,0.2032" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "MVIA_TO_MVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "MVIA_TO_THRUPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "MVIA_TO_SMDPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "MVIA_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "MVIA_TO_BBV_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "MVIA_TO_LINE_SPACING" "0.2000,0.2000,0.2000,0.2000,0.2000,0.2000" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "MVIA_TO_SHAPE_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "MVIA_TO_TESTPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "MVIA_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "BONDPAD_TO_MVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "MIN_BVIA_GAP" "0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "BONDPAD_TO_BONDPAD_DIFFP_SPC" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( objectStatus "DEFAULT" ) - ) - ( sameNetSpacingCSet "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):\DEFAULT\" - ( attribute "SAME_NET" "FALSE,FALSE,FALSE,FALSE,FALSE,FALSE" - ( Origin gBackEnd ) - ) - ( attribute "SN_THRUPIN_TO_THRUPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_THRUPIN_TO_SMDPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_TESTPIN_TO_THRUPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_THRUPIN_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_TESTVIA_TO_THRUPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_BBV_TO_THRUPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_THRUPIN_TO_BONDPAD_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_LINE_TO_THRUPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_THRUPIN_TO_SHAPE_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_SMDPIN_TO_SMDPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_SMDPIN_TO_TESTPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_SMDPIN_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_SMDPIN_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_BBV_TO_SMDPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_SMDPIN_TO_BONDPAD_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_LINE_TO_SMDPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_SHAPE_TO_SMDPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_TESTPIN_TO_TESTPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_TESTPIN_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_TESTPIN_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_BBV_TO_TESTPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_BONDPAD_TO_TESTPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_LINE_TO_TESTPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_SHAPE_TO_TESTPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_THRUVIA_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_TESTVIA_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_BBV_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_BONDPAD_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_LINE_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_SHAPE_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_TESTVIA_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_BBV_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_BONDPAD_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_LINE_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_SHAPE_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_BBV_TO_BBV_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "SN_BBV_TO_BBV_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) @@ -37856,10 +35920,18 @@ ( objectFlag fObjectAlias ) ( objectStatus "page1_vm2<14>" ) ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(1)" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_vm2<1>" ) + ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(6)" ( objectFlag fObjectAlias ) ( objectStatus "page1_vm2<6>" ) ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(6)" + ( objectFlag fObjectAlias ) + ( objectStatus "vm2<6>" ) + ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(14)" ( objectFlag fObjectAlias ) ( objectStatus "vm2<14>" ) @@ -37892,10 +35964,6 @@ ( objectFlag fObjectAlias ) ( objectStatus "vm2<7>" ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(6)" - ( objectFlag fObjectAlias ) - ( objectStatus "vm2<6>" ) - ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(5)" ( objectFlag fObjectAlias ) ( objectStatus "vm2<5>" ) @@ -37904,17 +35972,13 @@ ( objectFlag fObjectAlias ) ( objectStatus "vm2<4>" ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(3)" - ( objectFlag fObjectAlias ) - ( objectStatus "vm2<3>" ) - ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(2)" ( objectFlag fObjectAlias ) ( objectStatus "vm2<2>" ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(1)" + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(3)" ( objectFlag fObjectAlias ) - ( objectStatus "page1_vm2<1>" ) + ( objectStatus "vm2<3>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(1)" ( objectFlag fObjectAlias ) @@ -38183,176 +36247,3927 @@ ( attribute "PL_COMMENTS" "-" ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(1700,2850)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "LT1129CST-5" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "LT1129CST-5_SOT223-LINEAR" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "VR1" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "VR1" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "VR1" ) + ( pin "gnd" + ( objectStatus "VR1.2" ) + ) + ( pin "tab" + ( objectStatus "VR1.4" ) + ) + ( pin "vin" + ( objectStatus "VR1.1" ) + ) + ( pin "vout" + ( objectStatus "VR1.3" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i52" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "0805" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "4.7UF" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "10V" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(1100,2750)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0805" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "C9" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C9" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "C9" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "C9.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "C9.2" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i54" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "0805" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "4.7UF" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "10V" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(1100,725)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0805" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "C10" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C10" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "C10" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "C10.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "C10.2" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i56" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "0805" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "4.7UF" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "10V" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(2475,2750)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0805" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "C12" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C12" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "C12" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "C12.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "C12.2" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i58" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "0805" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "4.7UF" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "10V" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(2450,675)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0805" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "C11" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C11" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "C11" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "C11.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "C11.2" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i66" + ( attribute "CDS_LIB" "cndiscrete" + ( Origin gPackager ) + ) + ( attribute "MODEL" "MURATA" + ( Origin gFrontEnd ) + ) + ( attribute "PACK_TYPE" "SMD" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "BLM41P800S" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(-3100,2375)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "FERRITE" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "FERRITE_SMD-BLM41P800S,MURATA" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "L1" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "L1" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "L1" ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i70" + ( attribute "CDS_LIB" "cnlinear" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "BGA" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "TYPE" "LTM8047EY#PBF" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(-725,1550)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "LTM8047" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "LTM8047EY#PBF" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "RG1" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "RG1" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "RG1" ) + ( pin "adj" + ( attribute "PN" "G7" + ( Origin gPackager ) + ) + ( objectStatus "RG1.G7" ) + ) + ( pin "bias" + ( attribute "PN" "H5" + ( Origin gPackager ) + ) + ( objectStatus "RG1.H5" ) + ) + ( pin "gnd(0)" + ( attribute "PN" "E1" + ( Origin gPackager ) + ) + ( objectStatus "RG1.E1" ) + ) + ( pin "gnd(1)" + ( attribute "PN" "E2" + ( Origin gPackager ) + ) + ( objectStatus "RG1.E2" ) + ) + ( pin "gnd(2)" + ( attribute "PN" "E3" + ( Origin gPackager ) + ) + ( objectStatus "RG1.E3" ) + ) + ( pin "gnd(3)" + ( attribute "PN" "E4" + ( Origin gPackager ) + ) + ( objectStatus "RG1.E4" ) + ) + ( pin "gnd(4)" + ( attribute "PN" "E5" + ( Origin gPackager ) + ) + ( objectStatus "RG1.E5" ) + ) + ( pin "gnd(5)" + ( attribute "PN" "E6" + ( Origin gPackager ) + ) + ( objectStatus "RG1.E6" ) + ) + ( pin "gnd(6)" + ( attribute "PN" "E7" + ( Origin gPackager ) + ) + ( objectStatus "RG1.E7" ) + ) + ( pin "gnd(7)" + ( attribute "PN" "F4" + ( Origin gPackager ) + ) + ( objectStatus "RG1.F4" ) + ) + ( pin "gnd(8)" + ( attribute "PN" "F5" + ( Origin gPackager ) + ) + ( objectStatus "RG1.F5" ) + ) + ( pin "gnd(9)" + ( attribute "PN" "F6" + ( Origin gPackager ) + ) + ( objectStatus "RG1.F6" ) + ) + ( pin "gnd(10)" + ( attribute "PN" "F7" + ( Origin gPackager ) + ) + ( objectStatus "RG1.F7" ) + ) + ( pin "gnd(11)" + ( attribute "PN" "G4" + ( Origin gPackager ) + ) + ( objectStatus "RG1.G4" ) + ) + ( pin "gnd(12)" + ( attribute "PN" "G5" + ( Origin gPackager ) + ) + ( objectStatus "RG1.G5" ) + ) + ( pin "gnd(13)" + ( attribute "PN" "G6" + ( Origin gPackager ) + ) + ( objectStatus "RG1.G6" ) + ) + ( pin "gnd(14)" + ( attribute "PN" "H4" + ( Origin gPackager ) + ) + ( objectStatus "RG1.H4" ) + ) + ( pin "gnd(15)" + ( attribute "PN" "H7" + ( Origin gPackager ) + ) + ( objectStatus "RG1.H7" ) + ) + ( pin "run" + ( attribute "PN" "F3" + ( Origin gPackager ) + ) + ( objectStatus "RG1.F3" ) + ) + ( pin "ss" + ( attribute "PN" "H6" + ( Origin gPackager ) + ) + ( objectStatus "RG1.H6" ) + ) + ( pin "vin(0)" + ( attribute "PN" "H1" + ( Origin gPackager ) + ) + ( objectStatus "RG1.H1" ) + ) + ( pin "vin(1)" + ( attribute "PN" "H2" + ( Origin gPackager ) + ) + ( objectStatus "RG1.H2" ) + ) + ( pin "vin(2)" + ( attribute "PN" "G1" + ( Origin gPackager ) + ) + ( objectStatus "RG1.G1" ) + ) + ( pin "vin(3)" + ( attribute "PN" "G2" + ( Origin gPackager ) + ) + ( objectStatus "RG1.G2" ) + ) + ( pin "vout(0)" + ( attribute "PN" "A6" + ( Origin gPackager ) + ) + ( objectStatus "RG1.A6" ) + ) + ( pin "vout(1)" + ( attribute "PN" "A7" + ( Origin gPackager ) + ) + ( objectStatus "RG1.A7" ) + ) + ( pin "vout(2)" + ( attribute "PN" "B6" + ( Origin gPackager ) + ) + ( objectStatus "RG1.B6" ) + ) + ( pin "vout(3)" + ( attribute "PN" "B7" + ( Origin gPackager ) + ) + ( objectStatus "RG1.B7" ) + ) + ( pin "vout(4)" + ( attribute "PN" "C6" + ( Origin gPackager ) + ) + ( objectStatus "RG1.C6" ) + ) + ( pin "vout(5)" + ( attribute "PN" "C7" + ( Origin gPackager ) + ) + ( objectStatus "RG1.C7" ) + ) + ( pin "\vout-\(0)" + ( attribute "PN" "A1" + ( Origin gPackager ) + ) + ( objectStatus "RG1.A1" ) + ) + ( pin "\vout-\(1)" + ( attribute "PN" "A2" + ( Origin gPackager ) + ) + ( objectStatus "RG1.A2" ) + ) + ( pin "\vout-\(2)" + ( attribute "PN" "A3" + ( Origin gPackager ) + ) + ( objectStatus "RG1.A3" ) + ) + ( pin "\vout-\(3)" + ( attribute "PN" "A4" + ( Origin gPackager ) + ) + ( objectStatus "RG1.A4" ) + ) + ( pin "\vout-\(4)" + ( attribute "PN" "A5" + ( Origin gPackager ) + ) + ( objectStatus "RG1.A5" ) + ) + ( pin "\vout-\(5)" + ( attribute "PN" "B1" + ( Origin gPackager ) + ) + ( objectStatus "RG1.B1" ) + ) + ( pin "\vout-\(6)" + ( attribute "PN" "B2" + ( Origin gPackager ) + ) + ( objectStatus "RG1.B2" ) + ) + ( pin "\vout-\(7)" + ( attribute "PN" "B3" + ( Origin gPackager ) + ) + ( objectStatus "RG1.B3" ) + ) + ( pin "\vout-\(8)" + ( attribute "PN" "B4" + ( Origin gPackager ) + ) + ( objectStatus "RG1.B4" ) + ) + ( pin "\vout-\(9)" + ( attribute "PN" "B5" + ( Origin gPackager ) + ) + ( objectStatus "RG1.B5" ) + ) + ( pin "\vout-\(10)" + ( attribute "PN" "C1" + ( Origin gPackager ) + ) + ( objectStatus "RG1.C1" ) + ) + ( pin "\vout-\(11)" + ( attribute "PN" "C2" + ( Origin gPackager ) + ) + ( objectStatus "RG1.C2" ) + ) + ( pin "\vout-\(12)" + ( attribute "PN" "C3" + ( Origin gPackager ) + ) + ( objectStatus "RG1.C3" ) + ) + ( pin "\vout-\(13)" + ( attribute "PN" "C4" + ( Origin gPackager ) + ) + ( objectStatus "RG1.C4" ) + ) + ( pin "\vout-\(14)" + ( attribute "PN" "C5" + ( Origin gPackager ) + ) + ( objectStatus "RG1.C5" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i72" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "1210" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "4.7UF" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "50V" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(-1825,1525)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C5" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "C5" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "C5" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "C5.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "C5.2" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i74" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "DIST" "FLAT" + ( Origin gFrontEnd ) + ) + ( attribute "MAX_TEMP" "RTMAX" + ( Origin gFrontEnd ) + ) + ( attribute "NEGTOL" "RTOL%" + ( Origin gFrontEnd ) + ) + ( attribute "PACK_TYPE" "1/10W" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "POSTOL" "RTOL%" + ( Origin gFrontEnd ) + ) + ( attribute "POWER" "RMAX" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SLOPE" "RSMAX" + ( Origin gFrontEnd ) + ) + ( attribute "TC1" "RTMPL" + ( Origin gFrontEnd ) + ) + ( attribute "TC2" "RTMPQ" + ( Origin gFrontEnd ) + ) + ( attribute "TOL" "1%" + ( Origin gFrontEnd ) + ) + ( attribute "TOL_ON_OFF" "ON" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "6.19K" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "2" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "RVMAX" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(-1300,1250)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-6.19K,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R2" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "R2" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "R2" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "R2.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "R2.2" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i79" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "1210" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "4.7UF" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "50V" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(-3550,2075)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C1" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "C1" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "C1" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "C1.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "C1.2" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i81" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "1210" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "4.7UF" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "50V" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(-2725,2200)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C2" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "C2" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "C2" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "C2.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "C2.2" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i82" + ( attribute "CDS_LIB" "cnlinear" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "BGA" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "TYPE" "LTM8047EY#PBF" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(-700,2700)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "LTM8047" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "LTM8047EY#PBF" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "RG2" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "RG2" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "RG2" ) + ( pin "adj" + ( attribute "PN" "G7" + ( Origin gPackager ) + ) + ( objectStatus "RG2.G7" ) + ) + ( pin "bias" + ( attribute "PN" "H5" + ( Origin gPackager ) + ) + ( objectStatus "RG2.H5" ) + ) + ( pin "gnd(0)" + ( attribute "PN" "E1" + ( Origin gPackager ) + ) + ( objectStatus "RG2.E1" ) + ) + ( pin "gnd(1)" + ( attribute "PN" "E2" + ( Origin gPackager ) + ) + ( objectStatus "RG2.E2" ) + ) + ( pin "gnd(2)" + ( attribute "PN" "E3" + ( Origin gPackager ) + ) + ( objectStatus "RG2.E3" ) + ) + ( pin "gnd(3)" + ( attribute "PN" "E4" + ( Origin gPackager ) + ) + ( objectStatus "RG2.E4" ) + ) + ( pin "gnd(4)" + ( attribute "PN" "E5" + ( Origin gPackager ) + ) + ( objectStatus "RG2.E5" ) + ) + ( pin "gnd(5)" + ( attribute "PN" "E6" + ( Origin gPackager ) + ) + ( objectStatus "RG2.E6" ) + ) + ( pin "gnd(6)" + ( attribute "PN" "E7" + ( Origin gPackager ) + ) + ( objectStatus "RG2.E7" ) + ) + ( pin "gnd(7)" + ( attribute "PN" "F4" + ( Origin gPackager ) + ) + ( objectStatus "RG2.F4" ) + ) + ( pin "gnd(8)" + ( attribute "PN" "F5" + ( Origin gPackager ) + ) + ( objectStatus "RG2.F5" ) + ) + ( pin "gnd(9)" + ( attribute "PN" "F6" + ( Origin gPackager ) + ) + ( objectStatus "RG2.F6" ) + ) + ( pin "gnd(10)" + ( attribute "PN" "F7" + ( Origin gPackager ) + ) + ( objectStatus "RG2.F7" ) + ) + ( pin "gnd(11)" + ( attribute "PN" "G4" + ( Origin gPackager ) + ) + ( objectStatus "RG2.G4" ) + ) + ( pin "gnd(12)" + ( attribute "PN" "G5" + ( Origin gPackager ) + ) + ( objectStatus "RG2.G5" ) + ) + ( pin "gnd(13)" + ( attribute "PN" "G6" + ( Origin gPackager ) + ) + ( objectStatus "RG2.G6" ) + ) + ( pin "gnd(14)" + ( attribute "PN" "H4" + ( Origin gPackager ) + ) + ( objectStatus "RG2.H4" ) + ) + ( pin "gnd(15)" + ( attribute "PN" "H7" + ( Origin gPackager ) + ) + ( objectStatus "RG2.H7" ) + ) + ( pin "run" + ( attribute "PN" "F3" + ( Origin gPackager ) + ) + ( objectStatus "RG2.F3" ) + ) + ( pin "ss" + ( attribute "PN" "H6" + ( Origin gPackager ) + ) + ( objectStatus "RG2.H6" ) + ) + ( pin "vin(0)" + ( attribute "PN" "H1" + ( Origin gPackager ) + ) + ( objectStatus "RG2.H1" ) + ) + ( pin "vin(1)" + ( attribute "PN" "H2" + ( Origin gPackager ) + ) + ( objectStatus "RG2.H2" ) + ) + ( pin "vin(2)" + ( attribute "PN" "G1" + ( Origin gPackager ) + ) + ( objectStatus "RG2.G1" ) + ) + ( pin "vin(3)" + ( attribute "PN" "G2" + ( Origin gPackager ) + ) + ( objectStatus "RG2.G2" ) + ) + ( pin "vout(0)" + ( attribute "PN" "A6" + ( Origin gPackager ) + ) + ( objectStatus "RG2.A6" ) + ) + ( pin "vout(1)" + ( attribute "PN" "A7" + ( Origin gPackager ) + ) + ( objectStatus "RG2.A7" ) + ) + ( pin "vout(2)" + ( attribute "PN" "B6" + ( Origin gPackager ) + ) + ( objectStatus "RG2.B6" ) + ) + ( pin "vout(3)" + ( attribute "PN" "B7" + ( Origin gPackager ) + ) + ( objectStatus "RG2.B7" ) + ) + ( pin "vout(4)" + ( attribute "PN" "C6" + ( Origin gPackager ) + ) + ( objectStatus "RG2.C6" ) + ) + ( pin "vout(5)" + ( attribute "PN" "C7" + ( Origin gPackager ) + ) + ( objectStatus "RG2.C7" ) + ) + ( pin "\vout-\(0)" + ( attribute "PN" "A1" + ( Origin gPackager ) + ) + ( objectStatus "RG2.A1" ) + ) + ( pin "\vout-\(1)" + ( attribute "PN" "A2" + ( Origin gPackager ) + ) + ( objectStatus "RG2.A2" ) + ) + ( pin "\vout-\(2)" + ( attribute "PN" "A3" + ( Origin gPackager ) + ) + ( objectStatus "RG2.A3" ) + ) + ( pin "\vout-\(3)" + ( attribute "PN" "A4" + ( Origin gPackager ) + ) + ( objectStatus "RG2.A4" ) + ) + ( pin "\vout-\(4)" + ( attribute "PN" "A5" + ( Origin gPackager ) + ) + ( objectStatus "RG2.A5" ) + ) + ( pin "\vout-\(5)" + ( attribute "PN" "B1" + ( Origin gPackager ) + ) + ( objectStatus "RG2.B1" ) + ) + ( pin "\vout-\(6)" + ( attribute "PN" "B2" + ( Origin gPackager ) + ) + ( objectStatus "RG2.B2" ) + ) + ( pin "\vout-\(7)" + ( attribute "PN" "B3" + ( Origin gPackager ) + ) + ( objectStatus "RG2.B3" ) + ) + ( pin "\vout-\(8)" + ( attribute "PN" "B4" + ( Origin gPackager ) + ) + ( objectStatus "RG2.B4" ) + ) + ( pin "\vout-\(9)" + ( attribute "PN" "B5" + ( Origin gPackager ) + ) + ( objectStatus "RG2.B5" ) + ) + ( pin "\vout-\(10)" + ( attribute "PN" "C1" + ( Origin gPackager ) + ) + ( objectStatus "RG2.C1" ) + ) + ( pin "\vout-\(11)" + ( attribute "PN" "C2" + ( Origin gPackager ) + ) + ( objectStatus "RG2.C2" ) + ) + ( pin "\vout-\(12)" + ( attribute "PN" "C3" + ( Origin gPackager ) + ) + ( objectStatus "RG2.C3" ) + ) + ( pin "\vout-\(13)" + ( attribute "PN" "C4" + ( Origin gPackager ) + ) + ( objectStatus "RG2.C4" ) + ) + ( pin "\vout-\(14)" + ( attribute "PN" "C5" + ( Origin gPackager ) + ) + ( objectStatus "RG2.C5" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i83" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "1210" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "4.7UF" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "50V" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(-1800,2675)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C6" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "C6" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "C6" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "C6.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "C6.2" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i85" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "DIST" "FLAT" + ( Origin gFrontEnd ) + ) + ( attribute "MAX_TEMP" "RTMAX" + ( Origin gFrontEnd ) + ) + ( attribute "NEGTOL" "RTOL%" + ( Origin gFrontEnd ) + ) + ( attribute "PACK_TYPE" "1/10W" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "POSTOL" "RTOL%" + ( Origin gFrontEnd ) + ) + ( attribute "POWER" "RMAX" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SLOPE" "RSMAX" + ( Origin gFrontEnd ) + ) + ( attribute "TC1" "RTMPL" + ( Origin gFrontEnd ) + ) + ( attribute "TC2" "RTMPQ" + ( Origin gFrontEnd ) + ) + ( attribute "TOL" "1%" + ( Origin gFrontEnd ) + ) + ( attribute "TOL_ON_OFF" "ON" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "6.19K" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "2" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "RVMAX" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(-1275,2400)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-6.19K,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R3" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "R3" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "R3" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "R3.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "R3.2" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i88" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "1210" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "22UF" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(250,650)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C8" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "C8" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "C8" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "C8.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "C8.2" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i89" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "1210" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "22UF" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(250,2675)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C7" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "C7" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "C7" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "C7.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "C7.2" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i142" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "1210" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "4.7UF" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "50V" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(-2150,2675)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C3" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "C3" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "C3" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "C3.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "C3.2" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i144" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "1210" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "4.7UF" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "50V" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(-2150,1525)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C4" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "C4" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "C4" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "C4.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "C4.2" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i146" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "DIST" "FLAT" + ( Origin gFrontEnd ) + ) + ( attribute "MAX_TEMP" "RTMAX" + ( Origin gFrontEnd ) + ) + ( attribute "NEGTOL" "RTOL%" + ( Origin gFrontEnd ) + ) + ( attribute "PACK_TYPE" "1/10W" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "POSTOL" "RTOL%" + ( Origin gFrontEnd ) + ) + ( attribute "POWER" "RMAX" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SLOPE" "RSMAX" + ( Origin gFrontEnd ) + ) + ( attribute "TC1" "RTMPL" + ( Origin gFrontEnd ) + ) + ( attribute "TC2" "RTMPQ" + ( Origin gFrontEnd ) + ) + ( attribute "TOL" "1%" + ( Origin gFrontEnd ) + ) + ( attribute "TOL_ON_OFF" "ON" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "3.3" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "2" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "RVMAX" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(-2725,1900)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-3.3,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R1" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "R1" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "R1" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "R1.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "R1.2" ) + ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1" + ( memberType ( signal ) ) + ( alias ( busRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(0)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(1)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(2)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(3)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(4)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(5)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(6)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(7)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(8)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(9)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(10)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(11)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(12)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(13)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(14)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):gnd_signal") ) + ( objectStatus "VM1" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1" + ( memberType ( signal ) ) + ( objectFlag fObjectAlias ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(0)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(1)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(2)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(3)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(4)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(5)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(6)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(7)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(8)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(9)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(10)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(11)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(12)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(13)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(14)") ) + ( objectStatus "PAGE1_VM1" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2" + ( memberType ( signal ) ) + ( alias ( busRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2") ) + ( attribute "BUS_NAME" "VM2" + ( Origin gPackager ) + ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(0)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(1)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(2)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(3)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(4)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(5)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(6)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(7)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(8)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(9)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(10)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(11)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(12)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(13)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(14)") ) + ( objectStatus "VM2" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2" + ( memberType ( signal ) ) + ( objectFlag fObjectAlias ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(0)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(1)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(2)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(3)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(4)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(5)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(6)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(7)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(8)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(9)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(10)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(11)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(12)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(13)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(14)") ) + ( objectStatus "PAGE1_VM2" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1" + ( memberType ( signal ) ) + ( alias ( busRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1") ) + ( attribute "BUS_NAME" "VP1" + ( Origin gPackager ) + ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(0)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(1)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(2)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(3)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(4)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(5)") ) + ( objectStatus "VP1" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1" + ( memberType ( signal ) ) + ( objectFlag fObjectAlias ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1(0)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1(1)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1(2)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1(3)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1(4)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1(5)") ) + ( objectStatus "PAGE1_VP1" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2" + ( memberType ( signal ) ) + ( alias ( busRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp2") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2(0)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2(1)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2(2)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2(3)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2(4)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2(5)") ) + ( objectStatus "VP2" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp2" + ( memberType ( signal ) ) + ( objectFlag fObjectAlias ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp2(0)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp2(1)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp2(2)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp2(3)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp2(4)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp2(5)") ) + ( objectStatus "PAGE1_VP2" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vi" + ( memberType ( signal ) ) + ( alias ( busRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vi") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vi(0)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vi(1)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vi(2)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vi(3)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vin_filtered") ) + ( objectStatus "VI" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vi" + ( memberType ( signal ) ) + ( objectFlag fObjectAlias ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vi(0)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vi(1)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vi(2)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vi(3)") ) + ( objectStatus "PAGE1_VI" ) + ) + ) + ) + ( EndBaseCopy ) + ) + ( BaseCopy "fmc_tlu_hdmi_dut_connector" + ( constraintHeader + ( revisionNumber + ( logicalViewRevNum 11 ) + ( physicalViewRevNum 0 ) + ( otherViewRevNum 0 ) + ) + ( contents + ( electricalConstraints ) + ( netClasses ) + ( properties ) + ) + ) + ( designConstraints + ( ruleChanges + ( allRules ) + ( design "fmc_tlu_hdmi_dut_connector" + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):sig2_p" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):sig2_n" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):sig1_p" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):sig1_n" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):sig0_p" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):sig0_n" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):gnd_signal" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_gnd_signal") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):gnd_signal") ) + ( objectStatus "gnd_signal" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_gnd_signal" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_gnd_signal" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):gnd_signal" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):vclamp" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_p3v3" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_p3v3" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):busy_from_fpga" + ( objectStatus "busy_from_fpga" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):clk_from_fpga" + ( objectStatus "clk_from_fpga" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):p3v3" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_p3v3") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):vclamp") ) + ( attribute "PHYS_NET_NAME" "P3V3" + ( Origin gPackager ) + ) + ( objectStatus "p3v3" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):spare_from_fpga" + ( objectStatus "spare_from_fpga" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):p5v" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_p5v") ) + ( objectStatus "p5v" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_p5v" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_p5v" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):sig4_n" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):sig4_p" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):sig3_p" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):enable_spare_from_fpga" + ( objectStatus "enable_spare_from_fpga" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_commonmodelinefilter_i80_2" + ( objectStatus "unnamed_1_commonmodelinefilter_i80_2" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_commonmodelinefilter_i80_1" + ( objectStatus "unnamed_1_commonmodelinefilter_i80_1" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_capcersmdcl2_i8_b" + ( objectStatus "unnamed_1_capcersmdcl2_i8_b" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_capcersmdcl2_i9_b" + ( objectStatus "unnamed_1_capcersmdcl2_i9_b" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):enable_clk_to_dut" + ( objectStatus "enable_clk_to_dut" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_capn4i_i83_a" + ( objectStatus "unnamed_1_capn4i_i83_a" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):sig3_n" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):busy" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):sig2_n") ) + ( attribute "PHYS_NET_NAME" "BUSY" + ( Origin gPackager ) + ) + ( objectStatus "busy" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):\busy*\" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):sig2_p") ) + ( attribute "PHYS_NET_NAME" "BUSY*" + ( Origin gPackager ) + ) + ( objectStatus "busy*" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):clk" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):sig1_n") ) + ( attribute "PHYS_NET_NAME" "CLK" + ( Origin gPackager ) + ) + ( objectStatus "clk" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):\clk*\" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):sig1_p") ) + ( attribute "PHYS_NET_NAME" "CLK*" + ( Origin gPackager ) + ) + ( objectStatus "clk*" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):cont" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):sig3_p") ) + ( attribute "PHYS_NET_NAME" "CONT" + ( Origin gPackager ) + ) + ( objectStatus "cont" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):\cont*\" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):sig3_n") ) + ( attribute "PHYS_NET_NAME" "CONT*" + ( Origin gPackager ) + ) + ( objectStatus "cont*" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):spare" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):sig0_p") ) + ( attribute "PHYS_NET_NAME" "SPARE" + ( Origin gPackager ) + ) + ( objectStatus "spare" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):\spare*\" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):sig0_n") ) + ( attribute "PHYS_NET_NAME" "SPARE*" + ( Origin gPackager ) + ) + ( objectStatus "spare*" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):trig" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):sig4_p") ) + ( attribute "PHYS_NET_NAME" "TRIG" + ( Origin gPackager ) + ) + ( objectStatus "trig" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):\trig*\" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):sig4_n") ) + ( attribute "PHYS_NET_NAME" "TRIG*" + ( Origin gPackager ) + ) + ( objectStatus "trig*" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):clk_to_dut" + ( objectStatus "clk_to_dut" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):\clk_to_dut*\" + ( objectStatus "clk_to_dut*" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):hdmi_power" + ( objectStatus "hdmi_power" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):hdmi_power_enable" + ( objectStatus "hdmi_power_enable" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):busy_to_fpga" + ( objectStatus "busy_to_fpga" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_capcersmdcl2_i88_a" + ( objectStatus "unnamed_1_capcersmdcl2_i88_a" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):trig_to_fpga" + ( objectStatus "trig_to_fpga" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):clk_to_fpga" + ( objectStatus "clk_to_fpga" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):cont_from_fpga" + ( objectStatus "cont_from_fpga" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):gnd_hdmi" + ( objectStatus "gnd_hdmi" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):enable_busy_from_fpga" + ( objectStatus "enable_busy_from_fpga" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):enable_clk_from_fpga" + ( objectStatus "enable_clk_from_fpga" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):enable_cont_from_fpga" + ( objectStatus "enable_cont_from_fpga" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):enable_trig_from_fpga" + ( objectStatus "enable_trig_from_fpga" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):hdmi_clk" + ( objectStatus "hdmi_clk" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):\hdmi_clk*\" + ( objectStatus "hdmi_clk*" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):spare_to_fpga" + ( objectStatus "spare_to_fpga" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):trig_from_fpga" + ( objectStatus "trig_from_fpga" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):cont_to_fpga" + ( objectStatus "cont_to_fpga" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_capn4i_i112_a" + ( objectStatus "unnamed_1_capn4i_i112_a" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_capn4i_i124_a" + ( objectStatus "unnamed_1_capn4i_i124_a" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_capn4i_i136_a" + ( objectStatus "unnamed_1_capn4i_i136_a" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_capn4i_i148_a" + ( objectStatus "unnamed_1_capn4i_i148_a" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_commonmodelinefilter_i109_1" + ( objectStatus "unnamed_1_commonmodelinefilter_i109_1" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_commonmodelinefilter_i109_2" + ( objectStatus "unnamed_1_commonmodelinefilter_i109_2" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_commonmodelinefilter_i121_1" + ( objectStatus "unnamed_1_commonmodelinefilter_i121_1" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_commonmodelinefilter_i121_2" + ( objectStatus "unnamed_1_commonmodelinefilter_i121_2" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_commonmodelinefilter_i133_1" + ( objectStatus "unnamed_1_commonmodelinefilter_i133_1" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_commonmodelinefilter_i133_2" + ( objectStatus "unnamed_1_commonmodelinefilter_i133_2" ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i2" + ( attribute "CDS_LIB" "cnconnector" + ( Origin gPackager ) + ) + ( attribute "CDS_LMAN_SYM_OUTLINE" "0,25,150,-475" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "J1" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "J1" + ( Origin gPackager ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "TYPE" "HDMI-19-01-X-SM" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(3550,875)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CON19P" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "CON19P-HDMI-19-01-X-SM-GND=GNDA" + ( Origin gPackager ) + ) + ( objectStatus "PAGE1_I2" ) + ( pin "a(0)" + ( attribute "PN" "#" + ( Origin gPackager ) + ) + ) + ( pin "a(1)" + ( attribute "PN" "#" + ( Origin gPackager ) + ) + ) + ( pin "a(2)" + ( attribute "PN" "#" + ( Origin gPackager ) + ) + ) + ( pin "a(3)" + ( attribute "PN" "#" + ( Origin gPackager ) + ) + ) + ( pin "a(4)" + ( attribute "PN" "#" + ( Origin gPackager ) + ) + ) + ( pin "a(5)" + ( attribute "PN" "#" + ( Origin gPackager ) + ) + ) + ( pin "a(6)" + ( attribute "PN" "#" + ( Origin gPackager ) + ) + ) + ( pin "a(7)" + ( attribute "PN" "#" + ( Origin gPackager ) + ) + ) + ( pin "a(8)" + ( attribute "PN" "#" + ( Origin gPackager ) + ) + ) + ( pin "a(9)" + ( attribute "PN" "#" + ( Origin gPackager ) + ) + ) + ( pin "a(10)" + ( attribute "PN" "#" + ( Origin gPackager ) + ) + ) + ( pin "a(11)" + ( attribute "PN" "#" + ( Origin gPackager ) + ) + ) + ( pin "a(12)" + ( attribute "PN" "#" + ( Origin gPackager ) + ) + ) + ( pin "a(13)" + ( attribute "PN" "#" + ( Origin gPackager ) + ) + ) + ( pin "a(14)" + ( attribute "PN" "#" + ( Origin gPackager ) + ) + ) + ( pin "a(15)" + ( attribute "PN" "#" + ( Origin gPackager ) + ) + ) + ( pin "a(16)" + ( attribute "PN" "#" + ( Origin gPackager ) + ) + ) + ( pin "a(17)" + ( attribute "PN" "#" + ( Origin gPackager ) + ) + ) + ( pin "a(18)" + ( attribute "PN" "#" + ( Origin gPackager ) + ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i8" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C9" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "C9" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "0603" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "100NF" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(5625,675)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( Origin gPackager ) + ) + ( objectStatus "PAGE1_I8" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i9" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C8" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "C8" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "0603" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "100NF" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(5625,875)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( Origin gPackager ) + ) + ( objectStatus "PAGE1_I9" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i10" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R11" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "DIST" "FLAT" + ( Origin gFrontEnd ) + ) + ( attribute "LOCATION" "R11" + ( Origin gPackager ) + ) + ( attribute "MAX_TEMP" "RTMAX" + ( Origin gFrontEnd ) + ) + ( attribute "NEGTOL" "RTOL%" + ( Origin gFrontEnd ) + ) + ( attribute "PACK_TYPE" "1/10W" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "POSTOL" "RTOL%" + ( Origin gFrontEnd ) + ) + ( attribute "POWER" "RMAX" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SLOPE" "RSMAX" + ( Origin gFrontEnd ) + ) + ( attribute "TC1" "RTMPL" + ( Origin gFrontEnd ) + ) + ( attribute "TC2" "RTMPQ" + ( Origin gFrontEnd ) + ) + ( attribute "TOL" "1%" + ( Origin gFrontEnd ) + ) + ( attribute "TOL_ON_OFF" "ON" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "51" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "2" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "RVMAX" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(5925,875)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-51,1%" + ( Origin gPackager ) + ) + ( objectStatus "PAGE1_I10" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i11" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R12" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "DIST" "FLAT" + ( Origin gFrontEnd ) + ) + ( attribute "LOCATION" "R12" + ( Origin gPackager ) + ) + ( attribute "MAX_TEMP" "RTMAX" + ( Origin gFrontEnd ) + ) + ( attribute "NEGTOL" "RTOL%" + ( Origin gFrontEnd ) + ) + ( attribute "PACK_TYPE" "1/10W" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "POSTOL" "RTOL%" + ( Origin gFrontEnd ) + ) + ( attribute "POWER" "RMAX" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SLOPE" "RSMAX" + ( Origin gFrontEnd ) + ) + ( attribute "TC1" "RTMPL" + ( Origin gFrontEnd ) + ) + ( attribute "TC2" "RTMPQ" + ( Origin gFrontEnd ) + ) + ( attribute "TOL" "1%" + ( Origin gFrontEnd ) + ) + ( attribute "TOL_ON_OFF" "ON" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "51" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "2" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "RVMAX" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(5925,675)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-51,1%" + ( Origin gPackager ) + ) + ( objectStatus "PAGE1_I11" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i88" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C1" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "C1" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "0603" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "100NF" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(5800,-1450)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( Origin gPackager ) + ) + ( objectStatus "PAGE1_I88" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i87" + ( attribute "CDS_LIB" "cninterface" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "LLP" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "2" + ( Origin gFrontEnd ) + ) + ( attribute "TYPE" "DS92001TLD" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(3050,-1225)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "DS92001" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "DS92001TLD-GND=GND_SIGNAL;VCC=A" + ( Origin gPackager ) + ) + ( objectStatus "PAGE1_I87" ) + ( pin "en" + ) + ( pin "\in+\" + ) + ( pin "\in-\" + ) + ( pin "\out+\" + ) + ( pin "\out-\" + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i85" + ( attribute "CDS_LIB" "cnlinear" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "SOT223" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "TYPE" "TPS78633DCQ" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(5375,-1675)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "TPS786XX" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "TPS78633DCQ" + ( Origin gPackager ) + ) + ( objectStatus "PAGE1_I85" ) + ( pin "en" + ) + ( pin "fb" + ) + ( pin "gnd(0)" + ) + ( pin "gnd(1)" + ) + ( pin "\in\" + ) + ( pin "\out\" + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i83" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "DIELECTRIC" "X5R" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "TYPE" "GNM21" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "1UF" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(-200,825)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPN4I" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "CAPN4I-1UF,16V,X5R,GNM21" + ( Origin gPackager ) + ) + ( objectStatus "PAGE1_I83" ) + ( pin "a(0)" + ) + ( pin "b(0)" + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i82" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "1206_TC164" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "TOL" "1%" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "47" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(-475,775)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "RES_ARRAY_X4" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "RES_ARRAY_X4_1206_TC164-47,1%" + ( Origin gPackager ) + ) + ( objectStatus "PAGE1_I82" ) + ( pin "a(0)" + ) + ( pin "b(0)" + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i81" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "1206_TC164" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "TOL" "1%" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "47" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(-625,875)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "RES_ARRAY_X4" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "RES_ARRAY_X4_1206_TC164-47,1%" + ( Origin gPackager ) + ) + ( objectStatus "PAGE1_I81" ) + ( pin "a(0)" + ) + ( pin "b(0)" + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i106" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "DIST" "FLAT" + ( Origin gFrontEnd ) + ) + ( attribute "MAX_TEMP" "RTMAX" + ( Origin gFrontEnd ) + ) + ( attribute "NEGTOL" "RTOL%" + ( Origin gFrontEnd ) + ) + ( attribute "PACK_TYPE" "1/10W" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "POSTOL" "RTOL%" + ( Origin gFrontEnd ) + ) + ( attribute "POWER" "RMAX" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SLOPE" "RSMAX" + ( Origin gFrontEnd ) + ) + ( attribute "TC1" "RTMPL" + ( Origin gFrontEnd ) + ) + ( attribute "TC2" "RTMPQ" + ( Origin gFrontEnd ) + ) + ( attribute "TOL" "1%" + ( Origin gFrontEnd ) + ) + ( attribute "TOL_ON_OFF" "ON" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "100" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "RVMAX" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(3475,-1075)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-100,1%" + ( Origin gPackager ) + ) + ( objectStatus "PAGE1_I106" ) + ( pin "a(0)" + ) + ( pin "b(0)" + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i80" + ( attribute "CDS_LIB" "cndiscrete" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "4312" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "TYPE" "744231091" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "90OHM" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(-1025,825)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "COMMON_MODE_LINE_FILTER" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "COMMON_MODE_LINE_FILTER_4312-7A" + ( Origin gPackager ) + ) + ( objectStatus "PAGE1_I80" ) + ( pin "\1\(0)" + ) + ( pin "\1dot\(0)" + ) + ( pin "\2\(0)" + ) + ( pin "\2dot\(0)" + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i79" + ( attribute "CDS_LIB" "cninterface" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "VQFN" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "2" + ( Origin gFrontEnd ) + ) + ( attribute "TYPE" "SN65MLVD040RGZ" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(475,800)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "SN65MLVD040" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "SN65MLVD040RGZ-GND=GND_SIGNAL;A" + ( Origin gPackager ) + ) + ( objectStatus "PAGE1_I79" ) + ( pin "a(0)" + ) + ( pin "\b*\(0)" + ) + ( pin "d(0)" + ) + ( pin "de(0)" + ) + ( pin "fsen(0)" + ) + ( pin "pdn" + ) + ( pin "r(0)" + ) + ( pin "\re*\(0)" + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78" + ( attribute "CHIPS_PART_NAME" "CON8P" + ( Origin gPackager ) + ) + ( pin "sig0_n" + ) + ( pin "sig0_p" + ) + ( pin "sig1_n" + ) + ( pin "sig1_p" + ) + ( pin "sig2_n" + ) + ( pin "sig2_p" + ) + ( pin "sig3_n" + ) + ( pin "sig3_p" + ) + ( pin "sig4_n" + ) + ( pin "sig4_p" + ) + ( pin "vclamp" + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i40" + ( attribute "CHIPS_PART_NAME" "74LVC1G07" + ( Origin gPackager ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i3" + ( attribute "CHIPS_PART_NAME" "CON19P" + ( Origin gPackager ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):page1_i67" + ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "0603" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "100NF" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(5975,0)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( Origin gPackager ) + ) + ( pin "a(0)" + ( attribute "PN" "#" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "page1_i67.a<0>" ) + ) + ( pin "b(0)" + ( attribute "PN" "#" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "page1_i67.b<0>" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):page1_i70" + ( attribute "CDS_LIB" "cndiscrete" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "LOCATION" "D3" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "SOT23" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "TYPE" "USBLC6-2SC6" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(5025,175)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "USBLC6-2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "USBLC6-2SC6" + ( Origin gPackager ) + ) + ( pin "gnd" + ( objectStatus "D3.gnd" ) + ) + ( pin "\i/o1\(0)" + ( objectStatus "D3.i/o1<0>" ) + ) + ( pin "\i/o1\(1)" + ( objectStatus "D3.i/o1<1>" ) + ) + ( pin "\i/o2\(0)" + ( objectStatus "D3.i/o2<0>" ) + ) + ( pin "\i/o2\(1)" + ( objectStatus "D3.i/o2<1>" ) + ) + ( pin "vbus" + ( objectStatus "D3.vbus" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):page1_i58" + ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "0603" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "100NF" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(2575,-1875)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( Origin gPackager ) + ) + ( pin "a(0)" + ( attribute "PN" "#" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "page1_i58.a<0>" ) + ) + ( pin "b(0)" + ( attribute "PN" "#" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "page1_i58.b<0>" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):page1_i56" + ( attribute "CDS_LIB" "cndiscrete" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "SC88" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "TYPE" "PRTR5V0U4Y" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(1050,-2450)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "PRTR5V0U4Y" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "PRTR5V0U4Y" + ( Origin gPackager ) + ) + ( pin "esd1" + ( objectStatus "page1_i56.esd1" ) + ) + ( pin "esd2" + ( objectStatus "page1_i56.esd2" ) + ) + ( pin "esd3" + ( objectStatus "page1_i56.esd3" ) + ) + ( pin "esd4" + ( objectStatus "page1_i56.esd4" ) + ) + ( pin "gnd" + ( objectStatus "page1_i56.gnd" ) + ) + ( pin "vcc" + ( objectStatus "page1_i56.vcc" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):page1_i55" + ( attribute "CDS_LIB" "cndiscrete" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "SC88" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "TYPE" "PRTR5V0U4Y" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(1025,-250)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "PRTR5V0U4Y" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "PRTR5V0U4Y" + ( Origin gPackager ) + ) + ( pin "esd1" + ( objectStatus "page1_i55.esd1" ) + ) + ( pin "esd2" + ( objectStatus "page1_i55.esd2" ) + ) + ( pin "esd3" + ( objectStatus "page1_i55.esd3" ) + ) + ( pin "esd4" + ( objectStatus "page1_i55.esd4" ) + ) + ( pin "gnd" + ( objectStatus "page1_i55.gnd" ) + ) + ( pin "vcc" + ( objectStatus "page1_i55.vcc" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i65" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "TP11" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "HOLE" + ( Origin gFrontEnd ) + ) + ( attribute "PART_NAME" "TP" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "0.8MM" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(4500,475)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "TP" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( Origin gPackager ) + ) + ( objectStatus "PAGE1_I65" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i66" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "TP12" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "HOLE" + ( Origin gFrontEnd ) + ) + ( attribute "PART_NAME" "TP" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "0.8MM" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(4500,375)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "TP" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( Origin gPackager ) + ) + ( objectStatus "PAGE1_I66" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i67" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "TP4" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "HOLE" + ( Origin gFrontEnd ) + ) + ( attribute "PART_NAME" "TP" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "0.8MM" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(3050,-425)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "TP" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( Origin gPackager ) + ) + ( objectStatus "PAGE1_I67" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i68" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "TP9" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "HOLE" + ( Origin gFrontEnd ) + ) + ( attribute "PART_NAME" "TP" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "0.8MM" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(4150,475)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "TP" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( Origin gPackager ) + ) + ( objectStatus "PAGE1_I68" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i69" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "TP10" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "HOLE" + ( Origin gFrontEnd ) + ) + ( attribute "PART_NAME" "TP" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "0.8MM" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(4150,375)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "TP" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( Origin gPackager ) + ) + ( objectStatus "PAGE1_I69" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i70" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "TP3" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "HOLE" + ( Origin gFrontEnd ) + ) + ( attribute "PART_NAME" "TP" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "0.8MM" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(2900,-425)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "TP" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( Origin gPackager ) + ) + ( objectStatus "PAGE1_I70" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i71" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "TP7" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "HOLE" + ( Origin gFrontEnd ) + ) + ( attribute "PART_NAME" "TP" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "0.8MM" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(3550,-475)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "TP" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( Origin gPackager ) + ) + ( objectStatus "PAGE1_I71" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i72" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "TP8" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "HOLE" + ( Origin gFrontEnd ) + ) + ( attribute "PART_NAME" "TP" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "2" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "0.8MM" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(3700,-550)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "TP" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( Origin gPackager ) + ) + ( objectStatus "PAGE1_I72" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i73" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "TP5" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "HOLE" + ( Origin gFrontEnd ) + ) + ( attribute "PART_NAME" "TP" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "2" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "0.8MM" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(3200,225)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "TP" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( Origin gPackager ) + ) + ( objectStatus "PAGE1_I73" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i74" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "TP6" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "HOLE" + ( Origin gFrontEnd ) + ) + ( attribute "PART_NAME" "TP" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "2" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "0.8MM" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(3200,125)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "TP" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( Origin gPackager ) + ) + ( objectStatus "PAGE1_I74" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i75" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "TP1" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "HOLE" + ( Origin gFrontEnd ) + ) + ( attribute "PART_NAME" "TP" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "2" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" + ( attribute "VALUE" "0.8MM" ( Origin gFrontEnd ) ) ( attribute "VER" "1" ( Origin gFrontEnd ) ) - ( attribute "XY" "(1700,2850)" + ( attribute "XY" "(2850,25)" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "LT1129CST-5" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "LT1129CST-5_SOT223-LINEAR" + ( attribute "CHIPS_PART_NAME" "TP" ( Origin gPackager ) ) - ( attribute "LOCATION" "VR1" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "VR1" - ( Origin gPackager ) + ( objectStatus "PAGE1_I75" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) ) - ( attribute "CDS_SEC" "1" + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i76" + ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "SEC" "1" + ( attribute "LOCATION" "TP2" ( Origin gPackager ) ) - ( objectStatus "VR1" ) - ( pin "gnd" - ( objectStatus "VR1.2" ) - ) - ( pin "tab" - ( objectStatus "VR1.4" ) - ) - ( pin "vin" - ( objectStatus "VR1.1" ) - ) - ( pin "vout" - ( objectStatus "VR1.3" ) - ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i52" - ( attribute "CDS_LIB" "cnpassive" - ( Origin gPackager ) + ( attribute "PACK_TYPE" "HOLE" + ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "0805" + ( attribute "PART_NAME" "TP" ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "ROT" "2" ( Origin gFrontEnd ) ) ( attribute "SIZE" "1" ( Origin gFrontEnd ) ) - ( attribute "VALUE" "4.7UF" + ( attribute "VALUE" "0.8MM" ( Origin gFrontEnd ) ) ( attribute "VER" "1" ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "10V" - ( Units "uVoltage" "V" 1.000000) - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(1100,2750)" + ( attribute "XY" "(2850,125)" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0805-4.7UF,10V" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "C9" - ( Origin gPackager ) - ) - ( attribute "CDS_LOCATION" "C9" - ( Origin gPackager ) - ) - ( attribute "CDS_SEC" "1" + ( attribute "CHIPS_PART_NAME" "TP" ( Origin gPackager ) ) - ( attribute "SEC" "1" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( objectStatus "C9" ) + ( objectStatus "PAGE1_I76" ) ( pin "a(0)" ( attribute "PN" "1" ( Origin gPackager ) ) - ( objectStatus "C9.1" ) - ) - ( pin "b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "C9.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i54" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):page1_i9" ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "0805" + ( attribute "PACK_TYPE" "0603" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "ROT" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "4.7UF" + ( attribute "VALUE" "100NF" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "10V" + ( attribute "VOLTAGE" "16V" ( Units "uVoltage" "V" 1.000000) + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(1100,725)" + ( attribute "XY" "(2175,-1875)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0805-4.7UF,10V" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "C10" - ( Origin gPackager ) - ) - ( attribute "CDS_LOCATION" "C10" - ( Origin gPackager ) - ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) - ) - ( attribute "SEC" "1" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" ( Origin gPackager ) ) - ( objectStatus "C10" ) ( pin "a(0)" - ( attribute "PN" "1" + ( attribute "PN" "#" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C10.1" ) + ( objectStatus "page1_i9.a<0>" ) ) ( pin "b(0)" - ( attribute "PN" "2" + ( attribute "PN" "#" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C10.2" ) + ( objectStatus "page1_i9.b<0>" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i56" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i28" + ( attribute "CHIPS_PART_NAME" "74LVC1G07" + ( Origin gPackager ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i90" ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) + ( attribute "LOCATION" "C12" + ( Origin gPackager ) + ) ( attribute "PACK_TYPE" "0805" ( Origin gFrontEnd ) ) @@ -38375,7 +40190,7 @@ ( Units "uVoltage" "V" 1.000000) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2475,2750)" + ( attribute "XY" "(5950,-1900)" ( Origin gFrontEnd ) ) ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" @@ -38384,36 +40199,25 @@ ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0805-4.7UF,10V" ( Origin gPackager ) ) - ( attribute "LOCATION" "C12" - ( Origin gPackager ) - ) - ( attribute "CDS_LOCATION" "C12" - ( Origin gPackager ) - ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) - ) - ( attribute "SEC" "1" - ( Origin gPackager ) - ) - ( objectStatus "C12" ) + ( objectStatus "PAGE1_I90" ) ( pin "a(0)" ( attribute "PN" "1" ( Origin gPackager ) ) - ( objectStatus "C12.1" ) ) ( pin "b(0)" ( attribute "PN" "2" ( Origin gPackager ) ) - ( objectStatus "C12.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i58" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i91" ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) + ( attribute "LOCATION" "C12" + ( Origin gPackager ) + ) ( attribute "PACK_TYPE" "0805" ( Origin gFrontEnd ) ) @@ -38436,7 +40240,7 @@ ( Units "uVoltage" "V" 1.000000) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2450,675)" + ( attribute "XY" "(4675,-1425)" ( Origin gFrontEnd ) ) ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" @@ -38445,40 +40249,23 @@ ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0805-4.7UF,10V" ( Origin gPackager ) ) - ( attribute "LOCATION" "C11" - ( Origin gPackager ) - ) - ( attribute "CDS_LOCATION" "C11" - ( Origin gPackager ) - ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) - ) - ( attribute "SEC" "1" - ( Origin gPackager ) - ) - ( objectStatus "C11" ) + ( objectStatus "PAGE1_I91" ) ( pin "a(0)" ( attribute "PN" "1" ( Origin gPackager ) ) - ( objectStatus "C11.1" ) ) ( pin "b(0)" ( attribute "PN" "2" ( Origin gPackager ) ) - ( objectStatus "C11.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i66" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i109" ( attribute "CDS_LIB" "cndiscrete" ( Origin gPackager ) ) - ( attribute "MODEL" "MURATA" - ( Origin gFrontEnd ) - ) - ( attribute "PACK_TYPE" "SMD" + ( attribute "PACK_TYPE" "4312" ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" @@ -38487,45 +40274,39 @@ ( attribute "ROT" "0" ( Origin gFrontEnd ) ) - ( attribute "VALUE" "BLM41P800S" + ( attribute "TYPE" "744231091" ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VALUE" "90OHM" ( Origin gFrontEnd ) ) - ( attribute "XY" "(-3100,2375)" + ( attribute "VER" "1" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "FERRITE" - ( Origin gPackager ) + ( attribute "XY" "(-1025,-2375)" + ( Origin gFrontEnd ) ) - ( attribute "CDS_PART_NAME" "FERRITE_SMD-BLM41P800S,MURATA" + ( attribute "CHIPS_PART_NAME" "COMMON_MODE_LINE_FILTER" ( Origin gPackager ) ) - ( attribute "LOCATION" "L1" + ( attribute "CDS_PART_NAME" "COMMON_MODE_LINE_FILTER_4312-7A" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "L1" - ( Origin gPackager ) + ( objectStatus "PAGE1_I109" ) + ( pin "\1\(0)" ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) + ( pin "\1dot\(0)" ) - ( attribute "SEC" "1" - ( Origin gPackager ) + ( pin "\2\(0)" ) - ( objectStatus "L1" ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i1" - ( attribute "CHIPS_PART_NAME" "LT3471" - ( Origin gPackager ) + ( pin "\2dot\(0)" ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i70" - ( attribute "CDS_LIB" "cnlinear" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i110" + ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "BGA" + ( attribute "PACK_TYPE" "1206_TC164" ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" @@ -38534,584 +40315,500 @@ ( attribute "ROT" "0" ( Origin gFrontEnd ) ) - ( attribute "TYPE" "LTM8047EY#PBF" + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "TOL" "1%" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "47" ( Origin gFrontEnd ) ) ( attribute "VER" "1" ( Origin gFrontEnd ) ) - ( attribute "XY" "(-725,1550)" + ( attribute "XY" "(-625,-2325)" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "LTM8047" + ( attribute "CHIPS_PART_NAME" "RES_ARRAY_X4" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "LTM8047EY#PBF" + ( attribute "CDS_PART_NAME" "RES_ARRAY_X4_1206_TC164-47,1%" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "RG1" - ( Origin gPackager ) + ( objectStatus "PAGE1_I110" ) + ( pin "a(0)" ) - ( attribute "LOCATION" "RG1" + ( pin "b(0)" + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i111" + ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" + ( attribute "PACK_TYPE" "1206_TC164" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "TOL" "1%" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "47" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(-475,-2425)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "RES_ARRAY_X4" ( Origin gPackager ) ) - ( attribute "SEC" "1" + ( attribute "CDS_PART_NAME" "RES_ARRAY_X4_1206_TC164-47,1%" ( Origin gPackager ) ) - ( objectStatus "RG1" ) - ( pin "adj" - ( attribute "PN" "G7" - ( Origin gPackager ) - ) - ( objectStatus "RG1.G7" ) + ( objectStatus "PAGE1_I111" ) + ( pin "a(0)" ) - ( pin "bias" - ( attribute "PN" "H5" - ( Origin gPackager ) - ) - ( objectStatus "RG1.H5" ) + ( pin "b(0)" ) - ( pin "gnd(0)" - ( attribute "PN" "E1" - ( Origin gPackager ) - ) - ( objectStatus "RG1.E1" ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i112" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) ) - ( pin "gnd(1)" - ( attribute "PN" "E2" - ( Origin gPackager ) - ) - ( objectStatus "RG1.E2" ) + ( attribute "DIELECTRIC" "X5R" + ( Origin gFrontEnd ) ) - ( pin "gnd(2)" - ( attribute "PN" "E3" - ( Origin gPackager ) - ) - ( objectStatus "RG1.E3" ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) ) - ( pin "gnd(3)" - ( attribute "PN" "E4" - ( Origin gPackager ) - ) - ( objectStatus "RG1.E4" ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) ) - ( pin "gnd(4)" - ( attribute "PN" "E5" - ( Origin gPackager ) - ) - ( objectStatus "RG1.E5" ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) ) - ( pin "gnd(5)" - ( attribute "PN" "E6" - ( Origin gPackager ) - ) - ( objectStatus "RG1.E6" ) + ( attribute "TYPE" "GNM21" + ( Origin gFrontEnd ) ) - ( pin "gnd(6)" - ( attribute "PN" "E7" - ( Origin gPackager ) - ) - ( objectStatus "RG1.E7" ) + ( attribute "VALUE" "1UF" + ( Origin gFrontEnd ) ) - ( pin "gnd(7)" - ( attribute "PN" "F4" - ( Origin gPackager ) - ) - ( objectStatus "RG1.F4" ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) ) - ( pin "gnd(8)" - ( attribute "PN" "F5" - ( Origin gPackager ) - ) - ( objectStatus "RG1.F5" ) + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) ) - ( pin "gnd(9)" - ( attribute "PN" "F6" - ( Origin gPackager ) - ) - ( objectStatus "RG1.F6" ) + ( attribute "XY" "(-200,-2375)" + ( Origin gFrontEnd ) ) - ( pin "gnd(10)" - ( attribute "PN" "F7" - ( Origin gPackager ) - ) - ( objectStatus "RG1.F7" ) + ( attribute "CHIPS_PART_NAME" "CAPN4I" + ( Origin gPackager ) ) - ( pin "gnd(11)" - ( attribute "PN" "G4" - ( Origin gPackager ) - ) - ( objectStatus "RG1.G4" ) + ( attribute "CDS_PART_NAME" "CAPN4I-1UF,16V,X5R,GNM21" + ( Origin gPackager ) ) - ( pin "gnd(12)" - ( attribute "PN" "G5" - ( Origin gPackager ) - ) - ( objectStatus "RG1.G5" ) + ( objectStatus "PAGE1_I112" ) + ( pin "a(0)" ) - ( pin "gnd(13)" - ( attribute "PN" "G6" - ( Origin gPackager ) - ) - ( objectStatus "RG1.G6" ) + ( pin "b(0)" ) - ( pin "gnd(14)" - ( attribute "PN" "H4" - ( Origin gPackager ) - ) - ( objectStatus "RG1.H4" ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i116" + ( attribute "CDS_LIB" "cninterface" + ( Origin gPackager ) ) - ( pin "gnd(15)" - ( attribute "PN" "H7" - ( Origin gPackager ) - ) - ( objectStatus "RG1.H7" ) + ( attribute "PACK_TYPE" "VQFN" + ( Origin gFrontEnd ) ) - ( pin "run" - ( attribute "PN" "F3" - ( Origin gPackager ) - ) - ( objectStatus "RG1.F3" ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) ) - ( pin "ss" - ( attribute "PN" "H6" - ( Origin gPackager ) - ) - ( objectStatus "RG1.H6" ) + ( attribute "ROT" "2" + ( Origin gFrontEnd ) ) - ( pin "vin(0)" - ( attribute "PN" "H1" - ( Origin gPackager ) - ) - ( objectStatus "RG1.H1" ) + ( attribute "TYPE" "SN65MLVD040RGZ" + ( Origin gFrontEnd ) ) - ( pin "vin(1)" - ( attribute "PN" "H2" - ( Origin gPackager ) - ) - ( objectStatus "RG1.H2" ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) ) - ( pin "vin(2)" - ( attribute "PN" "G1" - ( Origin gPackager ) - ) - ( objectStatus "RG1.G1" ) + ( attribute "XY" "(475,-2400)" + ( Origin gFrontEnd ) ) - ( pin "vin(3)" - ( attribute "PN" "G2" - ( Origin gPackager ) - ) - ( objectStatus "RG1.G2" ) + ( attribute "CHIPS_PART_NAME" "SN65MLVD040" + ( Origin gPackager ) ) - ( pin "vout(0)" - ( attribute "PN" "A6" - ( Origin gPackager ) - ) - ( objectStatus "RG1.A6" ) + ( attribute "CDS_PART_NAME" "SN65MLVD040RGZ-GND=GND_SIGNAL;A" + ( Origin gPackager ) ) - ( pin "vout(1)" - ( attribute "PN" "A7" - ( Origin gPackager ) - ) - ( objectStatus "RG1.A7" ) + ( objectStatus "PAGE1_I116" ) + ( pin "a(0)" ) - ( pin "vout(2)" - ( attribute "PN" "B6" - ( Origin gPackager ) - ) - ( objectStatus "RG1.B6" ) + ( pin "\b*\(0)" ) - ( pin "vout(3)" - ( attribute "PN" "B7" - ( Origin gPackager ) - ) - ( objectStatus "RG1.B7" ) + ( pin "d(0)" ) - ( pin "vout(4)" - ( attribute "PN" "C6" - ( Origin gPackager ) - ) - ( objectStatus "RG1.C6" ) + ( pin "de(0)" ) - ( pin "vout(5)" - ( attribute "PN" "C7" - ( Origin gPackager ) - ) - ( objectStatus "RG1.C7" ) + ( pin "fsen(0)" ) - ( pin "\vout-\(0)" - ( attribute "PN" "A1" - ( Origin gPackager ) - ) - ( objectStatus "RG1.A1" ) + ( pin "pdn" ) - ( pin "\vout-\(1)" - ( attribute "PN" "A2" - ( Origin gPackager ) - ) - ( objectStatus "RG1.A2" ) + ( pin "r(0)" ) - ( pin "\vout-\(2)" - ( attribute "PN" "A3" - ( Origin gPackager ) - ) - ( objectStatus "RG1.A3" ) + ( pin "\re*\(0)" ) - ( pin "\vout-\(3)" - ( attribute "PN" "A4" - ( Origin gPackager ) - ) - ( objectStatus "RG1.A4" ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i121" + ( attribute "CDS_LIB" "cndiscrete" + ( Origin gPackager ) ) - ( pin "\vout-\(4)" - ( attribute "PN" "A5" - ( Origin gPackager ) - ) - ( objectStatus "RG1.A5" ) + ( attribute "PACK_TYPE" "4312" + ( Origin gFrontEnd ) ) - ( pin "\vout-\(5)" - ( attribute "PN" "B1" - ( Origin gPackager ) - ) - ( objectStatus "RG1.B1" ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) ) - ( pin "\vout-\(6)" - ( attribute "PN" "B2" - ( Origin gPackager ) - ) - ( objectStatus "RG1.B2" ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) ) - ( pin "\vout-\(7)" - ( attribute "PN" "B3" - ( Origin gPackager ) - ) - ( objectStatus "RG1.B3" ) + ( attribute "TYPE" "744231091" + ( Origin gFrontEnd ) ) - ( pin "\vout-\(8)" - ( attribute "PN" "B4" - ( Origin gPackager ) - ) - ( objectStatus "RG1.B4" ) + ( attribute "VALUE" "90OHM" + ( Origin gFrontEnd ) ) - ( pin "\vout-\(9)" - ( attribute "PN" "B5" - ( Origin gPackager ) - ) - ( objectStatus "RG1.B5" ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) ) - ( pin "\vout-\(10)" - ( attribute "PN" "C1" - ( Origin gPackager ) - ) - ( objectStatus "RG1.C1" ) + ( attribute "XY" "(-1025,-1575)" + ( Origin gFrontEnd ) ) - ( pin "\vout-\(11)" - ( attribute "PN" "C2" - ( Origin gPackager ) - ) - ( objectStatus "RG1.C2" ) + ( attribute "CHIPS_PART_NAME" "COMMON_MODE_LINE_FILTER" + ( Origin gPackager ) ) - ( pin "\vout-\(12)" - ( attribute "PN" "C3" - ( Origin gPackager ) - ) - ( objectStatus "RG1.C3" ) + ( attribute "CDS_PART_NAME" "COMMON_MODE_LINE_FILTER_4312-7A" + ( Origin gPackager ) ) - ( pin "\vout-\(13)" - ( attribute "PN" "C4" - ( Origin gPackager ) - ) - ( objectStatus "RG1.C4" ) + ( objectStatus "PAGE1_I121" ) + ( pin "\1\(0)" ) - ( pin "\vout-\(14)" - ( attribute "PN" "C5" - ( Origin gPackager ) - ) - ( objectStatus "RG1.C5" ) + ( pin "\1dot\(0)" + ) + ( pin "\2\(0)" + ) + ( pin "\2dot\(0)" ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i72" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i122" ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "1210" + ( attribute "PACK_TYPE" "1206_TC164" ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "ROT" "0" ( Origin gFrontEnd ) ) ( attribute "SIZE" "1" ( Origin gFrontEnd ) ) - ( attribute "VALUE" "4.7UF" + ( attribute "TOL" "1%" ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VALUE" "47" ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "50V" - ( Units "uVoltage" "V" 1.000000) + ( attribute "VER" "1" ( Origin gFrontEnd ) ) - ( attribute "XY" "(-1825,1525)" + ( attribute "XY" "(-625,-1525)" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210-4.7UF,50V" - ( Origin gPackager ) - ) - ( attribute "CDS_LOCATION" "C5" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "C5" - ( Origin gPackager ) - ) - ( attribute "CDS_SEC" "1" + ( attribute "CHIPS_PART_NAME" "RES_ARRAY_X4" ( Origin gPackager ) ) - ( attribute "SEC" "1" + ( attribute "CDS_PART_NAME" "RES_ARRAY_X4_1206_TC164-47,1%" ( Origin gPackager ) ) - ( objectStatus "C5" ) + ( objectStatus "PAGE1_I122" ) ( pin "a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) - ) - ( objectStatus "C5.1" ) ) ( pin "b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "C5.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i74" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i123" ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "DIST" "FLAT" + ( attribute "PACK_TYPE" "1206_TC164" ( Origin gFrontEnd ) ) - ( attribute "MAX_TEMP" "RTMAX" + ( attribute "PHYS_PAGE" "1" ( Origin gFrontEnd ) ) - ( attribute "NEGTOL" "RTOL%" + ( attribute "ROT" "0" ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "1/10W" + ( attribute "SIZE" "1" ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" + ( attribute "TOL" "1%" ( Origin gFrontEnd ) ) - ( attribute "POSTOL" "RTOL%" + ( attribute "VALUE" "47" ( Origin gFrontEnd ) ) - ( attribute "POWER" "RMAX" + ( attribute "VER" "1" ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "XY" "(-475,-1625)" ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" - ( Origin gFrontEnd ) + ( attribute "CHIPS_PART_NAME" "RES_ARRAY_X4" + ( Origin gPackager ) ) - ( attribute "SLOPE" "RSMAX" + ( attribute "CDS_PART_NAME" "RES_ARRAY_X4_1206_TC164-47,1%" + ( Origin gPackager ) + ) + ( objectStatus "PAGE1_I123" ) + ( pin "a(0)" + ) + ( pin "b(0)" + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i124" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "DIELECTRIC" "X5R" ( Origin gFrontEnd ) ) - ( attribute "TC1" "RTMPL" + ( attribute "PHYS_PAGE" "1" ( Origin gFrontEnd ) ) - ( attribute "TC2" "RTMPQ" + ( attribute "ROT" "0" ( Origin gFrontEnd ) ) - ( attribute "TOL" "1%" + ( attribute "SIZE" "1" ( Origin gFrontEnd ) ) - ( attribute "TOL_ON_OFF" "ON" + ( attribute "TYPE" "GNM21" ( Origin gFrontEnd ) ) - ( attribute "VALUE" "6.19K" + ( attribute "VALUE" "1UF" ( Origin gFrontEnd ) ) - ( attribute "VER" "2" + ( attribute "VER" "1" ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "RVMAX" + ( attribute "VOLTAGE" "16V" ( Units "uVoltage" "V" 1.000000) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-1300,1250)" + ( attribute "XY" "(-200,-1575)" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( attribute "CHIPS_PART_NAME" "CAPN4I" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-6.19K,1%" + ( attribute "CDS_PART_NAME" "CAPN4I-1UF,16V,X5R,GNM21" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "R2" - ( Origin gPackager ) + ( objectStatus "PAGE1_I124" ) + ( pin "a(0)" ) - ( attribute "LOCATION" "R2" + ( pin "b(0)" + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i128" + ( attribute "CDS_LIB" "cninterface" ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" + ( attribute "PACK_TYPE" "VQFN" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "2" + ( Origin gFrontEnd ) + ) + ( attribute "TYPE" "SN65MLVD040RGZ" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(475,-1600)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "SN65MLVD040" ( Origin gPackager ) ) - ( attribute "SEC" "1" + ( attribute "CDS_PART_NAME" "SN65MLVD040RGZ-GND=GND_SIGNAL;A" ( Origin gPackager ) ) - ( objectStatus "R2" ) + ( objectStatus "PAGE1_I128" ) ( pin "a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) - ) - ( objectStatus "R2.1" ) ) - ( pin "b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "R2.2" ) + ( pin "\b*\(0)" + ) + ( pin "d(0)" + ) + ( pin "de(0)" + ) + ( pin "fsen(0)" + ) + ( pin "pdn" + ) + ( pin "r(0)" + ) + ( pin "\re*\(0)" ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i79" - ( attribute "CDS_LIB" "cnpassive" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i133" + ( attribute "CDS_LIB" "cndiscrete" ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "1210" + ( attribute "PACK_TYPE" "4312" ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "ROT" "0" ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" + ( attribute "TYPE" "744231091" ( Origin gFrontEnd ) ) - ( attribute "VALUE" "4.7UF" + ( attribute "VALUE" "90OHM" ( Origin gFrontEnd ) ) ( attribute "VER" "1" ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "50V" - ( Units "uVoltage" "V" 1.000000) - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(-3550,2075)" + ( attribute "XY" "(-1025,-775)" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210-4.7UF,50V" - ( Origin gPackager ) - ) - ( attribute "CDS_LOCATION" "C1" + ( attribute "CHIPS_PART_NAME" "COMMON_MODE_LINE_FILTER" ( Origin gPackager ) ) - ( attribute "LOCATION" "C1" + ( attribute "CDS_PART_NAME" "COMMON_MODE_LINE_FILTER_4312-7A" ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) + ( objectStatus "PAGE1_I133" ) + ( pin "\1\(0)" ) - ( attribute "SEC" "1" - ( Origin gPackager ) + ( pin "\1dot\(0)" ) - ( objectStatus "C1" ) - ( pin "a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) - ) - ( objectStatus "C1.1" ) + ( pin "\2\(0)" ) - ( pin "b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "C1.2" ) + ( pin "\2dot\(0)" ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i81" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i134" ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "1210" + ( attribute "PACK_TYPE" "1206_TC164" ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "ROT" "0" ( Origin gFrontEnd ) ) ( attribute "SIZE" "1" ( Origin gFrontEnd ) ) - ( attribute "VALUE" "4.7UF" + ( attribute "TOL" "1%" ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VALUE" "47" ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "50V" - ( Units "uVoltage" "V" 1.000000) + ( attribute "VER" "1" ( Origin gFrontEnd ) ) - ( attribute "XY" "(-2725,2200)" + ( attribute "XY" "(-625,-725)" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( attribute "CHIPS_PART_NAME" "RES_ARRAY_X4" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210-4.7UF,50V" + ( attribute "CDS_PART_NAME" "RES_ARRAY_X4_1206_TC164-47,1%" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "C2" - ( Origin gPackager ) + ( objectStatus "PAGE1_I134" ) + ( pin "a(0)" ) - ( attribute "LOCATION" "C2" + ( pin "b(0)" + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i135" + ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" + ( attribute "PACK_TYPE" "1206_TC164" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "TOL" "1%" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "47" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(-475,-825)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "RES_ARRAY_X4" ( Origin gPackager ) ) - ( attribute "SEC" "1" + ( attribute "CDS_PART_NAME" "RES_ARRAY_X4_1206_TC164-47,1%" ( Origin gPackager ) ) - ( objectStatus "C2" ) + ( objectStatus "PAGE1_I135" ) ( pin "a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) - ) - ( objectStatus "C2.1" ) ) ( pin "b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "C2.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i82" - ( attribute "CDS_LIB" "cnlinear" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i136" + ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "BGA" + ( attribute "DIELECTRIC" "X5R" ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" @@ -39120,462 +40817,367 @@ ( attribute "ROT" "0" ( Origin gFrontEnd ) ) - ( attribute "TYPE" "LTM8047EY#PBF" + ( attribute "SIZE" "1" ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "TYPE" "GNM21" ( Origin gFrontEnd ) ) - ( attribute "XY" "(-700,2700)" + ( attribute "VALUE" "1UF" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "LTM8047" - ( Origin gPackager ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) ) - ( attribute "CDS_PART_NAME" "LTM8047EY#PBF" - ( Origin gPackager ) + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) ) - ( attribute "CDS_LOCATION" "RG2" - ( Origin gPackager ) + ( attribute "XY" "(-200,-775)" + ( Origin gFrontEnd ) ) - ( attribute "LOCATION" "RG2" + ( attribute "CHIPS_PART_NAME" "CAPN4I" ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" + ( attribute "CDS_PART_NAME" "CAPN4I-1UF,16V,X5R,GNM21" ( Origin gPackager ) ) - ( attribute "SEC" "1" - ( Origin gPackager ) + ( objectStatus "PAGE1_I136" ) + ( pin "a(0)" ) - ( objectStatus "RG2" ) - ( pin "adj" - ( attribute "PN" "G7" - ( Origin gPackager ) - ) - ( objectStatus "RG2.G7" ) + ( pin "b(0)" ) - ( pin "bias" - ( attribute "PN" "H5" - ( Origin gPackager ) - ) - ( objectStatus "RG2.H5" ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i140" + ( attribute "CDS_LIB" "cninterface" + ( Origin gPackager ) ) - ( pin "gnd(0)" - ( attribute "PN" "E1" - ( Origin gPackager ) - ) - ( objectStatus "RG2.E1" ) + ( attribute "PACK_TYPE" "VQFN" + ( Origin gFrontEnd ) ) - ( pin "gnd(1)" - ( attribute "PN" "E2" - ( Origin gPackager ) - ) - ( objectStatus "RG2.E2" ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) ) - ( pin "gnd(2)" - ( attribute "PN" "E3" - ( Origin gPackager ) - ) - ( objectStatus "RG2.E3" ) + ( attribute "ROT" "2" + ( Origin gFrontEnd ) ) - ( pin "gnd(3)" - ( attribute "PN" "E4" - ( Origin gPackager ) - ) - ( objectStatus "RG2.E4" ) + ( attribute "TYPE" "SN65MLVD040RGZ" + ( Origin gFrontEnd ) ) - ( pin "gnd(4)" - ( attribute "PN" "E5" - ( Origin gPackager ) - ) - ( objectStatus "RG2.E5" ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) ) - ( pin "gnd(5)" - ( attribute "PN" "E6" - ( Origin gPackager ) - ) - ( objectStatus "RG2.E6" ) + ( attribute "XY" "(475,-800)" + ( Origin gFrontEnd ) ) - ( pin "gnd(6)" - ( attribute "PN" "E7" - ( Origin gPackager ) - ) - ( objectStatus "RG2.E7" ) + ( attribute "CHIPS_PART_NAME" "SN65MLVD040" + ( Origin gPackager ) ) - ( pin "gnd(7)" - ( attribute "PN" "F4" - ( Origin gPackager ) - ) - ( objectStatus "RG2.F4" ) + ( attribute "CDS_PART_NAME" "SN65MLVD040RGZ-GND=GND_SIGNAL;A" + ( Origin gPackager ) ) - ( pin "gnd(8)" - ( attribute "PN" "F5" - ( Origin gPackager ) - ) - ( objectStatus "RG2.F5" ) + ( objectStatus "PAGE1_I140" ) + ( pin "a(0)" ) - ( pin "gnd(9)" - ( attribute "PN" "F6" - ( Origin gPackager ) - ) - ( objectStatus "RG2.F6" ) + ( pin "\b*\(0)" ) - ( pin "gnd(10)" - ( attribute "PN" "F7" - ( Origin gPackager ) - ) - ( objectStatus "RG2.F7" ) + ( pin "d(0)" ) - ( pin "gnd(11)" - ( attribute "PN" "G4" - ( Origin gPackager ) - ) - ( objectStatus "RG2.G4" ) + ( pin "de(0)" ) - ( pin "gnd(12)" - ( attribute "PN" "G5" - ( Origin gPackager ) - ) - ( objectStatus "RG2.G5" ) + ( pin "fsen(0)" ) - ( pin "gnd(13)" - ( attribute "PN" "G6" - ( Origin gPackager ) - ) - ( objectStatus "RG2.G6" ) + ( pin "pdn" ) - ( pin "gnd(14)" - ( attribute "PN" "H4" - ( Origin gPackager ) - ) - ( objectStatus "RG2.H4" ) + ( pin "r(0)" ) - ( pin "gnd(15)" - ( attribute "PN" "H7" - ( Origin gPackager ) - ) - ( objectStatus "RG2.H7" ) + ( pin "\re*\(0)" ) - ( pin "run" - ( attribute "PN" "F3" - ( Origin gPackager ) - ) - ( objectStatus "RG2.F3" ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i145" + ( attribute "CDS_LIB" "cndiscrete" + ( Origin gPackager ) ) - ( pin "ss" - ( attribute "PN" "H6" - ( Origin gPackager ) - ) - ( objectStatus "RG2.H6" ) + ( attribute "PACK_TYPE" "4312" + ( Origin gFrontEnd ) ) - ( pin "vin(0)" - ( attribute "PN" "H1" - ( Origin gPackager ) - ) - ( objectStatus "RG2.H1" ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) ) - ( pin "vin(1)" - ( attribute "PN" "H2" - ( Origin gPackager ) - ) - ( objectStatus "RG2.H2" ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) ) - ( pin "vin(2)" - ( attribute "PN" "G1" - ( Origin gPackager ) - ) - ( objectStatus "RG2.G1" ) + ( attribute "TYPE" "744231091" + ( Origin gFrontEnd ) ) - ( pin "vin(3)" - ( attribute "PN" "G2" - ( Origin gPackager ) - ) - ( objectStatus "RG2.G2" ) + ( attribute "VALUE" "90OHM" + ( Origin gFrontEnd ) ) - ( pin "vout(0)" - ( attribute "PN" "A6" - ( Origin gPackager ) - ) - ( objectStatus "RG2.A6" ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) ) - ( pin "vout(1)" - ( attribute "PN" "A7" - ( Origin gPackager ) - ) - ( objectStatus "RG2.A7" ) + ( attribute "XY" "(-1025,25)" + ( Origin gFrontEnd ) ) - ( pin "vout(2)" - ( attribute "PN" "B6" - ( Origin gPackager ) - ) - ( objectStatus "RG2.B6" ) + ( attribute "CHIPS_PART_NAME" "COMMON_MODE_LINE_FILTER" + ( Origin gPackager ) ) - ( pin "vout(3)" - ( attribute "PN" "B7" - ( Origin gPackager ) - ) - ( objectStatus "RG2.B7" ) + ( attribute "CDS_PART_NAME" "COMMON_MODE_LINE_FILTER_4312-7A" + ( Origin gPackager ) ) - ( pin "vout(4)" - ( attribute "PN" "C6" - ( Origin gPackager ) - ) - ( objectStatus "RG2.C6" ) + ( objectStatus "PAGE1_I145" ) + ( pin "\1\(0)" ) - ( pin "vout(5)" - ( attribute "PN" "C7" - ( Origin gPackager ) - ) - ( objectStatus "RG2.C7" ) + ( pin "\1dot\(0)" ) - ( pin "\vout-\(0)" - ( attribute "PN" "A1" - ( Origin gPackager ) - ) - ( objectStatus "RG2.A1" ) + ( pin "\2\(0)" ) - ( pin "\vout-\(1)" - ( attribute "PN" "A2" - ( Origin gPackager ) - ) - ( objectStatus "RG2.A2" ) + ( pin "\2dot\(0)" ) - ( pin "\vout-\(2)" - ( attribute "PN" "A3" - ( Origin gPackager ) - ) - ( objectStatus "RG2.A3" ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i146" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) ) - ( pin "\vout-\(3)" - ( attribute "PN" "A4" - ( Origin gPackager ) - ) - ( objectStatus "RG2.A4" ) + ( attribute "PACK_TYPE" "1206_TC164" + ( Origin gFrontEnd ) ) - ( pin "\vout-\(4)" - ( attribute "PN" "A5" - ( Origin gPackager ) - ) - ( objectStatus "RG2.A5" ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) ) - ( pin "\vout-\(5)" - ( attribute "PN" "B1" - ( Origin gPackager ) - ) - ( objectStatus "RG2.B1" ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) ) - ( pin "\vout-\(6)" - ( attribute "PN" "B2" - ( Origin gPackager ) - ) - ( objectStatus "RG2.B2" ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) ) - ( pin "\vout-\(7)" - ( attribute "PN" "B3" - ( Origin gPackager ) - ) - ( objectStatus "RG2.B3" ) + ( attribute "TOL" "1%" + ( Origin gFrontEnd ) ) - ( pin "\vout-\(8)" - ( attribute "PN" "B4" - ( Origin gPackager ) - ) - ( objectStatus "RG2.B4" ) + ( attribute "VALUE" "47" + ( Origin gFrontEnd ) ) - ( pin "\vout-\(9)" - ( attribute "PN" "B5" - ( Origin gPackager ) - ) - ( objectStatus "RG2.B5" ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) ) - ( pin "\vout-\(10)" - ( attribute "PN" "C1" - ( Origin gPackager ) - ) - ( objectStatus "RG2.C1" ) + ( attribute "XY" "(-625,75)" + ( Origin gFrontEnd ) ) - ( pin "\vout-\(11)" - ( attribute "PN" "C2" - ( Origin gPackager ) - ) - ( objectStatus "RG2.C2" ) + ( attribute "CHIPS_PART_NAME" "RES_ARRAY_X4" + ( Origin gPackager ) ) - ( pin "\vout-\(12)" - ( attribute "PN" "C3" - ( Origin gPackager ) - ) - ( objectStatus "RG2.C3" ) + ( attribute "CDS_PART_NAME" "RES_ARRAY_X4_1206_TC164-47,1%" + ( Origin gPackager ) ) - ( pin "\vout-\(13)" - ( attribute "PN" "C4" - ( Origin gPackager ) - ) - ( objectStatus "RG2.C4" ) + ( objectStatus "PAGE1_I146" ) + ( pin "a(0)" ) - ( pin "\vout-\(14)" - ( attribute "PN" "C5" - ( Origin gPackager ) - ) - ( objectStatus "RG2.C5" ) + ( pin "b(0)" ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i83" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i147" ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "1210" + ( attribute "PACK_TYPE" "1206_TC164" ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "ROT" "0" ( Origin gFrontEnd ) ) ( attribute "SIZE" "1" ( Origin gFrontEnd ) ) - ( attribute "VALUE" "4.7UF" + ( attribute "TOL" "1%" ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VALUE" "47" ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "50V" - ( Units "uVoltage" "V" 1.000000) + ( attribute "VER" "1" ( Origin gFrontEnd ) ) - ( attribute "XY" "(-1800,2675)" + ( attribute "XY" "(-475,-25)" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210-4.7UF,50V" - ( Origin gPackager ) - ) - ( attribute "CDS_LOCATION" "C6" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "C6" - ( Origin gPackager ) - ) - ( attribute "CDS_SEC" "1" + ( attribute "CHIPS_PART_NAME" "RES_ARRAY_X4" ( Origin gPackager ) ) - ( attribute "SEC" "1" + ( attribute "CDS_PART_NAME" "RES_ARRAY_X4_1206_TC164-47,1%" ( Origin gPackager ) ) - ( objectStatus "C6" ) + ( objectStatus "PAGE1_I147" ) ( pin "a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) - ) - ( objectStatus "C6.1" ) ) ( pin "b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "C6.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i85" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i148" ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "DIST" "FLAT" + ( attribute "DIELECTRIC" "X5R" ( Origin gFrontEnd ) ) - ( attribute "MAX_TEMP" "RTMAX" + ( attribute "PHYS_PAGE" "1" ( Origin gFrontEnd ) ) - ( attribute "NEGTOL" "RTOL%" + ( attribute "ROT" "0" ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "1/10W" + ( attribute "SIZE" "1" ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" + ( attribute "TYPE" "GNM21" ( Origin gFrontEnd ) ) - ( attribute "POSTOL" "RTOL%" + ( attribute "VALUE" "1UF" ( Origin gFrontEnd ) ) - ( attribute "POWER" "RMAX" + ( attribute "VER" "1" ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" + ( attribute "XY" "(-200,25)" ( Origin gFrontEnd ) ) - ( attribute "SLOPE" "RSMAX" - ( Origin gFrontEnd ) + ( attribute "CHIPS_PART_NAME" "CAPN4I" + ( Origin gPackager ) ) - ( attribute "TC1" "RTMPL" - ( Origin gFrontEnd ) + ( attribute "CDS_PART_NAME" "CAPN4I-1UF,16V,X5R,GNM21" + ( Origin gPackager ) ) - ( attribute "TC2" "RTMPQ" - ( Origin gFrontEnd ) + ( objectStatus "PAGE1_I148" ) + ( pin "a(0)" ) - ( attribute "TOL" "1%" + ( pin "b(0)" + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i152" + ( attribute "CDS_LIB" "cninterface" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "VQFN" ( Origin gFrontEnd ) ) - ( attribute "TOL_ON_OFF" "ON" + ( attribute "PHYS_PAGE" "1" ( Origin gFrontEnd ) ) - ( attribute "VALUE" "6.19K" + ( attribute "ROT" "2" ( Origin gFrontEnd ) ) - ( attribute "VER" "2" + ( attribute "TYPE" "SN65MLVD040RGZ" ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "RVMAX" - ( Units "uVoltage" "V" 1.000000) + ( attribute "VER" "1" ( Origin gFrontEnd ) ) - ( attribute "XY" "(-1275,2400)" + ( attribute "XY" "(475,0)" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( attribute "CHIPS_PART_NAME" "SN65MLVD040" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-6.19K,1%" + ( attribute "CDS_PART_NAME" "SN65MLVD040RGZ-GND=GND_SIGNAL;A" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "R3" + ( objectStatus "PAGE1_I152" ) + ( pin "a(0)" + ) + ( pin "\b*\(0)" + ) + ( pin "d(0)" + ) + ( pin "de(0)" + ) + ( pin "fsen(0)" + ) + ( pin "pdn" + ) + ( pin "r(0)" + ) + ( pin "\re*\(0)" + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i157" + ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "LOCATION" "R3" + ( attribute "CDS_LOCATION" "C1" ( Origin gPackager ) ) ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) + ( attribute "LOCATION" "C1" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "0603" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "R3" ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "100NF" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(3425,-2075)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( Origin gPackager ) + ) + ( objectStatus "PAGE1_I157" ) ( pin "a(0)" ( attribute "PN" "1" ( Origin gPackager ) ) - ( objectStatus "R3.1" ) ) ( pin "b(0)" ( attribute "PN" "2" ( Origin gPackager ) ) - ( objectStatus "R3.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i88" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i160" ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "1210" + ( attribute "CDS_LOCATION" "C1" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "C1" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "0603" ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" @@ -39584,10 +41186,13 @@ ( attribute "ROT" "1" ( Origin gFrontEnd ) ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) ( attribute "SIZE" "1" ( Origin gFrontEnd ) ) - ( attribute "VALUE" "22UF" + ( attribute "VALUE" "100NF" ( Origin gFrontEnd ) ) ( attribute "VER" "1" @@ -39597,46 +41202,41 @@ ( Units "uVoltage" "V" 1.000000) ( Origin gFrontEnd ) ) - ( attribute "XY" "(250,650)" + ( attribute "XY" "(-1000,-3175)" ( Origin gFrontEnd ) ) ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210-22UF,16V" - ( Origin gPackager ) - ) - ( attribute "CDS_LOCATION" "C8" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "C8" - ( Origin gPackager ) - ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) - ) - ( attribute "SEC" "1" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" ( Origin gPackager ) ) - ( objectStatus "C8" ) + ( objectStatus "PAGE1_I160" ) ( pin "a(0)" ( attribute "PN" "1" ( Origin gPackager ) ) - ( objectStatus "C8.1" ) ) ( pin "b(0)" ( attribute "PN" "2" ( Origin gPackager ) ) - ( objectStatus "C8.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i89" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i161" ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "1210" + ( attribute "CDS_LOCATION" "C1" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "C1" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "0603" ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" @@ -39645,10 +41245,13 @@ ( attribute "ROT" "1" ( Origin gFrontEnd ) ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) ( attribute "SIZE" "1" ( Origin gFrontEnd ) ) - ( attribute "VALUE" "22UF" + ( attribute "VALUE" "100NF" ( Origin gFrontEnd ) ) ( attribute "VER" "1" @@ -39658,46 +41261,41 @@ ( Units "uVoltage" "V" 1.000000) ( Origin gFrontEnd ) ) - ( attribute "XY" "(250,2675)" + ( attribute "XY" "(0,-3175)" ( Origin gFrontEnd ) ) ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210-22UF,16V" - ( Origin gPackager ) - ) - ( attribute "CDS_LOCATION" "C7" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "C7" - ( Origin gPackager ) - ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) - ) - ( attribute "SEC" "1" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" ( Origin gPackager ) ) - ( objectStatus "C7" ) + ( objectStatus "PAGE1_I161" ) ( pin "a(0)" ( attribute "PN" "1" ( Origin gPackager ) ) - ( objectStatus "C7.1" ) ) ( pin "b(0)" ( attribute "PN" "2" ( Origin gPackager ) ) - ( objectStatus "C7.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i142" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i162" ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "1210" + ( attribute "CDS_LOCATION" "C1" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "C1" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "0603" ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" @@ -39706,59 +41304,57 @@ ( attribute "ROT" "1" ( Origin gFrontEnd ) ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) ( attribute "SIZE" "1" ( Origin gFrontEnd ) ) - ( attribute "VALUE" "4.7UF" + ( attribute "VALUE" "100NF" ( Origin gFrontEnd ) ) ( attribute "VER" "1" ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "50V" + ( attribute "VOLTAGE" "16V" ( Units "uVoltage" "V" 1.000000) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-2150,2675)" + ( attribute "XY" "(-250,-3175)" ( Origin gFrontEnd ) ) ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210-4.7UF,50V" - ( Origin gPackager ) - ) - ( attribute "CDS_LOCATION" "C3" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "C3" - ( Origin gPackager ) - ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) - ) - ( attribute "SEC" "1" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" ( Origin gPackager ) ) - ( objectStatus "C3" ) + ( objectStatus "PAGE1_I162" ) ( pin "a(0)" ( attribute "PN" "1" ( Origin gPackager ) ) - ( objectStatus "C3.1" ) ) ( pin "b(0)" ( attribute "PN" "2" ( Origin gPackager ) ) - ( objectStatus "C3.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i144" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i163" ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "1210" + ( attribute "CDS_LOCATION" "C1" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "C1" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "0603" ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" @@ -39767,330 +41363,131 @@ ( attribute "ROT" "1" ( Origin gFrontEnd ) ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) ( attribute "SIZE" "1" ( Origin gFrontEnd ) ) - ( attribute "VALUE" "4.7UF" + ( attribute "VALUE" "100NF" ( Origin gFrontEnd ) ) ( attribute "VER" "1" ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "50V" + ( attribute "VOLTAGE" "16V" ( Units "uVoltage" "V" 1.000000) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-2150,1525)" + ( attribute "XY" "(-500,-3175)" ( Origin gFrontEnd ) ) ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210-4.7UF,50V" - ( Origin gPackager ) - ) - ( attribute "CDS_LOCATION" "C4" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "C4" - ( Origin gPackager ) - ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) - ) - ( attribute "SEC" "1" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" ( Origin gPackager ) ) - ( objectStatus "C4" ) + ( objectStatus "PAGE1_I163" ) ( pin "a(0)" ( attribute "PN" "1" ( Origin gPackager ) ) - ( objectStatus "C4.1" ) ) ( pin "b(0)" ( attribute "PN" "2" ( Origin gPackager ) ) - ( objectStatus "C4.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i146" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i164" ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "DIST" "FLAT" - ( Origin gFrontEnd ) + ( attribute "CDS_LOCATION" "C1" + ( Origin gPackager ) ) - ( attribute "MAX_TEMP" "RTMAX" - ( Origin gFrontEnd ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) ) - ( attribute "NEGTOL" "RTOL%" - ( Origin gFrontEnd ) + ( attribute "LOCATION" "C1" + ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "1/10W" + ( attribute "PACK_TYPE" "0603" ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" ( Origin gFrontEnd ) ) - ( attribute "POSTOL" "RTOL%" - ( Origin gFrontEnd ) - ) - ( attribute "POWER" "RMAX" - ( Origin gFrontEnd ) - ) ( attribute "ROT" "1" ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" - ( Origin gFrontEnd ) - ) - ( attribute "SLOPE" "RSMAX" - ( Origin gFrontEnd ) - ) - ( attribute "TC1" "RTMPL" - ( Origin gFrontEnd ) - ) - ( attribute "TC2" "RTMPQ" - ( Origin gFrontEnd ) - ) - ( attribute "TOL" "1%" - ( Origin gFrontEnd ) + ( attribute "SEC" "1" + ( Origin gPackager ) ) - ( attribute "TOL_ON_OFF" "ON" + ( attribute "SIZE" "1" ( Origin gFrontEnd ) ) - ( attribute "VALUE" "3.3" + ( attribute "VALUE" "100NF" ( Origin gFrontEnd ) ) - ( attribute "VER" "2" + ( attribute "VER" "1" ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "RVMAX" + ( attribute "VOLTAGE" "16V" ( Units "uVoltage" "V" 1.000000) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-2725,1900)" + ( attribute "XY" "(-750,-3175)" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "RSMD0603" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-3.3,1%" - ( Origin gPackager ) - ) - ( attribute "CDS_LOCATION" "R1" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "R1" - ( Origin gPackager ) - ) - ( attribute "CDS_SEC" "1" + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Origin gPackager ) ) - ( attribute "SEC" "1" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" ( Origin gPackager ) ) - ( objectStatus "R1" ) + ( objectStatus "PAGE1_I164" ) ( pin "a(0)" ( attribute "PN" "1" ( Origin gPackager ) ) - ( objectStatus "R1.1" ) ) ( pin "b(0)" ( attribute "PN" "2" ( Origin gPackager ) ) - ( objectStatus "R1.2" ) ) ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i42:a" - ( attribute "PN" "1" - ( Origin gPackager ) + ( designInst "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78" + ( attribute "BLOCK" "TRUE" + ( Origin gFrontEnd ) ) - ( objectStatus "L2.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i42:b" - ( attribute "PN" "2" + ( attribute "CDS_LIB" "fmc_tlu_v1_lib" ( Origin gPackager ) ) - ( objectStatus "L2.2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i44:a" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "LIBRARY1" "ieee" + ( Origin gFrontEnd ) ) - ( objectStatus "L3.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i44:b" - ( attribute "PN" "2" - ( Origin gPackager ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) ) - ( objectStatus "L3.2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i66:a" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) ) - ( objectStatus "L1.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i66:b" - ( attribute "PN" "2" - ( Origin gPackager ) + ( attribute "USE1" "ieee.std_logic_1164.all" + ( Origin gFrontEnd ) ) - ( objectStatus "L1.2" ) - ) - ( bus "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1" - ( memberType ( signal ) ) - ( alias ( busRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(0)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(1)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(2)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(3)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(4)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(5)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(6)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(7)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(8)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(9)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(10)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(11)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(12)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(13)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(14)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):gnd_signal") ) - ( objectStatus "VM1" ) - ) - ( bus "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1" - ( memberType ( signal ) ) - ( objectFlag fObjectAlias ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(0)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(1)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(2)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(3)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(4)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(5)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(6)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(7)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(8)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(9)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(10)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(11)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(12)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(13)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(14)") ) - ( objectStatus "PAGE1_VM1" ) - ) - ( bus "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2" - ( memberType ( signal ) ) - ( alias ( busRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2") ) - ( attribute "BUS_NAME" "VM2" - ( Origin gPackager ) + ( attribute "USE2" "work.all" + ( Origin gFrontEnd ) ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(0)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(1)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(2)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(3)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(4)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(5)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(6)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(7)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(8)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(9)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(10)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(11)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(12)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(13)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(14)") ) - ( objectStatus "VM2" ) - ) - ( bus "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2" - ( memberType ( signal ) ) - ( objectFlag fObjectAlias ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(0)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(1)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(2)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(3)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(4)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(5)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(6)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(7)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(8)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(9)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(10)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(11)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(12)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(13)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(14)") ) - ( objectStatus "PAGE1_VM2" ) - ) - ( bus "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1" - ( memberType ( signal ) ) - ( alias ( busRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1") ) - ( attribute "BUS_NAME" "VP1" - ( Origin gPackager ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(0)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(1)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(2)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(3)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(4)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(5)") ) - ( objectStatus "VP1" ) - ) - ( bus "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1" - ( memberType ( signal ) ) - ( objectFlag fObjectAlias ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1(0)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1(1)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1(2)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1(3)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1(4)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1(5)") ) - ( objectStatus "PAGE1_VP1" ) - ) - ( bus "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2" - ( memberType ( signal ) ) - ( alias ( busRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp2") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2(0)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2(1)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2(2)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2(3)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2(4)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2(5)") ) - ( objectStatus "VP2" ) - ) - ( bus "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp2" - ( memberType ( signal ) ) - ( objectFlag fObjectAlias ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp2(0)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp2(1)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp2(2)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp2(3)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp2(4)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp2(5)") ) - ( objectStatus "PAGE1_VP2" ) - ) - ( bus "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vi" - ( memberType ( signal ) ) - ( alias ( busRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vi") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vi(0)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vi(1)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vi(2)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vi(3)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vin_filtered") ) - ( objectStatus "VI" ) - ) - ( bus "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vi" - ( memberType ( signal ) ) - ( objectFlag fObjectAlias ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vi(0)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vi(1)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vi(2)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vi(3)") ) - ( objectStatus "PAGE1_VI" ) + ( attribute "XY" "(5400,-350)" + ( Origin gFrontEnd ) + ) + ( objectStatus "\page1_i78 (fmc_tlu_diode_clamp_b)\" ) ) ) ) diff --git a/circuit_board/Cadence/worklib/fmc_tlu_toplevel_c/sch_1/fmc_tlu_toplevel_c.dcf,3 b/circuit_board/Cadence/worklib/fmc_tlu_toplevel_c/sch_1/fmc_tlu_toplevel_c.dcf,3 index 94207eb2043ed55487afe9ef8fc22b9d8193916d..2a6facdbafa8604e9e6f8de8e50cd9841f7dc290 100755 --- a/circuit_board/Cadence/worklib/fmc_tlu_toplevel_c/sch_1/fmc_tlu_toplevel_c.dcf,3 +++ b/circuit_board/Cadence/worklib/fmc_tlu_toplevel_c/sch_1/fmc_tlu_toplevel_c.dcf,3 @@ -7,7 +7,7 @@ ( 16.6 ) ) ( revisionNumber - ( logicalViewRevNum 172 ) + ( logicalViewRevNum 182 ) ( physicalViewRevNum 6 ) ( otherViewRevNum 0 ) ) @@ -541,6 +541,22 @@ ( Analysis ) ) + ( Attribute + ( Name "DIELECTRIC" ) + ( Description " " ) + ( Value + ( DataType ( dString ) ) + ( Status sProperty sPackage ) + ) + ( Objects + ( ValidObjects oGate oGateDefn oBlock oPart oDesign oSystem oPartDefn ) + ( NoInherit + ( oGate oPin ) + ) + ) + ( Analysis + ) + ) ( WorkbookCustomizations ( GridConfigurationVersion ( 16.5 ) @@ -1724,7 +1740,6 @@ ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):gnd_signal" ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_gnd_signal") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_gnd_signal") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_gnd_signal") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):a0") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):a1") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):gnd_signal") ) @@ -1733,10 +1748,29 @@ ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):gnd_signal") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):gnd") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):gnd_signal") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):gnd_signal") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):gnd_signal") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):gnd_signal") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):gnd_signal") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):gnd_signal") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(0)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(1)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(2)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(3)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(4)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(5)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(6)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(7)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(8)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(9)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(10)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(11)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(12)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(13)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(14)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2(0)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2(1)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2(2)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2(3)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2(4)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2(5)") ) ( attribute "WEIGHT" "0" ( Status sBlockFlattened ) ( Origin gBackEnd ) @@ -1759,10 +1793,6 @@ ( objectFlag fObjectAlias ) ( objectStatus "page2_gnd_signal" ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_gnd_signal" - ( objectFlag fObjectAlias ) - ( objectStatus "page4_gnd_signal" ) - ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):a0" ( objectFlag fObjectAlias ) ( objectStatus "a0" ) @@ -1797,60 +1827,30 @@ ( objectFlag fObjectAlias ) ( objectStatus "gnd_signal" ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):gnd_signal" - ( objectFlag fObjectAlias ) - ( objectStatus "gnd_signal" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):gnd_signal" + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):gnd_signal" ( objectFlag fObjectAlias ) - ( objectStatus "gnd_signal" ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):gnd_signal" + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):gnd_signal" ( objectFlag fObjectAlias ) - ( objectStatus "gnd_signal" ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):gnd_signal" + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(12)" ( objectFlag fObjectAlias ) + ( objectStatus "page1_fmc_la<12>" ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):busy2" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig5") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_busy2") ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(12)" ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(12)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(12)") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(12)") ) - ( attribute "CDS_PHYS_NET_NAME" "BUSY2" - ( Origin gPackager ) + ( attribute "DIFFERENTIAL_PAIR" "BUSY2" + ( Status sResolvedConflict ) + ( Origin gFrontEnd ) ) - ( attribute "PNN" "FMC_LA<7>" + ( attribute "PNN" "FMC_LA<12>" ( Status sAliasFlattened ) - ( Status sAliasConflict ) ( Origin gPackager ) ) - ( attribute "PHYS_NET_NAME" "BUSY2<10>" + ( attribute "CDS_PHYS_NET_NAME" "FMC_LA<12>" ( Origin gPackager ) ) - ( attribute "DIFFERENTIAL_PAIR" "CONT1" - ( Origin gFrontEnd ) - ) - ( objectStatus "BUSY2" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig5" - ( objectFlag fObjectAlias ) - ( objectStatus "sig5" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_busy2" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_busy2" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(12)" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_fmc_la<12>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(12)" - ( attribute "BUS_NAME" "FMC_LA" - ( Origin gFrontEnd ) - ) - ( objectFlag fObjectAlias ) ( objectStatus "FMC_LA<12>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(12)" @@ -1899,7 +1899,7 @@ ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(10)" ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(10)") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(10)") ) - ( attribute "DIFFERENTIAL_PAIR" "TRIG2" + ( attribute "DIFFERENTIAL_PAIR" "FMC_LA10" ( Origin gFrontEnd ) ) ( attribute "PNN" "FMC_LA<10>" @@ -1915,12 +1915,6 @@ ( objectStatus "FMC_LA<10>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(10)" - ( attribute "PNN" "FMC_LA<10>" - ( Origin gPackager ) - ) - ( attribute "DIFFERENTIAL_PAIR" "TRIG2" - ( Origin gPackager ) - ) ( objectFlag fObjectAlias ) ( objectStatus "FMC_LA<10>" ) ) @@ -1929,19 +1923,24 @@ ( objectStatus "page1_fmc_la<7>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(7)" - ( attribute "BUS_NAME" "FMC_LA" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(7)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(7)") ) + ( attribute "DIFFERENTIAL_PAIR" "FMC_LA7" ( Origin gFrontEnd ) ) - ( objectFlag fObjectAlias ) + ( attribute "PNN" "FMC_LA<7>" + ( Status sAliasFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PHYS_NET_NAME" "FMC_LA<7>" + ( Origin gPackager ) + ) ( objectStatus "FMC_LA<7>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(7)" ( attribute "PNN" "FMC_LA<7>" ( Origin gPackager ) ) - ( attribute "DIFFERENTIAL_PAIR" "BEAM_TRIGGER3" - ( Origin gPackager ) - ) ( objectFlag fObjectAlias ) ( objectStatus "FMC_LA<7>" ) ) @@ -1952,10 +1951,6 @@ ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):p2v5") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):p2v5") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):p2v5") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_p2v5") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):p2v5") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):p2v5") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):p2v5") ) ( attribute "CDS_PHYS_NET_NAME" "P2V5" ( Origin gPackager ) ) @@ -2004,27 +1999,11 @@ ( objectFlag fObjectAlias ) ( objectStatus "p2v5" ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_p2v5" - ( objectFlag fObjectAlias ) - ( objectStatus "page4_p2v5" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):p2v5" - ( objectFlag fObjectAlias ) - ( objectStatus "p2v5" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):p2v5" - ( objectFlag fObjectAlias ) - ( objectStatus "p2v5" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):p2v5" - ( objectFlag fObjectAlias ) - ( objectStatus "p2v5" ) - ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):p3v3" ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_p3v3") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):p3v3") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):p3v3") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_p3v3") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):p3v3") ) ( attribute "CDS_PHYS_NET_NAME" "P3V3" ( Origin gPackager ) ) @@ -2032,6 +2011,11 @@ ( Status sAliasFlattened ) ( Origin gPackager ) ) + ( attribute "PHYS_NET_NAME" "P3V3" + ( Status sBlockFlattened ) + ( Status sAliasFlattened ) + ( Origin gPackager ) + ) ( objectStatus "P3V3" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_p3v3" @@ -2046,9 +2030,8 @@ ( objectFlag fObjectAlias ) ( objectStatus "p3v3" ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_p3v3" + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):p3v3" ( objectFlag fObjectAlias ) - ( objectStatus "page4_p3v3" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):scl" ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):iic_scl_main") ) @@ -2102,9 +2085,6 @@ ( attribute "PNN" "FMC_LA<0>" ( Origin gPackager ) ) - ( attribute "DIFFERENTIAL_PAIR" "BEAM_TRIGGER_CFD0" - ( Origin gPackager ) - ) ( objectFlag fObjectAlias ) ( objectStatus "FMC_LA<0>" ) ) @@ -2112,9 +2092,6 @@ ( attribute "PNN" "FMC_LA<1>" ( Origin gPackager ) ) - ( attribute "DIFFERENTIAL_PAIR" "BEAM_TRIGGER0" - ( Origin gPackager ) - ) ( objectFlag fObjectAlias ) ( objectStatus "FMC_LA<1>" ) ) @@ -2142,9 +2119,6 @@ ( attribute "PNN" "FMC_LA<4>" ( Origin gPackager ) ) - ( attribute "DIFFERENTIAL_PAIR" "BEAM_TRIGGER_CFD2" - ( Origin gPackager ) - ) ( objectFlag fObjectAlias ) ( objectStatus "FMC_LA<4>" ) ) @@ -2152,9 +2126,6 @@ ( attribute "PNN" "FMC_LA<5>" ( Origin gPackager ) ) - ( attribute "DIFFERENTIAL_PAIR" "BEAM_TRIGGER2" - ( Origin gPackager ) - ) ( objectFlag fObjectAlias ) ( objectStatus "FMC_LA<5>" ) ) @@ -2221,12 +2192,6 @@ ( objectStatus "FMC_LA<16>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(17)" - ( attribute "PNN" "FMC_LA<17>" - ( Origin gPackager ) - ) - ( attribute "DIFFERENTIAL_PAIR" "SPARE2" - ( Origin gPackager ) - ) ( objectFlag fObjectAlias ) ( objectStatus "FMC_LA<17>" ) ) @@ -2354,9 +2319,6 @@ ( attribute "PNN" "FMC_LA*<0>" ( Origin gPackager ) ) - ( attribute "DIFFERENTIAL_PAIR" "BEAM_TRIGGER_CFD0" - ( Origin gPackager ) - ) ( objectFlag fObjectAlias ) ( objectStatus "FMC_LA*<0>" ) ) @@ -2364,9 +2326,6 @@ ( attribute "PNN" "FMC_LA*<1>" ( Origin gPackager ) ) - ( attribute "DIFFERENTIAL_PAIR" "BEAM_TRIGGER0" - ( Origin gPackager ) - ) ( objectFlag fObjectAlias ) ( objectStatus "FMC_LA*<1>" ) ) @@ -2394,9 +2353,6 @@ ( attribute "PNN" "FMC_LA*<4>" ( Origin gPackager ) ) - ( attribute "DIFFERENTIAL_PAIR" "BEAM_TRIGGER_CFD2" - ( Origin gPackager ) - ) ( objectFlag fObjectAlias ) ( objectStatus "FMC_LA*<4>" ) ) @@ -2424,9 +2380,6 @@ ( attribute "PNN" "FMC_LA*<7>" ( Origin gPackager ) ) - ( attribute "DIFFERENTIAL_PAIR" "BEAM_TRIGGER3" - ( Origin gPackager ) - ) ( objectFlag fObjectAlias ) ( objectStatus "FMC_LA*<7>" ) ) @@ -2448,12 +2401,6 @@ ( objectStatus "FMC_LA*<9>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(10)" - ( attribute "PNN" "FMC_LA*<10>" - ( Origin gPackager ) - ) - ( attribute "DIFFERENTIAL_PAIR" "TRIG2" - ( Origin gPackager ) - ) ( objectFlag fObjectAlias ) ( objectStatus "FMC_LA*<10>" ) ) @@ -2465,9 +2412,6 @@ ( attribute "PNN" "FMC_LA*<12>" ( Origin gPackager ) ) - ( attribute "DIFFERENTIAL_PAIR" "FMC_LA16" - ( Origin gPackager ) - ) ( objectFlag fObjectAlias ) ( objectStatus "FMC_LA*<12>" ) ) @@ -2489,9 +2433,6 @@ ( attribute "PNN" "FMC_LA*<15>" ( Origin gPackager ) ) - ( attribute "DIFFERENTIAL_PAIR" "BUSY1" - ( Origin gPackager ) - ) ( objectFlag fObjectAlias ) ( objectStatus "FMC_LA*<15>" ) ) @@ -2503,12 +2444,6 @@ ( objectStatus "FMC_LA*<16>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(17)" - ( attribute "PNN" "FMC_LA*<17>" - ( Origin gPackager ) - ) - ( attribute "DIFFERENTIAL_PAIR" "SPARE2" - ( Origin gPackager ) - ) ( objectFlag fObjectAlias ) ( objectStatus "FMC_LA*<17>" ) ) @@ -2806,11 +2741,33 @@ ( objectStatus "page1_fmc_la<2>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(2)" - ( attribute "BUS_NAME" "FMC_LA" - ( Origin gFrontEnd ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(2)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(2)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_trig_from_fpga(0)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):trig_from_fpga(0)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):trig_from_fpga") ) + ( attribute "PNN" "FMC_LA<2>_1" + ( Origin gPackager ) + ) + ( attribute "DIFFERENTIAL_PAIR" "BEAM_TRIGGER_CFD1" + ( Status sAliasFlattened ) + ( Origin gPackager ) ) + ( attribute "CDS_PHYS_NET_NAME" "FMC_LA<2>_1" + ( Origin gPackager ) + ) + ( objectStatus "FMC_LA<2>_1" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_trig_from_fpga(0)" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_trig_from_fpga<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):trig_from_fpga(0)" + ( objectFlag fObjectAlias ) + ( objectStatus "trig_from_fpga<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):trig_from_fpga" ( objectFlag fObjectAlias ) - ( objectStatus "fmc_la<2>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(1)" ( objectFlag fObjectAlias ) @@ -2819,21 +2776,35 @@ ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(1)" ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(1)") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(1)") ) - ( attribute "DIFFERENTIAL_PAIR" "BEAM_TRIGGER0" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_spare_from_fpga(0)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):spare_from_fpga(0)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):spare_from_fpga") ) + ( attribute "DIFFERENTIAL_PAIR" "FMC_LA1" ( Origin gFrontEnd ) ) - ( attribute "PNN" "FMC_LA<1>" - ( Status sAliasFlattened ) - ( Origin gPackager ) - ) ( attribute "PHYS_NET_NAME" "FMC_LA<1>" ( Origin gPackager ) ) ( attribute "CDS_PHYS_NET_NAME" "FMC_LA<1>" ( Origin gPackager ) ) + ( attribute "PNN" "FMC_LA<1>" + ( Status sAliasFlattened ) + ( Origin gPackager ) + ) ( objectStatus "FMC_LA<1>" ) ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_spare_from_fpga(0)" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_spare_from_fpga<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):spare_from_fpga(0)" + ( objectFlag fObjectAlias ) + ( objectStatus "spare_from_fpga<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):spare_from_fpga" + ( objectFlag fObjectAlias ) + ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):beam_trigger(1)" ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):leading_edge_out") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_beam_trigger(1)") ) @@ -2955,13 +2926,14 @@ ( objectStatus "page1_fmc_la<11>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(11)" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(11)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(11)") ) ( attribute "PNN" "FMC_LA<11>" ( Origin gPackager ) ) - ( attribute "BUS_NAME" "FMC_LA" - ( Origin gFrontEnd ) + ( attribute "CDS_PHYS_NET_NAME" "FMC_LA<11>" + ( Origin gPackager ) ) - ( objectFlag fObjectAlias ) ( objectStatus "FMC_LA<11>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(8)" @@ -2969,10 +2941,18 @@ ( objectStatus "page1_fmc_la<8>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(8)" - ( attribute "BUS_NAME" "FMC_LA" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(8)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(8)") ) + ( attribute "DIFFERENTIAL_PAIR" "CONT2" ( Origin gFrontEnd ) ) - ( objectFlag fObjectAlias ) + ( attribute "PNN" "FMC_LA<8>" + ( Status sAliasFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PHYS_NET_NAME" "FMC_LA<8>" + ( Origin gPackager ) + ) ( objectStatus "FMC_LA<8>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(5)" @@ -2982,21 +2962,35 @@ ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(5)" ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(5)") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(5)") ) - ( attribute "DIFFERENTIAL_PAIR" "BEAM_TRIGGER2" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_hdmi_power_enable(0)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):hdmi_power_enable(0)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):hdmi_power_enable") ) + ( attribute "DIFFERENTIAL_PAIR" "FMC_LA5" ( Origin gFrontEnd ) ) - ( attribute "PNN" "FMC_LA<5>" - ( Status sAliasFlattened ) - ( Origin gPackager ) - ) ( attribute "PHYS_NET_NAME" "FMC_LA<5>" ( Origin gPackager ) ) ( attribute "CDS_PHYS_NET_NAME" "FMC_LA<5>" ( Origin gPackager ) ) + ( attribute "PNN" "FMC_LA<5>" + ( Status sAliasFlattened ) + ( Origin gPackager ) + ) ( objectStatus "FMC_LA<5>" ) ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_hdmi_power_enable(0)" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_hdmi_power_enable<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):hdmi_power_enable(0)" + ( objectFlag fObjectAlias ) + ( objectStatus "hdmi_power_enable<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):hdmi_power_enable" + ( objectFlag fObjectAlias ) + ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):beam_trigger(3)" ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):leading_edge_out") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_beam_trigger(3)") ) @@ -3042,10 +3036,15 @@ ( objectStatus "page1_fmc_la<16>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(16)" - ( attribute "BUS_NAME" "FMC_LA" - ( Origin gFrontEnd ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(16)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(16)") ) + ( attribute "PNN" "FMC_LA<16>" + ( Status sAliasFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PHYS_NET_NAME" "FMC_LA<16>" + ( Origin gPackager ) ) - ( objectFlag fObjectAlias ) ( objectStatus "FMC_LA<16>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\beam_trigger*\(0)" @@ -3104,11 +3103,33 @@ ( objectStatus "page1_fmc_la*<2>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(2)" - ( attribute "BUS_NAME" "FMC_LA*" - ( Origin gFrontEnd ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(2)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(2)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_trig_to_fpga(0)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):trig_to_fpga(0)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):trig_to_fpga") ) + ( attribute "PNN" "FMC_LA*<2>_1" + ( Origin gPackager ) + ) + ( attribute "DIFFERENTIAL_PAIR" "BEAM_TRIGGER_CFD1" + ( Status sAliasFlattened ) + ( Origin gPackager ) ) + ( attribute "CDS_PHYS_NET_NAME" "FMC_LA*<2>_1" + ( Origin gPackager ) + ) + ( objectStatus "FMC_LA*<2>_1" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_trig_to_fpga(0)" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_trig_to_fpga<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):trig_to_fpga(0)" + ( objectFlag fObjectAlias ) + ( objectStatus "trig_to_fpga<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):trig_to_fpga" ( objectFlag fObjectAlias ) - ( objectStatus "fmc_la*<2>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(1)" ( objectFlag fObjectAlias ) @@ -3117,22 +3138,36 @@ ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(1)" ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(1)") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(1)") ) - ( attribute "DIFFERENTIAL_PAIR" "BEAM_TRIGGER0" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_spare_to_fpga(0)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):spare_to_fpga(0)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):spare_to_fpga") ) + ( attribute "DIFFERENTIAL_PAIR" "FMC_LA1" ( Status sResolvedConflict ) ( Origin gFrontEnd ) ) - ( attribute "PNN" "FMC_LA*<1>" - ( Status sAliasFlattened ) - ( Origin gPackager ) - ) ( attribute "PHYS_NET_NAME" "FMC_LA*<1>" ( Origin gPackager ) ) ( attribute "CDS_PHYS_NET_NAME" "FMC_LA*<1>" ( Origin gPackager ) ) + ( attribute "PNN" "FMC_LA*<1>" + ( Status sAliasFlattened ) + ( Origin gPackager ) + ) ( objectStatus "FMC_LA*<1>" ) ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_spare_to_fpga(0)" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_spare_to_fpga<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):spare_to_fpga(0)" + ( objectFlag fObjectAlias ) + ( objectStatus "spare_to_fpga<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):spare_to_fpga" + ( objectFlag fObjectAlias ) + ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\beam_trigger*\(1)" ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):\leading_edge_out*\") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_beam_trigger*\(1)") ) @@ -3167,21 +3202,13 @@ ( objectStatus "page1_fmc_la*<4>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(4)" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(4)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(4)") ) - ( attribute "DIFFERENTIAL_PAIR" "BEAM_TRIGGER_CFD2" - ( Origin gFrontEnd ) - ) - ( attribute "PNN" "FMC_LA*<4>" - ( Status sAliasFlattened ) - ( Origin gPackager ) - ) ( attribute "PHYS_NET_NAME" "FMC_LA*<4>" ( Origin gPackager ) ) - ( attribute "CDS_PHYS_NET_NAME" "FMC_LA*<4>" - ( Origin gPackager ) + ( attribute "BUS_NAME" "FMC_LA*" + ( Origin gFrontEnd ) ) + ( objectFlag fObjectAlias ) ( objectStatus "FMC_LA*<4>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(3)" @@ -3262,13 +3289,14 @@ ( objectStatus "page1_fmc_la*<11>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(11)" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(11)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(11)") ) ( attribute "PNN" "FMC_LA*<11>" ( Origin gPackager ) ) - ( attribute "BUS_NAME" "FMC_LA*" - ( Origin gFrontEnd ) + ( attribute "CDS_PHYS_NET_NAME" "FMC_LA*<11>" + ( Origin gPackager ) ) - ( objectFlag fObjectAlias ) ( objectStatus "FMC_LA*<11>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(8)" @@ -3276,10 +3304,18 @@ ( objectStatus "page1_fmc_la*<8>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(8)" - ( attribute "BUS_NAME" "FMC_LA*" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(8)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(8)") ) + ( attribute "DIFFERENTIAL_PAIR" "CONT2" ( Origin gFrontEnd ) ) - ( objectFlag fObjectAlias ) + ( attribute "PNN" "FMC_LA*<8>" + ( Status sAliasFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PHYS_NET_NAME" "FMC_LA*<8>" + ( Origin gPackager ) + ) ( objectStatus "FMC_LA*<8>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(12)" @@ -3287,10 +3323,18 @@ ( objectStatus "page1_fmc_la*<12>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(12)" - ( attribute "BUS_NAME" "FMC_LA*" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(12)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(12)") ) + ( attribute "DIFFERENTIAL_PAIR" "FMC_LA12" ( Origin gFrontEnd ) ) - ( objectFlag fObjectAlias ) + ( attribute "PNN" "FMC_LA*<12>" + ( Status sAliasFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PHYS_NET_NAME" "FMC_LA*<12>" + ( Origin gPackager ) + ) ( objectStatus "FMC_LA*<12>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(23)" @@ -3382,10 +3426,18 @@ ( objectStatus "page1_fmc_la*<7>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(7)" - ( attribute "BUS_NAME" "FMC_LA*" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(7)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(7)") ) + ( attribute "DIFFERENTIAL_PAIR" "FMC_LA7" ( Origin gFrontEnd ) ) - ( objectFlag fObjectAlias ) + ( attribute "PNN" "FMC_LA*<7>" + ( Status sAliasFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PHYS_NET_NAME" "FMC_LA*<7>" + ( Origin gPackager ) + ) ( objectStatus "FMC_LA*<7>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(16)" @@ -3393,10 +3445,18 @@ ( objectStatus "page1_fmc_la*<16>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(16)" - ( attribute "BUS_NAME" "FMC_LA*" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(16)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(16)") ) + ( attribute "DIFFERENTIAL_PAIR" "TRIG2" ( Origin gFrontEnd ) ) - ( objectFlag fObjectAlias ) + ( attribute "PNN" "FMC_LA*<16>" + ( Status sAliasFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PHYS_NET_NAME" "FMC_LA*<16>" + ( Origin gPackager ) + ) ( objectStatus "FMC_LA*<16>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\beam_trigger_cfd*\(3)" @@ -3448,10 +3508,19 @@ ( objectStatus "page1_fmc_la*<27>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(27)" - ( attribute "BUS_NAME" "FMC_LA*" - ( Origin gFrontEnd ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(27)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(27)") ) + ( attribute "PNN" "FMC_LA*<27>" + ( Status sAliasFlattened ) + ( Origin gPackager ) + ) + ( attribute "DIFFERENTIAL_PAIR" "FMC_LA32" + ( Status sAliasFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PHYS_NET_NAME" "FMC_LA*<27>" + ( Origin gPackager ) ) - ( objectFlag fObjectAlias ) ( objectStatus "FMC_LA*<27>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(27)" @@ -3459,10 +3528,19 @@ ( objectStatus "page1_fmc_la<27>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(27)" - ( attribute "BUS_NAME" "FMC_LA" - ( Origin gFrontEnd ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(27)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(27)") ) + ( attribute "PNN" "FMC_LA<27>" + ( Status sAliasFlattened ) + ( Origin gPackager ) + ) + ( attribute "DIFFERENTIAL_PAIR" "FMC_LA32" + ( Status sAliasFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PHYS_NET_NAME" "FMC_LA<27>" + ( Origin gPackager ) ) - ( objectFlag fObjectAlias ) ( objectStatus "FMC_LA<27>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(6)" @@ -3532,22 +3610,32 @@ ( objectStatus "page1_fmc_la*<18>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(18)" - ( attribute "BUS_NAME" "FMC_LA*" - ( Origin gFrontEnd ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(18)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(18)") ) + ( attribute "PNN" "FMC_LA*<18>" + ( Status sAliasFlattened ) + ( Origin gPackager ) ) - ( objectFlag fObjectAlias ) - ( objectStatus "fmc_la*<18>" ) + ( attribute "CDS_PHYS_NET_NAME" "FMC_LA*<18>" + ( Origin gPackager ) + ) + ( objectStatus "FMC_LA*<18>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(18)" ( objectFlag fObjectAlias ) ( objectStatus "page1_fmc_la<18>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(18)" - ( attribute "BUS_NAME" "FMC_LA" - ( Origin gFrontEnd ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(18)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(18)") ) + ( attribute "PNN" "FMC_LA<18>" + ( Status sAliasFlattened ) + ( Origin gPackager ) ) - ( objectFlag fObjectAlias ) - ( objectStatus "fmc_la<18>" ) + ( attribute "CDS_PHYS_NET_NAME" "FMC_LA<18>" + ( Origin gPackager ) + ) + ( objectStatus "FMC_LA<18>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):beam_trigger_cfd(3)" ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_beam_trigger_cfd(3)") ) @@ -3668,22 +3756,32 @@ ( objectStatus "page1_fmc_la*<14>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(14)" - ( attribute "BUS_NAME" "FMC_LA*" - ( Origin gFrontEnd ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(14)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(14)") ) + ( attribute "PNN" "FMC_LA*<14>" + ( Status sAliasFlattened ) + ( Origin gPackager ) ) - ( objectFlag fObjectAlias ) - ( objectStatus "fmc_la*<14>" ) + ( attribute "CDS_PHYS_NET_NAME" "FMC_LA*<14>" + ( Origin gPackager ) + ) + ( objectStatus "FMC_LA*<14>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(14)" ( objectFlag fObjectAlias ) ( objectStatus "page1_fmc_la<14>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(14)" - ( attribute "BUS_NAME" "FMC_LA" - ( Origin gFrontEnd ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(14)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(14)") ) + ( attribute "PNN" "FMC_LA<14>" + ( Status sAliasFlattened ) + ( Origin gPackager ) ) - ( objectFlag fObjectAlias ) - ( objectStatus "fmc_la<14>" ) + ( attribute "CDS_PHYS_NET_NAME" "FMC_LA<14>" + ( Origin gPackager ) + ) + ( objectStatus "FMC_LA<14>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):beam_trigger_cfd(2)" ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):cfd_out") ) @@ -3756,22 +3854,13 @@ ( objectStatus "page1_fmc_la*<0>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(0)" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(0)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(0)") ) - ( attribute "PNN" "FMC_LA*<0>" - ( Status sAliasFlattened ) - ( Origin gPackager ) - ) - ( attribute "DIFFERENTIAL_PAIR" "BEAM_TRIGGER_CFD0" - ( Status sAliasFlattened ) - ( Origin gPackager ) - ) ( attribute "PHYS_NET_NAME" "FMC_LA*<0>" ( Origin gPackager ) ) - ( attribute "CDS_PHYS_NET_NAME" "FMC_LA*<0>" - ( Origin gPackager ) + ( attribute "BUS_NAME" "FMC_LA*" + ( Origin gFrontEnd ) ) + ( objectFlag fObjectAlias ) ( objectStatus "FMC_LA*<0>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):beam_trigger_cfd(1)" @@ -4075,10 +4164,10 @@ ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):p5v") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):p5v") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):p5v") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_p5v") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_p5v") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_p5v") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):\+5v_out\") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):p5v") ) ( attribute "CDS_PHYS_NET_NAME" "P5V" ( Origin gPackager ) ) @@ -4130,10 +4219,6 @@ ( objectFlag fObjectAlias ) ( objectStatus "p5v" ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_p5v" - ( objectFlag fObjectAlias ) - ( objectStatus "page4_p5v" ) - ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_p5v" ( objectFlag fObjectAlias ) ( objectStatus "page2_p5v" ) @@ -4145,11 +4230,8 @@ ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):\+5v_out\" ( objectFlag fObjectAlias ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):unnamed_4_capcersmdcl2_i9_b" - ( attribute "CDS_PHYS_NET_NAME" "UNNAMED_4_CAPCERSMDCL2_I9_B" - ( Origin gPackager ) - ) - ( objectStatus "UNNAMED_4_CAPCERSMDCL2_I9_B" ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):p5v" + ( objectFlag fObjectAlias ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):unnamed_1_ad5665r_i63_voutb" ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i32@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):\vin+\") ) @@ -4162,12 +4244,6 @@ ( objectFlag fObjectAlias ) ( objectStatus "vin+" ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):unnamed_4_capcersmdcl2_i8_b" - ( attribute "CDS_PHYS_NET_NAME" "UNNAMED_4_CAPCERSMDCL2_I8_B" - ( Origin gPackager ) - ) - ( objectStatus "UNNAMED_4_CAPCERSMDCL2_I8_B" ) - ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):unnamed_1_ad5665r_i63_voutc" ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i31@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):\vin+\") ) ( attribute "CDS_PHYS_NET_NAME" "UNNAMED_1_AD5665R_I63_VOUTC" @@ -4458,41 +4534,6 @@ ) ( objectStatus "IN<3>" ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):frame" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_frame") ) - ( attribute "CDS_PHYS_NET_NAME" "FRAME" - ( Origin gPackager ) - ) - ( objectStatus "FRAME" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_frame" - ( objectFlag fObjectAlias ) - ( objectStatus "page4_frame" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):gnd_hdmi1" - ( attribute "CDS_PHYS_NET_NAME" "GND_HDMI1" - ( Origin gPackager ) - ) - ( objectStatus "GND_HDMI1" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):gnd_hdmi2" - ( attribute "CDS_PHYS_NET_NAME" "GND_HDMI2" - ( Origin gPackager ) - ) - ( objectStatus "GND_HDMI2" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):unnamed_4_capcersmdcl2_i19_b" - ( attribute "CDS_PHYS_NET_NAME" "UNNAMED_4_CAPCERSMDCL2_I19_B" - ( Origin gPackager ) - ) - ( objectStatus "UNNAMED_4_CAPCERSMDCL2_I19_B" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):unnamed_4_capcersmdcl2_i22_b" - ( attribute "CDS_PHYS_NET_NAME" "UNNAMED_4_CAPCERSMDCL2_I22_B" - ( Origin gPackager ) - ) - ( objectStatus "UNNAMED_4_CAPCERSMDCL2_I22_B" ) - ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):unnamed_1_ad5665r_i63_voutd" ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i30@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1):\vin+\") ) ( attribute "CDS_PHYS_NET_NAME" "UNNAMED_1_AD5665R_I63_VOUTD" @@ -4511,70 +4552,21 @@ ) ( objectStatus "UNNAMED_1_AD5665R_I63_VOUTA" ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\cont0*\" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig4") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_cont0*\") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(22)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(22)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(22)") ) - ( attribute "CDS_PHYS_NET_NAME" "CONT0*" - ( Origin gPackager ) - ) - ( attribute "PNN" "FMC_LA*<33>" - ( Status sAliasFlattened ) - ( Status sAliasConflict ) - ( Origin gPackager ) - ) - ( attribute "PHYS_NET_NAME" "CONT0*<32>" - ( Origin gPackager ) - ) - ( attribute "DIFFERENTIAL_PAIR" "FMC_LA22" - ( Origin gFrontEnd ) - ) - ( objectStatus "CONT0*" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig4" - ( objectFlag fObjectAlias ) - ( objectStatus "sig4" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_cont0*\" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_cont0*" ) - ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(22)" ( objectFlag fObjectAlias ) ( objectStatus "page1_fmc_la*<22>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(22)" - ( attribute "BUS_NAME" "FMC_LA*" - ( Origin gFrontEnd ) - ) - ( objectFlag fObjectAlias ) - ( objectStatus "FMC_LA*<22>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_trig1*\" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_trig1*" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\trig1*\" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_trig1*\") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(3)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(3)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(3)") ) - ( attribute "PNN" "FMC_LA*<32>" - ( Status sResolvedConflict ) - ( Origin gPackager ) - ) - ( attribute "PHYS_NET_NAME" "TRIG1*" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(22)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(22)") ) + ( attribute "PNN" "FMC_LA*<22>" + ( Status sAliasFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PHYS_NET_NAME" "TRIG1*" + ( attribute "CDS_PHYS_NET_NAME" "FMC_LA*<22>" ( Origin gPackager ) ) - ( attribute "DIFFERENTIAL_PAIR" "BEAM_TRIGGER1" - ( Origin gFrontEnd ) - ) - ( objectStatus "TRIG1*" ) + ( objectStatus "FMC_LA*<22>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(26)" ( objectFlag fObjectAlias ) @@ -4620,100 +4612,21 @@ ) ( objectStatus "FMC_LA<26>" ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):cont0" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig3") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_cont0") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(22)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(22)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(22)") ) - ( attribute "CDS_PHYS_NET_NAME" "CONT0" - ( Origin gPackager ) - ) - ( attribute "PNN" "FMC_LA<33>" - ( Status sAliasFlattened ) - ( Status sAliasConflict ) - ( Origin gPackager ) - ) - ( attribute "PHYS_NET_NAME" "CONT0<32>" - ( Origin gPackager ) - ) - ( attribute "DIFFERENTIAL_PAIR" "FMC_LA22" - ( Origin gFrontEnd ) - ) - ( objectStatus "CONT0" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig3" - ( objectFlag fObjectAlias ) - ( objectStatus "sig3" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_cont0" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_cont0" ) - ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(22)" ( objectFlag fObjectAlias ) ( objectStatus "page1_fmc_la<22>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(22)" - ( attribute "BUS_NAME" "FMC_LA" - ( Origin gFrontEnd ) - ) - ( objectFlag fObjectAlias ) - ( objectStatus "FMC_LA<22>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_trig1" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_trig1" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):trig1" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_trig1") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(3)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(3)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(3)") ) - ( attribute "PNN" "FMC_LA<32>" - ( Status sResolvedConflict ) - ( Origin gPackager ) - ) - ( attribute "PHYS_NET_NAME" "TRIG1" - ( Origin gPackager ) - ) - ( attribute "CDS_PHYS_NET_NAME" "TRIG1" - ( Origin gPackager ) - ) - ( attribute "DIFFERENTIAL_PAIR" "BEAM_TRIGGER1" - ( Origin gFrontEnd ) - ) - ( objectStatus "TRIG1" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\clk1*\" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig3") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_clk1*\") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(27)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(27)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(27)") ) - ( attribute "CDS_PHYS_NET_NAME" "CLK1*" - ( Origin gPackager ) - ) - ( attribute "PNN" "FMC_LA*<21>" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(22)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(22)") ) + ( attribute "PNN" "FMC_LA<22>" ( Status sAliasFlattened ) - ( Status sAliasConflict ) ( Origin gPackager ) ) - ( attribute "PHYS_NET_NAME" "CLK1*<17>" + ( attribute "CDS_PHYS_NET_NAME" "FMC_LA<22>" ( Origin gPackager ) ) - ( attribute "DIFFERENTIAL_PAIR" "FMC_LA32" - ( Origin gFrontEnd ) - ) - ( objectStatus "CLK1*" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig3" - ( objectFlag fObjectAlias ) - ( objectStatus "sig3" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_clk1*\" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_clk1*" ) + ( objectStatus "FMC_LA<22>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(17)" ( objectFlag fObjectAlias ) @@ -4722,7 +4635,7 @@ ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(17)" ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(17)") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(17)") ) - ( attribute "DIFFERENTIAL_PAIR" "SPARE2" + ( attribute "DIFFERENTIAL_PAIR" "FMC_LA17" ( Origin gFrontEnd ) ) ( attribute "PNN" "FMC_LA*<17>" @@ -4742,10 +4655,15 @@ ( objectStatus "page1_fmc_la*<21>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(21)" - ( attribute "BUS_NAME" "FMC_LA*" - ( Origin gFrontEnd ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(21)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(21)") ) + ( attribute "PNN" "FMC_LA*<21>" + ( Status sAliasFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PHYS_NET_NAME" "FMC_LA*<21>" + ( Origin gPackager ) ) - ( objectFlag fObjectAlias ) ( objectStatus "FMC_LA*<21>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(21)" @@ -4753,41 +4671,16 @@ ( objectStatus "page1_fmc_la<21>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(21)" - ( attribute "BUS_NAME" "FMC_LA" - ( Origin gFrontEnd ) - ) - ( objectFlag fObjectAlias ) - ( objectStatus "FMC_LA<21>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):clk1" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig2") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_clk1") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(27)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(27)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(27)") ) - ( attribute "CDS_PHYS_NET_NAME" "CLK1" - ( Origin gPackager ) - ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(21)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(21)") ) ( attribute "PNN" "FMC_LA<21>" ( Status sAliasFlattened ) - ( Status sAliasConflict ) ( Origin gPackager ) ) - ( attribute "PHYS_NET_NAME" "CLK1<17>" + ( attribute "CDS_PHYS_NET_NAME" "FMC_LA<21>" ( Origin gPackager ) ) - ( attribute "DIFFERENTIAL_PAIR" "FMC_LA32" - ( Origin gFrontEnd ) - ) - ( objectStatus "CLK1" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig2" - ( objectFlag fObjectAlias ) - ( objectStatus "sig2" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_clk1" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_clk1" ) + ( objectStatus "FMC_LA<21>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(17)" ( objectFlag fObjectAlias ) @@ -4796,7 +4689,7 @@ ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(17)" ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(17)") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(17)") ) - ( attribute "DIFFERENTIAL_PAIR" "SPARE2" + ( attribute "DIFFERENTIAL_PAIR" "FMC_LA17" ( Origin gFrontEnd ) ) ( attribute "PNN" "FMC_LA<17>" @@ -4811,36 +4704,6 @@ ) ( objectStatus "FMC_LA<17>" ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\busy1*\" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig4") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_busy1*\") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(14)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(14)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(14)") ) - ( attribute "CDS_PHYS_NET_NAME" "BUSY1*" - ( Origin gPackager ) - ) - ( attribute "PNN" "FMC_LA*<28>" - ( Status sAliasFlattened ) - ( Status sAliasConflict ) - ( Origin gPackager ) - ) - ( attribute "PHYS_NET_NAME" "BUSY1*<23>" - ( Origin gPackager ) - ) - ( attribute "DIFFERENTIAL_PAIR" "FMC_LA14" - ( Origin gFrontEnd ) - ) - ( objectStatus "BUSY1*" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig4" - ( objectFlag fObjectAlias ) - ( objectStatus "sig4" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_busy1*\" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_busy1*" ) - ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(10)" ( objectFlag fObjectAlias ) ( objectStatus "page1_fmc_la*<10>" ) @@ -4848,7 +4711,7 @@ ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(10)" ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(10)") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(10)") ) - ( attribute "DIFFERENTIAL_PAIR" "TRIG2" + ( attribute "DIFFERENTIAL_PAIR" "FMC_LA10" ( Origin gFrontEnd ) ) ( attribute "PNN" "FMC_LA*<10>" @@ -4868,41 +4731,19 @@ ( objectStatus "page1_fmc_la*<15>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(15)" - ( attribute "BUS_NAME" "FMC_LA*" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(15)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(15)") ) + ( attribute "DIFFERENTIAL_PAIR" "FMC_LA15" ( Origin gFrontEnd ) ) - ( objectFlag fObjectAlias ) - ( objectStatus "FMC_LA*<15>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):busy1" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig5") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_busy1") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(14)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(14)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(14)") ) - ( attribute "CDS_PHYS_NET_NAME" "BUSY1" - ( Origin gPackager ) - ) - ( attribute "PNN" "FMC_LA<28>" + ( attribute "PNN" "FMC_LA*<15>" ( Status sAliasFlattened ) - ( Status sAliasConflict ) ( Origin gPackager ) ) - ( attribute "PHYS_NET_NAME" "BUSY1<23>" + ( attribute "CDS_PHYS_NET_NAME" "FMC_LA*<15>" ( Origin gPackager ) ) - ( attribute "DIFFERENTIAL_PAIR" "FMC_LA14" - ( Origin gFrontEnd ) - ) - ( objectStatus "BUSY1" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig5" - ( objectFlag fObjectAlias ) - ( objectStatus "sig5" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_busy1" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_busy1" ) + ( objectStatus "FMC_LA*<15>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(15)" ( objectFlag fObjectAlias ) @@ -4926,121 +4767,45 @@ ) ( objectStatus "FMC_LA<15>" ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\busy0*\" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig5") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_busy0*\") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(19)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(19)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(19)") ) - ( attribute "CDS_PHYS_NET_NAME" "BUSY0*" - ( Origin gPackager ) - ) - ( attribute "PNN" "FMC_LA*<6>" - ( Status sAliasFlattened ) - ( Status sAliasConflict ) - ( Origin gPackager ) - ) - ( attribute "DIFFERENTIAL_PAIR" "BEAM_TRIGGER_CFD3" - ( Status sAliasFlattened ) - ( Status sAliasConflict ) - ( Origin gPackager ) - ) - ( attribute "PHYS_NET_NAME" "BUSY0*<31>" - ( Origin gPackager ) - ) - ( objectStatus "BUSY0*" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig5" - ( objectFlag fObjectAlias ) - ( objectStatus "sig5" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_busy0*\" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_busy0*" ) - ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(19)" ( objectFlag fObjectAlias ) ( objectStatus "page1_fmc_la*<19>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(19)" - ( attribute "BUS_NAME" "FMC_LA*" - ( Origin gFrontEnd ) - ) - ( objectFlag fObjectAlias ) - ( objectStatus "FMC_LA*<19>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):busy0" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig2") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_busy0") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(19)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(19)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(19)") ) - ( attribute "CDS_PHYS_NET_NAME" "BUSY0" - ( Origin gPackager ) - ) - ( attribute "PNN" "FMC_LA<6>" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(19)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(19)") ) + ( attribute "PNN" "FMC_LA*<19>" ( Status sAliasFlattened ) - ( Status sAliasConflict ) ( Origin gPackager ) ) - ( attribute "DIFFERENTIAL_PAIR" "BEAM_TRIGGER_CFD3" + ( attribute "DIFFERENTIAL_PAIR" "TRIG1" ( Status sAliasFlattened ) - ( Status sAliasConflict ) ( Origin gPackager ) ) - ( attribute "PHYS_NET_NAME" "BUSY0<31>" + ( attribute "CDS_PHYS_NET_NAME" "FMC_LA*<19>" ( Origin gPackager ) ) - ( objectStatus "BUSY0" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig2" - ( objectFlag fObjectAlias ) - ( objectStatus "sig2" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_busy0" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_busy0" ) + ( objectStatus "FMC_LA*<19>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(19)" ( objectFlag fObjectAlias ) ( objectStatus "page1_fmc_la<19>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(19)" - ( attribute "BUS_NAME" "FMC_LA" - ( Origin gFrontEnd ) - ) - ( objectFlag fObjectAlias ) - ( objectStatus "FMC_LA<19>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\spare1*\" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig1") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_spare1*\") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(8)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(8)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(8)") ) - ( attribute "CDS_PHYS_NET_NAME" "SPARE1*" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(19)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(19)") ) + ( attribute "PNN" "FMC_LA<19>" + ( Status sAliasFlattened ) ( Origin gPackager ) ) - ( attribute "PNN" "FMC_LA*<30>" + ( attribute "DIFFERENTIAL_PAIR" "TRIG1" ( Status sAliasFlattened ) - ( Status sAliasConflict ) ( Origin gPackager ) ) - ( attribute "PHYS_NET_NAME" "SPARE1*<26>" + ( attribute "CDS_PHYS_NET_NAME" "FMC_LA<19>" ( Origin gPackager ) ) - ( attribute "DIFFERENTIAL_PAIR" "CONT2" - ( Origin gFrontEnd ) - ) - ( objectStatus "SPARE1*" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig1" - ( objectFlag fObjectAlias ) - ( objectStatus "sig1" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_spare1*\" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_spare1*" ) + ( objectStatus "FMC_LA<19>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(9)" ( objectFlag fObjectAlias ) @@ -5069,41 +4834,19 @@ ( objectStatus "page1_fmc_la*<20>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(20)" - ( attribute "BUS_NAME" "FMC_LA*" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(20)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(20)") ) + ( attribute "DIFFERENTIAL_PAIR" "SPARE1" ( Origin gFrontEnd ) ) - ( objectFlag fObjectAlias ) - ( objectStatus "FMC_LA*<20>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):spare1" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig0") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_spare1") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(8)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(8)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(8)") ) - ( attribute "CDS_PHYS_NET_NAME" "SPARE1" - ( Origin gPackager ) - ) - ( attribute "PNN" "FMC_LA<30>" + ( attribute "PNN" "FMC_LA*<20>" ( Status sAliasFlattened ) - ( Status sAliasConflict ) ( Origin gPackager ) ) - ( attribute "PHYS_NET_NAME" "SPARE1<26>" + ( attribute "CDS_PHYS_NET_NAME" "FMC_LA*<20>" ( Origin gPackager ) ) - ( attribute "DIFFERENTIAL_PAIR" "CONT2" - ( Origin gFrontEnd ) - ) - ( objectStatus "SPARE1" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig0" - ( objectFlag fObjectAlias ) - ( objectStatus "sig0" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_spare1" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_spare1" ) + ( objectStatus "FMC_LA*<20>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(9)" ( objectFlag fObjectAlias ) @@ -5132,535 +4875,19 @@ ( objectStatus "page1_fmc_la<20>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(20)" - ( attribute "BUS_NAME" "FMC_LA" - ( Origin gFrontEnd ) - ) - ( objectFlag fObjectAlias ) - ( objectStatus "FMC_LA<20>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):unnamed_4_con19p_i2_a" - ( attribute "CDS_PHYS_NET_NAME" "UNNAMED_4_CON19P_I2_A" - ( Origin gPackager ) - ) - ( objectStatus "UNNAMED_4_CON19P_I2_A" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):cont1" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig6") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_cont1") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(18)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(18)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(18)") ) - ( attribute "CDS_PHYS_NET_NAME" "CONT1" - ( Origin gPackager ) - ) - ( attribute "PNN" "FMC_LA<24>" - ( Status sAliasFlattened ) - ( Status sAliasConflict ) - ( Origin gPackager ) - ) - ( attribute "PHYS_NET_NAME" "CONT1<18>" - ( Origin gPackager ) - ) - ( attribute "DIFFERENTIAL_PAIR" "FMC_LA18" - ( Origin gFrontEnd ) - ) - ( objectStatus "CONT1" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig6" - ( objectFlag fObjectAlias ) - ( objectStatus "sig6" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_cont1" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_cont1" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\cont1*\" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig7") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_cont1*\") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(18)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(18)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(18)") ) - ( attribute "CDS_PHYS_NET_NAME" "CONT1*" - ( Origin gPackager ) - ) - ( attribute "PNN" "FMC_LA*<16>" - ( Status sAliasFlattened ) - ( Status sAliasConflict ) - ( Origin gPackager ) - ) - ( attribute "PHYS_NET_NAME" "CONT1*<18>" - ( Origin gPackager ) - ) - ( attribute "DIFFERENTIAL_PAIR" "FMC_LA18" - ( Origin gFrontEnd ) - ) - ( objectStatus "CONT1*" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig7" - ( objectFlag fObjectAlias ) - ( objectStatus "sig7" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_cont1*\" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_cont1*" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):dut_clk0" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig6") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_dut_clk0") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(21)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(21)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(21)") ) - ( attribute "CDS_PHYS_NET_NAME" "DUT_CLK0" - ( Origin gPackager ) - ) - ( attribute "PNN" "FMC_LA<31>" - ( Status sAliasFlattened ) - ( Status sAliasConflict ) - ( Origin gPackager ) - ) - ( attribute "PHYS_NET_NAME" "DUT_CLK0<33>" - ( Origin gPackager ) - ) - ( attribute "DIFFERENTIAL_PAIR" "FMC_LA21" - ( Origin gFrontEnd ) - ) - ( objectStatus "DUT_CLK0" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig6" - ( objectFlag fObjectAlias ) - ( objectStatus "sig6" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_dut_clk0" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_dut_clk0" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\dut_clk0*\" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig7") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_dut_clk0*\") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(21)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(21)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(21)") ) - ( attribute "CDS_PHYS_NET_NAME" "DUT_CLK0*" - ( Origin gPackager ) - ) - ( attribute "PNN" "FMC_LA*<31>" - ( Status sAliasFlattened ) - ( Status sAliasConflict ) - ( Origin gPackager ) - ) - ( attribute "PHYS_NET_NAME" "DUT_CLK0*<33>" - ( Origin gPackager ) - ) - ( attribute "DIFFERENTIAL_PAIR" "FMC_LA21" - ( Origin gFrontEnd ) - ) - ( objectStatus "DUT_CLK0*" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig7" - ( objectFlag fObjectAlias ) - ( objectStatus "sig7" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_dut_clk0*\" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_dut_clk0*" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):trig0" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig0") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_trig0") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(20)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(20)") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(20)") ) - ( attribute "CDS_PHYS_NET_NAME" "TRIG0" - ( Origin gPackager ) - ) - ( attribute "PNN" "FMC_LA<10>" - ( Status sAliasFlattened ) - ( Status sAliasConflict ) - ( Origin gPackager ) - ) - ( attribute "PHYS_NET_NAME" "TRIG0<30>" - ( Origin gPackager ) - ) - ( attribute "DIFFERENTIAL_PAIR" "SPARE1" - ( Origin gFrontEnd ) - ) - ( objectStatus "TRIG0" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig0" - ( objectFlag fObjectAlias ) - ( objectStatus "sig0" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_trig0" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_trig0" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\trig0*\" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig1") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_trig0*\") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(20)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(20)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(20)") ) - ( attribute "CDS_PHYS_NET_NAME" "TRIG0*" - ( Origin gPackager ) - ) - ( attribute "PNN" "FMC_LA*<10>" - ( Status sAliasFlattened ) - ( Status sAliasConflict ) - ( Origin gPackager ) - ) - ( attribute "PHYS_NET_NAME" "TRIG0*<30>" - ( Origin gPackager ) - ) ( attribute "DIFFERENTIAL_PAIR" "SPARE1" ( Origin gFrontEnd ) ) - ( objectStatus "TRIG0*" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig1" - ( objectFlag fObjectAlias ) - ( objectStatus "sig1" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_trig0*\" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_trig0*" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):hdmi_power_enable1" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_hdmi_power_enable1") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(4)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(4)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(4)") ) - ( attribute "CDS_PHYS_NET_NAME" "HDMI_POWER_ENABLE1" - ( Origin gPackager ) - ) - ( attribute "PHYS_NET_NAME" "HDMI_POWER_ENABLE1<11>" - ( Origin gPackager ) - ) - ( attribute "PNN" "FMC_LA<11>" - ( Status sAliasFlattened ) - ( Status sAliasConflict ) - ( Origin gPackager ) - ) - ( attribute "DIFFERENTIAL_PAIR" "BEAM_TRIGGER_CFD2" - ( Origin gFrontEnd ) - ) - ( objectStatus "HDMI_POWER_ENABLE1" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_hdmi_power_enable1" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_hdmi_power_enable1" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):unnamed_4_74lvc1g07_i28_y" - ( attribute "CDS_PHYS_NET_NAME" "UNNAMED_4_74LVC1G07_I28_Y" - ( Origin gPackager ) - ) - ( objectStatus "UNNAMED_4_74LVC1G07_I28_Y" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\busy2*\" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig4") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_busy2*\") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(12)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(12)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(12)") ) - ( attribute "CDS_PHYS_NET_NAME" "BUSY2*" - ( Origin gPackager ) - ) - ( attribute "PNN" "FMC_LA*<7>" - ( Status sAliasFlattened ) - ( Status sAliasConflict ) - ( Origin gPackager ) - ) - ( attribute "PHYS_NET_NAME" "BUSY2*<10>" - ( Origin gPackager ) - ) - ( attribute "DIFFERENTIAL_PAIR" "CONT1" - ( Status sResolvedConflict ) - ( Origin gFrontEnd ) - ) - ( objectStatus "BUSY2*" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig4" - ( objectFlag fObjectAlias ) - ( objectStatus "sig4" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_busy2*\" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_busy2*" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):clk2" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig2") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_clk2") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(2)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(2)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(2)") ) - ( attribute "CDS_PHYS_NET_NAME" "CLK2" - ( Origin gPackager ) - ) - ( attribute "PNN" "FMC_LA<4>" - ( Status sAliasFlattened ) - ( Status sAliasConflict ) - ( Origin gPackager ) - ) - ( attribute "DIFFERENTIAL_PAIR" "BEAM_TRIGGER_CFD2" - ( Status sAliasFlattened ) - ( Status sAliasConflict ) - ( Origin gPackager ) - ) - ( attribute "PHYS_NET_NAME" "CLK2<6>" - ( Origin gPackager ) - ) - ( objectStatus "CLK2" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig2" - ( objectFlag fObjectAlias ) - ( objectStatus "sig2" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_clk2" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_clk2" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\clk2*\" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig3") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_clk2*\") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(2)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(2)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(2)") ) - ( attribute "CDS_PHYS_NET_NAME" "CLK2*" - ( Origin gPackager ) - ) - ( attribute "PNN" "FMC_LA*<4>" - ( Status sAliasFlattened ) - ( Status sAliasConflict ) - ( Origin gPackager ) - ) - ( attribute "DIFFERENTIAL_PAIR" "BEAM_TRIGGER_CFD2" - ( Status sAliasFlattened ) - ( Status sAliasConflict ) - ( Origin gPackager ) - ) - ( attribute "PHYS_NET_NAME" "CLK2*<6>" - ( Origin gPackager ) - ) - ( objectStatus "CLK2*" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig3" - ( objectFlag fObjectAlias ) - ( objectStatus "sig3" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_clk2*\" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_clk2*" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):cont2" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig6") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_cont2") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(7)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(7)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(7)") ) - ( attribute "CDS_PHYS_NET_NAME" "CONT2" - ( Origin gPackager ) - ) - ( attribute "PNN" "FMC_LA<8>" - ( Status sAliasFlattened ) - ( Status sAliasConflict ) - ( Origin gPackager ) - ) - ( attribute "PHYS_NET_NAME" "CONT2<9>" - ( Origin gPackager ) - ) - ( attribute "DIFFERENTIAL_PAIR" "BEAM_TRIGGER3" - ( Origin gFrontEnd ) - ) - ( objectStatus "CONT2" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig6" - ( objectFlag fObjectAlias ) - ( objectStatus "sig6" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_cont2" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_cont2" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\cont2*\" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig7") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_cont2*\") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(7)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(7)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(7)") ) - ( attribute "CDS_PHYS_NET_NAME" "CONT2*" - ( Origin gPackager ) - ) - ( attribute "PNN" "FMC_LA*<8>" - ( Status sAliasFlattened ) - ( Status sAliasConflict ) - ( Origin gPackager ) - ) - ( attribute "PHYS_NET_NAME" "CONT2*<9>" - ( Origin gPackager ) - ) - ( attribute "DIFFERENTIAL_PAIR" "BEAM_TRIGGER3" - ( Origin gFrontEnd ) - ) - ( objectStatus "CONT2*" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig7" - ( objectFlag fObjectAlias ) - ( objectStatus "sig7" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_cont2*\" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_cont2*" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):hdmi_power_enable2" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_hdmi_power_enable2") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(15)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(15)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(15)") ) - ( attribute "CDS_PHYS_NET_NAME" "HDMI_POWER_ENABLE2" - ( Origin gPackager ) - ) - ( attribute "PHYS_NET_NAME" "HDMI_POWER_ENABLE2<11>" - ( Origin gPackager ) - ) - ( attribute "DIFFERENTIAL_PAIR" "BUSY1" - ( Origin gFrontEnd ) - ) - ( attribute "PNN" "FMC_LA*<15>" - ( Status sAliasFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "HDMI_POWER_ENABLE2" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_hdmi_power_enable2" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_hdmi_power_enable2" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):spare2" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig0") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_spare2") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(11)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(11)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(11)") ) - ( attribute "CDS_PHYS_NET_NAME" "SPARE2" - ( Origin gPackager ) - ) - ( attribute "PNN" "FMC_LA<17>" - ( Status sAliasFlattened ) - ( Status sAliasConflict ) - ( Origin gPackager ) - ) - ( attribute "PHYS_NET_NAME" "SPARE2<13>" - ( Origin gPackager ) - ) - ( attribute "DIFFERENTIAL_PAIR" "FMC_LA11" - ( Origin gFrontEnd ) - ) - ( objectStatus "SPARE2" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig0" - ( objectFlag fObjectAlias ) - ( objectStatus "sig0" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_spare2" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_spare2" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\spare2*\" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig1") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_spare2*\") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(11)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(11)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(11)") ) - ( attribute "CDS_PHYS_NET_NAME" "SPARE2*" - ( Origin gPackager ) - ) - ( attribute "PNN" "FMC_LA*<17>" - ( Status sAliasFlattened ) - ( Status sAliasConflict ) - ( Origin gPackager ) - ) - ( attribute "PHYS_NET_NAME" "SPARE2*<13>" - ( Origin gPackager ) - ) - ( objectStatus "SPARE2*" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig1" - ( objectFlag fObjectAlias ) - ( objectStatus "sig1" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_spare2*\" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_spare2*" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):trig2" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_trig2") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(16)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(16)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(16)") ) - ( attribute "CDS_PHYS_NET_NAME" "TRIG2" - ( Origin gPackager ) - ) - ( attribute "PNN" "FMC_LA<9>" - ( Status sAliasFlattened ) - ( Status sAliasConflict ) - ( Origin gPackager ) - ) - ( attribute "PHYS_NET_NAME" "TRIG2<14>" - ( Origin gPackager ) - ) - ( attribute "DIFFERENTIAL_PAIR" "FMC_LA16" - ( Origin gFrontEnd ) - ) - ( objectStatus "TRIG2" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_trig2" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_trig2" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\trig2*\" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_trig2*\") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(16)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(16)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(16)") ) - ( attribute "CDS_PHYS_NET_NAME" "TRIG2*" - ( Origin gPackager ) - ) - ( attribute "PNN" "FMC_LA*<9>" + ( attribute "PNN" "FMC_LA<20>" ( Status sAliasFlattened ) - ( Status sAliasConflict ) - ( Origin gPackager ) - ) - ( attribute "PHYS_NET_NAME" "TRIG2*<14>" - ( Origin gPackager ) - ) - ( objectStatus "TRIG2*" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_trig2*\" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_trig2*" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):unnamed_4_74lvc1g07_i40_y" - ( attribute "CDS_PHYS_NET_NAME" "UNNAMED_4_74LVC1G07_I40_Y" ( Origin gPackager ) ) - ( objectStatus "UNNAMED_4_74LVC1G07_I40_Y" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):unnamed_4_capcersmdcl2_i41_b" - ( attribute "CDS_PHYS_NET_NAME" "UNNAMED_4_CAPCERSMDCL2_I41_B" - ( Origin gPackager ) - ) - ( objectStatus "UNNAMED_4_CAPCERSMDCL2_I41_B" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):unnamed_4_capcersmdcl2_i42_b" - ( attribute "CDS_PHYS_NET_NAME" "UNNAMED_4_CAPCERSMDCL2_I42_B" + ( attribute "CDS_PHYS_NET_NAME" "FMC_LA<20>" ( Origin gPackager ) ) - ( objectStatus "UNNAMED_4_CAPCERSMDCL2_I42_B" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):unnamed_4_con19p_i3_a" - ( attribute "CDS_PHYS_NET_NAME" "UNNAMED_4_CON19P_I3_A" - ( Origin gPackager ) - ) - ( objectStatus "UNNAMED_4_CON19P_I3_A" ) + ( objectStatus "FMC_LA<20>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_vbus" ( objectStatus "page4_vbus" ) @@ -5683,30 +4910,6 @@ ) ( objectStatus "UNNAMED_1_24AA025E48_I8_A2" ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):ctrig1" - ( attribute "CDS_PHYS_NET_NAME" "CTRIG1" - ( Origin gPackager ) - ) - ( objectStatus "CTRIG1" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\ctrig1*\" - ( attribute "CDS_PHYS_NET_NAME" "CTRIG1*" - ( Origin gPackager ) - ) - ( objectStatus "CTRIG1*" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):ctrig2" - ( attribute "CDS_PHYS_NET_NAME" "CTRIG2" - ( Origin gPackager ) - ) - ( objectStatus "CTRIG2" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\ctrig2*\" - ( attribute "CDS_PHYS_NET_NAME" "CTRIG2*" - ( Origin gPackager ) - ) - ( objectStatus "CTRIG2*" ) - ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):front_panel_clk" ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_clk0_m2c") ) ( attribute "CDS_PHYS_NET_NAME" "FRONT_PANEL_CLK" @@ -5764,6 +4967,9 @@ ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(0)") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(0)") ) ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(0)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_cont_from_fpga(0)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):cont_from_fpga(0)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):cont_from_fpga") ) ( attribute "PHYS_NET_NAME" "GPIO_CLK<29>" ( Origin gPackager ) ) @@ -5774,7 +4980,7 @@ ( attribute "CDS_PHYS_NET_NAME" "FMC_LA<29>" ( Origin gPackager ) ) - ( attribute "DIFFERENTIAL_PAIR" "BEAM_TRIGGER_CFD0" + ( attribute "DIFFERENTIAL_PAIR" "FMC_LA0" ( Origin gFrontEnd ) ) ( objectStatus "FMC_LA<29>" ) @@ -5783,6 +4989,17 @@ ( objectFlag fObjectAlias ) ( objectStatus "page1_gpio_clk" ) ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_cont_from_fpga(0)" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_cont_from_fpga<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):cont_from_fpga(0)" + ( objectFlag fObjectAlias ) + ( objectStatus "cont_from_fpga<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):cont_from_fpga" + ( objectFlag fObjectAlias ) + ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):unnamed_1_pcoaxsmd_i168_a" ( attribute "CDS_PHYS_NET_NAME" "UNNAMED_1_PCOAXSMD_I168_A_1" ( Origin gPackager ) @@ -5845,6 +5062,20 @@ ( objectFlag fObjectAlias ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(0)" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(1)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(2)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(3)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(4)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(5)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(6)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(7)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(8)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(9)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(10)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(11)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(12)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(13)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(14)") ) ( attribute "PHYS_NET_NAME" "VM2<0>" ( Status sBlockFlattened ) ( Origin gPackager ) @@ -5858,6 +5089,11 @@ ( objectStatus "VM2<0>_6" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(0)" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(1)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(2)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(3)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(4)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(5)") ) ( attribute "PHYS_NET_NAME" "VP1<0>" ( Status sBlockFlattened ) ( Origin gPackager ) @@ -5871,6 +5107,10 @@ ( objectStatus "VP1<0>_6" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vin_filtered" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vi(0)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vi(1)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vi(2)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vi(3)") ) ( attribute "PHYS_NET_NAME" "VIN_FILTERED" ( Status sBlockFlattened ) ( Origin gPackager ) @@ -5928,58 +5168,680 @@ ) ( objectStatus "UNNAMED_1_CAPCERSMDCL2_I81_A_6" ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):page1_i1" - ( attribute "CDS_LIB" "cnconnector" - ( Status sBlockFlattened ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):busy_from_fpga" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):clk_from_fpga" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):enable_spare_from_fpga" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_commonmodelinefilter_i80_2" + ( attribute "CDS_PHYS_NET_NAME" "UNNAMED_1_COMMONMODELINEFILTE_1" ( Origin gPackager ) ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-150,1000,150,-1050" - ( Status sBlockFlattened ) + ( attribute "PNN" "UNNAMED_1_COMMONMODELINEFILTE_1" ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "<< NULL >>" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( objectStatus "UNNAMED_1_COMMONMODELINEFILTE_1" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_commonmodelinefilter_i80_1" + ( attribute "CDS_PHYS_NET_NAME" "UNNAMED_1_COMMONMODELINEFILTER_" + ( Origin gPackager ) ) - ( attribute "PHYS_PAGE" "1" + ( attribute "PNN" "UNNAMED_1_COMMONMODELINEFILTER_" + ( Origin gPackager ) + ) + ( objectStatus "UNNAMED_1_COMMONMODELINEFILTER_" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_capcersmdcl2_i8_b" + ( attribute "CDS_PHYS_NET_NAME" "UNNAMED_1_CAPCERSMDCL2_I8_B" + ( Origin gPackager ) + ) + ( objectStatus "UNNAMED_1_CAPCERSMDCL2_I8_B" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_capcersmdcl2_i9_b" + ( attribute "CDS_PHYS_NET_NAME" "UNNAMED_1_CAPCERSMDCL2_I9_B" + ( Origin gPackager ) + ) + ( objectStatus "UNNAMED_1_CAPCERSMDCL2_I9_B" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):enable_clk_to_dut" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_capn4i_i83_a" + ( attribute "CDS_PHYS_NET_NAME" "UNNAMED_1_CAPN4I_I83_A" + ( Origin gPackager ) + ) + ( objectStatus "UNNAMED_1_CAPN4I_I83_A" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):busy" + ( attribute "PHYS_NET_NAME" "BUSY" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) - ( attribute "ROT" "0" + ( attribute "CDS_PHYS_NET_NAME" "BUSY" + ( Origin gPackager ) + ) + ( objectStatus "BUSY" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):\busy*\" + ( attribute "PHYS_NET_NAME" "BUSY*" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) - ( attribute "TYPE" "ASP-134606-01" + ( attribute "CDS_PHYS_NET_NAME" "BUSY*" + ( Origin gPackager ) + ) + ( objectStatus "BUSY*" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):clk" + ( attribute "PHYS_NET_NAME" "CLK" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) - ( attribute "VER" "2" + ( attribute "CDS_PHYS_NET_NAME" "CLK" + ( Origin gPackager ) + ) + ( objectStatus "CLK" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):\clk*\" + ( attribute "PHYS_NET_NAME" "CLK*" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) - ( attribute "XY" "(1525,3625)" + ( attribute "CDS_PHYS_NET_NAME" "CLK*" + ( Origin gPackager ) + ) + ( objectStatus "CLK*" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):cont" + ( attribute "PHYS_NET_NAME" "CONT" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) - ( attribute "CHIPS_PART_NAME" "CON160P_40CDGH" + ( attribute "CDS_PHYS_NET_NAME" "CONT" + ( Origin gPackager ) + ) + ( objectStatus "CONT" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):\cont*\" + ( attribute "PHYS_NET_NAME" "CONT*" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "ASP-134606-01" + ( attribute "CDS_PHYS_NET_NAME" "CONT*" ( Origin gPackager ) ) - ( attribute "CDS_SEC" "2" + ( objectStatus "CONT*" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):spare" + ( attribute "PHYS_NET_NAME" "SPARE" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "LOCATION" "J4" - ( Origin gFrontEnd ) + ( attribute "CDS_PHYS_NET_NAME" "SPARE" + ( Origin gPackager ) ) - ( attribute "SEC" "2" + ( objectStatus "SPARE" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):\spare*\" + ( attribute "PHYS_NET_NAME" "SPARE*" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "J4" ) + ( attribute "CDS_PHYS_NET_NAME" "SPARE*" + ( Origin gPackager ) + ) + ( objectStatus "SPARE*" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):trig" + ( attribute "PHYS_NET_NAME" "TRIG" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PHYS_NET_NAME" "TRIG" + ( Origin gPackager ) + ) + ( objectStatus "TRIG" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):\trig*\" + ( attribute "PHYS_NET_NAME" "TRIG*" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PHYS_NET_NAME" "TRIG*" + ( Origin gPackager ) + ) + ( objectStatus "TRIG*" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):clk_to_dut" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):\clk_to_dut*\" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):hdmi_power" + ( attribute "CDS_PHYS_NET_NAME" "HDMI_POWER" + ( Origin gPackager ) + ) + ( objectStatus "HDMI_POWER" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):busy_to_fpga" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_capcersmdcl2_i88_a" + ( attribute "CDS_PHYS_NET_NAME" "UNNAMED_1_CAPCERSMDCL2_I88_A" + ( Origin gPackager ) + ) + ( objectStatus "UNNAMED_1_CAPCERSMDCL2_I88_A" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):clk_to_fpga" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):gnd_hdmi" + ( attribute "CDS_PHYS_NET_NAME" "GND_HDMI" + ( Origin gPackager ) + ) + ( objectStatus "GND_HDMI" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):enable_busy_from_fpga" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):enable_clk_from_fpga" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):enable_cont_from_fpga" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):enable_trig_from_fpga" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):hdmi_clk" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):\hdmi_clk*\" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):cont_to_fpga" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_capn4i_i112_a" + ( attribute "CDS_PHYS_NET_NAME" "UNNAMED_1_CAPN4I_I112_A" + ( Origin gPackager ) + ) + ( objectStatus "UNNAMED_1_CAPN4I_I112_A" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_capn4i_i124_a" + ( attribute "CDS_PHYS_NET_NAME" "UNNAMED_1_CAPN4I_I124_A" + ( Origin gPackager ) + ) + ( objectStatus "UNNAMED_1_CAPN4I_I124_A" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_capn4i_i136_a" + ( attribute "CDS_PHYS_NET_NAME" "UNNAMED_1_CAPN4I_I136_A" + ( Origin gPackager ) + ) + ( objectStatus "UNNAMED_1_CAPN4I_I136_A" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_capn4i_i148_a" + ( attribute "CDS_PHYS_NET_NAME" "UNNAMED_1_CAPN4I_I148_A" + ( Origin gPackager ) + ) + ( objectStatus "UNNAMED_1_CAPN4I_I148_A" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_commonmodelinefilter_i109_1" + ( attribute "CDS_PHYS_NET_NAME" "UNNAMED_1_COMMONMODELINEFILTE_2" + ( Origin gPackager ) + ) + ( attribute "PNN" "UNNAMED_1_COMMONMODELINEFILTE_2" + ( Origin gPackager ) + ) + ( objectStatus "UNNAMED_1_COMMONMODELINEFILTE_2" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_commonmodelinefilter_i109_2" + ( attribute "CDS_PHYS_NET_NAME" "UNNAMED_1_COMMONMODELINEFILTE_3" + ( Origin gPackager ) + ) + ( attribute "PNN" "UNNAMED_1_COMMONMODELINEFILTE_3" + ( Origin gPackager ) + ) + ( objectStatus "UNNAMED_1_COMMONMODELINEFILTE_3" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_commonmodelinefilter_i121_1" + ( attribute "CDS_PHYS_NET_NAME" "UNNAMED_1_COMMONMODELINEFILTE_4" + ( Origin gPackager ) + ) + ( attribute "PNN" "UNNAMED_1_COMMONMODELINEFILTE_4" + ( Origin gPackager ) + ) + ( objectStatus "UNNAMED_1_COMMONMODELINEFILTE_4" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_commonmodelinefilter_i121_2" + ( attribute "CDS_PHYS_NET_NAME" "UNNAMED_1_COMMONMODELINEFILTE_5" + ( Origin gPackager ) + ) + ( attribute "PNN" "UNNAMED_1_COMMONMODELINEFILTE_5" + ( Origin gPackager ) + ) + ( objectStatus "UNNAMED_1_COMMONMODELINEFILTE_5" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_commonmodelinefilter_i133_1" + ( attribute "CDS_PHYS_NET_NAME" "UNNAMED_1_COMMONMODELINEFILTE_6" + ( Origin gPackager ) + ) + ( attribute "PNN" "UNNAMED_1_COMMONMODELINEFILTE_6" + ( Origin gPackager ) + ) + ( objectStatus "UNNAMED_1_COMMONMODELINEFILTE_6" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_commonmodelinefilter_i133_2" + ( attribute "CDS_PHYS_NET_NAME" "UNNAMED_1_COMMONMODELINEFILTE_7" + ( Origin gPackager ) + ) + ( attribute "PNN" "UNNAMED_1_COMMONMODELINEFILTE_7" + ( Origin gPackager ) + ) + ( objectStatus "UNNAMED_1_COMMONMODELINEFILTE_7" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):busy_from_fpga(0)" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):busy_from_fpga") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_busy_from_fpga(0)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(3)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(3)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(3)") ) + ( attribute "DIFFERENTIAL_PAIR" "BUSY0" + ( Status sResolvedConflict ) + ( Origin gFrontEnd ) + ) + ( attribute "PNN" "BUSY_FROM_FPGA<0>" + ( Origin gPackager ) + ) + ( attribute "CDS_PHYS_NET_NAME" "BUSY_FROM_FPGA<0>" + ( Origin gPackager ) + ) + ( objectStatus "BUSY_FROM_FPGA<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_busy_from_fpga(0)" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_busy_from_fpga<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):busy_to_fpga(0)" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):busy_to_fpga") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_busy_to_fpga(0)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(3)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(3)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(3)") ) + ( attribute "DIFFERENTIAL_PAIR" "BUSY0" + ( Status sResolvedConflict ) + ( Origin gFrontEnd ) + ) + ( attribute "PNN" "BUSY_TO_FPGA<0>" + ( Origin gPackager ) + ) + ( attribute "CDS_PHYS_NET_NAME" "BUSY_TO_FPGA<0>" + ( Origin gPackager ) + ) + ( objectStatus "BUSY_TO_FPGA<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_busy_to_fpga(0)" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_busy_to_fpga<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):clk_from_fpga(0)" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):clk_from_fpga") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_clk_from_fpga(0)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la(4)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(4)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(4)") ) + ( attribute "DIFFERENTIAL_PAIR" "FMC_LA4" + ( Origin gFrontEnd ) + ) + ( attribute "PNN" "CLK_FROM_FPGA<0>" + ( Origin gPackager ) + ) + ( attribute "CDS_PHYS_NET_NAME" "CLK_FROM_FPGA<0>" + ( Origin gPackager ) + ) + ( objectStatus "CLK_FROM_FPGA<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_clk_from_fpga(0)" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_clk_from_fpga<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):clk_to_dut(0)" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):clk_to_dut") ) + ( attribute "CDS_PHYS_NET_NAME" "CLK_TO_DUT<0>" + ( Origin gPackager ) + ) + ( attribute "PNN" "CLK_TO_DUT<0>" + ( Origin gPackager ) + ) + ( objectStatus "CLK_TO_DUT<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\clk_to_dut*\(0)" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):\clk_to_dut*\") ) + ( attribute "CDS_PHYS_NET_NAME" "CLK_TO_DUT*<0>" + ( Origin gPackager ) + ) + ( attribute "PNN" "CLK_TO_DUT*<0>" + ( Origin gPackager ) + ) + ( objectStatus "CLK_TO_DUT*<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):clk_to_fpga(0)" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):clk_to_fpga") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_clk_to_fpga(0)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(4)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(4)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(4)") ) + ( attribute "DIFFERENTIAL_PAIR" "FMC_LA4" + ( Origin gFrontEnd ) + ) + ( attribute "PNN" "CLK_TO_FPGA<0>" + ( Origin gPackager ) + ) + ( attribute "CDS_PHYS_NET_NAME" "CLK_TO_FPGA<0>" + ( Origin gPackager ) + ) + ( objectStatus "CLK_TO_FPGA<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_clk_to_fpga(0)" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_clk_to_fpga<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):cont_to_fpga(0)" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):cont_to_fpga") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_cont_to_fpga(0)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\(0)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(0)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(0)") ) + ( attribute "DIFFERENTIAL_PAIR" "FMC_LA0" + ( Origin gFrontEnd ) + ) + ( attribute "PNN" "CONT_TO_FPGA<0>" + ( Origin gPackager ) + ) + ( attribute "CDS_PHYS_NET_NAME" "CONT_TO_FPGA<0>" + ( Origin gPackager ) + ) + ( objectStatus "CONT_TO_FPGA<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_cont_to_fpga(0)" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_cont_to_fpga<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):enable_busy_from_fpga(0)" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):enable_busy_from_fpga") ) + ( attribute "CDS_PHYS_NET_NAME" "ENABLE_BUSY_FROM_FPGA<0>" + ( Origin gPackager ) + ) + ( attribute "PNN" "ENABLE_BUSY_FROM_FPGA<0>" + ( Origin gPackager ) + ) + ( objectStatus "ENABLE_BUSY_FROM_FPGA<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):enable_clk_from_fpga(0)" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):enable_clk_from_fpga") ) + ( attribute "CDS_PHYS_NET_NAME" "ENABLE_CLK_FROM_FPGA<0>" + ( Origin gPackager ) + ) + ( attribute "PNN" "ENABLE_CLK_FROM_FPGA<0>" + ( Origin gPackager ) + ) + ( objectStatus "ENABLE_CLK_FROM_FPGA<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):enable_clk_to_dut(0)" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):enable_clk_to_dut") ) + ( attribute "CDS_PHYS_NET_NAME" "ENABLE_CLK_TO_DUT<0>" + ( Origin gPackager ) + ) + ( attribute "PNN" "ENABLE_CLK_TO_DUT<0>" + ( Origin gPackager ) + ) + ( objectStatus "ENABLE_CLK_TO_DUT<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):enable_cont_from_fpga(0)" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):enable_cont_from_fpga") ) + ( attribute "CDS_PHYS_NET_NAME" "ENABLE_CONT_FROM_FPGA<0>" + ( Origin gPackager ) + ) + ( attribute "PNN" "ENABLE_CONT_FROM_FPGA<0>" + ( Origin gPackager ) + ) + ( objectStatus "ENABLE_CONT_FROM_FPGA<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):enable_spare_from_fpga(0)" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):enable_spare_from_fpga") ) + ( attribute "CDS_PHYS_NET_NAME" "ENABLE_SPARE_FROM_FPGA<0>" + ( Origin gPackager ) + ) + ( attribute "PNN" "ENABLE_SPARE_FROM_FPGA<0>" + ( Origin gPackager ) + ) + ( objectStatus "ENABLE_SPARE_FROM_FPGA<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):enable_trig_from_fpga(0)" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):enable_trig_from_fpga") ) + ( attribute "CDS_PHYS_NET_NAME" "ENABLE_TRIG_FROM_FPGA<0>" + ( Origin gPackager ) + ) + ( attribute "PNN" "ENABLE_TRIG_FROM_FPGA<0>" + ( Origin gPackager ) + ) + ( objectStatus "ENABLE_TRIG_FROM_FPGA<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):hdmi_clk(0)" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):hdmi_clk") ) + ( attribute "CDS_PHYS_NET_NAME" "HDMI_CLK<0>" + ( Origin gPackager ) + ) + ( attribute "PNN" "HDMI_CLK<0>" + ( Origin gPackager ) + ) + ( objectStatus "HDMI_CLK<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\hdmi_clk*\(0)" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):\hdmi_clk*\") ) + ( attribute "CDS_PHYS_NET_NAME" "HDMI_CLK*<0>" + ( Origin gPackager ) + ) + ( attribute "PNN" "HDMI_CLK*<0>" + ( Origin gPackager ) + ) + ( objectStatus "HDMI_CLK*<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\@fmc_tlu_v1_lib.fmc_tlu_toplevel_c\(sch_1):hdmi_power_enable(0)" + ( objectStatus "hdmi_power_enable" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(0)" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(1)" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(2)" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(3)" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(4)" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(5)" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(6)" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(7)" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(8)" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(9)" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(10)" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(11)" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(12)" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(13)" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(14)" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(1)" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(2)" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(3)" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(4)" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(5)" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(6)" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(7)" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(8)" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(9)" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(10)" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(11)" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(12)" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(13)" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(14)" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(1)" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(2)" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(3)" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(4)" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(5)" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2(0)" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2(1)" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2(2)" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2(3)" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2(4)" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2(5)" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vi(0)" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vi(1)" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vi(2)" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vi(3)" + ( objectFlag fObjectAlias ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):page1_i1" + ( attribute "CDS_LIB" "cnconnector" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_LMAN_SYM_OUTLINE" "-150,1000,150,-1050" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "<< NULL >>" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "TYPE" "ASP-134606-01" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VER" "2" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(1525,3625)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CON160P_40CDGH" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "ASP-134606-01" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "LOCATION" "J4" + ( Origin gFrontEnd ) + ) + ( attribute "SEC" "2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PHYS_PART_NAME" "ASP-134606-01" + ( Origin gPackager ) + ) + ( objectStatus "J4" ) ( pin "g(1)" ( attribute "PN" "G1" ( Status sBlockFlattened ) @@ -6592,6 +6454,9 @@ ( Status sBlockFlattened ) ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "ASP-134606-01" + ( Origin gPackager ) + ) ( objectStatus "J4" ) ( pin "c(1)" ( attribute "PN" "C1" @@ -7206,6 +7071,9 @@ ( attribute "CDS_LOCATION" "IC3" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "OPA4277UA" + ( Origin gPackager ) + ) ( objectStatus "IC3" ) ( pin "min(0)" ( attribute "PN" "2" @@ -7335,6 +7203,9 @@ ( attribute "CDS_LOCATION" "R40" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_1/10W-10K,1%" + ( Origin gPackager ) + ) ( objectStatus "R40" ) ( pin "a(0)" ( attribute "PN" "1" @@ -7446,6 +7317,9 @@ ( attribute "CDS_LOCATION" "R46" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_1/10W-10K,1%" + ( Origin gPackager ) + ) ( objectStatus "R46" ) ( pin "a(0)" ( attribute "PN" "1" @@ -7512,6 +7386,9 @@ ( attribute "CDS_LOCATION" "IC3" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "OPA4277UA" + ( Origin gPackager ) + ) ( objectStatus "IC3" ) ( pin "min(0)" ( attribute "PN" "6" @@ -7641,6 +7518,9 @@ ( attribute "CDS_LOCATION" "R41" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_1/10W-10K,1%" + ( Origin gPackager ) + ) ( objectStatus "R41" ) ( pin "a(0)" ( attribute "PN" "1" @@ -7752,6 +7632,9 @@ ( attribute "CDS_LOCATION" "R47" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_1/10W-10K,1%" + ( Origin gPackager ) + ) ( objectStatus "R47" ) ( pin "a(0)" ( attribute "PN" "1" @@ -7818,6 +7701,9 @@ ( attribute "CDS_LOCATION" "IC3" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "OPA4277UA" + ( Origin gPackager ) + ) ( objectStatus "IC3" ) ( pin "min(0)" ( attribute "PN" "9" @@ -7947,6 +7833,9 @@ ( attribute "CDS_LOCATION" "R5" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_1/10W-10K,1%" + ( Origin gPackager ) + ) ( objectStatus "R5" ) ( pin "a(0)" ( attribute "PN" "1" @@ -8058,6 +7947,9 @@ ( attribute "CDS_LOCATION" "R4" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_1/10W-10K,1%" + ( Origin gPackager ) + ) ( objectStatus "R4" ) ( pin "a(0)" ( attribute "PN" "1" @@ -8124,6 +8016,9 @@ ( attribute "CDS_LOCATION" "IC3" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "OPA4277UA" + ( Origin gPackager ) + ) ( objectStatus "IC3" ) ( pin "min(0)" ( attribute "PN" "13" @@ -8253,6 +8148,9 @@ ( attribute "CDS_LOCATION" "R6" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_1/10W-10K,1%" + ( Origin gPackager ) + ) ( objectStatus "R6" ) ( pin "a(0)" ( attribute "PN" "1" @@ -8364,6 +8262,9 @@ ( attribute "CDS_LOCATION" "R3" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_1/10W-10K,1%" + ( Origin gPackager ) + ) ( objectStatus "R3" ) ( pin "a(0)" ( attribute "PN" "1" @@ -8437,6 +8338,9 @@ ( attribute "CDS_LOCATION" "C40" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-1UF,16V" + ( Origin gPackager ) + ) ( objectStatus "C40" ) ( pin "a(0)" ( attribute "PN" "1" @@ -8512,6 +8416,9 @@ ( attribute "CDS_LOCATION" "C6" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-1UF,16V" + ( Origin gPackager ) + ) ( objectStatus "C6" ) ( pin "a(0)" ( attribute "PN" "1" @@ -8587,6 +8494,9 @@ ( attribute "CDS_LOCATION" "C65" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-1UF,16V" + ( Origin gPackager ) + ) ( objectStatus "C65" ) ( pin "a(0)" ( attribute "PN" "1" @@ -8662,6 +8572,9 @@ ( attribute "CDS_LOCATION" "C42" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( Origin gPackager ) + ) ( objectStatus "C42" ) ( pin "a(0)" ( attribute "PN" "1" @@ -8732,6 +8645,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C8_2" + ( Origin gPackager ) + ) ( objectStatus "C8_2" ) ( pin "a(0)" ( attribute "PN" "1" @@ -8842,6 +8761,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_1/10W-75,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R14_2" + ( Origin gPackager ) + ) ( objectStatus "R14_2" ) ( pin "a(0)" ( attribute "PN" "1" @@ -8910,6 +8835,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C5_2" + ( Origin gPackager ) + ) ( objectStatus "C5_2" ) ( pin "a(0)" ( attribute "PN" "1" @@ -8971,6 +8902,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "MAX9601_TSSOP" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "IC2_1" + ( Origin gPackager ) + ) ( objectStatus "IC2_1" ) ( pin "hys(0)" ( attribute "PN" "8" @@ -9051,159 +8988,6 @@ ( objectStatus "IC2_1.15" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i2" - ( attribute "CDS_LIB" "cnconnector" - ( Origin gPackager ) - ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "0,25,150,-475" - ( Origin gPackager ) - ) - ( attribute "PHYS_PAGE" "2" - ( Origin gFrontEnd ) - ) - ( attribute "ROT" "0" - ( Origin gFrontEnd ) - ) - ( attribute "TYPE" "MHDMI-19-02-H-TH-L-TR" - ( Origin gFrontEnd ) - ) - ( attribute "VER" "1" - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(-250,250)" - ( Origin gFrontEnd ) - ) - ( attribute "CHIPS_PART_NAME" "CON19P" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "CON19P-MHDMI-19-02-H-TH-L-TR-GA" - ( Origin gPackager ) - ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "J1" - ( Origin gPackager ) - ) - ( attribute "CDS_LOCATION" "J1" - ( Origin gPackager ) - ) - ( objectStatus "J1" ) - ( pin "a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) - ) - ( objectStatus "J1.1" ) - ) - ( pin "a(1)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "J1.2" ) - ) - ( pin "a(2)" - ( attribute "PN" "3" - ( Origin gPackager ) - ) - ( objectStatus "J1.3" ) - ) - ( pin "a(3)" - ( attribute "PN" "4" - ( Origin gPackager ) - ) - ( objectStatus "J1.4" ) - ) - ( pin "a(4)" - ( attribute "PN" "5" - ( Origin gPackager ) - ) - ( objectStatus "J1.5" ) - ) - ( pin "a(5)" - ( attribute "PN" "6" - ( Origin gPackager ) - ) - ( objectStatus "J1.6" ) - ) - ( pin "a(6)" - ( attribute "PN" "7" - ( Origin gPackager ) - ) - ( objectStatus "J1.7" ) - ) - ( pin "a(7)" - ( attribute "PN" "8" - ( Origin gPackager ) - ) - ( objectStatus "J1.8" ) - ) - ( pin "a(8)" - ( attribute "PN" "9" - ( Origin gPackager ) - ) - ( objectStatus "J1.9" ) - ) - ( pin "a(9)" - ( attribute "PN" "10" - ( Origin gPackager ) - ) - ( objectStatus "J1.10" ) - ) - ( pin "a(10)" - ( attribute "PN" "11" - ( Origin gPackager ) - ) - ( objectStatus "J1.11" ) - ) - ( pin "a(11)" - ( attribute "PN" "12" - ( Origin gPackager ) - ) - ( objectStatus "J1.12" ) - ) - ( pin "a(12)" - ( attribute "PN" "13" - ( Origin gPackager ) - ) - ( objectStatus "J1.13" ) - ) - ( pin "a(13)" - ( attribute "PN" "14" - ( Origin gPackager ) - ) - ( objectStatus "J1.14" ) - ) - ( pin "a(14)" - ( attribute "PN" "15" - ( Origin gPackager ) - ) - ( objectStatus "J1.15" ) - ) - ( pin "a(15)" - ( attribute "PN" "16" - ( Origin gPackager ) - ) - ( objectStatus "J1.16" ) - ) - ( pin "a(16)" - ( attribute "PN" "17" - ( Origin gPackager ) - ) - ( objectStatus "J1.17" ) - ) - ( pin "a(17)" - ( attribute "PN" "18" - ( Origin gPackager ) - ) - ( objectStatus "J1.18" ) - ) - ( pin "a(18)" - ( attribute "PN" "19" - ( Origin gPackager ) - ) - ( objectStatus "J1.19" ) - ) - ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i7" ( attribute "CDS_LIB" "cnconnector" ( Origin gPackager ) @@ -9217,7 +9001,7 @@ ( attribute "ROT" "2" ( Origin gFrontEnd ) ) - ( attribute "TYPE" "PLEMO2-00B" + ( attribute "TYPE" "EPG.00.302.NLN" ( Origin gFrontEnd ) ) ( attribute "VER" "1" @@ -9229,7 +9013,7 @@ ( attribute "CHIPS_PART_NAME" "PLEMO2CI" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "PLEMO2CI-PLEMO2-00B-GND=GND_SIA" + ( attribute "CDS_PART_NAME" "PLEMO2CI-EPG.00.302.NLN-GND=GNA" ( Origin gPackager ) ) ( attribute "CDS_LOCATION" "PX1" @@ -9241,12 +9025,15 @@ ( attribute "LOCATION" "PX1" ( Origin gPackager ) ) - ( attribute "SEC" "1" - ( Origin gPackager ) - ) ( attribute "ABBREV" "PT6911" ( Origin gFrontEnd ) ) + ( attribute "CDS_PHYS_PART_NAME" "PLEMO2CI-EPG.00.302.NLN-GND=GNA" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) ( objectStatus "PX1" ) ( pin "a" ( attribute "PN" "1" @@ -9261,41 +9048,13 @@ ( objectStatus "PX1.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i78" - ( attribute "CDS_LIB" "cnconnector" - ( Origin gPackager ) - ) - ( attribute "CDS_LOCATION" "J3" - ( Origin gPackager ) - ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) - ) - ( attribute "PHYS_PAGE" "2" - ( Origin gFrontEnd ) - ) - ( attribute "ROT" "0" - ( Origin gFrontEnd ) - ) - ( attribute "TYPE" "44661-1011" - ( Origin gFrontEnd ) - ) - ( attribute "VER" "1" - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(150,-2800)" - ( Origin gFrontEnd ) - ) - ( attribute "CHIPS_PART_NAME" "CON8P" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "CON8P-44661-1011-GND=FRAME" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i2" + ( attribute "CHIPS_PART_NAME" "CON19P" ( Origin gPackager ) ) - ( attribute "LOCATION" "J3" + ( attribute "CDS_PART_NAME" "CON19P" ( Origin gPackager ) ) - ( objectStatus "J3" ) ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i153" ( attribute "CDS_LIB" "cnpassive" @@ -9391,6 +9150,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_1/10W-75,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R12_2" + ( Origin gPackager ) + ) ( objectStatus "R12_2" ) ( pin "a(0)" ( attribute "PN" "1" @@ -9459,6 +9224,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C1_1" + ( Origin gPackager ) + ) ( objectStatus "C1_1" ) ( pin "a(0)" ( attribute "PN" "1" @@ -9527,6 +9298,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-1.0UF,6.3V" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C4_1" + ( Origin gPackager ) + ) ( objectStatus "C4_1" ) ( pin "a(0)" ( attribute "PN" "1" @@ -9595,6 +9372,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C7_1" + ( Origin gPackager ) + ) ( objectStatus "C7_1" ) ( pin "a(0)" ( attribute "PN" "1" @@ -9663,6 +9446,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C10_1" + ( Origin gPackager ) + ) ( objectStatus "C10_1" ) ( pin "a(0)" ( attribute "PN" "1" @@ -9731,6 +9520,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C9_1" + ( Origin gPackager ) + ) ( objectStatus "C9_1" ) ( pin "a(0)" ( attribute "PN" "1" @@ -9799,6 +9594,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-1.0UF,6.3V" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C6_1" + ( Origin gPackager ) + ) ( objectStatus "C6_1" ) ( pin "a(0)" ( attribute "PN" "1" @@ -9867,6 +9668,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-1.0UF,6.3V" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C3_1" + ( Origin gPackager ) + ) ( objectStatus "C3_1" ) ( pin "a(0)" ( attribute "PN" "1" @@ -9926,6 +9733,12 @@ ( attribute "SEC" "2" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "MAX9601_TSSOP" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "IC2_1" + ( Origin gPackager ) + ) ( objectStatus "IC2_1" ) ( pin "hys(0)" ( attribute "PN" "13" @@ -10100,6 +9913,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_1/10W-XX,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R9_1" + ( Origin gPackager ) + ) ( objectStatus "R9_1" ) ( pin "a(0)" ( attribute "PN" "1" @@ -10168,6 +9987,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-10NF,50V" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C2_1" + ( Origin gPackager ) + ) ( objectStatus "C2_1" ) ( pin "a(0)" ( attribute "PN" "1" @@ -10276,6 +10101,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_1/10W-100,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R5_1" + ( Origin gPackager ) + ) ( objectStatus "R5_1" ) ( pin "a(0)" ( attribute "PN" "1" @@ -10384,6 +10215,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_1/10W-XX,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R10_1" + ( Origin gPackager ) + ) ( objectStatus "R10_1" ) ( pin "a(0)" ( attribute "PN" "1" @@ -10492,6 +10329,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0805_125MW-100,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R6_1" + ( Origin gPackager ) + ) ( objectStatus "R6_1" ) ( pin "a(0)" ( attribute "PN" "1" @@ -10600,6 +10443,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_1/10W-100,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R7_1" + ( Origin gPackager ) + ) ( objectStatus "R7_1" ) ( pin "a(0)" ( attribute "PN" "1" @@ -10708,6 +10557,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_1/10W-51,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R3_1" + ( Origin gPackager ) + ) ( objectStatus "R3_1" ) ( pin "a(0)" ( attribute "PN" "1" @@ -10816,6 +10671,12 @@ ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0805_125MW-12,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R2_1" + ( Origin gPackager ) + ) ( objectStatus "R2_1" ) ( pin "a(0)" ( attribute "PN" "1" @@ -10924,6 +10785,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_1/10W-75,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R13_1" + ( Origin gPackager ) + ) ( objectStatus "R13_1" ) ( pin "a(0)" ( attribute "PN" "1" @@ -11032,6 +10899,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_1/10W-75,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R11_1" + ( Origin gPackager ) + ) ( objectStatus "R11_1" ) ( pin "a(0)" ( attribute "PN" "1" @@ -11140,6 +11013,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0805_125MW-100,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R8_1" + ( Origin gPackager ) + ) ( objectStatus "R8_1" ) ( pin "a(0)" ( attribute "PN" "1" @@ -11199,6 +11078,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "MAX9601_TSSOP" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "IC2_2" + ( Origin gPackager ) + ) ( objectStatus "IC2_2" ) ( pin "hys(0)" ( attribute "PN" "8" @@ -11333,6 +11218,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C5_3" + ( Origin gPackager ) + ) ( objectStatus "C5_3" ) ( pin "a(0)" ( attribute "PN" "1" @@ -11443,6 +11334,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_1/10W-75,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R14_3" + ( Origin gPackager ) + ) ( objectStatus "R14_3" ) ( pin "a(0)" ( attribute "PN" "1" @@ -11511,6 +11408,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C8_3" + ( Origin gPackager ) + ) ( objectStatus "C8_3" ) ( pin "a(0)" ( attribute "PN" "1" @@ -11621,6 +11524,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_1/10W-75,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R12_3" + ( Origin gPackager ) + ) ( objectStatus "R12_3" ) ( pin "a(0)" ( attribute "PN" "1" @@ -11689,6 +11598,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C1_2" + ( Origin gPackager ) + ) ( objectStatus "C1_2" ) ( pin "a(0)" ( attribute "PN" "1" @@ -11757,6 +11672,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-1.0UF,6.3V" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C4_2" + ( Origin gPackager ) + ) ( objectStatus "C4_2" ) ( pin "a(0)" ( attribute "PN" "1" @@ -11825,6 +11746,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C7_2" + ( Origin gPackager ) + ) ( objectStatus "C7_2" ) ( pin "a(0)" ( attribute "PN" "1" @@ -11893,6 +11820,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C10_2" + ( Origin gPackager ) + ) ( objectStatus "C10_2" ) ( pin "a(0)" ( attribute "PN" "1" @@ -11961,6 +11894,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C9_2" + ( Origin gPackager ) + ) ( objectStatus "C9_2" ) ( pin "a(0)" ( attribute "PN" "1" @@ -12029,6 +11968,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-1.0UF,6.3V" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C6_2" + ( Origin gPackager ) + ) ( objectStatus "C6_2" ) ( pin "a(0)" ( attribute "PN" "1" @@ -12097,6 +12042,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-1.0UF,6.3V" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C3_2" + ( Origin gPackager ) + ) ( objectStatus "C3_2" ) ( pin "a(0)" ( attribute "PN" "1" @@ -12156,6 +12107,12 @@ ( attribute "SEC" "2" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "MAX9601_TSSOP" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "IC2_2" + ( Origin gPackager ) + ) ( objectStatus "IC2_2" ) ( pin "hys(0)" ( attribute "PN" "13" @@ -12330,6 +12287,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_1/10W-XX,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R9_2" + ( Origin gPackager ) + ) ( objectStatus "R9_2" ) ( pin "a(0)" ( attribute "PN" "1" @@ -12398,6 +12361,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-10NF,50V" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C2_2" + ( Origin gPackager ) + ) ( objectStatus "C2_2" ) ( pin "a(0)" ( attribute "PN" "1" @@ -12506,6 +12475,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_1/10W-100,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R5_2" + ( Origin gPackager ) + ) ( objectStatus "R5_2" ) ( pin "a(0)" ( attribute "PN" "1" @@ -12614,6 +12589,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_1/10W-XX,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R10_2" + ( Origin gPackager ) + ) ( objectStatus "R10_2" ) ( pin "a(0)" ( attribute "PN" "1" @@ -12722,6 +12703,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0805_125MW-100,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R6_2" + ( Origin gPackager ) + ) ( objectStatus "R6_2" ) ( pin "a(0)" ( attribute "PN" "1" @@ -12830,6 +12817,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_1/10W-100,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R7_2" + ( Origin gPackager ) + ) ( objectStatus "R7_2" ) ( pin "a(0)" ( attribute "PN" "1" @@ -12938,6 +12931,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_1/10W-51,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R3_2" + ( Origin gPackager ) + ) ( objectStatus "R3_2" ) ( pin "a(0)" ( attribute "PN" "1" @@ -13048,6 +13047,12 @@ ( Status sBlockFlattened ) ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0805_125MW-12,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R2_2" + ( Origin gPackager ) + ) ( objectStatus "R2_2" ) ( pin "a(0)" ( attribute "PN" "1" @@ -13156,6 +13161,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_1/10W-75,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R13_2" + ( Origin gPackager ) + ) ( objectStatus "R13_2" ) ( pin "a(0)" ( attribute "PN" "1" @@ -13264,6 +13275,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_1/10W-75,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R11_2" + ( Origin gPackager ) + ) ( objectStatus "R11_2" ) ( pin "a(0)" ( attribute "PN" "1" @@ -13372,6 +13389,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0805_125MW-100,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R8_2" + ( Origin gPackager ) + ) ( objectStatus "R8_2" ) ( pin "a(0)" ( attribute "PN" "1" @@ -13431,6 +13454,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "MAX9601_TSSOP" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "IC2_3" + ( Origin gPackager ) + ) ( objectStatus "IC2_3" ) ( pin "hys(0)" ( attribute "PN" "8" @@ -13565,6 +13594,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C5_4" + ( Origin gPackager ) + ) ( objectStatus "C5_4" ) ( pin "a(0)" ( attribute "PN" "1" @@ -13675,6 +13710,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_1/10W-75,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R14_4" + ( Origin gPackager ) + ) ( objectStatus "R14_4" ) ( pin "a(0)" ( attribute "PN" "1" @@ -13743,6 +13784,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C8_4" + ( Origin gPackager ) + ) ( objectStatus "C8_4" ) ( pin "a(0)" ( attribute "PN" "1" @@ -13853,6 +13900,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_1/10W-75,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R12_4" + ( Origin gPackager ) + ) ( objectStatus "R12_4" ) ( pin "a(0)" ( attribute "PN" "1" @@ -13921,6 +13974,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C1_3" + ( Origin gPackager ) + ) ( objectStatus "C1_3" ) ( pin "a(0)" ( attribute "PN" "1" @@ -13989,6 +14048,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-1.0UF,6.3V" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C4_3" + ( Origin gPackager ) + ) ( objectStatus "C4_3" ) ( pin "a(0)" ( attribute "PN" "1" @@ -14057,6 +14122,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C7_3" + ( Origin gPackager ) + ) ( objectStatus "C7_3" ) ( pin "a(0)" ( attribute "PN" "1" @@ -14125,6 +14196,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C10_3" + ( Origin gPackager ) + ) ( objectStatus "C10_3" ) ( pin "a(0)" ( attribute "PN" "1" @@ -14193,6 +14270,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C9_3" + ( Origin gPackager ) + ) ( objectStatus "C9_3" ) ( pin "a(0)" ( attribute "PN" "1" @@ -14261,6 +14344,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-1.0UF,6.3V" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C6_3" + ( Origin gPackager ) + ) ( objectStatus "C6_3" ) ( pin "a(0)" ( attribute "PN" "1" @@ -14329,6 +14418,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-1.0UF,6.3V" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C3_3" + ( Origin gPackager ) + ) ( objectStatus "C3_3" ) ( pin "a(0)" ( attribute "PN" "1" @@ -14388,6 +14483,12 @@ ( attribute "SEC" "2" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "MAX9601_TSSOP" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "IC2_3" + ( Origin gPackager ) + ) ( objectStatus "IC2_3" ) ( pin "hys(0)" ( attribute "PN" "13" @@ -14562,6 +14663,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_1/10W-XX,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R9_3" + ( Origin gPackager ) + ) ( objectStatus "R9_3" ) ( pin "a(0)" ( attribute "PN" "1" @@ -14630,6 +14737,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-10NF,50V" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C2_3" + ( Origin gPackager ) + ) ( objectStatus "C2_3" ) ( pin "a(0)" ( attribute "PN" "1" @@ -14738,6 +14851,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_1/10W-100,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R5_3" + ( Origin gPackager ) + ) ( objectStatus "R5_3" ) ( pin "a(0)" ( attribute "PN" "1" @@ -14846,6 +14965,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_1/10W-XX,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R10_3" + ( Origin gPackager ) + ) ( objectStatus "R10_3" ) ( pin "a(0)" ( attribute "PN" "1" @@ -14954,6 +15079,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0805_125MW-100,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R6_3" + ( Origin gPackager ) + ) ( objectStatus "R6_3" ) ( pin "a(0)" ( attribute "PN" "1" @@ -15062,6 +15193,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_1/10W-100,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R7_3" + ( Origin gPackager ) + ) ( objectStatus "R7_3" ) ( pin "a(0)" ( attribute "PN" "1" @@ -15170,6 +15307,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_1/10W-51,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R3_3" + ( Origin gPackager ) + ) ( objectStatus "R3_3" ) ( pin "a(0)" ( attribute "PN" "1" @@ -15280,6 +15423,12 @@ ( Status sBlockFlattened ) ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0805_125MW-12,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R2_3" + ( Origin gPackager ) + ) ( objectStatus "R2_3" ) ( pin "a(0)" ( attribute "PN" "1" @@ -15388,6 +15537,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_1/10W-75,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R13_3" + ( Origin gPackager ) + ) ( objectStatus "R13_3" ) ( pin "a(0)" ( attribute "PN" "1" @@ -15496,6 +15651,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_1/10W-75,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R11_3" + ( Origin gPackager ) + ) ( objectStatus "R11_3" ) ( pin "a(0)" ( attribute "PN" "1" @@ -15604,6 +15765,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0805_125MW-100,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R8_3" + ( Origin gPackager ) + ) ( objectStatus "R8_3" ) ( pin "a(0)" ( attribute "PN" "1" @@ -15663,6 +15830,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "MAX9601_TSSOP" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "IC2_4" + ( Origin gPackager ) + ) ( objectStatus "IC2_4" ) ( pin "hys(0)" ( attribute "PN" "8" @@ -15797,6 +15970,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C1_4" + ( Origin gPackager ) + ) ( objectStatus "C1_4" ) ( pin "a(0)" ( attribute "PN" "1" @@ -15865,6 +16044,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-1.0UF,6.3V" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C4_4" + ( Origin gPackager ) + ) ( objectStatus "C4_4" ) ( pin "a(0)" ( attribute "PN" "1" @@ -15933,6 +16118,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C7_4" + ( Origin gPackager ) + ) ( objectStatus "C7_4" ) ( pin "a(0)" ( attribute "PN" "1" @@ -16001,6 +16192,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C10_4" + ( Origin gPackager ) + ) ( objectStatus "C10_4" ) ( pin "a(0)" ( attribute "PN" "1" @@ -16069,6 +16266,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C9_4" + ( Origin gPackager ) + ) ( objectStatus "C9_4" ) ( pin "a(0)" ( attribute "PN" "1" @@ -16137,6 +16340,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-1.0UF,6.3V" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C6_4" + ( Origin gPackager ) + ) ( objectStatus "C6_4" ) ( pin "a(0)" ( attribute "PN" "1" @@ -16205,6 +16414,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-1.0UF,6.3V" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C3_4" + ( Origin gPackager ) + ) ( objectStatus "C3_4" ) ( pin "a(0)" ( attribute "PN" "1" @@ -16264,6 +16479,12 @@ ( attribute "SEC" "2" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "MAX9601_TSSOP" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "IC2_4" + ( Origin gPackager ) + ) ( objectStatus "IC2_4" ) ( pin "hys(0)" ( attribute "PN" "13" @@ -16438,6 +16659,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_1/10W-XX,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R9_4" + ( Origin gPackager ) + ) ( objectStatus "R9_4" ) ( pin "a(0)" ( attribute "PN" "1" @@ -16506,6 +16733,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-10NF,50V" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C2_4" + ( Origin gPackager ) + ) ( objectStatus "C2_4" ) ( pin "a(0)" ( attribute "PN" "1" @@ -16614,6 +16847,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_1/10W-100,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R5_4" + ( Origin gPackager ) + ) ( objectStatus "R5_4" ) ( pin "a(0)" ( attribute "PN" "1" @@ -16722,6 +16961,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_1/10W-XX,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R10_4" + ( Origin gPackager ) + ) ( objectStatus "R10_4" ) ( pin "a(0)" ( attribute "PN" "1" @@ -16830,6 +17075,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0805_125MW-100,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R6_4" + ( Origin gPackager ) + ) ( objectStatus "R6_4" ) ( pin "a(0)" ( attribute "PN" "1" @@ -16938,6 +17189,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_1/10W-100,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R7_4" + ( Origin gPackager ) + ) ( objectStatus "R7_4" ) ( pin "a(0)" ( attribute "PN" "1" @@ -17046,6 +17303,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_1/10W-51,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R3_4" + ( Origin gPackager ) + ) ( objectStatus "R3_4" ) ( pin "a(0)" ( attribute "PN" "1" @@ -17156,6 +17419,12 @@ ( Status sBlockFlattened ) ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0805_125MW-12,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R2_4" + ( Origin gPackager ) + ) ( objectStatus "R2_4" ) ( pin "a(0)" ( attribute "PN" "1" @@ -17264,6 +17533,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_1/10W-75,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R13_4" + ( Origin gPackager ) + ) ( objectStatus "R13_4" ) ( pin "a(0)" ( attribute "PN" "1" @@ -17372,6 +17647,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_1/10W-75,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R11_4" + ( Origin gPackager ) + ) ( objectStatus "R11_4" ) ( pin "a(0)" ( attribute "PN" "1" @@ -17429,6 +17710,9 @@ ( attribute "LOCATION" "PX2" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "PCOAX-PLEMO00C-GND=GND_SIGNAL" + ( Origin gPackager ) + ) ( objectStatus "PX2" ) ( pin "a" ( attribute "PN" "1" @@ -17531,6 +17815,12 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0805_125MW-100,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R8_4" + ( Origin gPackager ) + ) ( objectStatus "R8_4" ) ( pin "a(0)" ( attribute "PN" "1" @@ -17545,160 +17835,83 @@ ( objectStatus "R8_4.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i3" - ( attribute "CDS_LIB" "cnconnector" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i150" + ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "0,25,150,-475" - ( Origin gPackager ) + ( attribute "PACK_TYPE" "0603" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "2" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "ROT" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TYPE" "MHDMI-19-02-H-TH-L-TR" + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "100NF" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(3300,250)" + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CON19P" - ( Origin gPackager ) + ( attribute "XY" "(5750,600)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( attribute "CDS_PART_NAME" "CON19P-MHDMI-19-02-H-TH-L-TR-GB" + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "J2" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" ( Origin gPackager ) ) + ( attribute "LOCATION" "C5_1" + ( Origin gFrontEnd ) + ) ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( attribute "LOCATION" "J2" + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C5_1" ( Origin gPackager ) ) - ( objectStatus "J2" ) + ( objectStatus "C5_1" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "J2.1" ) + ( objectStatus "C5_1.1" ) ) - ( pin "a(1)" + ( pin "b(0)" ( attribute "PN" "2" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "J2.2" ) - ) - ( pin "a(2)" - ( attribute "PN" "3" - ( Origin gPackager ) - ) - ( objectStatus "J2.3" ) - ) - ( pin "a(3)" - ( attribute "PN" "4" - ( Origin gPackager ) - ) - ( objectStatus "J2.4" ) - ) - ( pin "a(4)" - ( attribute "PN" "5" - ( Origin gPackager ) - ) - ( objectStatus "J2.5" ) - ) - ( pin "a(5)" - ( attribute "PN" "6" - ( Origin gPackager ) - ) - ( objectStatus "J2.6" ) - ) - ( pin "a(6)" - ( attribute "PN" "7" - ( Origin gPackager ) - ) - ( objectStatus "J2.7" ) - ) - ( pin "a(7)" - ( attribute "PN" "8" - ( Origin gPackager ) - ) - ( objectStatus "J2.8" ) - ) - ( pin "a(8)" - ( attribute "PN" "9" - ( Origin gPackager ) - ) - ( objectStatus "J2.9" ) - ) - ( pin "a(9)" - ( attribute "PN" "10" - ( Origin gPackager ) - ) - ( objectStatus "J2.10" ) - ) - ( pin "a(10)" - ( attribute "PN" "11" - ( Origin gPackager ) - ) - ( objectStatus "J2.11" ) - ) - ( pin "a(11)" - ( attribute "PN" "12" - ( Origin gPackager ) - ) - ( objectStatus "J2.12" ) - ) - ( pin "a(12)" - ( attribute "PN" "13" - ( Origin gPackager ) - ) - ( objectStatus "J2.13" ) - ) - ( pin "a(13)" - ( attribute "PN" "14" - ( Origin gPackager ) - ) - ( objectStatus "J2.14" ) - ) - ( pin "a(14)" - ( attribute "PN" "15" - ( Origin gPackager ) - ) - ( objectStatus "J2.15" ) - ) - ( pin "a(15)" - ( attribute "PN" "16" - ( Origin gPackager ) - ) - ( objectStatus "J2.16" ) - ) - ( pin "a(16)" - ( attribute "PN" "17" - ( Origin gPackager ) - ) - ( objectStatus "J2.17" ) - ) - ( pin "a(17)" - ( attribute "PN" "18" - ( Origin gPackager ) - ) - ( objectStatus "J2.18" ) - ) - ( pin "a(18)" - ( attribute "PN" "19" - ( Origin gPackager ) - ) - ( objectStatus "J2.19" ) + ( objectStatus "C5_1.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i150" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i151" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) @@ -17732,7 +17945,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(5750,600)" + ( attribute "XY" "(6050,600)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -17743,7 +17956,7 @@ ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" ( Origin gPackager ) ) - ( attribute "LOCATION" "C5_1" + ( attribute "LOCATION" "C8_1" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" @@ -17752,28 +17965,49 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "C5_1" ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C8_1" + ( Origin gPackager ) + ) + ( objectStatus "C8_1" ) ( pin "a(0)" ( attribute "PN" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C5_1.1" ) + ( objectStatus "C8_1.1" ) ) ( pin "b(0)" ( attribute "PN" "2" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C5_1.2" ) + ( objectStatus "C8_1.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i151" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i152" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "0603" + ( attribute "DIST" "FLAT" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "LOCATION" "R14_1" + ( Origin gFrontEnd ) + ) + ( attribute "MAX_TEMP" "RTMAX" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "NEGTOL" "RTOL%" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PACK_TYPE" "1/10W" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -17781,6 +18015,14 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) + ( attribute "POSTOL" "RTOL%" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "POWER" "RMAX" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) ( attribute "ROT" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) @@ -17789,56 +18031,77 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "100NF" + ( attribute "SLOPE" "RSMAX" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "TC1" "RTMPL" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "16V" + ( attribute "TC2" "RTMPQ" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "TOL" "1%" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "TOL_ON_OFF" "ON" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "75" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VER" "2" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "RVMAX" ( Units "uVoltage" "V" 1.000000) ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(6050,600)" + ( attribute "XY" "(2450,-1750)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( attribute "CHIPS_PART_NAME" "RSMD0603" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-75,1%" ( Origin gPackager ) ) - ( attribute "LOCATION" "C8_1" - ( Origin gFrontEnd ) - ) ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "C8_1" ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_1/10W-75,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R14_1" + ( Origin gPackager ) + ) + ( objectStatus "R14_1" ) ( pin "a(0)" ( attribute "PN" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C8_1.1" ) + ( objectStatus "R14_1.1" ) ) ( pin "b(0)" ( attribute "PN" "2" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C8_1.2" ) + ( objectStatus "R14_1.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i152" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i153" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) @@ -17847,7 +18110,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "LOCATION" "R14_1" + ( attribute "LOCATION" "R12_1" ( Origin gFrontEnd ) ) ( attribute "MAX_TEMP" "RTMAX" @@ -17915,7 +18178,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2450,-1750)" + ( attribute "XY" "(2150,-1750)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -17932,871 +18195,972 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "R14_1" ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_1/10W-75,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R12_1" + ( Origin gPackager ) + ) + ( objectStatus "R12_1" ) ( pin "a(0)" ( attribute "PN" "1" ( Origin gPackager ) ) - ( objectStatus "R14_1.1" ) + ( objectStatus "R12_1.1" ) ) ( pin "b(0)" ( attribute "PN" "2" ( Origin gPackager ) ) - ( objectStatus "R14_1.2" ) + ( objectStatus "R12_1.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i153" - ( attribute "CDS_LIB" "cnpassive" - ( Status sBlockFlattened ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i63" + ( attribute "CHIPS_PART_NAME" "AD5665R" ( Origin gPackager ) ) - ( attribute "DIST" "FLAT" + ( attribute "CDS_LIB" "cnlinear" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) - ( attribute "LOCATION" "R12_1" - ( Origin gFrontEnd ) + ( attribute "CDS_LMAN_SYM_OUTLINE" "-275,175,275,-175" + ( Status sBlockFlattened ) + ( Origin gPackager ) ) - ( attribute "MAX_TEMP" "RTMAX" + ( attribute "PACK_TYPE" "TSSOP" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "NEGTOL" "RTOL%" + ( attribute "PHYS_PAGE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "1/10W" + ( attribute "ROT" "0" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" + ( attribute "TYPE" "AD5665RBRUZ-1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POSTOL" "RTOL%" + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POWER" "RMAX" + ( attribute "XY" "(-1450,1250)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "CDS_PART_NAME" "AD5665RBRUZ-1-GND=GND_SIGNAL;VA" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "LOCATION" "IC8" ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" + ( attribute "SEC" "1" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) - ( attribute "SLOPE" "RSMAX" + ( attribute "CDS_LOCATION" "IC8" + ( Origin gPackager ) + ) + ( attribute "CDS_PHYS_PART_NAME" "AD5665RBRUZ-1-GND=GND_SIGNAL,VA" + ( Origin gPackager ) + ) + ( objectStatus "IC8" ) + ( pin "addr1" + ( attribute "PN" "2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "IC8.2" ) + ) + ( pin "addr2" + ( attribute "PN" "8" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "IC8.8" ) + ) + ( pin "\clr*\" + ( attribute "PN" "9" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "IC8.9" ) + ) + ( pin "\ldac*\" + ( attribute "PN" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "IC8.1" ) + ) + ( pin "por" + ( attribute "PN" "6" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "IC8.6" ) + ) + ( pin "scl" + ( attribute "PN" "14" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "IC8.14" ) + ) + ( pin "sda" + ( attribute "PN" "13" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "IC8.13" ) + ) + ( pin "vouta" + ( attribute "PN" "4" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "IC8.4" ) + ) + ( pin "voutb" + ( attribute "PN" "11" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "IC8.11" ) + ) + ( pin "voutc" + ( attribute "PN" "5" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "IC8.5" ) + ) + ( pin "voutd" + ( attribute "PN" "10" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "IC8.10" ) + ) + ( pin "\vrefin/vrefout\" + ( attribute "PN" "7" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "IC8.7" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i66" + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Origin gPackager ) + ) + ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) - ( attribute "TC1" "RTMPL" + ( attribute "PACK_TYPE" "0603" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TC2" "RTMPQ" + ( attribute "PHYS_PAGE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TOL" "1%" + ( attribute "ROT" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TOL_ON_OFF" "ON" + ( attribute "SIZE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "75" + ( attribute "VALUE" "1UF" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "2" + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "RVMAX" + ( attribute "VOLTAGE" "16V" ( Units "uVoltage" "V" 1.000000) ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2150,-1750)" + ( attribute "XY" "(-2250,0)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-1UF,16V" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-75,1%" + ( attribute "LOCATION" "C71" + ( Origin gFrontEnd ) + ) + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" + ( attribute "CDS_LOCATION" "C71" ( Origin gPackager ) ) - ( attribute "SEC" "1" + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-1UF,16V" ( Origin gPackager ) ) - ( objectStatus "R12_1" ) + ( objectStatus "C71" ) ( pin "a(0)" ( attribute "PN" "1" ( Origin gPackager ) ) - ( objectStatus "R12_1.1" ) + ( objectStatus "C71.1" ) ) ( pin "b(0)" ( attribute "PN" "2" ( Origin gPackager ) ) - ( objectStatus "R12_1.2" ) + ( objectStatus "C71.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i8" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i159" ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "0603" + ( attribute "PACK_TYPE" "HOLE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "2" + ( attribute "PART_NAME" "TP" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "100NF" + ( attribute "SYMMAP" "TRUE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VALUE" "0.8MM" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "16V" - ( Units "uVoltage" "V" 1.000000) + ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(1450,150)" + ( attribute "XY" "(2750,400)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( attribute "CHIPS_PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) + ( attribute "LOCATION" "TP2_1" + ( Origin gFrontEnd ) + ) ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( attribute "LOCATION" "C9" + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "SEC" "1" + ( attribute "CDS_PHYS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "C9" + ( attribute "CDS_LOCATION" "TP2_1" ( Origin gPackager ) ) - ( objectStatus "C49" ) + ( objectStatus "TP2_1" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C49.1" ) - ) - ( pin "b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "C49.2" ) + ( objectStatus "TP2_1.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i9" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i160" ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "0603" + ( attribute "PACK_TYPE" "HOLE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "2" + ( attribute "PART_NAME" "TP" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "100NF" + ( attribute "SYMMAP" "TRUE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VALUE" "0.8MM" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "16V" - ( Units "uVoltage" "V" 1.000000) + ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(1450,350)" + ( attribute "XY" "(2750,200)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( attribute "CHIPS_PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) + ( attribute "LOCATION" "TP3_1" + ( Origin gFrontEnd ) + ) ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( attribute "LOCATION" "C8" + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "SEC" "1" + ( attribute "CDS_PHYS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "C8" + ( attribute "CDS_LOCATION" "TP3_1" ( Origin gPackager ) ) - ( objectStatus "C48" ) + ( objectStatus "TP3_1" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C48.1" ) - ) - ( pin "b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "C48.2" ) + ( objectStatus "TP3_1.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i10" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i161" ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "DIST" "FLAT" - ( Origin gFrontEnd ) - ) - ( attribute "MAX_TEMP" "RTMAX" - ( Origin gFrontEnd ) - ) - ( attribute "NEGTOL" "RTOL%" - ( Origin gFrontEnd ) - ) - ( attribute "PACK_TYPE" "1/10W" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "2" + ( attribute "PACK_TYPE" "HOLE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POSTOL" "RTOL%" + ( attribute "PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POWER" "RMAX" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SLOPE" "RSMAX" - ( Origin gFrontEnd ) - ) - ( attribute "TC1" "RTMPL" - ( Origin gFrontEnd ) - ) - ( attribute "TC2" "RTMPQ" - ( Origin gFrontEnd ) - ) - ( attribute "TOL" "1%" - ( Origin gFrontEnd ) - ) - ( attribute "TOL_ON_OFF" "ON" - ( Origin gFrontEnd ) - ) - ( attribute "VALUE" "51" + ( attribute "SYMMAP" "TRUE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "2" + ( attribute "VALUE" "0.8MM" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "RVMAX" - ( Units "uVoltage" "V" 1.000000) + ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(1750,350)" + ( attribute "XY" "(2750,0)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( attribute "CHIPS_PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-51,1%" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) + ( attribute "LOCATION" "TP4_1" + ( Origin gFrontEnd ) + ) ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( attribute "LOCATION" "R11" + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "SEC" "1" + ( attribute "CDS_PHYS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "R11" + ( attribute "CDS_LOCATION" "TP4_1" ( Origin gPackager ) ) - ( objectStatus "R66" ) + ( objectStatus "TP4_1" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "R66.1" ) - ) - ( pin "b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "R66.2" ) + ( objectStatus "TP4_1.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i11" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i162" ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "DIST" "FLAT" - ( Origin gFrontEnd ) - ) - ( attribute "MAX_TEMP" "RTMAX" - ( Origin gFrontEnd ) - ) - ( attribute "NEGTOL" "RTOL%" - ( Origin gFrontEnd ) - ) - ( attribute "PACK_TYPE" "1/10W" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "2" + ( attribute "PACK_TYPE" "HOLE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POSTOL" "RTOL%" + ( attribute "PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POWER" "RMAX" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SLOPE" "RSMAX" - ( Origin gFrontEnd ) - ) - ( attribute "TC1" "RTMPL" - ( Origin gFrontEnd ) - ) - ( attribute "TC2" "RTMPQ" - ( Origin gFrontEnd ) - ) - ( attribute "TOL" "1%" - ( Origin gFrontEnd ) - ) - ( attribute "TOL_ON_OFF" "ON" - ( Origin gFrontEnd ) - ) - ( attribute "VALUE" "51" + ( attribute "SYMMAP" "TRUE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "2" + ( attribute "VALUE" "0.8MM" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "RVMAX" - ( Units "uVoltage" "V" 1.000000) + ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(1750,150)" + ( attribute "XY" "(1000,800)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( attribute "CHIPS_PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-51,1%" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) + ( attribute "LOCATION" "TP1_1" + ( Origin gFrontEnd ) + ) ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( attribute "LOCATION" "R12" + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "SEC" "1" + ( attribute "CDS_PHYS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "R12" + ( attribute "CDS_LOCATION" "TP1_1" ( Origin gPackager ) ) - ( objectStatus "R67" ) + ( objectStatus "TP1_1" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "R67.1" ) - ) - ( pin "b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "R67.2" ) + ( objectStatus "TP1_1.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i60" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i163" ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "C13" - ( Origin gPackager ) + ( attribute "PACK_TYPE" "HOLE" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "0603" + ( attribute "PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "2" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SEC" "1" - ( Origin gPackager ) - ) ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "100NF" + ( attribute "SYMMAP" "TRUE" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "0.8MM" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "16V" - ( Units "uVoltage" "V" 1.000000) + ( attribute "XY" "(2750,-1250)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(5400,1050)" + ( attribute "CHIPS_PART_NAME" "TP" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "TP5_1" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "C13" + ( attribute "CDS_PHYS_PART_NAME" "TP_HOLE-0.8MM" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "TP5_1" ( Origin gPackager ) ) - ( objectStatus "C50" ) + ( objectStatus "TP5_1" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C50.1" ) - ) - ( pin "b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "C50.2" ) + ( objectStatus "TP5_1.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i56" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i164" ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "C1" - ( Origin gPackager ) + ( attribute "PACK_TYPE" "HOLE" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "0603" + ( attribute "PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "2" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SEC" "1" - ( Origin gPackager ) - ) ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "100NF" + ( attribute "SYMMAP" "TRUE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VALUE" "0.8MM" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "16V" - ( Units "uVoltage" "V" 1.000000) + ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(1900,1050)" + ( attribute "XY" "(2750,-1550)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( attribute "CHIPS_PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "C1" + ( attribute "LOCATION" "TP6_1" + ( Origin gFrontEnd ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( attribute "CDS_PHYS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( objectStatus "C51" ) + ( attribute "CDS_LOCATION" "TP6_1" + ( Origin gPackager ) + ) + ( objectStatus "TP6_1" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C51.1" ) - ) - ( pin "b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "C51.2" ) + ( objectStatus "TP6_1.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i18" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i165" ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "DIST" "FLAT" - ( Origin gFrontEnd ) - ) - ( attribute "MAX_TEMP" "RTMAX" - ( Origin gFrontEnd ) - ) - ( attribute "NEGTOL" "RTOL%" - ( Origin gFrontEnd ) - ) - ( attribute "PACK_TYPE" "1/10W" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "2" + ( attribute "PACK_TYPE" "HOLE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POSTOL" "RTOL%" + ( attribute "PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POWER" "RMAX" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SLOPE" "RSMAX" - ( Origin gFrontEnd ) - ) - ( attribute "TC1" "RTMPL" - ( Origin gFrontEnd ) - ) - ( attribute "TC2" "RTMPQ" - ( Origin gFrontEnd ) - ) - ( attribute "TOL" "1%" - ( Origin gFrontEnd ) - ) - ( attribute "TOL_ON_OFF" "ON" - ( Origin gFrontEnd ) - ) - ( attribute "VALUE" "51" + ( attribute "SYMMAP" "TRUE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "2" + ( attribute "VALUE" "0.8MM" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "RVMAX" - ( Units "uVoltage" "V" 1.000000) + ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(300,-3400)" + ( attribute "XY" "(2750,-1750)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( attribute "CHIPS_PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-51,1%" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) + ( attribute "LOCATION" "TP7_1" + ( Origin gFrontEnd ) + ) ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( attribute "LOCATION" "R13" + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "SEC" "1" + ( attribute "CDS_PHYS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "R13" + ( attribute "CDS_LOCATION" "TP7_1" ( Origin gPackager ) ) - ( objectStatus "R70" ) + ( objectStatus "TP7_1" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "R70.1" ) - ) - ( pin "b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "R70.2" ) + ( objectStatus "TP7_1.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i19" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i159" ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "0603" + ( attribute "PACK_TYPE" "HOLE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "2" + ( attribute "PART_NAME" "TP" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "100NF" + ( attribute "SYMMAP" "TRUE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VALUE" "0.8MM" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "16V" - ( Units "uVoltage" "V" 1.000000) + ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(0,-3400)" + ( attribute "XY" "(2750,400)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( attribute "CHIPS_PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) + ( attribute "LOCATION" "TP2_2" + ( Origin gFrontEnd ) + ) ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( attribute "LOCATION" "C10" + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "SEC" "1" + ( attribute "CDS_PHYS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "C10" + ( attribute "CDS_LOCATION" "TP2_2" ( Origin gPackager ) ) - ( objectStatus "C52" ) + ( objectStatus "TP2_2" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C52.1" ) - ) - ( pin "b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "C52.2" ) + ( objectStatus "TP2_2.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i21" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i160" ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "DIST" "FLAT" - ( Origin gFrontEnd ) - ) - ( attribute "MAX_TEMP" "RTMAX" - ( Origin gFrontEnd ) - ) - ( attribute "NEGTOL" "RTOL%" - ( Origin gFrontEnd ) - ) - ( attribute "PACK_TYPE" "1/10W" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "2" + ( attribute "PACK_TYPE" "HOLE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POSTOL" "RTOL%" + ( attribute "PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POWER" "RMAX" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SLOPE" "RSMAX" - ( Origin gFrontEnd ) - ) - ( attribute "TC1" "RTMPL" - ( Origin gFrontEnd ) - ) - ( attribute "TC2" "RTMPQ" - ( Origin gFrontEnd ) - ) - ( attribute "TOL" "1%" - ( Origin gFrontEnd ) - ) - ( attribute "TOL_ON_OFF" "ON" - ( Origin gFrontEnd ) - ) - ( attribute "VALUE" "51" + ( attribute "SYMMAP" "TRUE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "2" + ( attribute "VALUE" "0.8MM" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "RVMAX" - ( Units "uVoltage" "V" 1.000000) + ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(300,-3600)" + ( attribute "XY" "(2750,200)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( attribute "CHIPS_PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-51,1%" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) + ( attribute "LOCATION" "TP3_2" + ( Origin gFrontEnd ) + ) ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( attribute "LOCATION" "R14" + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "SEC" "1" + ( attribute "CDS_PHYS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "R14" + ( attribute "CDS_LOCATION" "TP3_2" ( Origin gPackager ) ) - ( objectStatus "R71" ) + ( objectStatus "TP3_2" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "R71.1" ) - ) - ( pin "b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "R71.2" ) + ( objectStatus "TP3_2.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i22" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i161" ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "0603" + ( attribute "PACK_TYPE" "HOLE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "2" + ( attribute "PART_NAME" "TP" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "100NF" + ( attribute "SYMMAP" "TRUE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VALUE" "0.8MM" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "16V" - ( Units "uVoltage" "V" 1.000000) + ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(0,-3600)" + ( attribute "XY" "(2750,0)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( attribute "CHIPS_PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) + ( attribute "LOCATION" "TP4_2" + ( Origin gFrontEnd ) + ) ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( attribute "LOCATION" "C11" + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "SEC" "1" + ( attribute "CDS_PHYS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "C11" + ( attribute "CDS_LOCATION" "TP4_2" ( Origin gPackager ) ) - ( objectStatus "C53" ) + ( objectStatus "TP4_2" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C53.1" ) - ) - ( pin "b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "C53.2" ) + ( objectStatus "TP4_2.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i63" - ( attribute "CHIPS_PART_NAME" "AD5665R" - ( Origin gPackager ) - ) - ( attribute "CDS_LIB" "cnlinear" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i162" + ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-275,175,275,-175" + ( attribute "PACK_TYPE" "HOLE" ( Status sBlockFlattened ) - ( Origin gPackager ) + ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "TSSOP" + ( attribute "PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -18808,130 +19172,67 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TYPE" "AD5665RBRUZ-1" + ( attribute "SIZE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "SYMMAP" "TRUE" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-1450,1250)" + ( attribute "VALUE" "0.8MM" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CDS_PART_NAME" "AD5665RBRUZ-1-GND=GND_SIGNAL;VA" - ( Origin gPackager ) - ) - ( attribute "CDS_SEC" "1" + ( attribute "VER" "1" ( Status sBlockFlattened ) - ( Origin gPackager ) + ( Origin gFrontEnd ) ) - ( attribute "LOCATION" "IC8" + ( attribute "XY" "(1000,800)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SEC" "1" + ( attribute "CHIPS_PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "IC8" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( objectStatus "IC8" ) - ( pin "addr1" - ( attribute "PN" "2" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "IC8.2" ) - ) - ( pin "addr2" - ( attribute "PN" "8" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "IC8.8" ) - ) - ( pin "\clr*\" - ( attribute "PN" "9" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "IC8.9" ) - ) - ( pin "\ldac*\" - ( attribute "PN" "1" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "IC8.1" ) - ) - ( pin "por" - ( attribute "PN" "6" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "IC8.6" ) - ) - ( pin "scl" - ( attribute "PN" "14" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "IC8.14" ) - ) - ( pin "sda" - ( attribute "PN" "13" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "IC8.13" ) + ( attribute "LOCATION" "TP1_2" + ( Origin gFrontEnd ) ) - ( pin "vouta" - ( attribute "PN" "4" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "IC8.4" ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) ) - ( pin "voutb" - ( attribute "PN" "11" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "IC8.11" ) + ( attribute "SEC" "1" + ( Origin gPackager ) ) - ( pin "voutc" - ( attribute "PN" "5" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "IC8.5" ) + ( attribute "CDS_PHYS_PART_NAME" "TP_HOLE-0.8MM" + ( Origin gPackager ) ) - ( pin "voutd" - ( attribute "PN" "10" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "IC8.10" ) + ( attribute "CDS_LOCATION" "TP1_2" + ( Origin gPackager ) ) - ( pin "\vrefin/vrefout\" - ( attribute "PN" "7" + ( objectStatus "TP1_2" ) + ( pin "a(0)" + ( attribute "PN" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "IC8.7" ) + ( objectStatus "TP1_2.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i66" - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" - ( Origin gPackager ) - ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i163" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "0603" + ( attribute "PACK_TYPE" "HOLE" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -18939,7 +19240,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "ROT" "0" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -18947,707 +19248,783 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "1UF" + ( attribute "SYMMAP" "TRUE" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VALUE" "0.8MM" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "16V" - ( Units "uVoltage" "V" 1.000000) + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-2250,0)" + ( attribute "XY" "(2750,-1250)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-1UF,16V" + ( attribute "CHIPS_PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" - ( Status sBlockFlattened ) + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "LOCATION" "C71" + ( attribute "LOCATION" "TP5_2" ( Origin gFrontEnd ) ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "C71" + ( attribute "CDS_PHYS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( objectStatus "C71" ) + ( attribute "CDS_LOCATION" "TP5_2" + ( Origin gPackager ) + ) + ( objectStatus "TP5_2" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C71.1" ) - ) - ( pin "b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "C71.2" ) + ( objectStatus "TP5_2.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i26" - ( attribute "CDS_LIB" "cndiscrete" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i164" + ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "GSD" + ( attribute "PACK_TYPE" "HOLE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "2" + ( attribute "PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "2" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TYPE" "SOT23" + ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "FDV301N" + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "SYMMAP" "TRUE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(400,800)" + ( attribute "VALUE" "0.8MM" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TRANS MOSFET" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "TRANS MOSFET_GSD-FDV301N,SOT23" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "T1" - ( Origin gPackager ) - ) - ( objectStatus "T1" ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i28" - ( attribute "CDS_LIB" "cninterface" - ( Origin gPackager ) - ) - ( attribute "PACK_TYPE" "SC70" + ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "2" + ( attribute "XY" "(2750,-1550)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "2" - ( Origin gFrontEnd ) + ( attribute "CHIPS_PART_NAME" "TP" + ( Status sBlockFlattened ) + ( Origin gPackager ) ) - ( attribute "TYPE" "SN74LVC1G07DCK" - ( Origin gFrontEnd ) + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( Origin gPackager ) ) - ( attribute "VER" "1" + ( attribute "LOCATION" "TP6_2" ( Origin gFrontEnd ) ) - ( attribute "XY" "(1450,750)" - ( Origin gFrontEnd ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) ) - ( attribute "CHIPS_PART_NAME" "74LVC1G07" + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "SN74LVC1G07DCK-GND=GND_SIGNAL;A" + ( attribute "CDS_PHYS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "LOCATION" "IC4" + ( attribute "CDS_LOCATION" "TP6_2" ( Origin gPackager ) ) - ( objectStatus "IC2" ) + ( objectStatus "TP6_2" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "TP6_2.1" ) + ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i29" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i165" ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "DIST" "FLAT" + ( attribute "PACK_TYPE" "HOLE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "MAX_TEMP" "RTMAX" + ( attribute "PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "NEGTOL" "RTOL%" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "1/10W" + ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "2" + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POSTOL" "RTOL%" + ( attribute "SYMMAP" "TRUE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POWER" "RMAX" + ( attribute "VALUE" "0.8MM" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" - ( Origin gFrontEnd ) - ) - ( attribute "SIZE" "1" - ( Origin gFrontEnd ) - ) - ( attribute "SLOPE" "RSMAX" - ( Origin gFrontEnd ) - ) - ( attribute "TC1" "RTMPL" - ( Origin gFrontEnd ) - ) - ( attribute "TC2" "RTMPQ" - ( Origin gFrontEnd ) - ) - ( attribute "TOL" "1%" + ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TOL_ON_OFF" "ON" + ( attribute "XY" "(2750,-1750)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "1K" - ( Origin gFrontEnd ) + ( attribute "CHIPS_PART_NAME" "TP" + ( Status sBlockFlattened ) + ( Origin gPackager ) ) - ( attribute "VER" "2" - ( Origin gFrontEnd ) + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( Origin gPackager ) ) - ( attribute "VOLTAGE" "RVMAX" - ( Units "uVoltage" "V" 1.000000) + ( attribute "LOCATION" "TP7_2" ( Origin gFrontEnd ) ) - ( attribute "XY" "(800,900)" - ( Origin gFrontEnd ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) ) - ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-1K,1%" + ( attribute "CDS_PHYS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "LOCATION" "R15" + ( attribute "CDS_LOCATION" "TP7_2" ( Origin gPackager ) ) - ( objectStatus "R1" ) + ( objectStatus "TP7_2" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "TP7_2.1" ) + ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i33" - ( attribute "CDS_LIB" "cndiscrete" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i159" + ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "LOCATION" "D3" - ( Origin gPackager ) + ( attribute "PACK_TYPE" "HOLE" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "SOT23" + ( attribute "PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "2" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TYPE" "USBLC6-2SC6" + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "SYMMAP" "TRUE" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "0.8MM" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(1100,-1800)" + ( attribute "XY" "(2750,400)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "USBLC6-2" + ( attribute "CHIPS_PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "USBLC6-2SC6" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( objectStatus "D2" ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i38" - ( attribute "CDS_LIB" "cnpassive" - ( Origin gPackager ) + ( attribute "LOCATION" "TP2_3" + ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( attribute "DIST" "FLAT" - ( Origin gFrontEnd ) + ( attribute "SEC" "1" + ( Origin gPackager ) ) - ( attribute "LOCATION" "R9" + ( attribute "CDS_PHYS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "MAX_TEMP" "RTMAX" - ( Origin gFrontEnd ) + ( attribute "CDS_LOCATION" "TP2_3" + ( Origin gPackager ) ) - ( attribute "NEGTOL" "RTOL%" - ( Origin gFrontEnd ) + ( objectStatus "TP2_3" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "TP2_3.1" ) ) - ( attribute "PACK_TYPE" "1/10W" - ( Origin gFrontEnd ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i160" + ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) + ( Origin gPackager ) ) - ( attribute "PHYS_PAGE" "2" + ( attribute "PACK_TYPE" "HOLE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POSTOL" "RTOL%" + ( attribute "PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POWER" "RMAX" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SEC" "1" - ( Origin gPackager ) - ) ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SLOPE" "RSMAX" - ( Origin gFrontEnd ) - ) - ( attribute "TC1" "RTMPL" + ( attribute "SYMMAP" "TRUE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TC2" "RTMPQ" + ( attribute "VALUE" "0.8MM" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TOL" "1%" + ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TOL_ON_OFF" "ON" + ( attribute "XY" "(2750,200)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "51" - ( Origin gFrontEnd ) + ( attribute "CHIPS_PART_NAME" "TP" + ( Status sBlockFlattened ) + ( Origin gPackager ) ) - ( attribute "VER" "2" - ( Origin gFrontEnd ) + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( Origin gPackager ) ) - ( attribute "VOLTAGE" "RVMAX" - ( Units "uVoltage" "V" 1.000000) + ( attribute "LOCATION" "TP3_3" ( Origin gFrontEnd ) ) - ( attribute "XY" "(5300,350)" - ( Origin gFrontEnd ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) ) - ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-51,1%" + ( attribute "CDS_PHYS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "R9" + ( attribute "CDS_LOCATION" "TP3_3" ( Origin gPackager ) ) - ( objectStatus "R68" ) + ( objectStatus "TP3_3" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "R68.1" ) - ) - ( pin "b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "R68.2" ) + ( objectStatus "TP3_3.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i39" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i161" ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) - ) - ( attribute "DIST" "FLAT" - ( Origin gFrontEnd ) - ) - ( attribute "LOCATION" "R10" - ( Origin gPackager ) - ) - ( attribute "MAX_TEMP" "RTMAX" - ( Origin gFrontEnd ) - ) - ( attribute "NEGTOL" "RTOL%" - ( Origin gFrontEnd ) - ) - ( attribute "PACK_TYPE" "1/10W" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "2" + ( attribute "PACK_TYPE" "HOLE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POSTOL" "RTOL%" + ( attribute "PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POWER" "RMAX" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SEC" "1" - ( Origin gPackager ) - ) ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SLOPE" "RSMAX" - ( Origin gFrontEnd ) - ) - ( attribute "TC1" "RTMPL" + ( attribute "SYMMAP" "TRUE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TC2" "RTMPQ" + ( attribute "VALUE" "0.8MM" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TOL" "1%" + ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TOL_ON_OFF" "ON" + ( attribute "XY" "(2750,0)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "51" - ( Origin gFrontEnd ) + ( attribute "CHIPS_PART_NAME" "TP" + ( Status sBlockFlattened ) + ( Origin gPackager ) ) - ( attribute "VER" "2" - ( Origin gFrontEnd ) + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( Origin gPackager ) ) - ( attribute "VOLTAGE" "RVMAX" - ( Units "uVoltage" "V" 1.000000) + ( attribute "LOCATION" "TP4_3" ( Origin gFrontEnd ) ) - ( attribute "XY" "(5300,150)" - ( Origin gFrontEnd ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) ) - ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-51,1%" + ( attribute "CDS_PHYS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "R10" + ( attribute "CDS_LOCATION" "TP4_3" ( Origin gPackager ) ) - ( objectStatus "R69" ) + ( objectStatus "TP4_3" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "R69.1" ) - ) - ( pin "b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "R69.2" ) + ( objectStatus "TP4_3.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i40" - ( attribute "CDS_LIB" "cninterface" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i162" + ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "SC70" + ( attribute "PACK_TYPE" "HOLE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "2" + ( attribute "PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "2" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "SYMMAP" "TRUE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TYPE" "SN74LVC1G07DCK" + ( attribute "VALUE" "0.8MM" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(5000,750)" + ( attribute "XY" "(1000,800)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "74LVC1G07" + ( attribute "CHIPS_PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "SN74LVC1G07DCK-GND=GND_SIGNAL;A" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "LOCATION" "IC6" + ( attribute "LOCATION" "TP1_3" + ( Origin gFrontEnd ) + ) + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( objectStatus "IC1" ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i41" - ( attribute "CDS_LIB" "cnpassive" + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" + ( attribute "CDS_PHYS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "LOCATION" "C7" + ( attribute "CDS_LOCATION" "TP1_3" ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "0603" + ( objectStatus "TP1_3" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "TP1_3.1" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i163" + ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "HOLE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "2" + ( attribute "PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SEC" "1" - ( Origin gPackager ) + ( attribute "ROT" "0" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "100NF" + ( attribute "SYMMAP" "TRUE" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "0.8MM" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "16V" - ( Units "uVoltage" "V" 1.000000) + ( attribute "XY" "(2750,-1250)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(5000,350)" + ( attribute "CHIPS_PART_NAME" "TP" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "TP5_3" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "C7" + ( attribute "CDS_PHYS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( objectStatus "C47" ) + ( attribute "CDS_LOCATION" "TP5_3" + ( Origin gPackager ) + ) + ( objectStatus "TP5_3" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C47.1" ) - ) - ( pin "b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "C47.2" ) + ( objectStatus "TP5_3.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i42" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i164" ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "C12" - ( Origin gPackager ) + ( attribute "PACK_TYPE" "HOLE" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "0603" + ( attribute "PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "2" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SEC" "1" - ( Origin gPackager ) - ) ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "100NF" + ( attribute "SYMMAP" "TRUE" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "0.8MM" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "16V" - ( Units "uVoltage" "V" 1.000000) + ( attribute "XY" "(2750,-1550)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(5000,150)" + ( attribute "CHIPS_PART_NAME" "TP" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "TP6_3" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "C12" + ( attribute "CDS_PHYS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( objectStatus "C46" ) + ( attribute "CDS_LOCATION" "TP6_3" + ( Origin gPackager ) + ) + ( objectStatus "TP6_3" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C46.1" ) - ) - ( pin "b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "C46.2" ) + ( objectStatus "TP6_3.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i44" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i165" ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "DIST" "FLAT" - ( Origin gFrontEnd ) - ) - ( attribute "MAX_TEMP" "RTMAX" - ( Origin gFrontEnd ) - ) - ( attribute "NEGTOL" "RTOL%" - ( Origin gFrontEnd ) - ) - ( attribute "PACK_TYPE" "1/10W" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "2" + ( attribute "PACK_TYPE" "HOLE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POSTOL" "RTOL%" + ( attribute "PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POWER" "RMAX" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SLOPE" "RSMAX" - ( Origin gFrontEnd ) - ) - ( attribute "TC1" "RTMPL" + ( attribute "SYMMAP" "TRUE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TC2" "RTMPQ" + ( attribute "VALUE" "0.8MM" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TOL" "1%" + ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TOL_ON_OFF" "ON" + ( attribute "XY" "(2750,-1750)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "1K" - ( Origin gFrontEnd ) + ( attribute "CHIPS_PART_NAME" "TP" + ( Status sBlockFlattened ) + ( Origin gPackager ) ) - ( attribute "VER" "2" - ( Origin gFrontEnd ) + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( Origin gPackager ) ) - ( attribute "VOLTAGE" "RVMAX" - ( Units "uVoltage" "V" 1.000000) + ( attribute "LOCATION" "TP7_3" ( Origin gFrontEnd ) ) - ( attribute "XY" "(4350,900)" - ( Origin gFrontEnd ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) ) - ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-1K,1%" + ( attribute "CDS_PHYS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "LOCATION" "R16" + ( attribute "CDS_LOCATION" "TP7_3" ( Origin gPackager ) ) - ( objectStatus "R2" ) + ( objectStatus "TP7_3" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "TP7_3.1" ) + ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i45" - ( attribute "CDS_LIB" "cndiscrete" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i159" + ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "GSD" + ( attribute "PACK_TYPE" "HOLE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "2" + ( attribute "PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "2" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TYPE" "SOT23" + ( attribute "SYMMAP" "TRUE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "FDV301N" + ( attribute "VALUE" "0.8MM" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(3950,800)" + ( attribute "XY" "(2750,400)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TRANS MOSFET" + ( attribute "CHIPS_PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TRANS MOSFET_GSD-FDV301N,SOT23" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "LOCATION" "T2" + ( attribute "LOCATION" "TP2_4" + ( Origin gFrontEnd ) + ) + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( objectStatus "T2" ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i49" - ( attribute "CDS_LIB" "cndiscrete" + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "LOCATION" "D2" + ( attribute "CDS_PHYS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "SOT23" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "2" - ( Origin gFrontEnd ) - ) - ( attribute "ROT" "0" - ( Origin gFrontEnd ) - ) - ( attribute "TYPE" "USBLC6-2SC6" - ( Origin gFrontEnd ) - ) - ( attribute "VER" "1" - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(4650,-1800)" - ( Origin gFrontEnd ) - ) - ( attribute "CHIPS_PART_NAME" "USBLC6-2" + ( attribute "CDS_LOCATION" "TP2_4" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "USBLC6-2SC6" - ( Origin gPackager ) + ( objectStatus "TP2_4" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "TP2_4.1" ) ) - ( objectStatus "D1" ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i9" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i160" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "0603" + ( attribute "PACK_TYPE" "HOLE" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -19655,7 +20032,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "ROT" "0" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -19663,31 +20040,30 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "100NF" + ( attribute "SYMMAP" "TRUE" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VALUE" "0.8MM" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "16V" - ( Units "uVoltage" "V" 1.000000) + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(4450,500)" + ( attribute "XY" "(2750,200)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( attribute "CHIPS_PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "LOCATION" "C55" + ( attribute "LOCATION" "TP3_4" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" @@ -19696,49 +20072,51 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "C55" + ( attribute "CDS_PHYS_PART_NAME" "TP_HOLE-0.8MM" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "TP3_4" ( Origin gPackager ) ) - ( objectStatus "C55" ) + ( objectStatus "TP3_4" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C55.1" ) - ) - ( pin "b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "C55.2" ) + ( objectStatus "TP3_4.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i7" - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i161" + ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_LIB" "bris_cds_analogue" + ( attribute "PACK_TYPE" "HOLE" ( Status sBlockFlattened ) - ( Origin gPackager ) + ( Origin gFrontEnd ) ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,50,125,-50" + ( attribute "PART_NAME" "TP" ( Status sBlockFlattened ) - ( Origin gPackager ) + ( Origin gFrontEnd ) ) - ( attribute "MANUF" "NXP" + ( attribute "PHYS_PAGE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "TSSOP" + ( attribute "ROT" "0" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "SYMMAP" "TRUE" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "3" + ( attribute "VALUE" "0.8MM" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -19746,55 +20124,71 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(350,550)" + ( attribute "XY" "(2750,0)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP-GND=GND_SA" + ( attribute "CHIPS_PART_NAME" "TP" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "LOCATION" "U2" + ( attribute "LOCATION" "TP4_4" ( Origin gFrontEnd ) ) - ( attribute "SEC" "7" + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_SEC" "7" + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "U2" + ( attribute "CDS_PHYS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( objectStatus "U2" ) - ( pin "\in\" - ( attribute "PN" "9" + ( attribute "CDS_LOCATION" "TP4_4" + ( Origin gPackager ) + ) + ( objectStatus "TP4_4" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "U2.9" ) + ( objectStatus "TP4_4.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i43" - ( attribute "CDS_LIB" "bris_cds_analogue" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i162" + ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,50,125,-50" + ( attribute "PACK_TYPE" "HOLE" ( Status sBlockFlattened ) - ( Origin gPackager ) + ( Origin gFrontEnd ) ) - ( attribute "MANUF" "NXP" + ( attribute "PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "TSSOP" + ( attribute "PHYS_PAGE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" + ( attribute "ROT" "0" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "SYMMAP" "TRUE" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "3" + ( attribute "VALUE" "0.8MM" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -19802,59 +20196,71 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(350,-2750)" + ( attribute "XY" "(1000,800)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" + ( attribute "CHIPS_PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP-GND=GND_SA" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "LOCATION" "U2" + ( attribute "LOCATION" "TP1_4" ( Origin gFrontEnd ) ) - ( attribute "SEC" "3" + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_SEC" "3" + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "U2" + ( attribute "CDS_PHYS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( objectStatus "U2" ) - ( pin "\in\" - ( attribute "PN" "4" + ( attribute "CDS_LOCATION" "TP1_4" + ( Origin gPackager ) + ) + ( objectStatus "TP1_4" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "U2.4" ) + ( objectStatus "TP1_4.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i44" - ( attribute "CDS_LIB" "bris_cds_analogue" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i163" + ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,50,125,-50" + ( attribute "PACK_TYPE" "HOLE" ( Status sBlockFlattened ) - ( Origin gPackager ) + ( Origin gFrontEnd ) ) - ( attribute "MANUF" "NXP" + ( attribute "PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "TSSOP" + ( attribute "PHYS_PAGE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" + ( attribute "ROT" "0" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "3" + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "SYMMAP" "TRUE" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "0.8MM" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -19862,59 +20268,71 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(350,-2200)" + ( attribute "XY" "(2750,-1250)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" + ( attribute "CHIPS_PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP-GND=GND_SA" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "LOCATION" "U2" + ( attribute "LOCATION" "TP5_4" ( Origin gFrontEnd ) ) - ( attribute "SEC" "5" + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_SEC" "5" + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "U2" + ( attribute "CDS_PHYS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( objectStatus "U2" ) - ( pin "\in\" - ( attribute "PN" "6" + ( attribute "CDS_LOCATION" "TP5_4" + ( Origin gPackager ) + ) + ( objectStatus "TP5_4" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "U2.6" ) + ( objectStatus "TP5_4.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i45" - ( attribute "CDS_LIB" "bris_cds_analogue" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i164" + ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,50,125,-50" + ( attribute "PACK_TYPE" "HOLE" ( Status sBlockFlattened ) - ( Origin gPackager ) + ( Origin gFrontEnd ) ) - ( attribute "MANUF" "NXP" + ( attribute "PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "TSSOP" + ( attribute "PHYS_PAGE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" + ( attribute "ROT" "0" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "SYMMAP" "TRUE" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "3" + ( attribute "VALUE" "0.8MM" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -19922,59 +20340,71 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(350,-1650)" + ( attribute "XY" "(2750,-1550)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" + ( attribute "CHIPS_PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP-GND=GND_SA" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "LOCATION" "U2" + ( attribute "LOCATION" "TP6_4" ( Origin gFrontEnd ) ) - ( attribute "SEC" "6" + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_SEC" "6" + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "U2" + ( attribute "CDS_PHYS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( objectStatus "U2" ) - ( pin "\in\" - ( attribute "PN" "7" + ( attribute "CDS_LOCATION" "TP6_4" + ( Origin gPackager ) + ) + ( objectStatus "TP6_4" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "U2.7" ) + ( objectStatus "TP6_4.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i46" - ( attribute "CDS_LIB" "bris_cds_analogue" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i165" + ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,50,125,-50" + ( attribute "PACK_TYPE" "HOLE" ( Status sBlockFlattened ) - ( Origin gPackager ) + ( Origin gFrontEnd ) ) - ( attribute "MANUF" "NXP" + ( attribute "PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "TSSOP" + ( attribute "PHYS_PAGE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" + ( attribute "ROT" "0" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "SYMMAP" "TRUE" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "3" + ( attribute "VALUE" "0.8MM" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -19982,51 +20412,74 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(350,-1100)" + ( attribute "XY" "(2750,-1750)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" + ( attribute "CHIPS_PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP-GND=GND_SA" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "LOCATION" "U2" + ( attribute "LOCATION" "TP7_4" ( Origin gFrontEnd ) ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" + ( attribute "CDS_PHYS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "U2" + ( attribute "CDS_LOCATION" "TP7_4" ( Origin gPackager ) ) - ( objectStatus "U2" ) - ( pin "\in\" + ( objectStatus "TP7_4" ) + ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "U2.1" ) + ( objectStatus "TP7_4.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i47" - ( attribute "CDS_LIB" "bris_cds_analogue" - ( Status sBlockFlattened ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i78" + ( attribute "CHIPS_PART_NAME" "CON8P" + ( Origin gPackager ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i40" + ( attribute "CHIPS_PART_NAME" "74LVC1G07" + ( Origin gPackager ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i28" + ( attribute "CHIPS_PART_NAME" "74LVC1G07" + ( Origin gPackager ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i3" + ( attribute "CHIPS_PART_NAME" "CON19P" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "CON19P" ( Origin gPackager ) ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,50,125,-50" + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i68" + ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "MANUF" "NXP" + ( attribute "PACK_TYPE" "HOLE" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "TSSOP" + ( attribute "PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -20034,7 +20487,19 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "3" + ( attribute "ROT" "0" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "SYMMAP" "TRUE" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "0.8MM" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -20042,59 +20507,70 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(350,-550)" + ( attribute "XY" "(-1225,500)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" + ( attribute "CHIPS_PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP-GND=GND_SA" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "LOCATION" "U2" - ( Origin gFrontEnd ) + ( attribute "CDS_LOCATION" "TP13" + ( Origin gPackager ) ) - ( attribute "SEC" "2" + ( attribute "LOCATION" "TP13" ( Origin gPackager ) ) - ( attribute "CDS_SEC" "2" + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "U2" + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "U2" ) - ( pin "\in\" - ( attribute "PN" "2" + ( attribute "CDS_PHYS_PART_NAME" "TP_HOLE-0.8MM" + ( Origin gPackager ) + ) + ( objectStatus "TP13" ) + ( pin "a(0)" + ( attribute "PN" "1" ( Origin gPackager ) ) - ( objectStatus "U2.2" ) + ( objectStatus "TP13.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i48" - ( attribute "CDS_LIB" "bris_cds_analogue" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i69" + ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,50,125,-50" + ( attribute "PACK_TYPE" "HOLE" ( Status sBlockFlattened ) - ( Origin gPackager ) + ( Origin gFrontEnd ) ) - ( attribute "MANUF" "NXP" + ( attribute "PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "TSSOP" + ( attribute "PHYS_PAGE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" + ( attribute "ROT" "0" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "SYMMAP" "TRUE" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "3" + ( attribute "VALUE" "0.8MM" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -20102,143 +20578,166 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(350,0)" + ( attribute "XY" "(-700,1350)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" + ( attribute "CHIPS_PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP-GND=GND_SA" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "LOCATION" "U2" + ( attribute "LOCATION" "TP22" ( Origin gFrontEnd ) ) - ( attribute "SEC" "8" + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_SEC" "8" + ( attribute "CDS_LOCATION" "TP22" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "U2" + ( attribute "CDS_PHYS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( objectStatus "U2" ) - ( pin "\in\" - ( attribute "PN" "10" + ( objectStatus "TP22" ) + ( pin "a(0)" + ( attribute "PN" "1" ( Origin gPackager ) ) - ( objectStatus "U2.10" ) + ( objectStatus "TP22.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i50" - ( attribute "CDS_LIB" "bris_cds_analogue" - ( Status sBlockFlattened ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i8" + ( attribute "CDS_LIB" "cnmemory" ( Origin gPackager ) ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,50,125,-50" - ( Status sBlockFlattened ) + ( attribute "CDS_LMAN_SYM_OUTLINE" "-150,75,150,-150" ( Origin gPackager ) ) - ( attribute "MANUF" "NXP" - ( Status sBlockFlattened ) + ( attribute "PACK_TYPE" "SOIC" ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "TSSOP" - ( Status sBlockFlattened ) + ( attribute "PHYS_PAGE" "1" ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" - ( Status sBlockFlattened ) + ( attribute "ROT" "0" ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" - ( Status sBlockFlattened ) + ( attribute "TYPE" "24AA025E48T-I/SN" ( Origin gFrontEnd ) ) ( attribute "VER" "1" - ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(350,-3300)" - ( Status sBlockFlattened ) + ( attribute "XY" "(-200,-2950)" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Status sBlockFlattened ) + ( attribute "CHIPS_PART_NAME" "24AA025E48" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP-GND=GND_SA" + ( attribute "CDS_PART_NAME" "24AA025E48T-I/SN" ( Origin gPackager ) ) - ( attribute "LOCATION" "U2" - ( Origin gFrontEnd ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) ) - ( attribute "SEC" "4" + ( attribute "CDS_LOCATION" "IC9" ( Origin gPackager ) ) - ( attribute "CDS_SEC" "4" + ( attribute "CDS_PHYS_PART_NAME" "24AA025E48T-I/SN" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "U2" + ( attribute "LOCATION" "IC9" ( Origin gPackager ) ) - ( objectStatus "U2" ) - ( pin "\in\" + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "IC9" ) + ( pin "a0" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "IC9.1" ) + ) + ( pin "a1" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "IC9.2" ) + ) + ( pin "a2" + ( attribute "PN" "3" + ( Origin gPackager ) + ) + ( objectStatus "IC9.3" ) + ) + ( pin "scl" + ( attribute "PN" "6" + ( Origin gPackager ) + ) + ( objectStatus "IC9.6" ) + ) + ( pin "sda" ( attribute "PN" "5" ( Origin gPackager ) ) - ( objectStatus "U2.5" ) + ( objectStatus "IC9.5" ) + ) + ( pin "vcc" + ( attribute "PN" "8" + ( Origin gPackager ) + ) + ( objectStatus "IC9.8" ) + ) + ( pin "vss" + ( attribute "PN" "4" + ( Origin gPackager ) + ) + ( objectStatus "IC9.4" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i9" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i11" ( attribute "CDS_LIB" "cnpassive" - ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "PACK_TYPE" "0603" - ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" - ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" - ( Status sBlockFlattened ) + ( attribute "ROT" "0" ( Origin gFrontEnd ) ) ( attribute "SIZE" "1" - ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "VALUE" "100NF" - ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "VER" "1" - ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "VOLTAGE" "16V" ( Units "uVoltage" "V" 1.000000) - ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(4450,500)" - ( Status sBlockFlattened ) + ( attribute "XY" "(-200,-3300)" ( Origin gFrontEnd ) ) ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" - ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" ( Origin gPackager ) ) - ( attribute "LOCATION" "C56" + ( attribute "LOCATION" "C70" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" @@ -20247,549 +20746,468 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "C56" + ( attribute "CDS_LOCATION" "C70" + ( Origin gPackager ) + ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" ( Origin gPackager ) ) - ( objectStatus "C56" ) + ( objectStatus "C70" ) ( pin "a(0)" ( attribute "PN" "1" ( Origin gPackager ) ) - ( objectStatus "C56.1" ) + ( objectStatus "C70.1" ) ) ( pin "b(0)" ( attribute "PN" "2" ( Origin gPackager ) ) - ( objectStatus "C56.2" ) + ( objectStatus "C70.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i7" - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Status sBlockFlattened ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i12" + ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "CDS_LIB" "bris_cds_analogue" - ( Status sBlockFlattened ) - ( Origin gPackager ) + ( attribute "DIST" "FLAT" + ( Origin gFrontEnd ) ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,50,125,-50" - ( Status sBlockFlattened ) - ( Origin gPackager ) + ( attribute "MAX_TEMP" "RTMAX" + ( Origin gFrontEnd ) ) - ( attribute "MANUF" "NXP" - ( Status sBlockFlattened ) + ( attribute "NEGTOL" "RTOL%" ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "TSSOP" - ( Status sBlockFlattened ) + ( attribute "PACK_TYPE" "1/10W" ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" - ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "3" - ( Status sBlockFlattened ) + ( attribute "POSTOL" "RTOL%" ( Origin gFrontEnd ) ) - ( attribute "VER" "1" - ( Status sBlockFlattened ) + ( attribute "POWER" "RMAX" ( Origin gFrontEnd ) ) - ( attribute "XY" "(350,550)" - ( Status sBlockFlattened ) + ( attribute "ROT" "1" ( Origin gFrontEnd ) ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP-GND=GND_SA" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "U3" + ( attribute "SIZE" "1" ( Origin gFrontEnd ) ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) - ) - ( attribute "SEC" "1" - ( Origin gPackager ) - ) - ( attribute "CDS_LOCATION" "U3" - ( Origin gPackager ) - ) - ( objectStatus "U3" ) - ( pin "\in\" - ( attribute "PN" "1" - ( Origin gPackager ) - ) - ( objectStatus "U3.1" ) + ( attribute "SLOPE" "RSMAX" + ( Origin gFrontEnd ) ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i43" - ( attribute "CDS_LIB" "bris_cds_analogue" - ( Status sBlockFlattened ) - ( Origin gPackager ) + ( attribute "TC1" "RTMPL" + ( Origin gFrontEnd ) ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,50,125,-50" - ( Status sBlockFlattened ) - ( Origin gPackager ) + ( attribute "TC2" "RTMPQ" + ( Origin gFrontEnd ) ) - ( attribute "MANUF" "NXP" - ( Status sBlockFlattened ) + ( attribute "TOL" "1%" ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "TSSOP" - ( Status sBlockFlattened ) + ( attribute "TOL_ON_OFF" "ON" ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" - ( Status sBlockFlattened ) + ( attribute "VALUE" "XX" ( Origin gFrontEnd ) ) - ( attribute "ROT" "3" - ( Status sBlockFlattened ) + ( attribute "VER" "2" ( Origin gFrontEnd ) ) - ( attribute "VER" "1" - ( Status sBlockFlattened ) + ( attribute "VOLTAGE" "RVMAX" + ( Units "uVoltage" "V" 1.000000) ( Origin gFrontEnd ) ) - ( attribute "XY" "(350,-2750)" - ( Status sBlockFlattened ) + ( attribute "XY" "(-1050,-3050)" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Status sBlockFlattened ) + ( attribute "CHIPS_PART_NAME" "RSMD0603" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP-GND=GND_SA" + ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-XX,1%" ( Origin gPackager ) ) - ( attribute "LOCATION" "U3" + ( attribute "LOCATION" "R61" ( Origin gFrontEnd ) ) - ( attribute "CDS_SEC" "7" + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "SEC" "7" + ( attribute "CDS_LOCATION" "R61" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "U3" + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_1/10W-XX,1%" ( Origin gPackager ) ) - ( objectStatus "U3" ) - ( pin "\in\" - ( attribute "PN" "9" + ( objectStatus "R61" ) + ( pin "a(0)" + ( attribute "PN" "1" ( Origin gPackager ) ) - ( objectStatus "U3.9" ) + ( objectStatus "R61.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "R61.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i44" - ( attribute "CDS_LIB" "bris_cds_analogue" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,50,125,-50" - ( Status sBlockFlattened ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i13" + ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "MANUF" "NXP" - ( Status sBlockFlattened ) + ( attribute "DIST" "FLAT" ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "TSSOP" - ( Status sBlockFlattened ) + ( attribute "MAX_TEMP" "RTMAX" ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" - ( Status sBlockFlattened ) + ( attribute "NEGTOL" "RTOL%" ( Origin gFrontEnd ) ) - ( attribute "ROT" "3" - ( Status sBlockFlattened ) + ( attribute "PACK_TYPE" "1/10W" ( Origin gFrontEnd ) ) - ( attribute "VER" "1" - ( Status sBlockFlattened ) + ( attribute "PHYS_PAGE" "1" ( Origin gFrontEnd ) ) - ( attribute "XY" "(350,-2200)" - ( Status sBlockFlattened ) + ( attribute "POSTOL" "RTOL%" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP-GND=GND_SA" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "U3" + ( attribute "POWER" "RMAX" ( Origin gFrontEnd ) ) - ( attribute "SEC" "4" - ( Origin gPackager ) - ) - ( attribute "CDS_SEC" "4" - ( Origin gPackager ) + ( attribute "ROT" "1" + ( Origin gFrontEnd ) ) - ( attribute "CDS_LOCATION" "U3" - ( Origin gPackager ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) ) - ( objectStatus "U3" ) - ( pin "\in\" - ( attribute "PN" "5" - ( Origin gPackager ) - ) - ( objectStatus "U3.5" ) + ( attribute "SLOPE" "RSMAX" + ( Origin gFrontEnd ) ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i45" - ( attribute "CDS_LIB" "bris_cds_analogue" - ( Status sBlockFlattened ) - ( Origin gPackager ) + ( attribute "TC1" "RTMPL" + ( Origin gFrontEnd ) ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,50,125,-50" - ( Status sBlockFlattened ) - ( Origin gPackager ) + ( attribute "TC2" "RTMPQ" + ( Origin gFrontEnd ) ) - ( attribute "MANUF" "NXP" - ( Status sBlockFlattened ) + ( attribute "TOL" "1%" ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "TSSOP" - ( Status sBlockFlattened ) + ( attribute "TOL_ON_OFF" "ON" ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" - ( Status sBlockFlattened ) + ( attribute "VALUE" "XX" ( Origin gFrontEnd ) ) - ( attribute "ROT" "3" - ( Status sBlockFlattened ) + ( attribute "VER" "2" ( Origin gFrontEnd ) ) - ( attribute "VER" "1" - ( Status sBlockFlattened ) + ( attribute "VOLTAGE" "RVMAX" + ( Units "uVoltage" "V" 1.000000) ( Origin gFrontEnd ) ) - ( attribute "XY" "(350,-1650)" - ( Status sBlockFlattened ) + ( attribute "XY" "(-900,-3050)" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Status sBlockFlattened ) + ( attribute "CHIPS_PART_NAME" "RSMD0603" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP-GND=GND_SA" + ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-XX,1%" ( Origin gPackager ) ) - ( attribute "LOCATION" "U3" + ( attribute "LOCATION" "R63" ( Origin gFrontEnd ) ) - ( attribute "CDS_SEC" "5" + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "SEC" "5" + ( attribute "CDS_LOCATION" "R63" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "U3" + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_1/10W-XX,1%" ( Origin gPackager ) ) - ( objectStatus "U3" ) - ( pin "\in\" - ( attribute "PN" "6" + ( objectStatus "R63" ) + ( pin "a(0)" + ( attribute "PN" "1" ( Origin gPackager ) ) - ( objectStatus "U3.6" ) + ( objectStatus "R63.1" ) ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i46" - ( attribute "CDS_LIB" "bris_cds_analogue" - ( Status sBlockFlattened ) - ( Origin gPackager ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "R63.2" ) ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,50,125,-50" - ( Status sBlockFlattened ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i14" + ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "MANUF" "NXP" - ( Status sBlockFlattened ) + ( attribute "DIST" "FLAT" ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "TSSOP" - ( Status sBlockFlattened ) + ( attribute "MAX_TEMP" "RTMAX" ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" - ( Status sBlockFlattened ) + ( attribute "NEGTOL" "RTOL%" ( Origin gFrontEnd ) ) - ( attribute "ROT" "3" - ( Status sBlockFlattened ) + ( attribute "PACK_TYPE" "1/10W" ( Origin gFrontEnd ) ) - ( attribute "VER" "1" - ( Status sBlockFlattened ) + ( attribute "PHYS_PAGE" "1" ( Origin gFrontEnd ) ) - ( attribute "XY" "(350,-1100)" - ( Status sBlockFlattened ) + ( attribute "POSTOL" "RTOL%" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP-GND=GND_SA" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "U3" + ( attribute "POWER" "RMAX" ( Origin gFrontEnd ) ) - ( attribute "SEC" "6" - ( Origin gPackager ) - ) - ( attribute "CDS_SEC" "6" - ( Origin gPackager ) + ( attribute "ROT" "1" + ( Origin gFrontEnd ) ) - ( attribute "CDS_LOCATION" "U3" - ( Origin gPackager ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) ) - ( objectStatus "U3" ) - ( pin "\in\" - ( attribute "PN" "7" - ( Origin gPackager ) - ) - ( objectStatus "U3.7" ) + ( attribute "SLOPE" "RSMAX" + ( Origin gFrontEnd ) ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i47" - ( attribute "CDS_LIB" "bris_cds_analogue" - ( Status sBlockFlattened ) - ( Origin gPackager ) + ( attribute "TC1" "RTMPL" + ( Origin gFrontEnd ) ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,50,125,-50" - ( Status sBlockFlattened ) - ( Origin gPackager ) + ( attribute "TC2" "RTMPQ" + ( Origin gFrontEnd ) ) - ( attribute "MANUF" "NXP" - ( Status sBlockFlattened ) + ( attribute "TOL" "1%" ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "TSSOP" - ( Status sBlockFlattened ) + ( attribute "TOL_ON_OFF" "ON" ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" - ( Status sBlockFlattened ) + ( attribute "VALUE" "XX" ( Origin gFrontEnd ) ) - ( attribute "ROT" "3" - ( Status sBlockFlattened ) + ( attribute "VER" "2" ( Origin gFrontEnd ) ) - ( attribute "VER" "1" - ( Status sBlockFlattened ) + ( attribute "VOLTAGE" "RVMAX" + ( Units "uVoltage" "V" 1.000000) ( Origin gFrontEnd ) ) - ( attribute "XY" "(350,-550)" - ( Status sBlockFlattened ) + ( attribute "XY" "(-750,-3050)" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Status sBlockFlattened ) + ( attribute "CHIPS_PART_NAME" "RSMD0603" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP-GND=GND_SA" + ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-XX,1%" ( Origin gPackager ) ) - ( attribute "LOCATION" "U3" + ( attribute "LOCATION" "R65" ( Origin gFrontEnd ) ) - ( attribute "CDS_SEC" "3" + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( attribute "SEC" "3" + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "U3" + ( attribute "CDS_LOCATION" "R65" ( Origin gPackager ) ) - ( objectStatus "U3" ) - ( pin "\in\" - ( attribute "PN" "4" + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_1/10W-XX,1%" + ( Origin gPackager ) + ) + ( objectStatus "R65" ) + ( pin "a(0)" + ( attribute "PN" "1" ( Origin gPackager ) ) - ( objectStatus "U3.4" ) + ( objectStatus "R65.1" ) ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i48" - ( attribute "CDS_LIB" "bris_cds_analogue" - ( Status sBlockFlattened ) - ( Origin gPackager ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "R65.2" ) ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,50,125,-50" - ( Status sBlockFlattened ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i15" + ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "MANUF" "NXP" - ( Status sBlockFlattened ) + ( attribute "DIST" "FLAT" ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "TSSOP" - ( Status sBlockFlattened ) + ( attribute "MAX_TEMP" "RTMAX" ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" - ( Status sBlockFlattened ) + ( attribute "NEGTOL" "RTOL%" ( Origin gFrontEnd ) ) - ( attribute "ROT" "3" - ( Status sBlockFlattened ) + ( attribute "PACK_TYPE" "<< NULL >>" ( Origin gFrontEnd ) ) - ( attribute "VER" "1" - ( Status sBlockFlattened ) + ( attribute "PHYS_PAGE" "1" ( Origin gFrontEnd ) ) - ( attribute "XY" "(350,0)" - ( Status sBlockFlattened ) + ( attribute "POSTOL" "RTOL%" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP-GND=GND_SA" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "U3" + ( attribute "POWER" "RMAX" ( Origin gFrontEnd ) ) - ( attribute "CDS_SEC" "2" - ( Origin gPackager ) - ) - ( attribute "SEC" "2" - ( Origin gPackager ) + ( attribute "ROT" "1" + ( Origin gFrontEnd ) ) - ( attribute "CDS_LOCATION" "U3" - ( Origin gPackager ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) ) - ( objectStatus "U3" ) - ( pin "\in\" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "U3.2" ) + ( attribute "SLOPE" "RSMAX" + ( Origin gFrontEnd ) ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i50" - ( attribute "CDS_LIB" "bris_cds_analogue" - ( Status sBlockFlattened ) - ( Origin gPackager ) + ( attribute "TC1" "RTMPL" + ( Origin gFrontEnd ) ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,50,125,-50" - ( Status sBlockFlattened ) - ( Origin gPackager ) + ( attribute "TC2" "RTMPQ" + ( Origin gFrontEnd ) ) - ( attribute "MANUF" "NXP" - ( Status sBlockFlattened ) + ( attribute "TOL" "<< NULL >>" ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "TSSOP" - ( Status sBlockFlattened ) + ( attribute "TOL_ON_OFF" "ON" ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" - ( Status sBlockFlattened ) + ( attribute "VALUE" "00" ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" - ( Status sBlockFlattened ) + ( attribute "VER" "2" ( Origin gFrontEnd ) ) - ( attribute "VER" "1" - ( Status sBlockFlattened ) + ( attribute "VOLTAGE" "RVMAX" + ( Units "uVoltage" "V" 1.000000) ( Origin gFrontEnd ) ) - ( attribute "XY" "(350,-3300)" - ( Status sBlockFlattened ) + ( attribute "XY" "(-1050,-2600)" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Status sBlockFlattened ) + ( attribute "CHIPS_PART_NAME" "RSMD0603" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP-GND=GND_SA" + ( attribute "CDS_PART_NAME" "RSMD0603_-00," ( Origin gPackager ) ) - ( attribute "LOCATION" "U3" + ( attribute "LOCATION" "R60" ( Origin gFrontEnd ) ) - ( attribute "CDS_SEC" "8" + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( attribute "SEC" "8" + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "U3" + ( attribute "CDS_LOCATION" "R60" ( Origin gPackager ) ) - ( objectStatus "U3" ) - ( pin "\in\" - ( attribute "PN" "10" + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_-00," + ( Origin gPackager ) + ) + ( objectStatus "R60" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "R60.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" ( Origin gPackager ) ) - ( objectStatus "U3.10" ) + ( objectStatus "R60.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i9" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i16" ( attribute "CDS_LIB" "cnpassive" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "0603" - ( Status sBlockFlattened ) + ( attribute "DIST" "FLAT" + ( Origin gFrontEnd ) + ) + ( attribute "MAX_TEMP" "RTMAX" + ( Origin gFrontEnd ) + ) + ( attribute "NEGTOL" "RTOL%" + ( Origin gFrontEnd ) + ) + ( attribute "PACK_TYPE" "<< NULL >>" ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" - ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "POSTOL" "RTOL%" + ( Origin gFrontEnd ) + ) + ( attribute "POWER" "RMAX" ( Origin gFrontEnd ) ) ( attribute "ROT" "1" - ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "SIZE" "1" - ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "100NF" - ( Status sBlockFlattened ) + ( attribute "SLOPE" "RSMAX" ( Origin gFrontEnd ) ) - ( attribute "VER" "1" - ( Status sBlockFlattened ) + ( attribute "TC1" "RTMPL" ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "16V" + ( attribute "TC2" "RTMPQ" + ( Origin gFrontEnd ) + ) + ( attribute "TOL" "<< NULL >>" + ( Origin gFrontEnd ) + ) + ( attribute "TOL_ON_OFF" "ON" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "00" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "2" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "RVMAX" ( Units "uVoltage" "V" 1.000000) - ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(4450,500)" - ( Status sBlockFlattened ) + ( attribute "XY" "(-900,-2600)" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" - ( Status sBlockFlattened ) + ( attribute "CHIPS_PART_NAME" "RSMD0603" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( attribute "CDS_PART_NAME" "RSMD0603_-00," ( Origin gPackager ) ) - ( attribute "LOCATION" "C54" + ( attribute "LOCATION" "R62" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" @@ -20798,337 +21216,298 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "C54" + ( attribute "CDS_LOCATION" "R62" + ( Origin gPackager ) + ) + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_-00," ( Origin gPackager ) ) - ( objectStatus "C54" ) + ( objectStatus "R62" ) ( pin "a(0)" ( attribute "PN" "1" ( Origin gPackager ) ) - ( objectStatus "C54.1" ) + ( objectStatus "R62.1" ) ) ( pin "b(0)" ( attribute "PN" "2" ( Origin gPackager ) ) - ( objectStatus "C54.2" ) + ( objectStatus "R62.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i7" - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Status sBlockFlattened ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i17" + ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "CDS_LIB" "bris_cds_analogue" - ( Status sBlockFlattened ) - ( Origin gPackager ) + ( attribute "DIST" "FLAT" + ( Origin gFrontEnd ) ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,50,125,-50" - ( Status sBlockFlattened ) - ( Origin gPackager ) + ( attribute "MAX_TEMP" "RTMAX" + ( Origin gFrontEnd ) ) - ( attribute "MANUF" "NXP" - ( Status sBlockFlattened ) + ( attribute "NEGTOL" "RTOL%" ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "TSSOP" - ( Status sBlockFlattened ) + ( attribute "PACK_TYPE" "<< NULL >>" ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" - ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "3" - ( Status sBlockFlattened ) + ( attribute "POSTOL" "RTOL%" ( Origin gFrontEnd ) ) - ( attribute "VER" "1" - ( Status sBlockFlattened ) + ( attribute "POWER" "RMAX" ( Origin gFrontEnd ) ) - ( attribute "XY" "(350,550)" - ( Status sBlockFlattened ) + ( attribute "ROT" "1" ( Origin gFrontEnd ) ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP-GND=GND_SA" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "U1" + ( attribute "SIZE" "1" ( Origin gFrontEnd ) ) - ( attribute "SEC" "7" - ( Origin gPackager ) - ) - ( attribute "CDS_SEC" "7" - ( Origin gPackager ) - ) - ( attribute "CDS_LOCATION" "U1" - ( Origin gPackager ) - ) - ( objectStatus "U1" ) - ( pin "\in\" - ( attribute "PN" "9" - ( Origin gPackager ) - ) - ( objectStatus "U1.9" ) + ( attribute "SLOPE" "RSMAX" + ( Origin gFrontEnd ) ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i43" - ( attribute "CDS_LIB" "bris_cds_analogue" - ( Status sBlockFlattened ) - ( Origin gPackager ) + ( attribute "TC1" "RTMPL" + ( Origin gFrontEnd ) ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,50,125,-50" - ( Status sBlockFlattened ) - ( Origin gPackager ) + ( attribute "TC2" "RTMPQ" + ( Origin gFrontEnd ) ) - ( attribute "MANUF" "NXP" - ( Status sBlockFlattened ) + ( attribute "TOL" "<< NULL >>" ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "TSSOP" - ( Status sBlockFlattened ) + ( attribute "TOL_ON_OFF" "ON" ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" - ( Status sBlockFlattened ) + ( attribute "VALUE" "00" ( Origin gFrontEnd ) ) - ( attribute "ROT" "3" - ( Status sBlockFlattened ) + ( attribute "VER" "2" ( Origin gFrontEnd ) ) - ( attribute "VER" "1" - ( Status sBlockFlattened ) + ( attribute "VOLTAGE" "RVMAX" + ( Units "uVoltage" "V" 1.000000) ( Origin gFrontEnd ) ) - ( attribute "XY" "(350,-2750)" - ( Status sBlockFlattened ) + ( attribute "XY" "(-750,-2600)" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Status sBlockFlattened ) + ( attribute "CHIPS_PART_NAME" "RSMD0603" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP-GND=GND_SA" + ( attribute "CDS_PART_NAME" "RSMD0603_-00," ( Origin gPackager ) ) - ( attribute "LOCATION" "U1" + ( attribute "LOCATION" "R64" ( Origin gFrontEnd ) ) - ( attribute "SEC" "3" + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_SEC" "3" + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "U1" + ( attribute "CDS_LOCATION" "R64" ( Origin gPackager ) ) - ( objectStatus "U1" ) - ( pin "\in\" - ( attribute "PN" "4" + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_-00," + ( Origin gPackager ) + ) + ( objectStatus "R64" ) + ( pin "a(0)" + ( attribute "PN" "1" ( Origin gPackager ) ) - ( objectStatus "U1.4" ) + ( objectStatus "R64.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "R64.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i44" - ( attribute "CDS_LIB" "bris_cds_analogue" - ( Status sBlockFlattened ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i51" + ( attribute "ABBREV" "PT6911" + ( Origin gFrontEnd ) + ) + ( attribute "CDS_LIB" "cnconnector" ( Origin gPackager ) ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,50,125,-50" - ( Status sBlockFlattened ) + ( attribute "CDS_LOCATION" "PX5" ( Origin gPackager ) ) - ( attribute "MANUF" "NXP" - ( Status sBlockFlattened ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "NEEDS_NO_SIZE" "TRUE" ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "TSSOP" - ( Status sBlockFlattened ) + ( attribute "PHYS_PAGE" "3" ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" - ( Status sBlockFlattened ) + ( attribute "ROT" "2" ( Origin gFrontEnd ) ) - ( attribute "ROT" "3" - ( Status sBlockFlattened ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( attribute "TYPE" "PLEMO00C" ( Origin gFrontEnd ) ) ( attribute "VER" "1" - ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(350,-2200)" - ( Status sBlockFlattened ) + ( attribute "XY" "(1750,50)" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP-GND=GND_SA" + ( attribute "CHIPS_PART_NAME" "PCOAX" ( Origin gPackager ) ) - ( attribute "LOCATION" "U1" - ( Origin gFrontEnd ) - ) - ( attribute "SEC" "5" + ( attribute "CDS_PART_NAME" "PCOAX-PLEMO00C-GND=GND_SIGNAL" ( Origin gPackager ) ) - ( attribute "CDS_SEC" "5" + ( attribute "LOCATION" "PX5" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "U1" + ( attribute "CDS_PHYS_PART_NAME" "PCOAX-PLEMO00C-GND=GND_SIGNAL" ( Origin gPackager ) ) - ( objectStatus "U1" ) - ( pin "\in\" - ( attribute "PN" "6" + ( objectStatus "PX5" ) + ( pin "a" + ( attribute "PN" "1" ( Origin gPackager ) ) - ( objectStatus "U1.6" ) + ( objectStatus "PX5.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i45" - ( attribute "CDS_LIB" "bris_cds_analogue" - ( Status sBlockFlattened ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i52" + ( attribute "ABBREV" "PT6911" + ( Origin gFrontEnd ) + ) + ( attribute "CDS_LIB" "cnconnector" ( Origin gPackager ) ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,50,125,-50" - ( Status sBlockFlattened ) + ( attribute "CDS_LOCATION" "PX4" ( Origin gPackager ) ) - ( attribute "MANUF" "NXP" - ( Status sBlockFlattened ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "NEEDS_NO_SIZE" "TRUE" ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "TSSOP" - ( Status sBlockFlattened ) + ( attribute "PHYS_PAGE" "3" ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" - ( Status sBlockFlattened ) + ( attribute "ROT" "2" ( Origin gFrontEnd ) ) - ( attribute "ROT" "3" - ( Status sBlockFlattened ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( attribute "TYPE" "PLEMO00C" ( Origin gFrontEnd ) ) ( attribute "VER" "1" - ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(350,-1650)" - ( Status sBlockFlattened ) + ( attribute "XY" "(1750,800)" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP-GND=GND_SA" + ( attribute "CHIPS_PART_NAME" "PCOAX" ( Origin gPackager ) ) - ( attribute "LOCATION" "U1" - ( Origin gFrontEnd ) - ) - ( attribute "SEC" "6" + ( attribute "CDS_PART_NAME" "PCOAX-PLEMO00C-GND=GND_SIGNAL" ( Origin gPackager ) ) - ( attribute "CDS_SEC" "6" + ( attribute "LOCATION" "PX4" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "U1" + ( attribute "CDS_PHYS_PART_NAME" "PCOAX-PLEMO00C-GND=GND_SIGNAL" ( Origin gPackager ) ) - ( objectStatus "U1" ) - ( pin "\in\" - ( attribute "PN" "7" + ( objectStatus "PX4" ) + ( pin "a" + ( attribute "PN" "1" ( Origin gPackager ) ) - ( objectStatus "U1.7" ) + ( objectStatus "PX4.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i46" - ( attribute "CDS_LIB" "bris_cds_analogue" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,50,125,-50" - ( Status sBlockFlattened ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i53" + ( attribute "ABBREV" "PT6911" + ( Origin gFrontEnd ) + ) + ( attribute "CDS_LIB" "cnconnector" ( Origin gPackager ) ) - ( attribute "MANUF" "NXP" - ( Status sBlockFlattened ) + ( attribute "CDS_LOCATION" "PX3" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "NEEDS_NO_SIZE" "TRUE" ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "TSSOP" - ( Status sBlockFlattened ) + ( attribute "PHYS_PAGE" "3" ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" - ( Status sBlockFlattened ) + ( attribute "ROT" "2" ( Origin gFrontEnd ) ) - ( attribute "ROT" "3" - ( Status sBlockFlattened ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( attribute "TYPE" "PLEMO00C" ( Origin gFrontEnd ) ) ( attribute "VER" "1" - ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(350,-1100)" - ( Status sBlockFlattened ) + ( attribute "XY" "(1750,1550)" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP-GND=GND_SA" + ( attribute "CHIPS_PART_NAME" "PCOAX" ( Origin gPackager ) ) - ( attribute "LOCATION" "U1" - ( Origin gFrontEnd ) - ) - ( attribute "SEC" "1" + ( attribute "CDS_PART_NAME" "PCOAX-PLEMO00C-GND=GND_SIGNAL" ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" + ( attribute "LOCATION" "PX3" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "U1" + ( attribute "CDS_PHYS_PART_NAME" "PCOAX-PLEMO00C-GND=GND_SIGNAL" ( Origin gPackager ) ) - ( objectStatus "U1" ) - ( pin "\in\" + ( objectStatus "PX3" ) + ( pin "a" ( attribute "PN" "1" ( Origin gPackager ) ) - ( objectStatus "U1.1" ) + ( objectStatus "PX3.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i47" - ( attribute "CDS_LIB" "bris_cds_analogue" - ( Status sBlockFlattened ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i120" + ( attribute "CHIPS_PART_NAME" "DIODE_DUAL_SERIES" ( Origin gPackager ) ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,50,125,-50" + ( attribute "CASE" "SOT23" ( Status sBlockFlattened ) - ( Origin gPackager ) + ( Origin gFrontEnd ) ) - ( attribute "MANUF" "NXP" + ( attribute "CDS_LIB" "cndiscrete" ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "LOCATION" "D1_1" ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "TSSOP" + ( attribute "PACK_TYPE" "A1-C2-AC3" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -21136,59 +21515,73 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "3" + ( attribute "ROT" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "TYPE" "HBAT-540C" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(350,-550)" + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" + ( attribute "XY" "(0,-500)" ( Status sBlockFlattened ) - ( Origin gPackager ) + ( Origin gFrontEnd ) ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP-GND=GND_SA" + ( attribute "CDS_PART_NAME" "HBAT-540C" ( Origin gPackager ) ) - ( attribute "LOCATION" "U1" - ( Origin gFrontEnd ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) ) - ( attribute "SEC" "2" + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_SEC" "2" + ( attribute "CDS_PHYS_PART_NAME" "HBAT-540C" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "U1" + ( attribute "CDS_LOCATION" "D1_1" ( Origin gPackager ) ) - ( objectStatus "U1" ) - ( pin "\in\" + ( objectStatus "D1_1" ) + ( pin "a" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "D1_1.1" ) + ) + ( pin "ac" + ( attribute "PN" "3" + ( Origin gPackager ) + ) + ( objectStatus "D1_1.3" ) + ) + ( pin "c" ( attribute "PN" "2" ( Origin gPackager ) ) - ( objectStatus "U1.2" ) + ( objectStatus "D1_1.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i48" - ( attribute "CDS_LIB" "bris_cds_analogue" - ( Status sBlockFlattened ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i120" + ( attribute "CHIPS_PART_NAME" "DIODE_DUAL_SERIES" ( Origin gPackager ) ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,50,125,-50" + ( attribute "CASE" "SOT23" ( Status sBlockFlattened ) - ( Origin gPackager ) + ( Origin gFrontEnd ) ) - ( attribute "MANUF" "NXP" + ( attribute "CDS_LIB" "cndiscrete" ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "LOCATION" "D1_2" ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "TSSOP" + ( attribute "PACK_TYPE" "A1-C2-AC3" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -21196,59 +21589,73 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "3" + ( attribute "ROT" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "TYPE" "HBAT-540C" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(350,0)" + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" + ( attribute "XY" "(0,-500)" ( Status sBlockFlattened ) - ( Origin gPackager ) + ( Origin gFrontEnd ) ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP-GND=GND_SA" + ( attribute "CDS_PART_NAME" "HBAT-540C" ( Origin gPackager ) ) - ( attribute "LOCATION" "U1" - ( Origin gFrontEnd ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) ) - ( attribute "SEC" "8" + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_SEC" "8" + ( attribute "CDS_PHYS_PART_NAME" "HBAT-540C" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "U1" + ( attribute "CDS_LOCATION" "D1_2" ( Origin gPackager ) ) - ( objectStatus "U1" ) - ( pin "\in\" - ( attribute "PN" "10" + ( objectStatus "D1_2" ) + ( pin "a" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "D1_2.1" ) + ) + ( pin "ac" + ( attribute "PN" "3" ( Origin gPackager ) ) - ( objectStatus "U1.10" ) + ( objectStatus "D1_2.3" ) + ) + ( pin "c" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "D1_2.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i50" - ( attribute "CDS_LIB" "bris_cds_analogue" - ( Status sBlockFlattened ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i120" + ( attribute "CHIPS_PART_NAME" "DIODE_DUAL_SERIES" ( Origin gPackager ) ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,50,125,-50" + ( attribute "CASE" "SOT23" ( Status sBlockFlattened ) - ( Origin gPackager ) + ( Origin gFrontEnd ) ) - ( attribute "MANUF" "NXP" + ( attribute "CDS_LIB" "cndiscrete" ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "LOCATION" "D1_3" ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "TSSOP" + ( attribute "PACK_TYPE" "A1-C2-AC3" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -21260,71 +21667,81 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "TYPE" "HBAT-540C" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(350,-3300)" + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" + ( attribute "XY" "(0,-500)" ( Status sBlockFlattened ) - ( Origin gPackager ) + ( Origin gFrontEnd ) ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP-GND=GND_SA" + ( attribute "CDS_PART_NAME" "HBAT-540C" ( Origin gPackager ) ) - ( attribute "LOCATION" "U1" - ( Origin gFrontEnd ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) ) - ( attribute "SEC" "4" + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_SEC" "4" + ( attribute "CDS_PHYS_PART_NAME" "HBAT-540C" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "U1" + ( attribute "CDS_LOCATION" "D1_3" ( Origin gPackager ) ) - ( objectStatus "U1" ) - ( pin "\in\" - ( attribute "PN" "5" + ( objectStatus "D1_3" ) + ( pin "a" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "D1_3.1" ) + ) + ( pin "ac" + ( attribute "PN" "3" + ( Origin gPackager ) + ) + ( objectStatus "D1_3.3" ) + ) + ( pin "c" + ( attribute "PN" "2" ( Origin gPackager ) ) - ( objectStatus "U1.5" ) + ( objectStatus "D1_3.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i159" - ( attribute "CDS_LIB" "cnpassive" - ( Status sBlockFlattened ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i120" + ( attribute "CHIPS_PART_NAME" "DIODE_DUAL_SERIES" ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" + ( attribute "CASE" "SOT23" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PART_NAME" "TP" + ( attribute "CDS_LIB" "cndiscrete" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) - ( attribute "PHYS_PAGE" "1" - ( Status sBlockFlattened ) + ( attribute "LOCATION" "D1_4" ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "PACK_TYPE" "A1-C2-AC3" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" + ( attribute "PHYS_PAGE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SYMMAP" "TRUE" + ( attribute "ROT" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" + ( attribute "TYPE" "HBAT-540C" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -21332,150 +21749,138 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2750,400)" + ( attribute "XY" "(0,-500)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" - ( Status sBlockFlattened ) + ( attribute "CDS_PART_NAME" "HBAT-540C" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP2_1" - ( Origin gFrontEnd ) + ( attribute "SEC" "1" + ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" + ( attribute "CDS_PHYS_PART_NAME" "HBAT-540C" ( Origin gPackager ) ) - ( attribute "SEC" "1" + ( attribute "CDS_LOCATION" "D1_4" ( Origin gPackager ) ) - ( objectStatus "TP2_1" ) - ( pin "a(0)" + ( objectStatus "D1_4" ) + ( pin "a" ( attribute "PN" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP2_1.1" ) + ( objectStatus "D1_4.1" ) + ) + ( pin "ac" + ( attribute "PN" "3" + ( Origin gPackager ) + ) + ( objectStatus "D1_4.3" ) + ) + ( pin "c" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "D1_4.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i160" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i90" ( attribute "CDS_LIB" "cnpassive" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Status sBlockFlattened ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "C1" ( Origin gFrontEnd ) ) - ( attribute "PART_NAME" "TP" - ( Status sBlockFlattened ) + ( attribute "PACK_TYPE" "0603" ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" - ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" - ( Status sBlockFlattened ) + ( attribute "ROT" "1" ( Origin gFrontEnd ) ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) ( attribute "SIZE" "1" - ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SYMMAP" "TRUE" - ( Status sBlockFlattened ) + ( attribute "VALUE" "100NF" ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" - ( Status sBlockFlattened ) + ( attribute "VER" "1" ( Origin gFrontEnd ) ) - ( attribute "VER" "1" - ( Status sBlockFlattened ) + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2750,200)" - ( Status sBlockFlattened ) + ( attribute "XY" "(3050,500)" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" - ( Status sBlockFlattened ) + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP3_1" - ( Origin gFrontEnd ) - ) - ( attribute "CDS_SEC" "1" + ( attribute "CDS_LOCATION" "C1" ( Origin gPackager ) ) - ( attribute "SEC" "1" + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" ( Origin gPackager ) ) - ( objectStatus "TP3_1" ) + ( objectStatus "C1" ) ( pin "a(0)" ( attribute "PN" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP3_1.1" ) + ( objectStatus "C1.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "C1.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i161" - ( attribute "CDS_LIB" "cnpassive" - ( Status sBlockFlattened ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i92" + ( attribute "CDS_LIB" "cndiscrete" ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "PART_NAME" "TP" - ( Status sBlockFlattened ) + ( attribute "PACK_TYPE" "SOT23" ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" - ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "ROT" "0" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "SIZE" "1" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "SYMMAP" "TRUE" - ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" - ( Status sBlockFlattened ) + ( attribute "TYPE" "USBLC6-2SC6" ( Origin gFrontEnd ) ) ( attribute "VER" "1" - ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2750,0)" - ( Status sBlockFlattened ) + ( attribute "XY" "(2600,100)" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" - ( Status sBlockFlattened ) + ( attribute "CHIPS_PART_NAME" "USBLC6-2" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "USBLC6-2SC6" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP4_1" + ( attribute "LOCATION" "D3" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" @@ -21484,65 +21889,80 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "TP4_1" ) - ( pin "a(0)" + ( attribute "CDS_LOCATION" "D3" + ( Origin gPackager ) + ) + ( attribute "CDS_PHYS_PART_NAME" "USBLC6-2SC6" + ( Origin gPackager ) + ) + ( objectStatus "D3" ) + ( pin "gnd" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "D3.2" ) + ) + ( pin "\i/o1\(0)" ( attribute "PN" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP4_1.1" ) + ( objectStatus "D3.1" ) ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i162" - ( attribute "CDS_LIB" "cnpassive" - ( Status sBlockFlattened ) - ( Origin gPackager ) + ( pin "\i/o1\(1)" + ( attribute "PN" "6" + ( Origin gPackager ) + ) + ( objectStatus "D3.6" ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( pin "\i/o2\(0)" + ( attribute "PN" "3" + ( Origin gPackager ) + ) + ( objectStatus "D3.3" ) ) - ( attribute "PART_NAME" "TP" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( pin "\i/o2\(1)" + ( attribute "PN" "4" + ( Origin gPackager ) + ) + ( objectStatus "D3.4" ) ) - ( attribute "PHYS_PAGE" "1" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( pin "vbus" + ( attribute "PN" "5" + ( Origin gPackager ) + ) + ( objectStatus "D3.5" ) ) - ( attribute "ROT" "0" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i93" + ( attribute "CDS_LIB" "cnconnector" + ( Origin gPackager ) ) - ( attribute "SIZE" "1" - ( Status sBlockFlattened ) + ( attribute "LOCATION" "PL1" + ( Origin gPackager ) + ) + ( attribute "PHYS_PAGE" "1" ( Origin gFrontEnd ) ) - ( attribute "SYMMAP" "TRUE" - ( Status sBlockFlattened ) + ( attribute "ROT" "6" ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" - ( Status sBlockFlattened ) + ( attribute "TYPE" "HW8_08G_SM" ( Origin gFrontEnd ) ) ( attribute "VER" "1" - ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(1000,800)" - ( Status sBlockFlattened ) + ( attribute "XY" "(900,-2950)" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" - ( Status sBlockFlattened ) + ( attribute "CHIPS_PART_NAME" "CON16P" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "CON16P-HW8_08G_SM" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP1_1" - ( Origin gFrontEnd ) + ( attribute "CDS_LOCATION" "PL1" + ( Origin gPackager ) ) ( attribute "CDS_SEC" "1" ( Origin gPackager ) @@ -21550,25 +21970,113 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "TP1_1" ) + ( attribute "CDS_PHYS_PART_NAME" "CON16P-HW8_08G_SM" + ( Origin gPackager ) + ) + ( objectStatus "PL1" ) ( pin "a(0)" ( attribute "PN" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP1_1.1" ) + ( objectStatus "PL1.1" ) + ) + ( pin "a(1)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "PL1.2" ) + ) + ( pin "a(2)" + ( attribute "PN" "3" + ( Origin gPackager ) + ) + ( objectStatus "PL1.3" ) + ) + ( pin "a(3)" + ( attribute "PN" "4" + ( Origin gPackager ) + ) + ( objectStatus "PL1.4" ) + ) + ( pin "a(4)" + ( attribute "PN" "5" + ( Origin gPackager ) + ) + ( objectStatus "PL1.5" ) + ) + ( pin "a(5)" + ( attribute "PN" "6" + ( Origin gPackager ) + ) + ( objectStatus "PL1.6" ) + ) + ( pin "a(6)" + ( attribute "PN" "7" + ( Origin gPackager ) + ) + ( objectStatus "PL1.7" ) + ) + ( pin "a(7)" + ( attribute "PN" "8" + ( Origin gPackager ) + ) + ( objectStatus "PL1.8" ) + ) + ( pin "a(8)" + ( attribute "PN" "9" + ( Origin gPackager ) + ) + ( objectStatus "PL1.9" ) + ) + ( pin "a(9)" + ( attribute "PN" "10" + ( Origin gPackager ) + ) + ( objectStatus "PL1.10" ) + ) + ( pin "a(10)" + ( attribute "PN" "11" + ( Origin gPackager ) + ) + ( objectStatus "PL1.11" ) + ) + ( pin "a(11)" + ( attribute "PN" "12" + ( Origin gPackager ) + ) + ( objectStatus "PL1.12" ) + ) + ( pin "a(12)" + ( attribute "PN" "13" + ( Origin gPackager ) + ) + ( objectStatus "PL1.13" ) + ) + ( pin "a(13)" + ( attribute "PN" "14" + ( Origin gPackager ) + ) + ( objectStatus "PL1.14" ) + ) + ( pin "a(14)" + ( attribute "PN" "15" + ( Origin gPackager ) + ) + ( objectStatus "PL1.15" ) + ) + ( pin "a(15)" + ( attribute "PN" "16" + ( Origin gPackager ) + ) + ( objectStatus "PL1.16" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i163" - ( attribute "CDS_LIB" "cnpassive" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i168" + ( attribute "CDS_LIB" "cnconnector" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "PART_NAME" "TP" + ( attribute "NEEDS_NO_SIZE" "TRUE" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -21580,61 +22088,57 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" + ( attribute "TYPE" "UFL_R_SMT" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SYMMAP" "TRUE" + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "VER" "1" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(2750,-1250)" + ( attribute "XY" "(-300,-1550)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "CHIPS_PART_NAME" "PCOAXSMD" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "PCOAXSMD-UFL_R_SMT-GND=GND_SIGA" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP5_1" + ( attribute "LOCATION" "PX1_1" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP5_1" ) - ( pin "a(0)" + ( attribute "CDS_PHYS_PART_NAME" "PCOAXSMD-UFL_R_SMT-GND=GND_SIGA" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "PX1_1" + ( Origin gPackager ) + ) + ( objectStatus "PX1_1" ) + ( pin "a" ( attribute "PN" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP5_1.1" ) + ( objectStatus "PX1_1.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i164" - ( attribute "CDS_LIB" "cnpassive" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i168" + ( attribute "CDS_LIB" "cnconnector" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "PART_NAME" "TP" + ( attribute "NEEDS_NO_SIZE" "TRUE" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -21646,15 +22150,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "SYMMAP" "TRUE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "VALUE" "0.8MM" + ( attribute "TYPE" "UFL_R_SMT" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -21662,45 +22158,49 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2750,-1550)" + ( attribute "XY" "(-300,-1550)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "CHIPS_PART_NAME" "PCOAXSMD" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "PCOAXSMD-UFL_R_SMT-GND=GND_SIGA" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP6_1" + ( attribute "LOCATION" "PX1_2" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP6_1" ) - ( pin "a(0)" + ( attribute "CDS_PHYS_PART_NAME" "PCOAXSMD-UFL_R_SMT-GND=GND_SIGA" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "PX1_2" + ( Origin gPackager ) + ) + ( objectStatus "PX1_2" ) + ( pin "a" ( attribute "PN" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP6_1.1" ) + ( objectStatus "PX1_2.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i165" - ( attribute "CDS_LIB" "cnpassive" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i168" + ( attribute "CDS_LIB" "cnconnector" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "PART_NAME" "TP" + ( attribute "NEEDS_NO_SIZE" "TRUE" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -21712,15 +22212,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "SYMMAP" "TRUE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "VALUE" "0.8MM" + ( attribute "TYPE" "UFL_R_SMT" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -21728,45 +22220,49 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2750,-1750)" + ( attribute "XY" "(-300,-1550)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "CHIPS_PART_NAME" "PCOAXSMD" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "PCOAXSMD-UFL_R_SMT-GND=GND_SIGA" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP7_1" + ( attribute "LOCATION" "PX1_3" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP7_1" ) - ( pin "a(0)" + ( attribute "CDS_PHYS_PART_NAME" "PCOAXSMD-UFL_R_SMT-GND=GND_SIGA" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "PX1_3" + ( Origin gPackager ) + ) + ( objectStatus "PX1_3" ) + ( pin "a" ( attribute "PN" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP7_1.1" ) + ( objectStatus "PX1_3.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i159" - ( attribute "CDS_LIB" "cnpassive" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i168" + ( attribute "CDS_LIB" "cnconnector" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "PART_NAME" "TP" + ( attribute "NEEDS_NO_SIZE" "TRUE" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -21778,15 +22274,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "SYMMAP" "TRUE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "VALUE" "0.8MM" + ( attribute "TYPE" "UFL_R_SMT" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -21794,45 +22282,49 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2750,400)" + ( attribute "XY" "(-300,-1550)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "CHIPS_PART_NAME" "PCOAXSMD" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "PCOAXSMD-UFL_R_SMT-GND=GND_SIGA" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP2_2" + ( attribute "LOCATION" "PX1_4" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP2_2" ) - ( pin "a(0)" + ( attribute "CDS_PHYS_PART_NAME" "PCOAXSMD-UFL_R_SMT-GND=GND_SIGA" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "PX1_4" + ( Origin gPackager ) + ) + ( objectStatus "PX1_4" ) + ( pin "a" ( attribute "PN" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP2_2.1" ) + ( objectStatus "PX1_4.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i160" - ( attribute "CDS_LIB" "cnpassive" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i169" + ( attribute "CDS_LIB" "cnconnector" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "PART_NAME" "TP" + ( attribute "NEEDS_NO_SIZE" "TRUE" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -21840,19 +22332,11 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "SIZE" "1" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "SYMMAP" "TRUE" + ( attribute "ROT" "2" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" + ( attribute "TYPE" "UFL_R_SMT" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -21860,45 +22344,49 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2750,200)" + ( attribute "XY" "(325,-1550)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "CHIPS_PART_NAME" "PCOAXSMD" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "PCOAXSMD-UFL_R_SMT-GND=GND_SIGA" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP3_2" + ( attribute "LOCATION" "PX2_1" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP3_2" ) - ( pin "a(0)" + ( attribute "CDS_PHYS_PART_NAME" "PCOAXSMD-UFL_R_SMT-GND=GND_SIGA" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "PX2_1" + ( Origin gPackager ) + ) + ( objectStatus "PX2_1" ) + ( pin "a" ( attribute "PN" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP3_2.1" ) + ( objectStatus "PX2_1.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i161" - ( attribute "CDS_LIB" "cnpassive" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i169" + ( attribute "CDS_LIB" "cnconnector" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "PART_NAME" "TP" + ( attribute "NEEDS_NO_SIZE" "TRUE" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -21906,19 +22394,11 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "SIZE" "1" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "SYMMAP" "TRUE" + ( attribute "ROT" "2" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" + ( attribute "TYPE" "UFL_R_SMT" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -21926,45 +22406,49 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2750,0)" + ( attribute "XY" "(325,-1550)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "CHIPS_PART_NAME" "PCOAXSMD" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "PCOAXSMD-UFL_R_SMT-GND=GND_SIGA" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP4_2" + ( attribute "LOCATION" "PX2_2" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP4_2" ) - ( pin "a(0)" + ( attribute "CDS_PHYS_PART_NAME" "PCOAXSMD-UFL_R_SMT-GND=GND_SIGA" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "PX2_2" + ( Origin gPackager ) + ) + ( objectStatus "PX2_2" ) + ( pin "a" ( attribute "PN" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP4_2.1" ) + ( objectStatus "PX2_2.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i162" - ( attribute "CDS_LIB" "cnpassive" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i169" + ( attribute "CDS_LIB" "cnconnector" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "PART_NAME" "TP" + ( attribute "NEEDS_NO_SIZE" "TRUE" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -21972,19 +22456,11 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "SIZE" "1" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "SYMMAP" "TRUE" + ( attribute "ROT" "2" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" + ( attribute "TYPE" "UFL_R_SMT" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -21992,45 +22468,49 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(1000,800)" + ( attribute "XY" "(325,-1550)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "CHIPS_PART_NAME" "PCOAXSMD" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "PCOAXSMD-UFL_R_SMT-GND=GND_SIGA" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP1_2" + ( attribute "LOCATION" "PX2_3" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP1_2" ) - ( pin "a(0)" + ( attribute "CDS_PHYS_PART_NAME" "PCOAXSMD-UFL_R_SMT-GND=GND_SIGA" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "PX2_3" + ( Origin gPackager ) + ) + ( objectStatus "PX2_3" ) + ( pin "a" ( attribute "PN" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP1_2.1" ) + ( objectStatus "PX2_3.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i163" - ( attribute "CDS_LIB" "cnpassive" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i169" + ( attribute "CDS_LIB" "cnconnector" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "PART_NAME" "TP" + ( attribute "NEEDS_NO_SIZE" "TRUE" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -22038,19 +22518,11 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "SIZE" "1" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "SYMMAP" "TRUE" + ( attribute "ROT" "2" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" + ( attribute "TYPE" "UFL_R_SMT" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -22058,131 +22530,126 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2750,-1250)" + ( attribute "XY" "(325,-1550)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "CHIPS_PART_NAME" "PCOAXSMD" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "PCOAXSMD-UFL_R_SMT-GND=GND_SIGA" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP5_2" + ( attribute "LOCATION" "PX2_4" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP5_2" ) - ( pin "a(0)" + ( attribute "CDS_PHYS_PART_NAME" "PCOAXSMD-UFL_R_SMT-GND=GND_SIGA" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "PX2_4" + ( Origin gPackager ) + ) + ( objectStatus "PX2_4" ) + ( pin "a" ( attribute "PN" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP5_2.1" ) + ( objectStatus "PX2_4.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i164" - ( attribute "CDS_LIB" "cnpassive" - ( Status sBlockFlattened ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i98" + ( attribute "CDS_LIB" "cndiscrete" ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "PART_NAME" "TP" - ( Status sBlockFlattened ) + ( attribute "PACK_TYPE" "SOD123-CA" ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" - ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "ROT" "0" - ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" - ( Status sBlockFlattened ) + ( attribute "TYPE" "BZT52-C3V6" ( Origin gFrontEnd ) ) - ( attribute "SYMMAP" "TRUE" - ( Status sBlockFlattened ) + ( attribute "VER" "1" ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" - ( Status sBlockFlattened ) + ( attribute "XY" "(3325,525)" ( Origin gFrontEnd ) ) - ( attribute "VER" "1" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( attribute "CHIPS_PART_NAME" "ZENER" + ( Origin gPackager ) ) - ( attribute "XY" "(2750,-1550)" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( attribute "CDS_PART_NAME" "ZENER_SOD123-CA-BZT52-C3V6" + ( Origin gPackager ) ) - ( attribute "CHIPS_PART_NAME" "TP" - ( Status sBlockFlattened ) + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_LOCATION" "Z4" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP6_2" - ( Origin gFrontEnd ) + ( attribute "CDS_PHYS_PART_NAME" "ZENER_SOD123-CA-BZT52-C3V6" + ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" + ( attribute "LOCATION" "Z4" ( Origin gPackager ) ) ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "TP6_2" ) + ( objectStatus "Z4" ) ( pin "a(0)" - ( attribute "PN" "1" - ( Status sBlockFlattened ) + ( attribute "PN" "A" ( Origin gPackager ) ) - ( objectStatus "TP6_2.1" ) + ( objectStatus "Z4.A" ) + ) + ( pin "k(0)" + ( attribute "PN" "C" + ( Origin gPackager ) + ) + ( objectStatus "Z4.C" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i165" - ( attribute "CDS_LIB" "cnpassive" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i70" + ( attribute "CDS_LIB" "bris_cds_analogue" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "PART_NAME" "TP" + ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,125,125,-125" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) - ( attribute "PHYS_PAGE" "1" + ( attribute "MANUF" "TEXAS INSTRUMENTS" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "PACK_TYPE" "SOT23-5" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" + ( attribute "PHYS_PAGE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SYMMAP" "TRUE" + ( attribute "ROT" "0" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" + ( attribute "VALUE" "1.3V" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -22190,19 +22657,22 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2750,-1750)" + ( attribute "XY" "(-2625,125)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "CHIPS_PART_NAME" "LP5951" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "LP5951_SOT23-5-1.3V,TEXAS INSTA" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP7_2" - ( Origin gFrontEnd ) + ( attribute "CDS_LOCATION" "U4" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "U4" + ( Origin gPackager ) ) ( attribute "CDS_SEC" "1" ( Origin gPackager ) @@ -22210,25 +22680,41 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "TP7_2" ) - ( pin "a(0)" + ( attribute "CDS_PHYS_PART_NAME" "LP5951_SOT23-5-1.3V,TEXAS INSTA" + ( Origin gPackager ) + ) + ( objectStatus "U4" ) + ( pin "gnd" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "U4.2" ) + ) + ( pin "\on/off\" + ( attribute "PN" "3" + ( Origin gPackager ) + ) + ( objectStatus "U4.3" ) + ) + ( pin "vin" ( attribute "PN" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP7_2.1" ) + ( objectStatus "U4.1" ) + ) + ( pin "vout" + ( attribute "PN" "5" + ( Origin gPackager ) + ) + ( objectStatus "U4.5" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i159" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i73" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "PART_NAME" "TP" + ( attribute "PACK_TYPE" "0603" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -22236,7 +22722,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "ROT" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -22244,30 +22730,31 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SYMMAP" "TRUE" + ( attribute "VALUE" "1UF" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2750,400)" + ( attribute "XY" "(-2925,-125)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-1UF,16V" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP2_3" + ( attribute "LOCATION" "C29" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" @@ -22276,45 +22763,48 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "TP2_3" ) + ( attribute "CDS_LOCATION" "C29" + ( Origin gPackager ) + ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-1UF,16V" + ( Origin gPackager ) + ) + ( objectStatus "C29" ) ( pin "a(0)" ( attribute "PN" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP2_3.1" ) + ( objectStatus "C29.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "C29.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i160" - ( attribute "CDS_LIB" "cnpassive" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i40" + ( attribute "CDS_LIB" "bris_cds_special" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "PART_NAME" "TP" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "1" + ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,125,125,-125" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) - ( attribute "ROT" "0" + ( attribute "MANUF" "LINEAR TECHNOLOGY" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" + ( attribute "PACK_TYPE" "SOT_223" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SYMMAP" "TRUE" + ( attribute "PHYS_PAGE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" + ( attribute "ROT" "6" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -22322,111 +22812,74 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2750,200)" + ( attribute "XY" "(1700,825)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "CHIPS_PART_NAME" "LT1175" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "LT1175_SOT_223" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP3_3" + ( attribute "LOCATION" "REG1_6" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP3_3" ) - ( pin "a(0)" - ( attribute "PN" "1" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "TP3_3.1" ) - ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i161" - ( attribute "CDS_LIB" "cnpassive" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "PACK_TYPE" "HOLE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "PART_NAME" "TP" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "1" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "ROT" "0" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "SIZE" "1" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "SYMMAP" "TRUE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "VALUE" "0.8MM" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "VER" "1" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(2750,0)" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "CHIPS_PART_NAME" "TP" - ( Status sBlockFlattened ) + ( attribute "CDS_PHYS_PART_NAME" "LT1175_SOT_223" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_LOCATION" "REG1_6" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP4_3" - ( Origin gFrontEnd ) + ( objectStatus "REG1_6" ) + ( pin "gnd" + ( attribute "PN" "3" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "REG1_6.3" ) ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) + ( pin "v_in0" + ( attribute "PN" "2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "REG1_6.2" ) ) - ( attribute "SEC" "1" - ( Origin gPackager ) + ( pin "v_in1" + ( attribute "PN" "4" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "REG1_6.4" ) ) - ( objectStatus "TP4_3" ) - ( pin "a(0)" + ( pin "v_out" ( attribute "PN" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP4_3.1" ) + ( objectStatus "REG1_6.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i162" - ( attribute "CDS_LIB" "cnpassive" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i42" + ( attribute "CDS_LIB" "cndiscrete" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" + ( attribute "MODEL" "WURTH" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PART_NAME" "TP" + ( attribute "PACK_TYPE" "SMD" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -22438,15 +22891,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "SYMMAP" "TRUE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "VALUE" "0.8MM" + ( attribute "VALUE" "7427921" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -22454,45 +22899,58 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(1000,800)" + ( attribute "XY" "(625,2900)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "CHIPS_PART_NAME" "FERRITE" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "FERRITE_SMD-7427921,WURTH" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP1_3" + ( attribute "LOCATION" "L2_6" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP1_3" ) + ( attribute "CDS_PHYS_PART_NAME" "FERRITE_SMD-7427921,WURTH" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "L2_6" + ( Origin gPackager ) + ) + ( objectStatus "L2_6" ) ( pin "a(0)" ( attribute "PN" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP1_3.1" ) + ( objectStatus "L2_6.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "L2_6.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i163" - ( attribute "CDS_LIB" "cnpassive" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i44" + ( attribute "CDS_LIB" "cndiscrete" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" + ( attribute "MODEL" "WURTH" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PART_NAME" "TP" + ( attribute "PACK_TYPE" "SMD" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -22504,15 +22962,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "SYMMAP" "TRUE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "VALUE" "0.8MM" + ( attribute "VALUE" "7427921" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -22520,115 +22970,86 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2750,-1250)" + ( attribute "XY" "(625,875)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "CHIPS_PART_NAME" "FERRITE" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "FERRITE_SMD-7427921,WURTH" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP5_3" + ( attribute "LOCATION" "L3_6" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP5_3" ) + ( attribute "CDS_PHYS_PART_NAME" "FERRITE_SMD-7427921,WURTH" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "L3_6" + ( Origin gPackager ) + ) + ( objectStatus "L3_6" ) ( pin "a(0)" ( attribute "PN" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP5_3.1" ) + ( objectStatus "L3_6.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "L3_6.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i164" - ( attribute "CDS_LIB" "cnpassive" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i50" + ( attribute "CDS_LIB" "bris_cds_analogue" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "PART_NAME" "TP" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "1" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "ROT" "0" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "SIZE" "1" + ( attribute "CDS_LMAN_SYM_OUTLINE" "-150,200,150,-150" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) - ( attribute "SYMMAP" "TRUE" + ( attribute "KL_COMMENTS" "-" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" + ( attribute "MANUF" "LINEAR" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "OL_COMMENTS" "-" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2750,-1550)" + ( attribute "PACK_TYPE" "SOT223" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "PART_NAME" "lt1129CST-5" ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "TP6_3" ( Origin gFrontEnd ) ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) - ) - ( attribute "SEC" "1" - ( Origin gPackager ) - ) - ( objectStatus "TP6_3" ) - ( pin "a(0)" - ( attribute "PN" "1" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "TP6_3.1" ) - ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i165" - ( attribute "CDS_LIB" "cnpassive" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "PACK_TYPE" "HOLE" + ( attribute "PHYS_DES_PREFIX" "VR" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PART_NAME" "TP" + ( attribute "PHYS_PAGE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" + ( attribute "PL_COMMENTS" "-" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -22640,57 +23061,70 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SYMMAP" "TRUE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "VALUE" "0.8MM" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2750,-1750)" + ( attribute "XY" "(1700,2850)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "CHIPS_PART_NAME" "LT1129CST-5" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "LT1129CST-5_SOT223-LINEAR" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP7_3" + ( attribute "LOCATION" "VR1_6" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP7_3" ) - ( pin "a(0)" + ( attribute "CDS_PHYS_PART_NAME" "LT1129CST-5_SOT223-LINEAR" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "VR1_6" + ( Origin gPackager ) + ) + ( objectStatus "VR1_6" ) + ( pin "gnd" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "VR1_6.2" ) + ) + ( pin "tab" + ( attribute "PN" "4" + ( Origin gPackager ) + ) + ( objectStatus "VR1_6.4" ) + ) + ( pin "vin" ( attribute "PN" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP7_3.1" ) + ( objectStatus "VR1_6.1" ) + ) + ( pin "vout" + ( attribute "PN" "3" + ( Origin gPackager ) + ) + ( objectStatus "VR1_6.3" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i159" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i52" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "PART_NAME" "TP" + ( attribute "PACK_TYPE" "0805" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -22698,7 +23132,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "ROT" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -22706,57 +23140,69 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SYMMAP" "TRUE" + ( attribute "VALUE" "4.7UF" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VOLTAGE" "10V" + ( Units "uVoltage" "V" 1.000000) ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2750,400)" + ( attribute "XY" "(1100,2750)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0805-4.7UF,10V" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP2_4" + ( attribute "LOCATION" "C9_6" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP2_4" ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0805-4.7UF,10V" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C9_6" + ( Origin gPackager ) + ) + ( objectStatus "C9_6" ) ( pin "a(0)" ( attribute "PN" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP2_4.1" ) + ( objectStatus "C9_6.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "C9_6.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i160" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i54" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "PART_NAME" "TP" + ( attribute "PACK_TYPE" "0805" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -22764,7 +23210,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "ROT" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -22772,57 +23218,69 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SYMMAP" "TRUE" + ( attribute "VALUE" "4.7UF" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VOLTAGE" "10V" + ( Units "uVoltage" "V" 1.000000) ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2750,200)" + ( attribute "XY" "(1100,725)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0805-4.7UF,10V" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP3_4" + ( attribute "LOCATION" "C10_6" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP3_4" ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0805-4.7UF,10V" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C10_6" + ( Origin gPackager ) + ) + ( objectStatus "C10_6" ) ( pin "a(0)" ( attribute "PN" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP3_4.1" ) + ( objectStatus "C10_6.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "C10_6.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i161" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i56" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "PART_NAME" "TP" + ( attribute "PACK_TYPE" "0805" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -22830,7 +23288,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "ROT" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -22838,57 +23296,69 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SYMMAP" "TRUE" + ( attribute "VALUE" "4.7UF" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VOLTAGE" "10V" + ( Units "uVoltage" "V" 1.000000) ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2750,0)" + ( attribute "XY" "(2475,2750)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0805-4.7UF,10V" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP4_4" + ( attribute "LOCATION" "C12_6" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP4_4" ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0805-4.7UF,10V" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C12_6" + ( Origin gPackager ) + ) + ( objectStatus "C12_6" ) ( pin "a(0)" ( attribute "PN" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP4_4.1" ) + ( objectStatus "C12_6.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "C12_6.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i162" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i58" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "PART_NAME" "TP" + ( attribute "PACK_TYPE" "0805" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -22896,7 +23366,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "ROT" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -22904,57 +23374,73 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SYMMAP" "TRUE" + ( attribute "VALUE" "4.7UF" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VOLTAGE" "10V" + ( Units "uVoltage" "V" 1.000000) ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(1000,800)" + ( attribute "XY" "(2450,675)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0805-4.7UF,10V" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP1_4" + ( attribute "LOCATION" "C11_6" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP1_4" ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0805-4.7UF,10V" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C11_6" + ( Origin gPackager ) + ) + ( objectStatus "C11_6" ) ( pin "a(0)" ( attribute "PN" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP1_4.1" ) + ( objectStatus "C11_6.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "C11_6.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i163" - ( attribute "CDS_LIB" "cnpassive" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i66" + ( attribute "CDS_LIB" "cndiscrete" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" + ( attribute "MODEL" "MURATA" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PART_NAME" "TP" + ( attribute "PACK_TYPE" "SMD" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -22966,15 +23452,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "SYMMAP" "TRUE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "VALUE" "0.8MM" + ( attribute "VALUE" "BLM41P800S" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -22982,45 +23460,54 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2750,-1250)" + ( attribute "XY" "(-3100,2375)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "CHIPS_PART_NAME" "FERRITE" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "FERRITE_SMD-BLM41P800S,MURATA" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP5_4" + ( attribute "LOCATION" "L1_6" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP5_4" ) + ( attribute "CDS_PHYS_PART_NAME" "FERRITE_SMD-BLM41P800S,MURATA" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "L1_6" + ( Origin gPackager ) + ) + ( objectStatus "L1_6" ) ( pin "a(0)" ( attribute "PN" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP5_4.1" ) + ( objectStatus "L1_6.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "L1_6.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i164" - ( attribute "CDS_LIB" "cnpassive" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i70" + ( attribute "CDS_LIB" "cnlinear" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "PART_NAME" "TP" + ( attribute "PACK_TYPE" "BGA" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -23032,15 +23519,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "SYMMAP" "TRUE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "VALUE" "0.8MM" + ( attribute "TYPE" "LTM8047EY#PBF" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -23048,45 +23527,357 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2750,-1550)" + ( attribute "XY" "(-725,1550)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "CHIPS_PART_NAME" "LTM8047" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "LTM8047EY#PBF" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP6_4" + ( attribute "LOCATION" "RG1_6" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP6_4" ) - ( pin "a(0)" - ( attribute "PN" "1" + ( attribute "CDS_PHYS_PART_NAME" "LTM8047EY#PBF" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "RG1_6" + ( Origin gPackager ) + ) + ( objectStatus "RG1_6" ) + ( pin "adj" + ( attribute "PN" "G7" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP6_4.1" ) + ( objectStatus "RG1_6.G7" ) + ) + ( pin "bias" + ( attribute "PN" "H5" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.H5" ) + ) + ( pin "gnd(0)" + ( attribute "PN" "E1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.E1" ) + ) + ( pin "gnd(1)" + ( attribute "PN" "E2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.E2" ) + ) + ( pin "gnd(2)" + ( attribute "PN" "E3" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.E3" ) + ) + ( pin "gnd(3)" + ( attribute "PN" "E4" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.E4" ) + ) + ( pin "gnd(4)" + ( attribute "PN" "E5" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.E5" ) + ) + ( pin "gnd(5)" + ( attribute "PN" "E6" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.E6" ) + ) + ( pin "gnd(6)" + ( attribute "PN" "E7" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.E7" ) + ) + ( pin "gnd(7)" + ( attribute "PN" "F4" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.F4" ) + ) + ( pin "gnd(8)" + ( attribute "PN" "F5" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.F5" ) + ) + ( pin "gnd(9)" + ( attribute "PN" "F6" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.F6" ) + ) + ( pin "gnd(10)" + ( attribute "PN" "F7" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.F7" ) + ) + ( pin "gnd(11)" + ( attribute "PN" "G4" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.G4" ) + ) + ( pin "gnd(12)" + ( attribute "PN" "G5" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.G5" ) + ) + ( pin "gnd(13)" + ( attribute "PN" "G6" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.G6" ) + ) + ( pin "gnd(14)" + ( attribute "PN" "H4" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.H4" ) + ) + ( pin "gnd(15)" + ( attribute "PN" "H7" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.H7" ) + ) + ( pin "run" + ( attribute "PN" "F3" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.F3" ) + ) + ( pin "ss" + ( attribute "PN" "H6" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.H6" ) + ) + ( pin "vin(0)" + ( attribute "PN" "H1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.H1" ) + ) + ( pin "vin(1)" + ( attribute "PN" "H2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.H2" ) + ) + ( pin "vin(2)" + ( attribute "PN" "G1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.G1" ) + ) + ( pin "vin(3)" + ( attribute "PN" "G2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.G2" ) + ) + ( pin "vout(0)" + ( attribute "PN" "A6" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.A6" ) + ) + ( pin "vout(1)" + ( attribute "PN" "A7" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.A7" ) + ) + ( pin "vout(2)" + ( attribute "PN" "B6" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.B6" ) + ) + ( pin "vout(3)" + ( attribute "PN" "B7" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.B7" ) + ) + ( pin "vout(4)" + ( attribute "PN" "C6" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.C6" ) + ) + ( pin "vout(5)" + ( attribute "PN" "C7" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.C7" ) + ) + ( pin "\vout-\(0)" + ( attribute "PN" "A1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.A1" ) + ) + ( pin "\vout-\(1)" + ( attribute "PN" "A2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.A2" ) + ) + ( pin "\vout-\(2)" + ( attribute "PN" "A3" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.A3" ) + ) + ( pin "\vout-\(3)" + ( attribute "PN" "A4" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.A4" ) + ) + ( pin "\vout-\(4)" + ( attribute "PN" "A5" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.A5" ) + ) + ( pin "\vout-\(5)" + ( attribute "PN" "B1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.B1" ) + ) + ( pin "\vout-\(6)" + ( attribute "PN" "B2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.B2" ) + ) + ( pin "\vout-\(7)" + ( attribute "PN" "B3" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.B3" ) + ) + ( pin "\vout-\(8)" + ( attribute "PN" "B4" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.B4" ) + ) + ( pin "\vout-\(9)" + ( attribute "PN" "B5" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.B5" ) + ) + ( pin "\vout-\(10)" + ( attribute "PN" "C1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.C1" ) + ) + ( pin "\vout-\(11)" + ( attribute "PN" "C2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.C2" ) + ) + ( pin "\vout-\(12)" + ( attribute "PN" "C3" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.C3" ) + ) + ( pin "\vout-\(13)" + ( attribute "PN" "C4" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.C4" ) + ) + ( pin "\vout-\(14)" + ( attribute "PN" "C5" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG1_6.C5" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i165" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i72" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "PART_NAME" "TP" + ( attribute "PACK_TYPE" "1210" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -23094,7 +23885,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "ROT" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -23102,597 +23893,909 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SYMMAP" "TRUE" + ( attribute "VALUE" "4.7UF" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VOLTAGE" "50V" + ( Units "uVoltage" "V" 1.000000) ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2750,-1750)" + ( attribute "XY" "(-1825,1525)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210-4.7UF,50V" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP7_4" + ( attribute "LOCATION" "C5_6" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP7_4" ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_1210-4.7UF,50V" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C5_6" + ( Origin gPackager ) + ) + ( objectStatus "C5_6" ) ( pin "a(0)" ( attribute "PN" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP7_4.1" ) + ( objectStatus "C5_6.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "C5_6.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i65" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i74" ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" + ( attribute "DIST" "FLAT" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PART_NAME" "TP" + ( attribute "MAX_TEMP" "RTMAX" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "2" + ( attribute "NEGTOL" "RTOL%" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "PACK_TYPE" "1/10W" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" + ( attribute "POSTOL" "RTOL%" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "POWER" "RMAX" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(700,-150)" + ( attribute "ROT" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "TP11" - ( Origin gPackager ) + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( objectStatus "TP11" ) - ( pin "a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) - ) - ( objectStatus "TP11.1" ) + ( attribute "SLOPE" "RSMAX" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i66" - ( attribute "CDS_LIB" "cnpassive" - ( Origin gPackager ) + ( attribute "TC1" "RTMPL" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "HOLE" + ( attribute "TC2" "RTMPQ" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PART_NAME" "TP" + ( attribute "TOL" "1%" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "2" + ( attribute "TOL_ON_OFF" "ON" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "VALUE" "6.19K" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" + ( attribute "VER" "2" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" + ( attribute "VOLTAGE" "RVMAX" + ( Units "uVoltage" "V" 1.000000) + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "XY" "(-1300,1250)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(700,-250)" + ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-6.19K,1%" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "R2_6" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "LOCATION" "TP12" + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_1/10W-6.19K,1%" ( Origin gPackager ) ) - ( objectStatus "TP12" ) + ( attribute "CDS_LOCATION" "R2_6" + ( Origin gPackager ) + ) + ( objectStatus "R2_6" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP12.1" ) + ( objectStatus "R2_6.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "R2_6.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i67" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i79" ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Origin gFrontEnd ) - ) - ( attribute "PART_NAME" "TP" + ( attribute "PACK_TYPE" "1210" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "2" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "ROT" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" + ( attribute "VALUE" "4.7UF" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-750,-1050)" + ( attribute "VOLTAGE" "50V" + ( Units "uVoltage" "V" 1.000000) + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "XY" "(-3550,2075)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210-4.7UF,50V" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP4" + ( attribute "LOCATION" "C1_6" + ( Origin gFrontEnd ) + ) + ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP4" ) + ( attribute "SEC" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_1210-4.7UF,50V" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C1_6" + ( Origin gPackager ) + ) + ( objectStatus "C1_6" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP4.1" ) + ( objectStatus "C1_6.1" ) ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i68" + ( pin "b(0)" + ( attribute "PN" "2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "C1_6.2" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i81" ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Origin gFrontEnd ) - ) - ( attribute "PART_NAME" "TP" + ( attribute "PACK_TYPE" "1210" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "2" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "ROT" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" + ( attribute "VALUE" "4.7UF" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(350,-150)" + ( attribute "VOLTAGE" "50V" + ( Units "uVoltage" "V" 1.000000) + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "XY" "(-2725,2200)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210-4.7UF,50V" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP9" + ( attribute "LOCATION" "C2_6" + ( Origin gFrontEnd ) + ) + ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP9" ) + ( attribute "SEC" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_1210-4.7UF,50V" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C2_6" + ( Origin gPackager ) + ) + ( objectStatus "C2_6" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP9.1" ) + ( objectStatus "C2_6.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "C2_6.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i69" - ( attribute "CDS_LIB" "cnpassive" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i82" + ( attribute "CDS_LIB" "cnlinear" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Origin gFrontEnd ) - ) - ( attribute "PART_NAME" "TP" + ( attribute "PACK_TYPE" "BGA" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "2" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" + ( attribute "TYPE" "LTM8047EY#PBF" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" + ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "XY" "(-700,2700)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(350,-250)" + ( attribute "CHIPS_PART_NAME" "LTM8047" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "LTM8047EY#PBF" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "RG2_6" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "LOCATION" "TP10" + ( attribute "CDS_PHYS_PART_NAME" "LTM8047EY#PBF" ( Origin gPackager ) ) - ( objectStatus "TP10" ) - ( pin "a(0)" - ( attribute "PN" "1" + ( attribute "CDS_LOCATION" "RG2_6" + ( Origin gPackager ) + ) + ( objectStatus "RG2_6" ) + ( pin "adj" + ( attribute "PN" "G7" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP10.1" ) + ( objectStatus "RG2_6.G7" ) ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i70" - ( attribute "CDS_LIB" "cnpassive" - ( Origin gPackager ) + ( pin "bias" + ( attribute "PN" "H5" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.H5" ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Origin gFrontEnd ) + ( pin "gnd(0)" + ( attribute "PN" "E1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.E1" ) ) - ( attribute "PART_NAME" "TP" - ( Origin gFrontEnd ) + ( pin "gnd(1)" + ( attribute "PN" "E2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.E2" ) ) - ( attribute "PHYS_PAGE" "2" - ( Origin gFrontEnd ) + ( pin "gnd(2)" + ( attribute "PN" "E3" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.E3" ) ) - ( attribute "ROT" "1" - ( Origin gFrontEnd ) + ( pin "gnd(3)" + ( attribute "PN" "E4" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.E4" ) ) - ( attribute "SIZE" "1" - ( Origin gFrontEnd ) + ( pin "gnd(4)" + ( attribute "PN" "E5" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.E5" ) ) - ( attribute "VALUE" "0.8MM" - ( Origin gFrontEnd ) + ( pin "gnd(5)" + ( attribute "PN" "E6" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.E6" ) ) - ( attribute "VER" "1" - ( Origin gFrontEnd ) + ( pin "gnd(6)" + ( attribute "PN" "E7" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.E7" ) ) - ( attribute "XY" "(-900,-1050)" - ( Origin gFrontEnd ) + ( pin "gnd(7)" + ( attribute "PN" "F4" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.F4" ) ) - ( attribute "CHIPS_PART_NAME" "TP" - ( Origin gPackager ) + ( pin "gnd(8)" + ( attribute "PN" "F5" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.F5" ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" - ( Origin gPackager ) + ( pin "gnd(9)" + ( attribute "PN" "F6" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.F6" ) ) - ( attribute "LOCATION" "TP3" - ( Origin gPackager ) + ( pin "gnd(10)" + ( attribute "PN" "F7" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.F7" ) ) - ( objectStatus "TP3" ) - ( pin "a(0)" - ( attribute "PN" "1" + ( pin "gnd(11)" + ( attribute "PN" "G4" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP3.1" ) + ( objectStatus "RG2_6.G4" ) ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i71" - ( attribute "CDS_LIB" "cnpassive" - ( Origin gPackager ) + ( pin "gnd(12)" + ( attribute "PN" "G5" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.G5" ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Origin gFrontEnd ) + ( pin "gnd(13)" + ( attribute "PN" "G6" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.G6" ) ) - ( attribute "PART_NAME" "TP" - ( Origin gFrontEnd ) + ( pin "gnd(14)" + ( attribute "PN" "H4" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.H4" ) ) - ( attribute "PHYS_PAGE" "2" - ( Origin gFrontEnd ) + ( pin "gnd(15)" + ( attribute "PN" "H7" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.H7" ) ) - ( attribute "ROT" "0" - ( Origin gFrontEnd ) + ( pin "run" + ( attribute "PN" "F3" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.F3" ) ) - ( attribute "SIZE" "1" - ( Origin gFrontEnd ) + ( pin "ss" + ( attribute "PN" "H6" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.H6" ) ) - ( attribute "VALUE" "0.8MM" - ( Origin gFrontEnd ) + ( pin "vin(0)" + ( attribute "PN" "H1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.H1" ) ) - ( attribute "VER" "1" - ( Origin gFrontEnd ) + ( pin "vin(1)" + ( attribute "PN" "H2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.H2" ) ) - ( attribute "XY" "(-250,-1250)" - ( Origin gFrontEnd ) + ( pin "vin(2)" + ( attribute "PN" "G1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.G1" ) ) - ( attribute "CHIPS_PART_NAME" "TP" - ( Origin gPackager ) + ( pin "vin(3)" + ( attribute "PN" "G2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.G2" ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" - ( Origin gPackager ) + ( pin "vout(0)" + ( attribute "PN" "A6" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.A6" ) ) - ( attribute "LOCATION" "TP7" - ( Origin gPackager ) + ( pin "vout(1)" + ( attribute "PN" "A7" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.A7" ) ) - ( objectStatus "TP7" ) - ( pin "a(0)" - ( attribute "PN" "1" + ( pin "vout(2)" + ( attribute "PN" "B6" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP7.1" ) + ( objectStatus "RG2_6.B6" ) ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i72" - ( attribute "CDS_LIB" "cnpassive" - ( Origin gPackager ) + ( pin "vout(3)" + ( attribute "PN" "B7" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.B7" ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Origin gFrontEnd ) + ( pin "vout(4)" + ( attribute "PN" "C6" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.C6" ) ) - ( attribute "PART_NAME" "TP" - ( Origin gFrontEnd ) + ( pin "vout(5)" + ( attribute "PN" "C7" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.C7" ) ) - ( attribute "PHYS_PAGE" "2" - ( Origin gFrontEnd ) + ( pin "\vout-\(0)" + ( attribute "PN" "A1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.A1" ) ) - ( attribute "ROT" "2" - ( Origin gFrontEnd ) + ( pin "\vout-\(1)" + ( attribute "PN" "A2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.A2" ) ) - ( attribute "SIZE" "1" - ( Origin gFrontEnd ) + ( pin "\vout-\(2)" + ( attribute "PN" "A3" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.A3" ) ) - ( attribute "VALUE" "0.8MM" - ( Origin gFrontEnd ) + ( pin "\vout-\(3)" + ( attribute "PN" "A4" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.A4" ) ) - ( attribute "VER" "1" - ( Origin gFrontEnd ) + ( pin "\vout-\(4)" + ( attribute "PN" "A5" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.A5" ) ) - ( attribute "XY" "(-100,-1250)" - ( Origin gFrontEnd ) + ( pin "\vout-\(5)" + ( attribute "PN" "B1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.B1" ) ) - ( attribute "CHIPS_PART_NAME" "TP" - ( Origin gPackager ) + ( pin "\vout-\(6)" + ( attribute "PN" "B2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.B2" ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" - ( Origin gPackager ) + ( pin "\vout-\(7)" + ( attribute "PN" "B3" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.B3" ) ) - ( attribute "LOCATION" "TP8" - ( Origin gPackager ) + ( pin "\vout-\(8)" + ( attribute "PN" "B4" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.B4" ) ) - ( objectStatus "TP8" ) - ( pin "a(0)" - ( attribute "PN" "1" + ( pin "\vout-\(9)" + ( attribute "PN" "B5" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP8.1" ) + ( objectStatus "RG2_6.B5" ) + ) + ( pin "\vout-\(10)" + ( attribute "PN" "C1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.C1" ) + ) + ( pin "\vout-\(11)" + ( attribute "PN" "C2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.C2" ) + ) + ( pin "\vout-\(12)" + ( attribute "PN" "C3" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.C3" ) + ) + ( pin "\vout-\(13)" + ( attribute "PN" "C4" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.C4" ) + ) + ( pin "\vout-\(14)" + ( attribute "PN" "C5" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "RG2_6.C5" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i73" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i83" ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Origin gFrontEnd ) - ) - ( attribute "PART_NAME" "TP" + ( attribute "PACK_TYPE" "1210" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "2" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "2" + ( attribute "ROT" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" + ( attribute "VALUE" "4.7UF" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-600,-400)" + ( attribute "VOLTAGE" "50V" + ( Units "uVoltage" "V" 1.000000) + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "XY" "(-1800,2675)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210-4.7UF,50V" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP5" + ( attribute "LOCATION" "C6_6" + ( Origin gFrontEnd ) + ) + ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP5" ) + ( attribute "SEC" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_1210-4.7UF,50V" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C6_6" + ( Origin gPackager ) + ) + ( objectStatus "C6_6" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP5.1" ) + ( objectStatus "C6_6.1" ) ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i74" + ( pin "b(0)" + ( attribute "PN" "2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "C6_6.2" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i85" ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Origin gFrontEnd ) - ) - ( attribute "PART_NAME" "TP" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "2" + ( attribute "DIST" "FLAT" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "2" + ( attribute "MAX_TEMP" "RTMAX" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" + ( attribute "NEGTOL" "RTOL%" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" + ( attribute "PACK_TYPE" "1/10W" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-600,-500)" + ( attribute "POSTOL" "RTOL%" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "TP6" - ( Origin gPackager ) - ) - ( objectStatus "TP6" ) - ( pin "a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) - ) - ( objectStatus "TP6.1" ) - ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i75" - ( attribute "CDS_LIB" "cnpassive" - ( Origin gPackager ) - ) - ( attribute "PACK_TYPE" "HOLE" + ( attribute "POWER" "RMAX" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PART_NAME" "TP" + ( attribute "ROT" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "2" + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "2" + ( attribute "SLOPE" "RSMAX" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" + ( attribute "TC1" "RTMPL" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" + ( attribute "TC2" "RTMPQ" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "TOL" "1%" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-950,-600)" + ( attribute "TOL_ON_OFF" "ON" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "TP1" - ( Origin gPackager ) - ) - ( objectStatus "TP1" ) - ( pin "a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) - ) - ( objectStatus "TP1.1" ) - ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i76" - ( attribute "CDS_LIB" "cnpassive" - ( Origin gPackager ) - ) - ( attribute "PACK_TYPE" "HOLE" + ( attribute "VALUE" "6.19K" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PART_NAME" "TP" + ( attribute "VER" "2" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "2" + ( attribute "VOLTAGE" "RVMAX" + ( Units "uVoltage" "V" 1.000000) + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "2" + ( attribute "XY" "(-1275,2400)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" - ( Origin gFrontEnd ) + ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( Status sBlockFlattened ) + ( Origin gPackager ) ) - ( attribute "VALUE" "0.8MM" - ( Origin gFrontEnd ) + ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-6.19K,1%" + ( Origin gPackager ) ) - ( attribute "VER" "1" + ( attribute "LOCATION" "R3_6" ( Origin gFrontEnd ) ) - ( attribute "XY" "(-950,-700)" - ( Origin gFrontEnd ) + ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_1/10W-6.19K,1%" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP2" + ( attribute "CDS_LOCATION" "R3_6" ( Origin gPackager ) ) - ( objectStatus "TP2" ) + ( objectStatus "R3_6" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP2.1" ) + ( objectStatus "R3_6.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "R3_6.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i68" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i88" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "PART_NAME" "TP" + ( attribute "PACK_TYPE" "1210" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -23700,7 +24803,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "ROT" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -23708,59 +24811,69 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SYMMAP" "TRUE" + ( attribute "VALUE" "22UF" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-1225,500)" + ( attribute "XY" "(250,650)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210-22UF,16V" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "TP13" + ( attribute "LOCATION" "C8_6" + ( Origin gFrontEnd ) + ) + ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "LOCATION" "TP13" + ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_1210-22UF,16V" ( Origin gPackager ) ) - ( attribute "SEC" "1" + ( attribute "CDS_LOCATION" "C8_6" ( Origin gPackager ) ) - ( objectStatus "TP13" ) + ( objectStatus "C8_6" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP13.1" ) + ( objectStatus "C8_6.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "C8_6.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i69" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i89" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "HOLE" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "PART_NAME" "TP" + ( attribute "PACK_TYPE" "1210" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -23768,7 +24881,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "ROT" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -23776,924 +24889,1183 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SYMMAP" "TRUE" + ( attribute "VALUE" "22UF" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "0.8MM" + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-700,1350)" + ( attribute "XY" "(250,2675)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "TP" + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210-22UF,16V" ( Origin gPackager ) ) - ( attribute "LOCATION" "TP22" + ( attribute "LOCATION" "C7_6" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "TP22" + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_1210-22UF,16V" ( Origin gPackager ) ) - ( objectStatus "TP22" ) + ( attribute "CDS_LOCATION" "C7_6" + ( Origin gPackager ) + ) + ( objectStatus "C7_6" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "TP22.1" ) + ( objectStatus "C7_6.1" ) ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i8" - ( attribute "CDS_LIB" "cnmemory" - ( Origin gPackager ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "C7_6.2" ) ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-150,75,150,-150" + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i142" + ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "SOIC" + ( attribute "PACK_TYPE" "1210" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "ROT" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TYPE" "24AA025E48" + ( attribute "VALUE" "4.7UF" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-200,-2950)" + ( attribute "VOLTAGE" "50V" + ( Units "uVoltage" "V" 1.000000) + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "24AA025E48" + ( attribute "XY" "(-2150,2675)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "24AA025E48" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210-4.7UF,50V" ( Origin gPackager ) ) - ( attribute "LOCATION" "IC9" + ( attribute "LOCATION" "C3_6" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "IC9" + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_1210-4.7UF,50V" ( Origin gPackager ) ) - ( objectStatus "IC9" ) - ( pin "a0" + ( attribute "CDS_LOCATION" "C3_6" + ( Origin gPackager ) + ) + ( objectStatus "C3_6" ) + ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "IC9.1" ) + ( objectStatus "C3_6.1" ) ) - ( pin "a1" + ( pin "b(0)" ( attribute "PN" "2" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "IC9.2" ) - ) - ( pin "a2" - ( attribute "PN" "3" - ( Origin gPackager ) - ) - ( objectStatus "IC9.3" ) - ) - ( pin "scl" - ( attribute "PN" "6" - ( Origin gPackager ) - ) - ( objectStatus "IC9.6" ) - ) - ( pin "sda" - ( attribute "PN" "5" - ( Origin gPackager ) - ) - ( objectStatus "IC9.5" ) - ) - ( pin "vcc" - ( attribute "PN" "8" - ( Origin gPackager ) - ) - ( objectStatus "IC9.8" ) - ) - ( pin "vss" - ( attribute "PN" "4" - ( Origin gPackager ) - ) - ( objectStatus "IC9.4" ) + ( objectStatus "C3_6.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i11" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i144" ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "0603" + ( attribute "PACK_TYPE" "1210" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "ROT" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "100NF" + ( attribute "VALUE" "4.7UF" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "16V" + ( attribute "VOLTAGE" "50V" ( Units "uVoltage" "V" 1.000000) + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-200,-3300)" + ( attribute "XY" "(-2150,1525)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210-4.7UF,50V" ( Origin gPackager ) ) - ( attribute "LOCATION" "C70" + ( attribute "LOCATION" "C4_6" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "C70" + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_1210-4.7UF,50V" ( Origin gPackager ) ) - ( objectStatus "C70" ) + ( attribute "CDS_LOCATION" "C4_6" + ( Origin gPackager ) + ) + ( objectStatus "C4_6" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C70.1" ) + ( objectStatus "C4_6.1" ) ) ( pin "b(0)" ( attribute "PN" "2" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C70.2" ) + ( objectStatus "C4_6.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i12" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i146" ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "DIST" "FLAT" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "MAX_TEMP" "RTMAX" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "NEGTOL" "RTOL%" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "PACK_TYPE" "1/10W" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "POSTOL" "RTOL%" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "POWER" "RMAX" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "ROT" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "SLOPE" "RSMAX" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "TC1" "RTMPL" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "TC2" "RTMPQ" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "TOL" "1%" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "TOL_ON_OFF" "ON" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "XX" + ( attribute "VALUE" "3.3" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "VER" "2" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "VOLTAGE" "RVMAX" ( Units "uVoltage" "V" 1.000000) + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-1050,-3050)" + ( attribute "XY" "(-2725,1900)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-XX,1%" + ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-3.3,1%" ( Origin gPackager ) ) - ( attribute "LOCATION" "R61" + ( attribute "LOCATION" "R1_6" ( Origin gFrontEnd ) ) ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "R61" + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_1/10W-3.3,1%" ( Origin gPackager ) ) - ( objectStatus "R61" ) + ( attribute "CDS_LOCATION" "R1_6" + ( Origin gPackager ) + ) + ( objectStatus "R1_6" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "R61.1" ) + ( objectStatus "R1_6.1" ) ) ( pin "b(0)" ( attribute "PN" "2" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "R61.2" ) + ( objectStatus "R1_6.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i13" - ( attribute "CDS_LIB" "cnpassive" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i2" + ( attribute "CDS_LIB" "cnconnector" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "DIST" "FLAT" - ( Origin gFrontEnd ) + ( attribute "CDS_LMAN_SYM_OUTLINE" "0,25,150,-475" + ( Status sBlockFlattened ) + ( Origin gPackager ) ) - ( attribute "MAX_TEMP" "RTMAX" - ( Origin gFrontEnd ) + ( attribute "CDS_LOCATION" "J1" + ( Status sBlockFlattened ) + ( Origin gPackager ) ) - ( attribute "NEGTOL" "RTOL%" - ( Origin gFrontEnd ) + ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "1/10W" - ( Origin gFrontEnd ) + ( attribute "LOCATION" "J1" + ( Status sBlockFlattened ) + ( Origin gPackager ) ) ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POSTOL" "RTOL%" - ( Origin gFrontEnd ) - ) - ( attribute "POWER" "RMAX" - ( Origin gFrontEnd ) - ) - ( attribute "ROT" "1" - ( Origin gFrontEnd ) - ) - ( attribute "SIZE" "1" - ( Origin gFrontEnd ) - ) - ( attribute "SLOPE" "RSMAX" - ( Origin gFrontEnd ) - ) - ( attribute "TC1" "RTMPL" - ( Origin gFrontEnd ) - ) - ( attribute "TC2" "RTMPQ" - ( Origin gFrontEnd ) - ) - ( attribute "TOL" "1%" - ( Origin gFrontEnd ) - ) - ( attribute "TOL_ON_OFF" "ON" - ( Origin gFrontEnd ) - ) - ( attribute "VALUE" "XX" + ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "2" + ( attribute "TYPE" "HDMI-19-01-X-SM" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "RVMAX" - ( Units "uVoltage" "V" 1.000000) + ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-900,-3050)" + ( attribute "XY" "(3550,875)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( attribute "CHIPS_PART_NAME" "CON19P" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-XX,1%" + ( attribute "CDS_PART_NAME" "CON19P-HDMI-19-01-X-SM-GND=GNDA" ( Origin gPackager ) ) - ( attribute "LOCATION" "R63" - ( Origin gFrontEnd ) - ) - ( attribute "CDS_SEC" "1" + ( attribute "CDS_PHYS_PART_NAME" "CON19P-HDMI-19-01-X-SM-GND=GNDA" ( Origin gPackager ) ) ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "R63" - ( Origin gPackager ) - ) - ( objectStatus "R63" ) + ( objectStatus "J1" ) ( pin "a(0)" ( attribute "PN" "1" ( Origin gPackager ) ) - ( objectStatus "R63.1" ) + ( objectStatus "J1.1" ) ) - ( pin "b(0)" + ( pin "a(1)" ( attribute "PN" "2" ( Origin gPackager ) ) - ( objectStatus "R63.2" ) - ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i14" - ( attribute "CDS_LIB" "cnpassive" - ( Origin gPackager ) - ) - ( attribute "DIST" "FLAT" - ( Origin gFrontEnd ) + ( objectStatus "J1.2" ) ) - ( attribute "MAX_TEMP" "RTMAX" - ( Origin gFrontEnd ) + ( pin "a(2)" + ( attribute "PN" "3" + ( Origin gPackager ) + ) + ( objectStatus "J1.3" ) ) - ( attribute "NEGTOL" "RTOL%" - ( Origin gFrontEnd ) + ( pin "a(3)" + ( attribute "PN" "4" + ( Origin gPackager ) + ) + ( objectStatus "J1.4" ) ) - ( attribute "PACK_TYPE" "1/10W" - ( Origin gFrontEnd ) + ( pin "a(4)" + ( attribute "PN" "5" + ( Origin gPackager ) + ) + ( objectStatus "J1.5" ) ) - ( attribute "PHYS_PAGE" "1" - ( Origin gFrontEnd ) + ( pin "a(5)" + ( attribute "PN" "6" + ( Origin gPackager ) + ) + ( objectStatus "J1.6" ) ) - ( attribute "POSTOL" "RTOL%" - ( Origin gFrontEnd ) + ( pin "a(6)" + ( attribute "PN" "7" + ( Origin gPackager ) + ) + ( objectStatus "J1.7" ) ) - ( attribute "POWER" "RMAX" - ( Origin gFrontEnd ) + ( pin "a(7)" + ( attribute "PN" "8" + ( Origin gPackager ) + ) + ( objectStatus "J1.8" ) ) - ( attribute "ROT" "1" - ( Origin gFrontEnd ) + ( pin "a(8)" + ( attribute "PN" "9" + ( Origin gPackager ) + ) + ( objectStatus "J1.9" ) ) - ( attribute "SIZE" "1" - ( Origin gFrontEnd ) + ( pin "a(9)" + ( attribute "PN" "10" + ( Origin gPackager ) + ) + ( objectStatus "J1.10" ) ) - ( attribute "SLOPE" "RSMAX" - ( Origin gFrontEnd ) + ( pin "a(10)" + ( attribute "PN" "11" + ( Origin gPackager ) + ) + ( objectStatus "J1.11" ) ) - ( attribute "TC1" "RTMPL" - ( Origin gFrontEnd ) + ( pin "a(11)" + ( attribute "PN" "12" + ( Origin gPackager ) + ) + ( objectStatus "J1.12" ) ) - ( attribute "TC2" "RTMPQ" - ( Origin gFrontEnd ) + ( pin "a(12)" + ( attribute "PN" "13" + ( Origin gPackager ) + ) + ( objectStatus "J1.13" ) ) - ( attribute "TOL" "1%" - ( Origin gFrontEnd ) + ( pin "a(13)" + ( attribute "PN" "14" + ( Origin gPackager ) + ) + ( objectStatus "J1.14" ) ) - ( attribute "TOL_ON_OFF" "ON" + ( pin "a(14)" + ( attribute "PN" "15" + ( Origin gPackager ) + ) + ( objectStatus "J1.15" ) + ) + ( pin "a(15)" + ( attribute "PN" "16" + ( Origin gPackager ) + ) + ( objectStatus "J1.16" ) + ) + ( pin "a(16)" + ( attribute "PN" "17" + ( Origin gPackager ) + ) + ( objectStatus "J1.17" ) + ) + ( pin "a(17)" + ( attribute "PN" "18" + ( Origin gPackager ) + ) + ( objectStatus "J1.18" ) + ) + ( pin "a(18)" + ( attribute "PN" "19" + ( Origin gPackager ) + ) + ( objectStatus "J1.19" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i8" + ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C9" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "LOCATION" "C9" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "0603" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "XX" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "2" + ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "RVMAX" - ( Units "uVoltage" "V" 1.000000) + ( attribute "SEC" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-750,-3050)" + ( attribute "VALUE" "100NF" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "RSMD0603" - ( Origin gPackager ) + ( attribute "VER" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-XX,1%" - ( Origin gPackager ) + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( attribute "LOCATION" "R65" + ( attribute "XY" "(5625,675)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CDS_SEC" "1" + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "SEC" "1" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "R65" + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" ( Origin gPackager ) ) - ( objectStatus "R65" ) + ( objectStatus "C9" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "R65.1" ) + ( objectStatus "C9.1" ) ) ( pin "b(0)" ( attribute "PN" "2" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "R65.2" ) + ( objectStatus "C9.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i15" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i9" ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "DIST" "FLAT" - ( Origin gFrontEnd ) + ( attribute "CDS_LOCATION" "C8" + ( Status sBlockFlattened ) + ( Origin gPackager ) ) - ( attribute "MAX_TEMP" "RTMAX" - ( Origin gFrontEnd ) + ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) ) - ( attribute "NEGTOL" "RTOL%" - ( Origin gFrontEnd ) + ( attribute "LOCATION" "C8" + ( Status sBlockFlattened ) + ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "<< NULL >>" + ( attribute "PACK_TYPE" "0603" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POSTOL" "RTOL%" - ( Origin gFrontEnd ) - ) - ( attribute "POWER" "RMAX" + ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" - ( Origin gFrontEnd ) + ( attribute "SEC" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) ) ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SLOPE" "RSMAX" - ( Origin gFrontEnd ) - ) - ( attribute "TC1" "RTMPL" - ( Origin gFrontEnd ) - ) - ( attribute "TC2" "RTMPQ" - ( Origin gFrontEnd ) - ) - ( attribute "TOL" "<< NULL >>" - ( Origin gFrontEnd ) - ) - ( attribute "TOL_ON_OFF" "ON" - ( Origin gFrontEnd ) - ) - ( attribute "VALUE" "00" + ( attribute "VALUE" "100NF" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "2" + ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "RVMAX" + ( attribute "VOLTAGE" "16V" ( Units "uVoltage" "V" 1.000000) + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-1050,-2600)" - ( Origin gFrontEnd ) - ) - ( attribute "CHIPS_PART_NAME" "RSMD0603" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "RSMD0603_-00," - ( Origin gPackager ) - ) - ( attribute "LOCATION" "R60" + ( attribute "XY" "(5625,875)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CDS_SEC" "1" + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "SEC" "1" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "R60" + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" ( Origin gPackager ) ) - ( objectStatus "R60" ) + ( objectStatus "C8" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "R60.1" ) + ( objectStatus "C8.1" ) ) ( pin "b(0)" ( attribute "PN" "2" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "R60.2" ) + ( objectStatus "C8.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i16" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i10" ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R11" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "DIST" "FLAT" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) + ( attribute "LOCATION" "R11" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) ( attribute "MAX_TEMP" "RTMAX" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "NEGTOL" "RTOL%" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "<< NULL >>" + ( attribute "PACK_TYPE" "1/10W" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "POSTOL" "RTOL%" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "POWER" "RMAX" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) + ( attribute "SEC" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "SLOPE" "RSMAX" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "TC1" "RTMPL" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "TC2" "RTMPQ" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TOL" "<< NULL >>" + ( attribute "TOL" "1%" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "TOL_ON_OFF" "ON" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "00" + ( attribute "VALUE" "51" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "VER" "2" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "VOLTAGE" "RVMAX" ( Units "uVoltage" "V" 1.000000) + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-900,-2600)" + ( attribute "XY" "(5925,875)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "RSMD0603_-00," - ( Origin gPackager ) - ) - ( attribute "LOCATION" "R62" - ( Origin gFrontEnd ) - ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) - ) - ( attribute "SEC" "1" + ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-51,1%" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "R62" + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_1/10W-51,1%" ( Origin gPackager ) ) - ( objectStatus "R62" ) + ( objectStatus "R11" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "R62.1" ) + ( objectStatus "R11.1" ) ) ( pin "b(0)" ( attribute "PN" "2" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "R62.2" ) + ( objectStatus "R11.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i17" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i11" ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R12" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "DIST" "FLAT" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) + ( attribute "LOCATION" "R12" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) ( attribute "MAX_TEMP" "RTMAX" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "NEGTOL" "RTOL%" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "<< NULL >>" + ( attribute "PACK_TYPE" "1/10W" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "POSTOL" "RTOL%" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "POWER" "RMAX" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) + ( attribute "SEC" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "SLOPE" "RSMAX" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "TC1" "RTMPL" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "TC2" "RTMPQ" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TOL" "<< NULL >>" + ( attribute "TOL" "1%" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "TOL_ON_OFF" "ON" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "00" + ( attribute "VALUE" "51" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "VER" "2" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "VOLTAGE" "RVMAX" ( Units "uVoltage" "V" 1.000000) + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-750,-2600)" + ( attribute "XY" "(5925,675)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "RSMD0603_-00," - ( Origin gPackager ) - ) - ( attribute "LOCATION" "R64" - ( Origin gFrontEnd ) - ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) - ) - ( attribute "SEC" "1" + ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-51,1%" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "R64" + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_1/10W-51,1%" ( Origin gPackager ) ) - ( objectStatus "R64" ) + ( objectStatus "R12" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "R64.1" ) + ( objectStatus "R12.1" ) ) ( pin "b(0)" ( attribute "PN" "2" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "R64.2" ) + ( objectStatus "R12.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i51" - ( attribute "ABBREV" "PT6911" - ( Origin gFrontEnd ) - ) - ( attribute "CDS_LIB" "cnconnector" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i88" + ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "PX5" + ( attribute "CDS_LOCATION" "C11" ( Origin gPackager ) ) ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "NEEDS_NO_SIZE" "TRUE" + ( attribute "LOCATION" "C11" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "0603" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "3" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "2" + ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "SEC" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "TYPE" "PLEMO00C" + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "100NF" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(1750,50)" + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PCOAX" + ( attribute "XY" "(5800,-1450)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "PCOAX-PLEMO00C-GND=GND_SIGNAL" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" ( Origin gPackager ) ) - ( attribute "LOCATION" "PX5" + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" ( Origin gPackager ) ) - ( objectStatus "PX5" ) - ( pin "a" + ( objectStatus "C11" ) + ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "PX5.1" ) - ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i52" - ( attribute "ABBREV" "PT6911" - ( Origin gFrontEnd ) - ) - ( attribute "CDS_LIB" "cnconnector" - ( Origin gPackager ) + ( objectStatus "C11.1" ) ) - ( attribute "CDS_LOCATION" "PX4" - ( Origin gPackager ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "C11.2" ) ) - ( attribute "CDS_SEC" "1" + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i87" + ( attribute "CDS_LIB" "cninterface" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "NEEDS_NO_SIZE" "TRUE" + ( attribute "PACK_TYPE" "LLP" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "3" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "ROT" "2" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SEC" "1" - ( Origin gPackager ) - ) - ( attribute "TYPE" "PLEMO00C" + ( attribute "TYPE" "DS92001TLD" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(1750,800)" + ( attribute "XY" "(3050,-1225)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PCOAX" + ( attribute "CHIPS_PART_NAME" "DS92001" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "PCOAX-PLEMO00C-GND=GND_SIGNAL" + ( attribute "CDS_PART_NAME" "DS92001TLD-GND=GND_SIGNAL;VCC=A" ( Origin gPackager ) ) - ( attribute "LOCATION" "PX4" + ( attribute "CDS_PHYS_PART_NAME" "DS92001TLD-GND=GND_SIGNAL,VCC=A" ( Origin gPackager ) ) - ( objectStatus "PX4" ) - ( pin "a" - ( attribute "PN" "1" - ( Origin gPackager ) - ) - ( objectStatus "PX4.1" ) + ( attribute "CDS_LOCATION" "IC4" + ( Origin gPackager ) ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i53" - ( attribute "ABBREV" "PT6911" - ( Origin gFrontEnd ) + ( attribute "LOCATION" "IC4" + ( Origin gPackager ) ) - ( attribute "CDS_LIB" "cnconnector" + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "PX3" + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" + ( objectStatus "IC4" ) + ( pin "en" + ( attribute "PN" "8" + ( Origin gPackager ) + ) + ( objectStatus "IC4.8" ) + ) + ( pin "\in+\" + ( attribute "PN" "3" + ( Origin gPackager ) + ) + ( objectStatus "IC4.3" ) + ) + ( pin "\in-\" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "IC4.2" ) + ) + ( pin "\out+\" + ( attribute "PN" "6" + ( Origin gPackager ) + ) + ( objectStatus "IC4.6" ) + ) + ( pin "\out-\" + ( attribute "PN" "7" + ( Origin gPackager ) + ) + ( objectStatus "IC4.7" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i85" + ( attribute "CDS_LIB" "cnlinear" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "NEEDS_NO_SIZE" "TRUE" + ( attribute "PACK_TYPE" "SOT223" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "3" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "2" + ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SEC" "1" - ( Origin gPackager ) - ) - ( attribute "TYPE" "PLEMO00C" + ( attribute "TYPE" "TPS78633DCQ" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(1750,1550)" + ( attribute "XY" "(5375,-1675)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PCOAX" + ( attribute "CHIPS_PART_NAME" "TPS786XX" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "PCOAX-PLEMO00C-GND=GND_SIGNAL" + ( attribute "CDS_PART_NAME" "TPS78633DCQ" ( Origin gPackager ) ) - ( attribute "LOCATION" "PX3" + ( attribute "CDS_PHYS_PART_NAME" "TPS78633DCQ" ( Origin gPackager ) ) - ( objectStatus "PX3" ) - ( pin "a" + ( attribute "CDS_LOCATION" "IC5" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "IC5" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "IC5" ) + ( pin "en" ( attribute "PN" "1" ( Origin gPackager ) ) - ( objectStatus "PX3.1" ) + ( objectStatus "IC5.1" ) + ) + ( pin "fb" + ( attribute "PN" "5" + ( Origin gPackager ) + ) + ( objectStatus "IC5.5" ) + ) + ( pin "gnd(0)" + ( attribute "PN" "3" + ( Origin gPackager ) + ) + ( objectStatus "IC5.3" ) + ) + ( pin "gnd(1)" + ( attribute "PN" "6" + ( Origin gPackager ) + ) + ( objectStatus "IC5.6" ) + ) + ( pin "\in\" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "IC5.2" ) + ) + ( pin "\out\" + ( attribute "PN" "4" + ( Origin gPackager ) + ) + ( objectStatus "IC5.4" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i120" - ( attribute "CHIPS_PART_NAME" "DIODE_DUAL_SERIES" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i83" + ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CASE" "SOT23" + ( attribute "DIELECTRIC" "X5R" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CDS_LIB" "cndiscrete" + ( attribute "PHYS_PAGE" "1" ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "LOCATION" "D1_1" ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "A1-C2-AC3" + ( attribute "ROT" "0" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" + ( attribute "SIZE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "TYPE" "GNM21" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TYPE" "HBAT-540C" + ( attribute "VALUE" "1UF" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -24701,11 +26073,29 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(0,-500)" + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CDS_PART_NAME" "HBAT-540C" + ( attribute "XY" "(-200,825)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPN4I" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "CAPN4I-1UF,16V,X5R,GNM21" + ( Origin gPackager ) + ) + ( attribute "CDS_PHYS_PART_NAME" "CAPN4I-1UF,16V,X5R,GNM21" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "CN1" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "CN1" ( Origin gPackager ) ) ( attribute "CDS_SEC" "1" @@ -24714,54 +26104,46 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "D1_1" ) - ( pin "a" + ( objectStatus "CN1" ) + ( pin "a(0)" ( attribute "PN" "1" ( Origin gPackager ) ) - ( objectStatus "D1_1.1" ) - ) - ( pin "ac" - ( attribute "PN" "3" - ( Origin gPackager ) - ) - ( objectStatus "D1_1.3" ) + ( objectStatus "CN1.1" ) ) - ( pin "c" + ( pin "b(0)" ( attribute "PN" "2" ( Origin gPackager ) ) - ( objectStatus "D1_1.2" ) + ( objectStatus "CN1.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i120" - ( attribute "CHIPS_PART_NAME" "DIODE_DUAL_SERIES" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i82" + ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CASE" "SOT23" + ( attribute "PACK_TYPE" "1206_TC164" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CDS_LIB" "cndiscrete" + ( attribute "PHYS_PAGE" "1" ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "LOCATION" "D1_2" ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "A1-C2-AC3" + ( attribute "ROT" "0" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" + ( attribute "SIZE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "TOL" "1%" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TYPE" "HBAT-540C" + ( attribute "VALUE" "47" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -24769,67 +26151,72 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(0,-500)" + ( attribute "XY" "(-475,775)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CDS_PART_NAME" "HBAT-540C" + ( attribute "CHIPS_PART_NAME" "RES_ARRAY_X4" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" + ( attribute "CDS_PART_NAME" "RES_ARRAY_X4_1206_TC164-47,1%" ( Origin gPackager ) ) - ( attribute "SEC" "1" + ( attribute "CDS_PHYS_PART_NAME" "RES_ARRAY_X4_1206_TC164-47,1%" ( Origin gPackager ) ) - ( objectStatus "D1_2" ) - ( pin "a" - ( attribute "PN" "1" - ( Origin gPackager ) - ) - ( objectStatus "D1_2.1" ) + ( attribute "CDS_LOCATION" "RN2" + ( Origin gPackager ) ) - ( pin "ac" + ( attribute "LOCATION" "RN2" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "2" + ( Origin gPackager ) + ) + ( attribute "SEC" "2" + ( Origin gPackager ) + ) + ( objectStatus "RN2" ) + ( pin "a(0)" ( attribute "PN" "3" ( Origin gPackager ) ) - ( objectStatus "D1_2.3" ) + ( objectStatus "RN2.3" ) ) - ( pin "c" - ( attribute "PN" "2" + ( pin "b(0)" + ( attribute "PN" "6" ( Origin gPackager ) ) - ( objectStatus "D1_2.2" ) + ( objectStatus "RN2.6" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i120" - ( attribute "CHIPS_PART_NAME" "DIODE_DUAL_SERIES" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i81" + ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CASE" "SOT23" + ( attribute "PACK_TYPE" "1206_TC164" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CDS_LIB" "cndiscrete" + ( attribute "PHYS_PAGE" "1" ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( attribute "LOCATION" "D1_3" ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "A1-C2-AC3" + ( attribute "ROT" "0" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" + ( attribute "SIZE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "TOL" "1%" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TYPE" "HBAT-540C" + ( attribute "VALUE" "47" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -24837,11 +26224,24 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(0,-500)" + ( attribute "XY" "(-625,875)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CDS_PART_NAME" "HBAT-540C" + ( attribute "CHIPS_PART_NAME" "RES_ARRAY_X4" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "RES_ARRAY_X4_1206_TC164-47,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_PHYS_PART_NAME" "RES_ARRAY_X4_1206_TC164-47,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "RN1" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "RN1" ( Origin gPackager ) ) ( attribute "CDS_SEC" "1" @@ -24850,42 +26250,38 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "D1_3" ) - ( pin "a" - ( attribute "PN" "1" - ( Origin gPackager ) - ) - ( objectStatus "D1_3.1" ) - ) - ( pin "ac" - ( attribute "PN" "3" + ( objectStatus "RN1" ) + ( pin "a(0)" + ( attribute "PN" "4" ( Origin gPackager ) ) - ( objectStatus "D1_3.3" ) + ( objectStatus "RN1.4" ) ) - ( pin "c" - ( attribute "PN" "2" + ( pin "b(0)" + ( attribute "PN" "5" ( Origin gPackager ) ) - ( objectStatus "D1_3.2" ) + ( objectStatus "RN1.5" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i120" - ( attribute "CHIPS_PART_NAME" "DIODE_DUAL_SERIES" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i106" + ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CASE" "SOT23" + ( attribute "DIST" "FLAT" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CDS_LIB" "cndiscrete" + ( attribute "MAX_TEMP" "RTMAX" ( Status sBlockFlattened ) - ( Origin gPackager ) + ( Origin gFrontEnd ) ) - ( attribute "LOCATION" "D1_4" + ( attribute "NEGTOL" "RTOL%" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "A1-C2-AC3" + ( attribute "PACK_TYPE" "1/10W" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -24893,219 +26289,219 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "POSTOL" "RTOL%" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TYPE" "HBAT-540C" + ( attribute "POWER" "RMAX" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "ROT" "0" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(0,-500)" + ( attribute "SIZE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CDS_PART_NAME" "HBAT-540C" - ( Origin gPackager ) - ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) - ) - ( attribute "SEC" "1" - ( Origin gPackager ) - ) - ( objectStatus "D1_4" ) - ( pin "a" - ( attribute "PN" "1" - ( Origin gPackager ) - ) - ( objectStatus "D1_4.1" ) - ) - ( pin "ac" - ( attribute "PN" "3" - ( Origin gPackager ) - ) - ( objectStatus "D1_4.3" ) - ) - ( pin "c" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "D1_4.2" ) - ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i90" - ( attribute "CDS_LIB" "cnpassive" - ( Origin gPackager ) - ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "C1" + ( attribute "SLOPE" "RSMAX" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "0603" + ( attribute "TC1" "RTMPL" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" + ( attribute "TC2" "RTMPQ" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "TOL" "1%" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SEC" "1" - ( Origin gPackager ) - ) - ( attribute "SIZE" "1" + ( attribute "TOL_ON_OFF" "ON" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "100NF" + ( attribute "VALUE" "100" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "16V" + ( attribute "VOLTAGE" "RVMAX" ( Units "uVoltage" "V" 1.000000) + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(3050,500)" + ( attribute "XY" "(3475,-1075)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-100,1%" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "C1" + ( attribute "CDS_PHYS_PART_NAME" "RSMD0603_1/10W-100,1%" ( Origin gPackager ) ) - ( objectStatus "C1" ) + ( attribute "CDS_LOCATION" "R1" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "R1" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "R1" ) ( pin "a(0)" ( attribute "PN" "1" ( Origin gPackager ) ) - ( objectStatus "C1.1" ) + ( objectStatus "R1.1" ) ) ( pin "b(0)" ( attribute "PN" "2" ( Origin gPackager ) ) - ( objectStatus "C1.2" ) + ( objectStatus "R1.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i92" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i80" ( attribute "CDS_LIB" "cndiscrete" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "SOT23" + ( attribute "PACK_TYPE" "4312" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TYPE" "USBLC6-2SC6" + ( attribute "TYPE" "744231091" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "90OHM" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2600,100)" + ( attribute "XY" "(-1025,825)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "USBLC6-2" + ( attribute "CHIPS_PART_NAME" "COMMON_MODE_LINE_FILTER" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "USBLC6-2SC6" + ( attribute "CDS_PART_NAME" "COMMON_MODE_LINE_FILTER_4312-7A" ( Origin gPackager ) ) - ( attribute "LOCATION" "D3" - ( Origin gFrontEnd ) - ) - ( attribute "CDS_SEC" "1" + ( attribute "CDS_PHYS_PART_NAME" "COMMON_MODE_LINE_FILTER_4312-7A" ( Origin gPackager ) ) - ( attribute "SEC" "1" + ( attribute "CDS_LOCATION" "L1" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "D3" + ( attribute "LOCATION" "L1" ( Origin gPackager ) ) - ( objectStatus "D3" ) - ( pin "gnd" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "D3.2" ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) ) - ( pin "\i/o1\(0)" - ( attribute "PN" "1" - ( Origin gPackager ) - ) - ( objectStatus "D3.1" ) + ( attribute "SEC" "1" + ( Origin gPackager ) ) - ( pin "\i/o1\(1)" - ( attribute "PN" "6" + ( objectStatus "L1" ) + ( pin "\1\(0)" + ( attribute "PN" "3" ( Origin gPackager ) ) - ( objectStatus "D3.6" ) + ( objectStatus "L1.3" ) ) - ( pin "\i/o2\(0)" - ( attribute "PN" "3" + ( pin "\1dot\(0)" + ( attribute "PN" "4" ( Origin gPackager ) ) - ( objectStatus "D3.3" ) + ( objectStatus "L1.4" ) ) - ( pin "\i/o2\(1)" - ( attribute "PN" "4" + ( pin "\2\(0)" + ( attribute "PN" "2" ( Origin gPackager ) ) - ( objectStatus "D3.4" ) + ( objectStatus "L1.2" ) ) - ( pin "vbus" - ( attribute "PN" "5" + ( pin "\2dot\(0)" + ( attribute "PN" "1" ( Origin gPackager ) ) - ( objectStatus "D3.5" ) + ( objectStatus "L1.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i93" - ( attribute "CDS_LIB" "cnconnector" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i79" + ( attribute "CDS_LIB" "cninterface" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "LOCATION" "PL1" - ( Origin gPackager ) + ( attribute "PACK_TYPE" "VQFN" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "6" + ( attribute "ROT" "2" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TYPE" "HW8_08G_SM" + ( attribute "TYPE" "SN65MLVD040RGZ" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(900,-2950)" + ( attribute "XY" "(475,800)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CON16P" + ( attribute "CHIPS_PART_NAME" "SN65MLVD040" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CON16P-HW8_08G_SM" + ( attribute "CDS_PART_NAME" "SN65MLVD040RGZ-GND=GND_SIGNAL;A" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "PL1" + ( attribute "CDS_PHYS_PART_NAME" "SN65MLVD040RGZ-GND=GND_SIGNAL,A" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "IC1" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "IC1" ( Origin gPackager ) ) ( attribute "CDS_SEC" "1" @@ -25114,110 +26510,90 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "PL1" ) + ( objectStatus "IC1" ) ( pin "a(0)" - ( attribute "PN" "1" + ( attribute "PN" "47" ( Origin gPackager ) ) - ( objectStatus "PL1.1" ) + ( objectStatus "IC1.47" ) ) - ( pin "a(1)" - ( attribute "PN" "2" + ( pin "\b*\(0)" + ( attribute "PN" "48" ( Origin gPackager ) ) - ( objectStatus "PL1.2" ) + ( objectStatus "IC1.48" ) ) - ( pin "a(2)" - ( attribute "PN" "3" + ( pin "d(0)" + ( attribute "PN" "35" ( Origin gPackager ) ) - ( objectStatus "PL1.3" ) + ( objectStatus "IC1.35" ) ) - ( pin "a(3)" - ( attribute "PN" "4" + ( pin "de(0)" + ( attribute "PN" "1" ( Origin gPackager ) ) - ( objectStatus "PL1.4" ) + ( objectStatus "IC1.1" ) ) - ( pin "a(4)" - ( attribute "PN" "5" + ( pin "fsen(0)" + ( attribute "PN" "39" ( Origin gPackager ) ) - ( objectStatus "PL1.5" ) + ( objectStatus "IC1.39" ) ) - ( pin "a(5)" - ( attribute "PN" "6" + ( pin "pdn" + ( attribute "PN" "30" ( Origin gPackager ) ) - ( objectStatus "PL1.6" ) + ( objectStatus "IC1.30" ) ) - ( pin "a(6)" - ( attribute "PN" "7" + ( pin "r(0)" + ( attribute "PN" "36" ( Origin gPackager ) ) - ( objectStatus "PL1.7" ) + ( objectStatus "IC1.36" ) ) - ( pin "a(7)" - ( attribute "PN" "8" + ( pin "\re*\(0)" + ( attribute "PN" "40" ( Origin gPackager ) ) - ( objectStatus "PL1.8" ) + ( objectStatus "IC1.40" ) ) - ( pin "a(8)" - ( attribute "PN" "9" - ( Origin gPackager ) - ) - ( objectStatus "PL1.9" ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78" + ( attribute "CHIPS_PART_NAME" "CON8P" + ( Status sBlockFlattened ) + ( Origin gPackager ) ) - ( pin "a(9)" - ( attribute "PN" "10" - ( Origin gPackager ) - ) - ( objectStatus "PL1.10" ) + ( pin "sig0_n" ) - ( pin "a(10)" - ( attribute "PN" "11" - ( Origin gPackager ) - ) - ( objectStatus "PL1.11" ) + ( pin "sig0_p" ) - ( pin "a(11)" - ( attribute "PN" "12" - ( Origin gPackager ) - ) - ( objectStatus "PL1.12" ) + ( pin "sig1_n" ) - ( pin "a(12)" - ( attribute "PN" "13" - ( Origin gPackager ) - ) - ( objectStatus "PL1.13" ) + ( pin "sig1_p" ) - ( pin "a(13)" - ( attribute "PN" "14" - ( Origin gPackager ) - ) - ( objectStatus "PL1.14" ) + ( pin "sig2_n" ) - ( pin "a(14)" - ( attribute "PN" "15" - ( Origin gPackager ) - ) - ( objectStatus "PL1.15" ) + ( pin "sig2_p" ) - ( pin "a(15)" - ( attribute "PN" "16" - ( Origin gPackager ) - ) - ( objectStatus "PL1.16" ) + ( pin "sig3_n" + ) + ( pin "sig3_p" + ) + ( pin "sig4_n" + ) + ( pin "sig4_p" + ) + ( pin "vclamp" ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i168" - ( attribute "CDS_LIB" "cnconnector" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):page1_i67" + ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "NEEDS_NO_SIZE" "TRUE" + ( attribute "PACK_TYPE" "0603" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -25225,11 +26601,15 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "ROT" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TYPE" "UFL_R_SMT" + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "100NF" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -25237,43 +26617,60 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-300,-1550)" + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PCOAXSMD" + ( attribute "XY" "(5975,0)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "PCOAXSMD-UFL_R_SMT-GND=GND_SIGA" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" ( Origin gPackager ) ) - ( attribute "LOCATION" "PX1_1" - ( Origin gFrontEnd ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C16" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "C16" + ( Origin gPackager ) ) ( attribute "CDS_SEC" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "PX1_1" ) - ( pin "a" + ( objectStatus "C16" ) + ( pin "a(0)" ( attribute "PN" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "PX1_1.1" ) + ( objectStatus "C16.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "C16.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i168" - ( attribute "CDS_LIB" "cnconnector" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):page1_i70" + ( attribute "CDS_LIB" "cndiscrete" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "NEEDS_NO_SIZE" "TRUE" + ( attribute "LOCATION" "D4" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "SOT23" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -25285,7 +26682,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TYPE" "UFL_R_SMT" + ( attribute "TYPE" "USBLC6-2SC6" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -25293,43 +26690,73 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-300,-1550)" + ( attribute "XY" "(5025,175)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PCOAXSMD" + ( attribute "CHIPS_PART_NAME" "USBLC6-2" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "PCOAXSMD-UFL_R_SMT-GND=GND_SIGA" + ( attribute "CDS_PART_NAME" "USBLC6-2SC6" ( Origin gPackager ) ) - ( attribute "LOCATION" "PX1_2" - ( Origin gFrontEnd ) + ( attribute "CDS_PHYS_PART_NAME" "USBLC6-2SC6" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "D4" + ( Origin gPackager ) ) ( attribute "CDS_SEC" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "PX1_2" ) - ( pin "a" + ( objectStatus "D4" ) + ( pin "gnd" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "D4.2" ) + ) + ( pin "\i/o1\(0)" ( attribute "PN" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "PX1_2.1" ) + ( objectStatus "D4.1" ) + ) + ( pin "\i/o1\(1)" + ( attribute "PN" "6" + ( Origin gPackager ) + ) + ( objectStatus "D4.6" ) + ) + ( pin "\i/o2\(0)" + ( attribute "PN" "3" + ( Origin gPackager ) + ) + ( objectStatus "D4.3" ) + ) + ( pin "\i/o2\(1)" + ( attribute "PN" "4" + ( Origin gPackager ) + ) + ( objectStatus "D4.4" ) + ) + ( pin "vbus" + ( attribute "PN" "5" + ( Origin gPackager ) + ) + ( objectStatus "D4.5" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i168" - ( attribute "CDS_LIB" "cnconnector" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):page1_i58" + ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "NEEDS_NO_SIZE" "TRUE" + ( attribute "PACK_TYPE" "0603" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -25337,11 +26764,15 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "ROT" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TYPE" "UFL_R_SMT" + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "100NF" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -25349,43 +26780,57 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-300,-1550)" + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PCOAXSMD" + ( attribute "XY" "(2575,-1875)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "PCOAXSMD-UFL_R_SMT-GND=GND_SIGA" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" ( Origin gPackager ) ) - ( attribute "LOCATION" "PX1_3" - ( Origin gFrontEnd ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C15" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "C15" + ( Origin gPackager ) ) ( attribute "CDS_SEC" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "PX1_3" ) - ( pin "a" + ( objectStatus "C15" ) + ( pin "a(0)" ( attribute "PN" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "PX1_3.1" ) + ( objectStatus "C15.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "C15.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i168" - ( attribute "CDS_LIB" "cnconnector" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):page1_i56" + ( attribute "CDS_LIB" "cndiscrete" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "NEEDS_NO_SIZE" "TRUE" + ( attribute "PACK_TYPE" "SC88" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -25397,7 +26842,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TYPE" "UFL_R_SMT" + ( attribute "TYPE" "PRTR5V0U4Y" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -25405,43 +26850,76 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-300,-1550)" + ( attribute "XY" "(1050,-2450)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PCOAXSMD" + ( attribute "CHIPS_PART_NAME" "PRTR5V0U4Y" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "PCOAXSMD-UFL_R_SMT-GND=GND_SIGA" + ( attribute "CDS_PART_NAME" "PRTR5V0U4Y" ( Origin gPackager ) ) - ( attribute "LOCATION" "PX1_4" - ( Origin gFrontEnd ) + ( attribute "CDS_PHYS_PART_NAME" "PRTR5V0U4Y" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "D2" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "D2" + ( Origin gPackager ) ) ( attribute "CDS_SEC" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "PX1_4" ) - ( pin "a" + ( objectStatus "D2" ) + ( pin "esd1" ( attribute "PN" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "PX1_4.1" ) + ( objectStatus "D2.1" ) + ) + ( pin "esd2" + ( attribute "PN" "3" + ( Origin gPackager ) + ) + ( objectStatus "D2.3" ) + ) + ( pin "esd3" + ( attribute "PN" "4" + ( Origin gPackager ) + ) + ( objectStatus "D2.4" ) + ) + ( pin "esd4" + ( attribute "PN" "6" + ( Origin gPackager ) + ) + ( objectStatus "D2.6" ) + ) + ( pin "gnd" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "D2.2" ) + ) + ( pin "vcc" + ( attribute "PN" "5" + ( Origin gPackager ) + ) + ( objectStatus "D2.5" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i169" - ( attribute "CDS_LIB" "cnconnector" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):page1_i55" + ( attribute "CDS_LIB" "cndiscrete" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "NEEDS_NO_SIZE" "TRUE" + ( attribute "PACK_TYPE" "SC88" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -25449,11 +26927,11 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "2" + ( attribute "ROT" "0" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TYPE" "UFL_R_SMT" + ( attribute "TYPE" "PRTR5V0U4Y" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -25461,43 +26939,84 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(325,-1550)" + ( attribute "XY" "(1025,-250)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PCOAXSMD" + ( attribute "CHIPS_PART_NAME" "PRTR5V0U4Y" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "PCOAXSMD-UFL_R_SMT-GND=GND_SIGA" + ( attribute "CDS_PART_NAME" "PRTR5V0U4Y" ( Origin gPackager ) ) - ( attribute "LOCATION" "PX2_1" - ( Origin gFrontEnd ) + ( attribute "CDS_PHYS_PART_NAME" "PRTR5V0U4Y" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "D1" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "D1" + ( Origin gPackager ) ) ( attribute "CDS_SEC" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "PX2_1" ) - ( pin "a" + ( objectStatus "D1" ) + ( pin "esd1" ( attribute "PN" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "PX2_1.1" ) + ( objectStatus "D1.1" ) + ) + ( pin "esd2" + ( attribute "PN" "3" + ( Origin gPackager ) + ) + ( objectStatus "D1.3" ) + ) + ( pin "esd3" + ( attribute "PN" "4" + ( Origin gPackager ) + ) + ( objectStatus "D1.4" ) + ) + ( pin "esd4" + ( attribute "PN" "6" + ( Origin gPackager ) + ) + ( objectStatus "D1.6" ) + ) + ( pin "gnd" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "D1.2" ) + ) + ( pin "vcc" + ( attribute "PN" "5" + ( Origin gPackager ) + ) + ( objectStatus "D1.5" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i169" - ( attribute "CDS_LIB" "cnconnector" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i65" + ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "NEEDS_NO_SIZE" "TRUE" + ( attribute "LOCATION" "TP11" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "HOLE" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -25505,11 +27024,15 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "2" + ( attribute "ROT" "0" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TYPE" "UFL_R_SMT" + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "0.8MM" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -25517,43 +27040,52 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(325,-1550)" + ( attribute "XY" "(4500,475)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PCOAXSMD" + ( attribute "CHIPS_PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "PCOAXSMD-UFL_R_SMT-GND=GND_SIGA" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "LOCATION" "PX2_2" - ( Origin gFrontEnd ) + ( attribute "CDS_PHYS_PART_NAME" "TP_HOLE-0.8MM" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "TP11" + ( Origin gPackager ) ) ( attribute "CDS_SEC" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "PX2_2" ) - ( pin "a" + ( objectStatus "TP11" ) + ( pin "a(0)" ( attribute "PN" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "PX2_2.1" ) + ( objectStatus "TP11.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i169" - ( attribute "CDS_LIB" "cnconnector" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i66" + ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "NEEDS_NO_SIZE" "TRUE" + ( attribute "LOCATION" "TP12" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "HOLE" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -25561,11 +27093,15 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "2" + ( attribute "ROT" "0" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TYPE" "UFL_R_SMT" + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "0.8MM" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -25573,43 +27109,52 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(325,-1550)" + ( attribute "XY" "(4500,375)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PCOAXSMD" + ( attribute "CHIPS_PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "PCOAXSMD-UFL_R_SMT-GND=GND_SIGA" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "LOCATION" "PX2_3" - ( Origin gFrontEnd ) + ( attribute "CDS_PHYS_PART_NAME" "TP_HOLE-0.8MM" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "TP12" + ( Origin gPackager ) ) ( attribute "CDS_SEC" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "PX2_3" ) - ( pin "a" + ( objectStatus "TP12" ) + ( pin "a(0)" ( attribute "PN" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "PX2_3.1" ) + ( objectStatus "TP12.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i169" - ( attribute "CDS_LIB" "cnconnector" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i67" + ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "NEEDS_NO_SIZE" "TRUE" + ( attribute "LOCATION" "TP4" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "HOLE" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -25617,11 +27162,15 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "2" + ( attribute "ROT" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TYPE" "UFL_R_SMT" + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "0.8MM" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -25629,97 +27178,121 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(325,-1550)" + ( attribute "XY" "(3050,-425)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "PCOAXSMD" + ( attribute "CHIPS_PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "PCOAXSMD-UFL_R_SMT-GND=GND_SIGA" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "LOCATION" "PX2_4" - ( Origin gFrontEnd ) + ( attribute "CDS_PHYS_PART_NAME" "TP_HOLE-0.8MM" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "TP4" + ( Origin gPackager ) ) ( attribute "CDS_SEC" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "PX2_4" ) - ( pin "a" + ( objectStatus "TP4" ) + ( pin "a(0)" ( attribute "PN" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "PX2_4.1" ) + ( objectStatus "TP4.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i98" - ( attribute "CDS_LIB" "cndiscrete" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i68" + ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "SOD123-CA" + ( attribute "LOCATION" "TP9" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "HOLE" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "ROT" "0" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TYPE" "BZT52C2V7" + ( attribute "VALUE" "0.8MM" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(3325,525)" + ( attribute "XY" "(4150,475)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "ZENER" + ( attribute "CHIPS_PART_NAME" "TP" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "ZENER_SOD123-CA-BZT52C2V7" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "LOCATION" "Z4" - ( Origin gFrontEnd ) + ( attribute "CDS_PHYS_PART_NAME" "TP_HOLE-0.8MM" + ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" + ( attribute "CDS_LOCATION" "TP9" ( Origin gPackager ) ) - ( attribute "SEC" "1" + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "Z4" + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "Z4" ) + ( objectStatus "TP9" ) ( pin "a(0)" - ( attribute "PN" "A" - ( Origin gPackager ) - ) - ( objectStatus "Z4.A" ) - ) - ( pin "k(0)" - ( attribute "PN" "C" + ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "Z4.C" ) + ( objectStatus "TP9.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i51" - ( attribute "CDS_LIB" "cndiscrete" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i69" + ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "SOD123-CA" + ( attribute "LOCATION" "TP10" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "HOLE" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -25731,7 +27304,11 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TYPE" "BZT52C2V7" + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "0.8MM" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -25739,21 +27316,21 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(4800,500)" + ( attribute "XY" "(4150,375)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "ZENER" + ( attribute "CHIPS_PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "ZENER_SOD123-CA-BZT52C2V7" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "Z2" + ( attribute "CDS_PHYS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "LOCATION" "Z2" + ( attribute "CDS_LOCATION" "TP10" ( Origin gPackager ) ) ( attribute "CDS_SEC" "1" @@ -25762,26 +27339,29 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "Z2" ) + ( objectStatus "TP10" ) ( pin "a(0)" - ( attribute "PN" "A" - ( Origin gPackager ) - ) - ( objectStatus "Z2.A" ) - ) - ( pin "k(0)" - ( attribute "PN" "C" + ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "Z2.C" ) + ( objectStatus "TP10.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i51" - ( attribute "CDS_LIB" "cndiscrete" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i70" + ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "SOD123-CA" + ( attribute "LOCATION" "TP3" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "HOLE" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -25789,11 +27369,15 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "ROT" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TYPE" "BZT52C2V7" + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "0.8MM" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -25801,21 +27385,21 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(4800,500)" + ( attribute "XY" "(2900,-425)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "ZENER" + ( attribute "CHIPS_PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "ZENER_SOD123-CA-BZT52C2V7" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "Z3" + ( attribute "CDS_PHYS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "LOCATION" "Z3" + ( attribute "CDS_LOCATION" "TP3" ( Origin gPackager ) ) ( attribute "CDS_SEC" "1" @@ -25824,26 +27408,29 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "Z3" ) + ( objectStatus "TP3" ) ( pin "a(0)" - ( attribute "PN" "A" - ( Origin gPackager ) - ) - ( objectStatus "Z3.A" ) - ) - ( pin "k(0)" - ( attribute "PN" "C" + ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "Z3.C" ) + ( objectStatus "TP3.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i51" - ( attribute "CDS_LIB" "cndiscrete" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i71" + ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "SOD123-CA" + ( attribute "LOCATION" "TP7" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "HOLE" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -25855,7 +27442,11 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TYPE" "BZT52C2V7" + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "0.8MM" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -25863,57 +27454,52 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(4800,500)" + ( attribute "XY" "(3550,-475)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "ZENER" + ( attribute "CHIPS_PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "ZENER_SOD123-CA-BZT52C2V7" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "LOCATION" "Z1" - ( Origin gFrontEnd ) + ( attribute "CDS_PHYS_PART_NAME" "TP_HOLE-0.8MM" + ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" + ( attribute "CDS_LOCATION" "TP7" ( Origin gPackager ) ) - ( attribute "SEC" "1" + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "Z1" + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "Z1" ) + ( objectStatus "TP7" ) ( pin "a(0)" - ( attribute "PN" "A" - ( Origin gPackager ) - ) - ( objectStatus "Z1.A" ) - ) - ( pin "k(0)" - ( attribute "PN" "C" + ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "Z1.C" ) + ( objectStatus "TP7.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i70" - ( attribute "CDS_LIB" "bris_cds_analogue" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i72" + ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,125,125,-125" + ( attribute "LOCATION" "TP8" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "MANUF" "TEXAS INSTRUMENTS" + ( attribute "PACK_TYPE" "HOLE" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "SOT23-5" + ( attribute "PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -25921,11 +27507,15 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "ROT" "2" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "1.3V" + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "0.8MM" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -25933,21 +27523,21 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-2625,125)" + ( attribute "XY" "(3700,-550)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "LP5951" + ( attribute "CHIPS_PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "LP5951_SOT23-5-1.3V,TEXAS INSTA" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "U4" + ( attribute "CDS_PHYS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "LOCATION" "U4" + ( attribute "CDS_LOCATION" "TP8" ( Origin gPackager ) ) ( attribute "CDS_SEC" "1" @@ -25956,38 +27546,29 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "U4" ) - ( pin "gnd" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "U4.2" ) - ) - ( pin "\on/off\" - ( attribute "PN" "3" - ( Origin gPackager ) - ) - ( objectStatus "U4.3" ) - ) - ( pin "vin" + ( objectStatus "TP8" ) + ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "U4.1" ) - ) - ( pin "vout" - ( attribute "PN" "5" - ( Origin gPackager ) - ) - ( objectStatus "U4.5" ) + ( objectStatus "TP8.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i73" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i73" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "0603" + ( attribute "LOCATION" "TP5" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "HOLE" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -25995,7 +27576,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "ROT" "2" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -26003,7 +27584,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "1UF" + ( attribute "VALUE" "0.8MM" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -26011,24 +27592,22 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "16V" - ( Units "uVoltage" "V" 1.000000) + ( attribute "XY" "(3200,225)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-2925,-125)" + ( attribute "CHIPS_PART_NAME" "TP" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" - ( Status sBlockFlattened ) + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-1UF,16V" + ( attribute "CDS_PHYS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "LOCATION" "C29" - ( Origin gFrontEnd ) + ( attribute "CDS_LOCATION" "TP5" + ( Origin gPackager ) ) ( attribute "CDS_SEC" "1" ( Origin gPackager ) @@ -26036,37 +27615,29 @@ ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "C29" - ( Origin gPackager ) - ) - ( objectStatus "C29" ) + ( objectStatus "TP5" ) ( pin "a(0)" ( attribute "PN" "1" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C29.1" ) - ) - ( pin "b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "C29.2" ) + ( objectStatus "TP5.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i40" - ( attribute "CDS_LIB" "bris_cds_special" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i74" + ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,125,125,-125" + ( attribute "LOCATION" "TP6" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "MANUF" "LINEAR TECHNOLOGY" + ( attribute "PACK_TYPE" "HOLE" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "SOT_223" + ( attribute "PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -26074,7 +27645,15 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "6" + ( attribute "ROT" "2" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "0.8MM" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -26082,68 +27661,51 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(1700,825)" + ( attribute "XY" "(3200,125)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "LT1175" + ( attribute "CHIPS_PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "LT1175_SOT_223" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "LOCATION" "REG1_6" - ( Origin gFrontEnd ) + ( attribute "CDS_PHYS_PART_NAME" "TP_HOLE-0.8MM" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "TP6" + ( Origin gPackager ) ) ( attribute "CDS_SEC" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "REG1_6" ) - ( pin "gnd" - ( attribute "PN" "3" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "REG1_6.3" ) - ) - ( pin "v_in0" - ( attribute "PN" "2" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "REG1_6.2" ) - ) - ( pin "v_in1" - ( attribute "PN" "4" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "REG1_6.4" ) - ) - ( pin "v_out" + ( objectStatus "TP6" ) + ( pin "a(0)" ( attribute "PN" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "REG1_6.1" ) + ( objectStatus "TP6.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i42" - ( attribute "CDS_LIB" "cndiscrete" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i75" + ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "MODEL" "WURTH" + ( attribute "LOCATION" "TP2" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "HOLE" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "SMD" + ( attribute "PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -26151,11 +27713,15 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "ROT" "2" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "7427921" + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "0.8MM" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -26163,40 +27729,51 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(625,2900)" + ( attribute "XY" "(2850,25)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "FERRITE" + ( attribute "CHIPS_PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "FERRITE_SMD-7427921,WURTH" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "LOCATION" "L2_6" - ( Origin gFrontEnd ) + ( attribute "CDS_PHYS_PART_NAME" "TP_HOLE-0.8MM" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "TP2" + ( Origin gPackager ) ) ( attribute "CDS_SEC" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "L2_6" ) + ( objectStatus "TP2" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "TP2.1" ) + ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i44" - ( attribute "CDS_LIB" "cndiscrete" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i76" + ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "MODEL" "WURTH" + ( attribute "LOCATION" "TP1" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "HOLE" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "SMD" + ( attribute "PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -26204,11 +27781,15 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "ROT" "2" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "7427921" + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "0.8MM" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -26216,124 +27797,120 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(625,875)" + ( attribute "XY" "(2850,125)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "FERRITE" + ( attribute "CHIPS_PART_NAME" "TP" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "FERRITE_SMD-7427921,WURTH" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "LOCATION" "L3_6" - ( Origin gFrontEnd ) - ) - ( attribute "CDS_SEC" "1" - ( Status sBlockFlattened ) + ( attribute "CDS_PHYS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "SEC" "1" - ( Status sBlockFlattened ) + ( attribute "CDS_LOCATION" "TP1" ( Origin gPackager ) ) - ( objectStatus "L3_6" ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i50" - ( attribute "CDS_LIB" "bris_cds_analogue" - ( Status sBlockFlattened ) + ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-150,200,150,-150" - ( Status sBlockFlattened ) + ( attribute "SEC" "1" ( Origin gPackager ) ) - ( attribute "KL_COMMENTS" "-" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( objectStatus "TP1" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "TP1.1" ) ) - ( attribute "MANUF" "LINEAR" + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):page1_i9" + ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) - ( attribute "OL_COMMENTS" "-" + ( attribute "PACK_TYPE" "0603" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "SOT223" + ( attribute "PHYS_PAGE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PART_NAME" "lt1129CST-5" + ( attribute "ROT" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_DES_PREFIX" "VR" + ( attribute "SIZE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" + ( attribute "VALUE" "100NF" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PL_COMMENTS" "-" + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" + ( attribute "XY" "(2175,-1875)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) - ( attribute "XY" "(1700,2850)" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( Origin gPackager ) ) - ( attribute "CHIPS_PART_NAME" "LT1129CST-5" - ( Status sBlockFlattened ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "LT1129CST-5_SOT223-LINEAR" + ( attribute "CDS_LOCATION" "C14" ( Origin gPackager ) ) - ( attribute "LOCATION" "VR1_6" - ( Origin gFrontEnd ) + ( attribute "LOCATION" "C14" + ( Origin gPackager ) ) ( attribute "CDS_SEC" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "VR1_6" ) - ( pin "gnd" - ( objectStatus "VR1_6.2" ) - ) - ( pin "tab" - ( objectStatus "VR1_6.4" ) - ) - ( pin "vin" - ( objectStatus "VR1_6.1" ) + ( objectStatus "C14" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "C14.1" ) ) - ( pin "vout" - ( objectStatus "VR1_6.3" ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "C14.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i52" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i90" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) + ( attribute "LOCATION" "C13" + ( Origin gPackager ) + ) ( attribute "PACK_TYPE" "0805" ( Status sBlockFlattened ) ( Origin gFrontEnd ) @@ -26363,7 +27940,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(1100,2750)" + ( attribute "XY" "(5950,-1900)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -26374,38 +27951,43 @@ ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0805-4.7UF,10V" ( Origin gPackager ) ) - ( attribute "LOCATION" "C9_6" - ( Origin gFrontEnd ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0805-4.7UF,10V" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C13" + ( Origin gPackager ) ) ( attribute "CDS_SEC" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C9_6" ) + ( objectStatus "C13" ) ( pin "a(0)" ( attribute "PN" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C9_6.1" ) + ( objectStatus "C13.1" ) ) ( pin "b(0)" ( attribute "PN" "2" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C9_6.2" ) + ( objectStatus "C13.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i54" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i91" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) + ( attribute "LOCATION" "C12" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) ( attribute "PACK_TYPE" "0805" ( Status sBlockFlattened ) ( Origin gFrontEnd ) @@ -26435,7 +28017,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(1100,725)" + ( attribute "XY" "(4675,-1425)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -26446,39 +28028,40 @@ ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0805-4.7UF,10V" ( Origin gPackager ) ) - ( attribute "LOCATION" "C10_6" - ( Origin gFrontEnd ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0805-4.7UF,10V" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C12" + ( Origin gPackager ) ) ( attribute "CDS_SEC" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C10_6" ) + ( objectStatus "C12" ) ( pin "a(0)" ( attribute "PN" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C10_6.1" ) + ( objectStatus "C12.1" ) ) ( pin "b(0)" ( attribute "PN" "2" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C10_6.2" ) + ( objectStatus "C12.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i56" - ( attribute "CDS_LIB" "cnpassive" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i109" + ( attribute "CDS_LIB" "cndiscrete" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "0805" + ( attribute "PACK_TYPE" "4312" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -26486,15 +28069,15 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "ROT" "0" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" + ( attribute "TYPE" "744231091" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "4.7UF" + ( attribute "VALUE" "90OHM" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -26502,55 +28085,64 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "10V" - ( Units "uVoltage" "V" 1.000000) + ( attribute "XY" "(-1025,-2375)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2475,2750)" + ( attribute "CHIPS_PART_NAME" "COMMON_MODE_LINE_FILTER" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" - ( Status sBlockFlattened ) + ( attribute "CDS_PART_NAME" "COMMON_MODE_LINE_FILTER_4312-7A" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0805-4.7UF,10V" + ( attribute "CDS_PHYS_PART_NAME" "COMMON_MODE_LINE_FILTER_4312-7A" ( Origin gPackager ) ) - ( attribute "LOCATION" "C12_6" - ( Origin gFrontEnd ) + ( attribute "CDS_LOCATION" "L5" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "L5" + ( Origin gPackager ) ) ( attribute "CDS_SEC" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C12_6" ) - ( pin "a(0)" - ( attribute "PN" "1" - ( Status sBlockFlattened ) + ( objectStatus "L5" ) + ( pin "\1\(0)" + ( attribute "PN" "3" ( Origin gPackager ) ) - ( objectStatus "C12_6.1" ) + ( objectStatus "L5.3" ) ) - ( pin "b(0)" + ( pin "\1dot\(0)" + ( attribute "PN" "4" + ( Origin gPackager ) + ) + ( objectStatus "L5.4" ) + ) + ( pin "\2\(0)" ( attribute "PN" "2" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C12_6.2" ) + ( objectStatus "L5.2" ) + ) + ( pin "\2dot\(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "L5.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i58" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i110" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "0805" + ( attribute "PACK_TYPE" "1206_TC164" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -26558,7 +28150,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "ROT" "0" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -26566,79 +28158,84 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "4.7UF" + ( attribute "TOL" "1%" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VALUE" "47" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "10V" - ( Units "uVoltage" "V" 1.000000) + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2450,675)" + ( attribute "XY" "(-625,-2325)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( attribute "CHIPS_PART_NAME" "RES_ARRAY_X4" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0805-4.7UF,10V" + ( attribute "CDS_PART_NAME" "RES_ARRAY_X4_1206_TC164-47,1%" ( Origin gPackager ) ) - ( attribute "LOCATION" "C11_6" - ( Origin gFrontEnd ) + ( attribute "CDS_PHYS_PART_NAME" "RES_ARRAY_X4_1206_TC164-47,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "RN2" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "RN2" + ( Origin gPackager ) ) ( attribute "CDS_SEC" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C11_6" ) + ( objectStatus "RN2" ) ( pin "a(0)" - ( attribute "PN" "1" - ( Status sBlockFlattened ) + ( attribute "PN" "4" ( Origin gPackager ) ) - ( objectStatus "C11_6.1" ) + ( objectStatus "RN2.4" ) ) ( pin "b(0)" - ( attribute "PN" "2" - ( Status sBlockFlattened ) + ( attribute "PN" "5" ( Origin gPackager ) ) - ( objectStatus "C11_6.2" ) + ( objectStatus "RN2.5" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i66" - ( attribute "CDS_LIB" "cndiscrete" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i111" + ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "MODEL" "MURATA" + ( attribute "PACK_TYPE" "1206_TC164" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "SMD" + ( attribute "PHYS_PAGE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" + ( attribute "ROT" "0" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "SIZE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "BLM41P800S" + ( attribute "TOL" "1%" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "47" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -26646,36 +28243,52 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-3100,2375)" + ( attribute "XY" "(-475,-2425)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "FERRITE" + ( attribute "CHIPS_PART_NAME" "RES_ARRAY_X4" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "FERRITE_SMD-BLM41P800S,MURATA" + ( attribute "CDS_PART_NAME" "RES_ARRAY_X4_1206_TC164-47,1%" ( Origin gPackager ) ) - ( attribute "LOCATION" "L1_6" - ( Origin gFrontEnd ) + ( attribute "CDS_PHYS_PART_NAME" "RES_ARRAY_X4_1206_TC164-47,1%" + ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" - ( Status sBlockFlattened ) + ( attribute "CDS_LOCATION" "RN3" ( Origin gPackager ) ) - ( attribute "SEC" "1" - ( Status sBlockFlattened ) + ( attribute "LOCATION" "RN3" ( Origin gPackager ) ) - ( objectStatus "L1_6" ) + ( attribute "CDS_SEC" "2" + ( Origin gPackager ) + ) + ( attribute "SEC" "2" + ( Origin gPackager ) + ) + ( objectStatus "RN3" ) + ( pin "a(0)" + ( attribute "PN" "3" + ( Origin gPackager ) + ) + ( objectStatus "RN3.3" ) + ) + ( pin "b(0)" + ( attribute "PN" "6" + ( Origin gPackager ) + ) + ( objectStatus "RN3.6" ) + ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i70" - ( attribute "CDS_LIB" "cnlinear" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i112" + ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "BGA" + ( attribute "DIELECTRIC" "X5R" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -26687,7 +28300,15 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TYPE" "LTM8047EY#PBF" + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "TYPE" "GNM21" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "1UF" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -26695,351 +28316,312 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-725,1550)" + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "LTM8047" + ( attribute "XY" "(-200,-2375)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPN4I" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "LTM8047EY#PBF" + ( attribute "CDS_PART_NAME" "CAPN4I-1UF,16V,X5R,GNM21" ( Origin gPackager ) ) - ( attribute "LOCATION" "RG1_6" - ( Origin gFrontEnd ) + ( attribute "CDS_PHYS_PART_NAME" "CAPN4I-1UF,16V,X5R,GNM21" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "CN2" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "CN2" + ( Origin gPackager ) ) ( attribute "CDS_SEC" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "RG1_6" ) - ( pin "adj" - ( attribute "PN" "G7" - ( Status sBlockFlattened ) + ( objectStatus "CN2" ) + ( pin "a(0)" + ( attribute "PN" "1" ( Origin gPackager ) ) - ( objectStatus "RG1_6.G7" ) + ( objectStatus "CN2.1" ) ) - ( pin "bias" - ( attribute "PN" "H5" - ( Status sBlockFlattened ) + ( pin "b(0)" + ( attribute "PN" "2" ( Origin gPackager ) ) - ( objectStatus "RG1_6.H5" ) + ( objectStatus "CN2.2" ) ) - ( pin "gnd(0)" - ( attribute "PN" "E1" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.E1" ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i116" + ( attribute "CDS_LIB" "cninterface" + ( Status sBlockFlattened ) + ( Origin gPackager ) ) - ( pin "gnd(1)" - ( attribute "PN" "E2" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.E2" ) + ( attribute "PACK_TYPE" "VQFN" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( pin "gnd(2)" - ( attribute "PN" "E3" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.E3" ) + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( pin "gnd(3)" - ( attribute "PN" "E4" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.E4" ) + ( attribute "ROT" "2" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( pin "gnd(4)" - ( attribute "PN" "E5" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.E5" ) + ( attribute "TYPE" "SN65MLVD040RGZ" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( pin "gnd(5)" - ( attribute "PN" "E6" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.E6" ) + ( attribute "VER" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( pin "gnd(6)" - ( attribute "PN" "E7" - ( Status sBlockFlattened ) + ( attribute "XY" "(475,-2400)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "SN65MLVD040" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "SN65MLVD040RGZ-GND=GND_SIGNAL;A" + ( Origin gPackager ) + ) + ( attribute "CDS_PHYS_PART_NAME" "SN65MLVD040RGZ-GND=GND_SIGNAL,A" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "IC2" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "IC2" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "IC2" ) + ( pin "a(0)" + ( attribute "PN" "47" ( Origin gPackager ) ) - ( objectStatus "RG1_6.E7" ) + ( objectStatus "IC2.47" ) ) - ( pin "gnd(7)" - ( attribute "PN" "F4" - ( Status sBlockFlattened ) + ( pin "\b*\(0)" + ( attribute "PN" "48" ( Origin gPackager ) ) - ( objectStatus "RG1_6.F4" ) + ( objectStatus "IC2.48" ) ) - ( pin "gnd(8)" - ( attribute "PN" "F5" - ( Status sBlockFlattened ) + ( pin "d(0)" + ( attribute "PN" "35" ( Origin gPackager ) ) - ( objectStatus "RG1_6.F5" ) + ( objectStatus "IC2.35" ) ) - ( pin "gnd(9)" - ( attribute "PN" "F6" - ( Status sBlockFlattened ) + ( pin "de(0)" + ( attribute "PN" "1" ( Origin gPackager ) ) - ( objectStatus "RG1_6.F6" ) + ( objectStatus "IC2.1" ) ) - ( pin "gnd(10)" - ( attribute "PN" "F7" - ( Status sBlockFlattened ) + ( pin "fsen(0)" + ( attribute "PN" "39" ( Origin gPackager ) ) - ( objectStatus "RG1_6.F7" ) + ( objectStatus "IC2.39" ) ) - ( pin "gnd(11)" - ( attribute "PN" "G4" - ( Status sBlockFlattened ) + ( pin "pdn" + ( attribute "PN" "30" ( Origin gPackager ) ) - ( objectStatus "RG1_6.G4" ) + ( objectStatus "IC2.30" ) ) - ( pin "gnd(12)" - ( attribute "PN" "G5" - ( Status sBlockFlattened ) + ( pin "r(0)" + ( attribute "PN" "36" ( Origin gPackager ) ) - ( objectStatus "RG1_6.G5" ) + ( objectStatus "IC2.36" ) ) - ( pin "gnd(13)" - ( attribute "PN" "G6" - ( Status sBlockFlattened ) + ( pin "\re*\(0)" + ( attribute "PN" "40" ( Origin gPackager ) ) - ( objectStatus "RG1_6.G6" ) + ( objectStatus "IC2.40" ) ) - ( pin "gnd(14)" - ( attribute "PN" "H4" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.H4" ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i121" + ( attribute "CDS_LIB" "cndiscrete" + ( Status sBlockFlattened ) + ( Origin gPackager ) ) - ( pin "gnd(15)" - ( attribute "PN" "H7" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.H7" ) + ( attribute "PACK_TYPE" "4312" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( pin "run" - ( attribute "PN" "F3" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.F3" ) + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( pin "ss" - ( attribute "PN" "H6" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.H6" ) + ( attribute "ROT" "0" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( pin "vin(0)" - ( attribute "PN" "H1" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.H1" ) + ( attribute "TYPE" "744231091" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( pin "vin(1)" - ( attribute "PN" "H2" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.H2" ) + ( attribute "VALUE" "90OHM" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( pin "vin(2)" - ( attribute "PN" "G1" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.G1" ) + ( attribute "VER" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( pin "vin(3)" - ( attribute "PN" "G2" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.G2" ) + ( attribute "XY" "(-1025,-1575)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( pin "vout(0)" - ( attribute "PN" "A6" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.A6" ) + ( attribute "CHIPS_PART_NAME" "COMMON_MODE_LINE_FILTER" + ( Status sBlockFlattened ) + ( Origin gPackager ) ) - ( pin "vout(1)" - ( attribute "PN" "A7" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.A7" ) + ( attribute "CDS_PART_NAME" "COMMON_MODE_LINE_FILTER_4312-7A" + ( Origin gPackager ) ) - ( pin "vout(2)" - ( attribute "PN" "B6" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.B6" ) + ( attribute "CDS_PHYS_PART_NAME" "COMMON_MODE_LINE_FILTER_4312-7A" + ( Origin gPackager ) ) - ( pin "vout(3)" - ( attribute "PN" "B7" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.B7" ) + ( attribute "CDS_LOCATION" "L4" + ( Origin gPackager ) ) - ( pin "vout(4)" - ( attribute "PN" "C6" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.C6" ) + ( attribute "LOCATION" "L4" + ( Origin gPackager ) ) - ( pin "vout(5)" - ( attribute "PN" "C7" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.C7" ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) ) - ( pin "\vout-\(0)" - ( attribute "PN" "A1" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.A1" ) + ( attribute "SEC" "1" + ( Origin gPackager ) ) - ( pin "\vout-\(1)" - ( attribute "PN" "A2" - ( Status sBlockFlattened ) + ( objectStatus "L4" ) + ( pin "\1\(0)" + ( attribute "PN" "3" ( Origin gPackager ) ) - ( objectStatus "RG1_6.A2" ) + ( objectStatus "L4.3" ) ) - ( pin "\vout-\(2)" - ( attribute "PN" "A3" - ( Status sBlockFlattened ) + ( pin "\1dot\(0)" + ( attribute "PN" "4" ( Origin gPackager ) ) - ( objectStatus "RG1_6.A3" ) + ( objectStatus "L4.4" ) ) - ( pin "\vout-\(3)" - ( attribute "PN" "A4" - ( Status sBlockFlattened ) + ( pin "\2\(0)" + ( attribute "PN" "2" ( Origin gPackager ) ) - ( objectStatus "RG1_6.A4" ) + ( objectStatus "L4.2" ) ) - ( pin "\vout-\(4)" - ( attribute "PN" "A5" - ( Status sBlockFlattened ) + ( pin "\2dot\(0)" + ( attribute "PN" "1" ( Origin gPackager ) ) - ( objectStatus "RG1_6.A5" ) + ( objectStatus "L4.1" ) ) - ( pin "\vout-\(5)" - ( attribute "PN" "B1" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.B1" ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i122" + ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) + ( Origin gPackager ) ) - ( pin "\vout-\(6)" - ( attribute "PN" "B2" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.B2" ) + ( attribute "PACK_TYPE" "1206_TC164" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( pin "\vout-\(7)" - ( attribute "PN" "B3" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.B3" ) + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( pin "\vout-\(8)" - ( attribute "PN" "B4" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.B4" ) + ( attribute "ROT" "0" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( pin "\vout-\(9)" - ( attribute "PN" "B5" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.B5" ) + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( pin "\vout-\(10)" - ( attribute "PN" "C1" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.C1" ) + ( attribute "TOL" "1%" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( pin "\vout-\(11)" - ( attribute "PN" "C2" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.C2" ) + ( attribute "VALUE" "47" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( pin "\vout-\(12)" - ( attribute "PN" "C3" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG1_6.C3" ) + ( attribute "VER" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( pin "\vout-\(13)" - ( attribute "PN" "C4" - ( Status sBlockFlattened ) + ( attribute "XY" "(-625,-1525)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "RES_ARRAY_X4" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "RES_ARRAY_X4_1206_TC164-47,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_PHYS_PART_NAME" "RES_ARRAY_X4_1206_TC164-47,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "RN1" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "RN1" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "4" + ( Origin gPackager ) + ) + ( attribute "SEC" "4" + ( Origin gPackager ) + ) + ( objectStatus "RN1" ) + ( pin "a(0)" + ( attribute "PN" "1" ( Origin gPackager ) ) - ( objectStatus "RG1_6.C4" ) + ( objectStatus "RN1.1" ) ) - ( pin "\vout-\(14)" - ( attribute "PN" "C5" - ( Status sBlockFlattened ) + ( pin "b(0)" + ( attribute "PN" "8" ( Origin gPackager ) ) - ( objectStatus "RG1_6.C5" ) + ( objectStatus "RN1.8" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i72" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i123" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "1210" + ( attribute "PACK_TYPE" "1206_TC164" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -27047,7 +28629,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "ROT" "0" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -27055,175 +28637,243 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "4.7UF" + ( attribute "TOL" "1%" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VALUE" "47" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "50V" - ( Units "uVoltage" "V" 1.000000) + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-1825,1525)" + ( attribute "XY" "(-475,-1625)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( attribute "CHIPS_PART_NAME" "RES_ARRAY_X4" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210-4.7UF,50V" + ( attribute "CDS_PART_NAME" "RES_ARRAY_X4_1206_TC164-47,1%" ( Origin gPackager ) ) - ( attribute "LOCATION" "C5_6" - ( Origin gFrontEnd ) + ( attribute "CDS_PHYS_PART_NAME" "RES_ARRAY_X4_1206_TC164-47,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "RN3" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "RN3" + ( Origin gPackager ) ) ( attribute "CDS_SEC" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C5_6" ) + ( objectStatus "RN3" ) ( pin "a(0)" - ( attribute "PN" "1" - ( Status sBlockFlattened ) + ( attribute "PN" "4" ( Origin gPackager ) ) - ( objectStatus "C5_6.1" ) + ( objectStatus "RN3.4" ) ) ( pin "b(0)" - ( attribute "PN" "2" - ( Status sBlockFlattened ) + ( attribute "PN" "5" ( Origin gPackager ) ) - ( objectStatus "C5_6.2" ) + ( objectStatus "RN3.5" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i74" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i124" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "DIST" "FLAT" + ( attribute "DIELECTRIC" "X5R" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "MAX_TEMP" "RTMAX" + ( attribute "PHYS_PAGE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "NEGTOL" "RTOL%" + ( attribute "ROT" "0" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "1/10W" + ( attribute "SIZE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" + ( attribute "TYPE" "GNM21" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POSTOL" "RTOL%" + ( attribute "VALUE" "1UF" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POWER" "RMAX" + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" + ( attribute "XY" "(-200,-1575)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SLOPE" "RSMAX" + ( attribute "CHIPS_PART_NAME" "CAPN4I" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) - ( attribute "TC1" "RTMPL" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( attribute "CDS_PART_NAME" "CAPN4I-1UF,16V,X5R,GNM21" + ( Origin gPackager ) ) - ( attribute "TC2" "RTMPQ" + ( attribute "CDS_PHYS_PART_NAME" "CAPN4I-1UF,16V,X5R,GNM21" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "CN1" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "CN1" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "4" + ( Origin gPackager ) + ) + ( attribute "SEC" "4" + ( Origin gPackager ) + ) + ( objectStatus "CN1" ) + ( pin "a(0)" + ( attribute "PN" "7" + ( Origin gPackager ) + ) + ( objectStatus "CN1.7" ) + ) + ( pin "b(0)" + ( attribute "PN" "8" + ( Origin gPackager ) + ) + ( objectStatus "CN1.8" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i128" + ( attribute "CDS_LIB" "cninterface" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) - ( attribute "TOL" "1%" + ( attribute "PACK_TYPE" "VQFN" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TOL_ON_OFF" "ON" + ( attribute "PHYS_PAGE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "6.19K" + ( attribute "ROT" "2" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "2" + ( attribute "TYPE" "SN65MLVD040RGZ" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "RVMAX" - ( Units "uVoltage" "V" 1.000000) + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-1300,1250)" + ( attribute "XY" "(475,-1600)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( attribute "CHIPS_PART_NAME" "SN65MLVD040" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-6.19K,1%" + ( attribute "CDS_PART_NAME" "SN65MLVD040RGZ-GND=GND_SIGNAL;A" ( Origin gPackager ) ) - ( attribute "LOCATION" "R2_6" - ( Origin gFrontEnd ) + ( attribute "CDS_PHYS_PART_NAME" "SN65MLVD040RGZ-GND=GND_SIGNAL,A" + ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" - ( Status sBlockFlattened ) + ( attribute "CDS_LOCATION" "IC1" ( Origin gPackager ) ) - ( attribute "SEC" "1" - ( Status sBlockFlattened ) + ( attribute "LOCATION" "IC1" ( Origin gPackager ) ) - ( objectStatus "R2_6" ) + ( attribute "CDS_SEC" "4" + ( Origin gPackager ) + ) + ( attribute "SEC" "4" + ( Origin gPackager ) + ) + ( objectStatus "IC1" ) ( pin "a(0)" - ( attribute "PN" "1" - ( Status sBlockFlattened ) + ( attribute "PN" "13" ( Origin gPackager ) ) - ( objectStatus "R2_6.1" ) + ( objectStatus "IC1.13" ) ) - ( pin "b(0)" - ( attribute "PN" "2" - ( Status sBlockFlattened ) + ( pin "\b*\(0)" + ( attribute "PN" "14" ( Origin gPackager ) ) - ( objectStatus "R2_6.2" ) + ( objectStatus "IC1.14" ) + ) + ( pin "d(0)" + ( attribute "PN" "25" + ( Origin gPackager ) + ) + ( objectStatus "IC1.25" ) + ) + ( pin "de(0)" + ( attribute "PN" "12" + ( Origin gPackager ) + ) + ( objectStatus "IC1.12" ) + ) + ( pin "fsen(0)" + ( attribute "PN" "22" + ( Origin gPackager ) + ) + ( objectStatus "IC1.22" ) + ) + ( pin "pdn" + ( attribute "PN" "30" + ( Origin gPackager ) + ) + ( objectStatus "IC1.30" ) + ) + ( pin "r(0)" + ( attribute "PN" "26" + ( Origin gPackager ) + ) + ( objectStatus "IC1.26" ) + ) + ( pin "\re*\(0)" + ( attribute "PN" "21" + ( Origin gPackager ) + ) + ( objectStatus "IC1.21" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i79" - ( attribute "CDS_LIB" "cnpassive" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i133" + ( attribute "CDS_LIB" "cndiscrete" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "1210" + ( attribute "PACK_TYPE" "4312" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -27231,15 +28881,15 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "ROT" "0" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" + ( attribute "TYPE" "744231091" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "4.7UF" + ( attribute "VALUE" "90OHM" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -27247,55 +28897,64 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "50V" - ( Units "uVoltage" "V" 1.000000) + ( attribute "XY" "(-1025,-775)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-3550,2075)" + ( attribute "CHIPS_PART_NAME" "COMMON_MODE_LINE_FILTER" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" - ( Status sBlockFlattened ) + ( attribute "CDS_PART_NAME" "COMMON_MODE_LINE_FILTER_4312-7A" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210-4.7UF,50V" + ( attribute "CDS_PHYS_PART_NAME" "COMMON_MODE_LINE_FILTER_4312-7A" ( Origin gPackager ) ) - ( attribute "LOCATION" "C1_6" - ( Origin gFrontEnd ) + ( attribute "CDS_LOCATION" "L3" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "L3" + ( Origin gPackager ) ) ( attribute "CDS_SEC" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C1_6" ) - ( pin "a(0)" - ( attribute "PN" "1" - ( Status sBlockFlattened ) + ( objectStatus "L3" ) + ( pin "\1\(0)" + ( attribute "PN" "3" ( Origin gPackager ) ) - ( objectStatus "C1_6.1" ) + ( objectStatus "L3.3" ) ) - ( pin "b(0)" + ( pin "\1dot\(0)" + ( attribute "PN" "4" + ( Origin gPackager ) + ) + ( objectStatus "L3.4" ) + ) + ( pin "\2\(0)" ( attribute "PN" "2" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C1_6.2" ) + ( objectStatus "L3.2" ) + ) + ( pin "\2dot\(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "L3.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i81" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i134" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "1210" + ( attribute "PACK_TYPE" "1206_TC164" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -27303,7 +28962,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "ROT" "0" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -27311,63 +28970,64 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "4.7UF" + ( attribute "TOL" "1%" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VALUE" "47" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "50V" - ( Units "uVoltage" "V" 1.000000) + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-2725,2200)" + ( attribute "XY" "(-625,-725)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( attribute "CHIPS_PART_NAME" "RES_ARRAY_X4" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210-4.7UF,50V" + ( attribute "CDS_PART_NAME" "RES_ARRAY_X4_1206_TC164-47,1%" ( Origin gPackager ) ) - ( attribute "LOCATION" "C2_6" - ( Origin gFrontEnd ) + ( attribute "CDS_PHYS_PART_NAME" "RES_ARRAY_X4_1206_TC164-47,1%" + ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" - ( Status sBlockFlattened ) + ( attribute "CDS_LOCATION" "RN1" ( Origin gPackager ) ) - ( attribute "SEC" "1" - ( Status sBlockFlattened ) + ( attribute "LOCATION" "RN1" ( Origin gPackager ) ) - ( objectStatus "C2_6" ) + ( attribute "CDS_SEC" "3" + ( Origin gPackager ) + ) + ( attribute "SEC" "3" + ( Origin gPackager ) + ) + ( objectStatus "RN1" ) ( pin "a(0)" - ( attribute "PN" "1" - ( Status sBlockFlattened ) + ( attribute "PN" "2" ( Origin gPackager ) ) - ( objectStatus "C2_6.1" ) + ( objectStatus "RN1.2" ) ) ( pin "b(0)" - ( attribute "PN" "2" - ( Status sBlockFlattened ) + ( attribute "PN" "7" ( Origin gPackager ) ) - ( objectStatus "C2_6.2" ) + ( objectStatus "RN1.7" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i82" - ( attribute "CDS_LIB" "cnlinear" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i135" + ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "BGA" + ( attribute "PACK_TYPE" "1206_TC164" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -27379,7 +29039,15 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TYPE" "LTM8047EY#PBF" + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "TOL" "1%" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "47" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -27387,351 +29055,231 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-700,2700)" + ( attribute "XY" "(-475,-825)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "LTM8047" + ( attribute "CHIPS_PART_NAME" "RES_ARRAY_X4" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "LTM8047EY#PBF" + ( attribute "CDS_PART_NAME" "RES_ARRAY_X4_1206_TC164-47,1%" ( Origin gPackager ) ) - ( attribute "LOCATION" "RG2_6" - ( Origin gFrontEnd ) + ( attribute "CDS_PHYS_PART_NAME" "RES_ARRAY_X4_1206_TC164-47,1%" + ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" - ( Status sBlockFlattened ) + ( attribute "CDS_LOCATION" "RN2" ( Origin gPackager ) ) - ( attribute "SEC" "1" - ( Status sBlockFlattened ) + ( attribute "LOCATION" "RN2" ( Origin gPackager ) ) - ( objectStatus "RG2_6" ) - ( pin "adj" - ( attribute "PN" "G7" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.G7" ) + ( attribute "CDS_SEC" "4" + ( Origin gPackager ) ) - ( pin "bias" - ( attribute "PN" "H5" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.H5" ) + ( attribute "SEC" "4" + ( Origin gPackager ) ) - ( pin "gnd(0)" - ( attribute "PN" "E1" - ( Status sBlockFlattened ) + ( objectStatus "RN2" ) + ( pin "a(0)" + ( attribute "PN" "1" ( Origin gPackager ) ) - ( objectStatus "RG2_6.E1" ) + ( objectStatus "RN2.1" ) ) - ( pin "gnd(1)" - ( attribute "PN" "E2" - ( Status sBlockFlattened ) + ( pin "b(0)" + ( attribute "PN" "8" ( Origin gPackager ) ) - ( objectStatus "RG2_6.E2" ) + ( objectStatus "RN2.8" ) ) - ( pin "gnd(2)" - ( attribute "PN" "E3" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.E3" ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i136" + ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) + ( Origin gPackager ) ) - ( pin "gnd(3)" - ( attribute "PN" "E4" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.E4" ) + ( attribute "DIELECTRIC" "X5R" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( pin "gnd(4)" - ( attribute "PN" "E5" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.E5" ) + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( pin "gnd(5)" - ( attribute "PN" "E6" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.E6" ) + ( attribute "ROT" "0" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( pin "gnd(6)" - ( attribute "PN" "E7" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.E7" ) + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( pin "gnd(7)" - ( attribute "PN" "F4" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.F4" ) + ( attribute "TYPE" "GNM21" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( pin "gnd(8)" - ( attribute "PN" "F5" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.F5" ) + ( attribute "VALUE" "1UF" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( pin "gnd(9)" - ( attribute "PN" "F6" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.F6" ) + ( attribute "VER" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( pin "gnd(10)" - ( attribute "PN" "F7" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.F7" ) + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( pin "gnd(11)" - ( attribute "PN" "G4" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.G4" ) + ( attribute "XY" "(-200,-775)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( pin "gnd(12)" - ( attribute "PN" "G5" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.G5" ) + ( attribute "CHIPS_PART_NAME" "CAPN4I" + ( Status sBlockFlattened ) + ( Origin gPackager ) ) - ( pin "gnd(13)" - ( attribute "PN" "G6" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.G6" ) + ( attribute "CDS_PART_NAME" "CAPN4I-1UF,16V,X5R,GNM21" + ( Origin gPackager ) ) - ( pin "gnd(14)" - ( attribute "PN" "H4" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.H4" ) + ( attribute "CDS_PHYS_PART_NAME" "CAPN4I-1UF,16V,X5R,GNM21" + ( Origin gPackager ) ) - ( pin "gnd(15)" - ( attribute "PN" "H7" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.H7" ) + ( attribute "CDS_LOCATION" "CN1" + ( Origin gPackager ) ) - ( pin "run" - ( attribute "PN" "F3" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.F3" ) + ( attribute "LOCATION" "CN1" + ( Origin gPackager ) ) - ( pin "ss" - ( attribute "PN" "H6" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.H6" ) + ( attribute "CDS_SEC" "3" + ( Origin gPackager ) ) - ( pin "vin(0)" - ( attribute "PN" "H1" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.H1" ) + ( attribute "SEC" "3" + ( Origin gPackager ) ) - ( pin "vin(1)" - ( attribute "PN" "H2" - ( Status sBlockFlattened ) + ( objectStatus "CN1" ) + ( pin "a(0)" + ( attribute "PN" "5" ( Origin gPackager ) ) - ( objectStatus "RG2_6.H2" ) + ( objectStatus "CN1.5" ) ) - ( pin "vin(2)" - ( attribute "PN" "G1" - ( Status sBlockFlattened ) + ( pin "b(0)" + ( attribute "PN" "6" ( Origin gPackager ) ) - ( objectStatus "RG2_6.G1" ) + ( objectStatus "CN1.6" ) ) - ( pin "vin(3)" - ( attribute "PN" "G2" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.G2" ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i140" + ( attribute "CDS_LIB" "cninterface" + ( Status sBlockFlattened ) + ( Origin gPackager ) ) - ( pin "vout(0)" - ( attribute "PN" "A6" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.A6" ) + ( attribute "PACK_TYPE" "VQFN" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( pin "vout(1)" - ( attribute "PN" "A7" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.A7" ) + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( pin "vout(2)" - ( attribute "PN" "B6" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.B6" ) + ( attribute "ROT" "2" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( pin "vout(3)" - ( attribute "PN" "B7" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.B7" ) + ( attribute "TYPE" "SN65MLVD040RGZ" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( pin "vout(4)" - ( attribute "PN" "C6" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.C6" ) + ( attribute "VER" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( pin "vout(5)" - ( attribute "PN" "C7" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.C7" ) + ( attribute "XY" "(475,-800)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) ) - ( pin "\vout-\(0)" - ( attribute "PN" "A1" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.A1" ) + ( attribute "CHIPS_PART_NAME" "SN65MLVD040" + ( Status sBlockFlattened ) + ( Origin gPackager ) ) - ( pin "\vout-\(1)" - ( attribute "PN" "A2" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.A2" ) + ( attribute "CDS_PART_NAME" "SN65MLVD040RGZ-GND=GND_SIGNAL;A" + ( Origin gPackager ) ) - ( pin "\vout-\(2)" - ( attribute "PN" "A3" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.A3" ) + ( attribute "CDS_PHYS_PART_NAME" "SN65MLVD040RGZ-GND=GND_SIGNAL,A" + ( Origin gPackager ) ) - ( pin "\vout-\(3)" - ( attribute "PN" "A4" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.A4" ) + ( attribute "CDS_LOCATION" "IC1" + ( Origin gPackager ) ) - ( pin "\vout-\(4)" - ( attribute "PN" "A5" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.A5" ) + ( attribute "LOCATION" "IC1" + ( Origin gPackager ) ) - ( pin "\vout-\(5)" - ( attribute "PN" "B1" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.B1" ) + ( attribute "CDS_SEC" "3" + ( Origin gPackager ) ) - ( pin "\vout-\(6)" - ( attribute "PN" "B2" - ( Status sBlockFlattened ) - ( Origin gPackager ) - ) - ( objectStatus "RG2_6.B2" ) + ( attribute "SEC" "3" + ( Origin gPackager ) ) - ( pin "\vout-\(7)" - ( attribute "PN" "B3" - ( Status sBlockFlattened ) + ( objectStatus "IC1" ) + ( pin "a(0)" + ( attribute "PN" "9" ( Origin gPackager ) ) - ( objectStatus "RG2_6.B3" ) + ( objectStatus "IC1.9" ) ) - ( pin "\vout-\(8)" - ( attribute "PN" "B4" - ( Status sBlockFlattened ) + ( pin "\b*\(0)" + ( attribute "PN" "10" ( Origin gPackager ) ) - ( objectStatus "RG2_6.B4" ) + ( objectStatus "IC1.10" ) ) - ( pin "\vout-\(9)" - ( attribute "PN" "B5" - ( Status sBlockFlattened ) + ( pin "d(0)" + ( attribute "PN" "28" ( Origin gPackager ) ) - ( objectStatus "RG2_6.B5" ) + ( objectStatus "IC1.28" ) ) - ( pin "\vout-\(10)" - ( attribute "PN" "C1" - ( Status sBlockFlattened ) + ( pin "de(0)" + ( attribute "PN" "8" ( Origin gPackager ) ) - ( objectStatus "RG2_6.C1" ) + ( objectStatus "IC1.8" ) ) - ( pin "\vout-\(11)" - ( attribute "PN" "C2" - ( Status sBlockFlattened ) + ( pin "fsen(0)" + ( attribute "PN" "20" ( Origin gPackager ) ) - ( objectStatus "RG2_6.C2" ) + ( objectStatus "IC1.20" ) ) - ( pin "\vout-\(12)" - ( attribute "PN" "C3" - ( Status sBlockFlattened ) + ( pin "pdn" + ( attribute "PN" "30" ( Origin gPackager ) ) - ( objectStatus "RG2_6.C3" ) + ( objectStatus "IC1.30" ) ) - ( pin "\vout-\(13)" - ( attribute "PN" "C4" - ( Status sBlockFlattened ) + ( pin "r(0)" + ( attribute "PN" "29" ( Origin gPackager ) ) - ( objectStatus "RG2_6.C4" ) + ( objectStatus "IC1.29" ) ) - ( pin "\vout-\(14)" - ( attribute "PN" "C5" - ( Status sBlockFlattened ) + ( pin "\re*\(0)" + ( attribute "PN" "19" ( Origin gPackager ) ) - ( objectStatus "RG2_6.C5" ) + ( objectStatus "IC1.19" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i83" - ( attribute "CDS_LIB" "cnpassive" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i145" + ( attribute "CDS_LIB" "cndiscrete" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "1210" + ( attribute "PACK_TYPE" "4312" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -27739,15 +29287,15 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "ROT" "0" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" + ( attribute "TYPE" "744231091" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "4.7UF" + ( attribute "VALUE" "90OHM" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -27755,67 +29303,64 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "50V" - ( Units "uVoltage" "V" 1.000000) + ( attribute "XY" "(-1025,25)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-1800,2675)" + ( attribute "CHIPS_PART_NAME" "COMMON_MODE_LINE_FILTER" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" - ( Status sBlockFlattened ) + ( attribute "CDS_PART_NAME" "COMMON_MODE_LINE_FILTER_4312-7A" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210-4.7UF,50V" + ( attribute "CDS_PHYS_PART_NAME" "COMMON_MODE_LINE_FILTER_4312-7A" ( Origin gPackager ) ) - ( attribute "LOCATION" "C6_6" - ( Origin gFrontEnd ) + ( attribute "CDS_LOCATION" "L2" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "L2" + ( Origin gPackager ) ) ( attribute "CDS_SEC" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "SEC" "1" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C6_6" ) - ( pin "a(0)" - ( attribute "PN" "1" - ( Status sBlockFlattened ) + ( objectStatus "L2" ) + ( pin "\1\(0)" + ( attribute "PN" "3" ( Origin gPackager ) ) - ( objectStatus "C6_6.1" ) + ( objectStatus "L2.3" ) ) - ( pin "b(0)" + ( pin "\1dot\(0)" + ( attribute "PN" "4" + ( Origin gPackager ) + ) + ( objectStatus "L2.4" ) + ) + ( pin "\2\(0)" ( attribute "PN" "2" - ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C6_6.2" ) + ( objectStatus "L2.2" ) + ) + ( pin "\2dot\(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "L2.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i85" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i146" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "DIST" "FLAT" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "MAX_TEMP" "RTMAX" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "NEGTOL" "RTOL%" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "PACK_TYPE" "1/10W" + ( attribute "PACK_TYPE" "1206_TC164" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -27823,15 +29368,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POSTOL" "RTOL%" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "POWER" "RMAX" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "ROT" "1" + ( attribute "ROT" "0" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -27839,83 +29376,64 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SLOPE" "RSMAX" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "TC1" "RTMPL" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "TC2" "RTMPQ" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) ( attribute "TOL" "1%" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TOL_ON_OFF" "ON" + ( attribute "VALUE" "47" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "6.19K" + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "2" + ( attribute "XY" "(-625,75)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "RVMAX" - ( Units "uVoltage" "V" 1.000000) + ( attribute "CHIPS_PART_NAME" "RES_ARRAY_X4" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) - ( attribute "XY" "(-1275,2400)" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( attribute "CDS_PART_NAME" "RES_ARRAY_X4_1206_TC164-47,1%" + ( Origin gPackager ) ) - ( attribute "CHIPS_PART_NAME" "RSMD0603" - ( Status sBlockFlattened ) + ( attribute "CDS_PHYS_PART_NAME" "RES_ARRAY_X4_1206_TC164-47,1%" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-6.19K,1%" + ( attribute "CDS_LOCATION" "RN1" ( Origin gPackager ) ) - ( attribute "LOCATION" "R3_6" - ( Origin gFrontEnd ) + ( attribute "LOCATION" "RN1" + ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" - ( Status sBlockFlattened ) + ( attribute "CDS_SEC" "2" ( Origin gPackager ) ) - ( attribute "SEC" "1" - ( Status sBlockFlattened ) + ( attribute "SEC" "2" ( Origin gPackager ) ) - ( objectStatus "R3_6" ) + ( objectStatus "RN1" ) ( pin "a(0)" - ( attribute "PN" "1" - ( Status sBlockFlattened ) + ( attribute "PN" "3" ( Origin gPackager ) ) - ( objectStatus "R3_6.1" ) + ( objectStatus "RN1.3" ) ) ( pin "b(0)" - ( attribute "PN" "2" - ( Status sBlockFlattened ) + ( attribute "PN" "6" ( Origin gPackager ) ) - ( objectStatus "R3_6.2" ) + ( objectStatus "RN1.6" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i88" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i147" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "1210" + ( attribute "PACK_TYPE" "1206_TC164" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -27923,7 +29441,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "ROT" "0" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -27931,63 +29449,64 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "22UF" + ( attribute "TOL" "1%" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VALUE" "47" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "16V" - ( Units "uVoltage" "V" 1.000000) + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(250,650)" + ( attribute "XY" "(-475,-25)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( attribute "CHIPS_PART_NAME" "RES_ARRAY_X4" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210-22UF,16V" + ( attribute "CDS_PART_NAME" "RES_ARRAY_X4_1206_TC164-47,1%" ( Origin gPackager ) ) - ( attribute "LOCATION" "C8_6" - ( Origin gFrontEnd ) + ( attribute "CDS_PHYS_PART_NAME" "RES_ARRAY_X4_1206_TC164-47,1%" + ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" - ( Status sBlockFlattened ) + ( attribute "CDS_LOCATION" "RN2" ( Origin gPackager ) ) - ( attribute "SEC" "1" - ( Status sBlockFlattened ) + ( attribute "LOCATION" "RN2" ( Origin gPackager ) ) - ( objectStatus "C8_6" ) + ( attribute "CDS_SEC" "3" + ( Origin gPackager ) + ) + ( attribute "SEC" "3" + ( Origin gPackager ) + ) + ( objectStatus "RN2" ) ( pin "a(0)" - ( attribute "PN" "1" - ( Status sBlockFlattened ) + ( attribute "PN" "2" ( Origin gPackager ) ) - ( objectStatus "C8_6.1" ) + ( objectStatus "RN2.2" ) ) ( pin "b(0)" - ( attribute "PN" "2" - ( Status sBlockFlattened ) + ( attribute "PN" "7" ( Origin gPackager ) ) - ( objectStatus "C8_6.2" ) + ( objectStatus "RN2.7" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i89" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i148" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "1210" + ( attribute "DIELECTRIC" "X5R" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -27995,7 +29514,7 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "ROT" "0" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -28003,7 +29522,11 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "22UF" + ( attribute "TYPE" "GNM21" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "1UF" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -28016,50 +29539,52 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(250,2675)" + ( attribute "XY" "(-200,25)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( attribute "CHIPS_PART_NAME" "CAPN4I" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210-22UF,16V" + ( attribute "CDS_PART_NAME" "CAPN4I-1UF,16V,X5R,GNM21" ( Origin gPackager ) ) - ( attribute "LOCATION" "C7_6" - ( Origin gFrontEnd ) + ( attribute "CDS_PHYS_PART_NAME" "CAPN4I-1UF,16V,X5R,GNM21" + ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" - ( Status sBlockFlattened ) + ( attribute "CDS_LOCATION" "CN1" ( Origin gPackager ) ) - ( attribute "SEC" "1" - ( Status sBlockFlattened ) + ( attribute "LOCATION" "CN1" ( Origin gPackager ) ) - ( objectStatus "C7_6" ) + ( attribute "CDS_SEC" "2" + ( Origin gPackager ) + ) + ( attribute "SEC" "2" + ( Origin gPackager ) + ) + ( objectStatus "CN1" ) ( pin "a(0)" - ( attribute "PN" "1" - ( Status sBlockFlattened ) + ( attribute "PN" "3" ( Origin gPackager ) ) - ( objectStatus "C7_6.1" ) + ( objectStatus "CN1.3" ) ) ( pin "b(0)" - ( attribute "PN" "2" - ( Status sBlockFlattened ) + ( attribute "PN" "4" ( Origin gPackager ) ) - ( objectStatus "C7_6.2" ) + ( objectStatus "CN1.4" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i142" - ( attribute "CDS_LIB" "cnpassive" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i152" + ( attribute "CDS_LIB" "cninterface" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "1210" + ( attribute "PACK_TYPE" "VQFN" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -28067,15 +29592,11 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" - ( Status sBlockFlattened ) - ( Origin gFrontEnd ) - ) - ( attribute "SIZE" "1" + ( attribute "ROT" "2" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "4.7UF" + ( attribute "TYPE" "SN65MLVD040RGZ" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -28083,55 +29604,176 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "50V" - ( Units "uVoltage" "V" 1.000000) + ( attribute "XY" "(475,0)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-2150,2675)" + ( attribute "CHIPS_PART_NAME" "SN65MLVD040" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" - ( Status sBlockFlattened ) + ( attribute "CDS_PART_NAME" "SN65MLVD040RGZ-GND=GND_SIGNAL;A" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210-4.7UF,50V" + ( attribute "CDS_PHYS_PART_NAME" "SN65MLVD040RGZ-GND=GND_SIGNAL,A" ( Origin gPackager ) ) - ( attribute "LOCATION" "C3_6" - ( Origin gFrontEnd ) + ( attribute "CDS_LOCATION" "IC1" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "IC1" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "2" + ( Origin gPackager ) + ) + ( attribute "SEC" "2" + ( Origin gPackager ) + ) + ( objectStatus "IC1" ) + ( pin "a(0)" + ( attribute "PN" "3" + ( Origin gPackager ) + ) + ( objectStatus "IC1.3" ) + ) + ( pin "\b*\(0)" + ( attribute "PN" "4" + ( Origin gPackager ) + ) + ( objectStatus "IC1.4" ) + ) + ( pin "d(0)" + ( attribute "PN" "32" + ( Origin gPackager ) + ) + ( objectStatus "IC1.32" ) + ) + ( pin "de(0)" + ( attribute "PN" "5" + ( Origin gPackager ) + ) + ( objectStatus "IC1.5" ) + ) + ( pin "fsen(0)" + ( attribute "PN" "41" + ( Origin gPackager ) + ) + ( objectStatus "IC1.41" ) + ) + ( pin "pdn" + ( attribute "PN" "30" + ( Origin gPackager ) + ) + ( objectStatus "IC1.30" ) + ) + ( pin "r(0)" + ( attribute "PN" "33" + ( Origin gPackager ) + ) + ( objectStatus "IC1.33" ) + ) + ( pin "\re*\(0)" + ( attribute "PN" "42" + ( Origin gPackager ) + ) + ( objectStatus "IC1.42" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i157" + ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C10" + ( Origin gPackager ) ) ( attribute "CDS_SEC" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) + ( attribute "LOCATION" "C10" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "0603" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) ( attribute "SEC" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C3_6" ) + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "100NF" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(3425,-2075)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( Origin gPackager ) + ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( Origin gPackager ) + ) + ( objectStatus "C10" ) ( pin "a(0)" ( attribute "PN" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C3_6.1" ) + ( objectStatus "C10.1" ) ) ( pin "b(0)" ( attribute "PN" "2" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C3_6.2" ) + ( objectStatus "C10.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i144" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i160" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "1210" + ( attribute "CDS_LOCATION" "C2" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "LOCATION" "C2" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "0603" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -28143,11 +29785,15 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) + ( attribute "SEC" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) ( attribute "SIZE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "4.7UF" + ( attribute "VALUE" "100NF" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -28155,12 +29801,12 @@ ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "50V" + ( attribute "VOLTAGE" "16V" ( Units "uVoltage" "V" 1.000000) ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-2150,1525)" + ( attribute "XY" "(-1000,-3175)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) @@ -28168,146 +29814,338 @@ ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210-4.7UF,50V" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" ( Origin gPackager ) ) - ( attribute "LOCATION" "C4_6" - ( Origin gFrontEnd ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( Origin gPackager ) + ) + ( objectStatus "C2" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "C2.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "C2.2" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i161" + ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C7" + ( Origin gPackager ) ) ( attribute "CDS_SEC" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) + ( attribute "LOCATION" "C7" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "0603" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) ( attribute "SEC" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C4_6" ) + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "100NF" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(0,-3175)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( Origin gPackager ) + ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( Origin gPackager ) + ) + ( objectStatus "C7" ) ( pin "a(0)" ( attribute "PN" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C4_6.1" ) + ( objectStatus "C7.1" ) ) ( pin "b(0)" ( attribute "PN" "2" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C4_6.2" ) + ( objectStatus "C7.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i146" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i162" ( attribute "CDS_LIB" "cnpassive" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "DIST" "FLAT" + ( attribute "CDS_LOCATION" "C5" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) - ( attribute "MAX_TEMP" "RTMAX" + ( attribute "LOCATION" "C5" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "0603" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "NEGTOL" "RTOL%" + ( attribute "PHYS_PAGE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "1/10W" + ( attribute "ROT" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" + ( attribute "SEC" "1" ( Status sBlockFlattened ) - ( Origin gFrontEnd ) + ( Origin gPackager ) ) - ( attribute "POSTOL" "RTOL%" + ( attribute "SIZE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "POWER" "RMAX" + ( attribute "VALUE" "100NF" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "SLOPE" "RSMAX" + ( attribute "XY" "(-250,-3175)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TC1" "RTMPL" + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( Origin gPackager ) + ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( Origin gPackager ) + ) + ( objectStatus "C5" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "C5.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "C5.2" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i163" + ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C4" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "LOCATION" "C4" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "0603" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TC2" "RTMPQ" + ( attribute "PHYS_PAGE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TOL" "1%" + ( attribute "ROT" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "TOL_ON_OFF" "ON" + ( attribute "SEC" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "SIZE" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "3.3" + ( attribute "VALUE" "100NF" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VER" "2" + ( attribute "VER" "1" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "RVMAX" + ( attribute "VOLTAGE" "16V" ( Units "uVoltage" "V" 1.000000) ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-2725,1900)" + ( attribute "XY" "(-500,-3175)" ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-3.3,1%" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" ( Origin gPackager ) ) - ( attribute "LOCATION" "R1_6" - ( Origin gFrontEnd ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( Origin gPackager ) + ) + ( objectStatus "C4" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "C4.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "C4.2" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i164" + ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C3" + ( Origin gPackager ) ) ( attribute "CDS_SEC" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) + ( attribute "LOCATION" "C3" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "0603" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) ( attribute "SEC" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "R1_6" ) + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "100NF" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(-750,-3175)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( Origin gPackager ) + ) + ( attribute "CDS_PHYS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( Origin gPackager ) + ) + ( objectStatus "C3" ) ( pin "a(0)" ( attribute "PN" "1" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "R1_6.1" ) + ( objectStatus "C3.1" ) ) ( pin "b(0)" ( attribute "PN" "2" ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "R1_6.2" ) + ( objectStatus "C3.2" ) ) ) ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i29:\vin+\" @@ -28334,6 +30172,48 @@ ) ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i32:vout" ) + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1:busy_from_fpga" + ) + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1:busy_to_fpga" + ) + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1:clk_from_fpga" + ) + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1:clk_to_dut" + ) + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1:\clk_to_dut*\" + ) + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1:clk_to_fpga" + ) + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1:cont_from_fpga" + ) + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1:cont_to_fpga" + ) + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1:enable_busy_from_fpga" + ) + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1:enable_clk_from_fpga" + ) + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1:enable_clk_to_dut" + ) + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1:enable_cont_from_fpga" + ) + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1:enable_spare_from_fpga" + ) + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1:enable_trig_from_fpga" + ) + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1:hdmi_clk" + ) + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1:\hdmi_clk*\" + ) + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1:hdmi_power_enable" + ) + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1:spare_from_fpga" + ) + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1:spare_to_fpga" + ) + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1:trig_from_fpga" + ) + ( pin "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1:trig_to_fpga" + ) ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\BEAM_TRIGGER0\" ( memberType ( signal ) ) ( alias ( diffPairRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA1\") ) @@ -28344,8 +30224,8 @@ ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA1\" ( memberType ( signal ) ) ( objectFlag fObjectAlias ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(1)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(1)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(1)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(1)") ) ( objectStatus "FMC_LA1" ) ) ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\BEAM_TRIGGER1\" @@ -28372,8 +30252,8 @@ ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA5\" ( memberType ( signal ) ) ( objectFlag fObjectAlias ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(5)") ) ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(5)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(5)") ) ( objectStatus "FMC_LA5" ) ) ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\BEAM_TRIGGER3\" @@ -28386,29 +30266,28 @@ ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA7\" ( memberType ( signal ) ) ( objectFlag fObjectAlias ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(7)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(7)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(7)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(7)") ) ( objectStatus "FMC_LA7" ) ) ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA0\" ( memberType ( signal ) ) ( objectFlag fObjectAlias ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(0)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(0)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):cont_to_fpga(0)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):gpio_clk") ) ( objectStatus "FMC_LA0" ) ) ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA10\" ( memberType ( signal ) ) - ( objectFlag fObjectAlias ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(10)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(10)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(10)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(10)") ) ( objectStatus "FMC_LA10" ) ) ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\CONT2\" ( memberType ( signal ) ) ( alias ( diffPairRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA8\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\spare1*\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):spare1") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(8)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(8)") ) ( objectStatus "CONT2" ) ) ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA8\" @@ -28418,25 +30297,10 @@ ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(8)") ) ( objectStatus "FMC_LA8" ) ) - ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA12\" - ( memberType ( signal ) ) - ( objectFlag fObjectAlias ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(12)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(12)") ) - ( objectStatus "FMC_LA12" ) - ) - ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA15\" - ( memberType ( signal ) ) - ( objectFlag fObjectAlias ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(15)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(15)") ) - ( objectStatus "FMC_LA15" ) - ) ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA17\" ( memberType ( signal ) ) - ( objectFlag fObjectAlias ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(17)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(17)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(17)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(17)") ) ( objectStatus "FMC_LA17" ) ) ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA19\" @@ -28453,13 +30317,6 @@ ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(20)") ) ( objectStatus "FMC_LA20" ) ) - ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA26\" - ( memberType ( signal ) ) - ( objectFlag fObjectAlias ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(26)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(26)") ) - ( objectStatus "FMC_LA26" ) - ) ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA2\" ( memberType ( signal ) ) ( objectFlag fObjectAlias ) @@ -28474,18 +30331,11 @@ ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(30)") ) ( objectStatus "FMC_LA30" ) ) - ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA31\" - ( memberType ( signal ) ) - ( objectFlag fObjectAlias ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(31)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(31)") ) - ( objectStatus "FMC_LA31" ) - ) ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA4\" ( memberType ( signal ) ) ( objectFlag fObjectAlias ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\(4)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la(4)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):clk_from_fpga(0)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):clk_to_fpga(0)") ) ( objectStatus "FMC_LA4" ) ) ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA6\" @@ -28505,7 +30355,6 @@ ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\BEAM_TRIGGER_CFD1\" ( memberType ( signal ) ) ( alias ( diffPairRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA2\") ) - ( objectFlag fObjectAlias ) ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(2)") ) ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(2)") ) ( objectStatus "BEAM_TRIGGER_CFD1" ) @@ -28526,81 +30375,24 @@ ) ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\BUSY0\" ( memberType ( signal ) ) - ( alias ( diffPairRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\TRIG1\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\trig1*\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):trig1") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):busy_from_fpga(0)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):busy_to_fpga(0)") ) ( objectStatus "BUSY0" ) ) ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\TRIG1\" ( memberType ( signal ) ) ( alias ( diffPairRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA19\") ) - ( objectFlag fObjectAlias ) ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(19)") ) ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(19)") ) ( objectStatus "TRIG1" ) ) - ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\DUT_CLK0\" - ( memberType ( signal ) ) - ( alias ( diffPairRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA31\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\dut_clk0*\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):dut_clk0") ) - ( objectStatus "DUT_CLK0" ) - ) - ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\BUSY1\" - ( memberType ( signal ) ) - ( alias ( diffPairRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA15\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\busy1*\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):busy1") ) - ( objectStatus "BUSY1" ) - ) - ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\BUSY2\" - ( memberType ( signal ) ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\busy2*\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):busy2") ) - ( objectStatus "BUSY2" ) - ) - ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\TRIG2\" - ( memberType ( signal ) ) - ( alias ( diffPairRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA10\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\trig2*\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):trig2") ) - ( objectStatus "TRIG2" ) - ) - ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\CLK2\" - ( memberType ( signal ) ) - ( alias ( diffPairRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\BEAM_TRIGGER_CFD1\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\clk2*\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):clk2") ) - ( objectStatus "CLK2" ) - ) - ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\CONT0\" - ( memberType ( signal ) ) - ( alias ( diffPairRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA26\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\cont0*\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):cont0") ) - ( objectStatus "CONT0" ) - ) - ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\CONT1\" - ( memberType ( signal ) ) - ( alias ( diffPairRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA12\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\cont1*\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):cont1") ) - ( objectStatus "CONT1" ) - ) ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\SPARE1\" ( memberType ( signal ) ) ( alias ( diffPairRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA20\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\trig0*\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):trig0") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(20)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(20)") ) ( objectStatus "SPARE1" ) ) - ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\SPARE2\" - ( memberType ( signal ) ) - ( alias ( diffPairRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA17\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\spare2*\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):spare2") ) - ( objectStatus "SPARE2" ) - ) ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\TRIG0\" ( memberType ( signal ) ) ( alias ( diffPairRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FMC_LA30\") ) @@ -28608,18 +30400,6 @@ ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):beam_trigger_cfd(1)") ) ( objectStatus "TRIG0" ) ) - ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\CTRIG1\" - ( memberType ( signal ) ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\ctrig1*\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):ctrig1") ) - ( objectStatus "CTRIG1" ) - ) - ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\CTRIG2\" - ( memberType ( signal ) ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\ctrig2*\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):ctrig2") ) - ( objectStatus "CTRIG2" ) - ) ( differentialPair "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\FRONT_PANEL_CLK\" ( memberType ( signal ) ) ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\front_panel_clk*\") ) @@ -28734,6 +30514,9 @@ ( memberType ( signal ) ) ( alias ( busRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_fmc_la") ) ( alias ( busRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):fmc_la") ) + ( alias ( busRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):spare_from_fpga") ) + ( alias ( busRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):trig_from_fpga") ) + ( alias ( busRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):hdmi_power_enable") ) ( attribute "BUS_NAME" "FMC_LA" ( Origin gPackager ) ) @@ -28771,22 +30554,6 @@ ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(31)") ) ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(32)") ) ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):fmc_la(33)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):busy2") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):busy0") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):cont2") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):trig2") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):trig0") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):hdmi_power_enable1") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):spare2") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):cont1") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):gpio_clk") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):dut_clk0") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):trig1") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):cont0") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):clk2") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):clk1") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):busy1") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):spare1") ) ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):beam_trigger(0)") ) ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):beam_trigger(1)") ) ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):beam_trigger(3)") ) @@ -28840,6 +30607,8 @@ ( memberType ( signal ) ) ( alias ( busRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\page1_fmc_la*\") ) ( alias ( busRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1):\fmc_la*\") ) + ( alias ( busRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):spare_to_fpga") ) + ( alias ( busRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):trig_to_fpga") ) ( attribute "BUS_NAME" "FMC_LA*" ( Origin gPackager ) ) @@ -28877,21 +30646,6 @@ ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(31)") ) ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(32)") ) ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\fmc_la*\(33)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\trig1*\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\dut_clk0*\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\cont1*\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\spare2*\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):hdmi_power_enable2") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\trig0*\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\trig2*\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\cont2*\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\busy2*\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\busy0*\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\cont0*\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\spare1*\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\busy1*\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\clk1*\") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\clk2*\") ) ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\beam_trigger*\(0)") ) ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\beam_trigger*\(1)") ) ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\beam_trigger*\(2)") ) @@ -28944,6 +30698,21 @@ ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1" ( memberType ( signal ) ) ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):gnd_signal") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(0)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(1)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(2)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(3)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(4)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(5)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(6)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(7)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(8)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(9)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(10)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(11)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(12)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(13)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(14)") ) ( objectStatus "VM1" ) ) ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2" @@ -28953,6 +30722,20 @@ ( Origin gPackager ) ) ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(0)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(1)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(2)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(3)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(4)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(5)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(6)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(7)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(8)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(9)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(10)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(11)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(12)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(13)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(14)") ) ( objectStatus "VM2" ) ) ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1" @@ -28962,71 +30745,274 @@ ( Origin gPackager ) ) ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(0)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(1)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(2)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(3)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(4)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(5)") ) ( objectStatus "VP1" ) ) ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2" ( memberType ( signal ) ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2(0)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2(1)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2(2)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2(3)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2(4)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2(5)") ) ( objectStatus "VP2" ) ) ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vi" ( memberType ( signal ) ) ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vin_filtered") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vi(0)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vi(1)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vi(2)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vi(3)") ) ( objectStatus "VI" ) ) - ( designInst "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2" - ( attribute "BLOCK" "TRUE" - ( Origin gFrontEnd ) - ) - ( attribute "CDS_LIB" "fmc_tlu_v1_lib" - ( Origin gPackager ) - ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-600,725,600,-975" - ( Origin gPackager ) - ) - ( attribute "LIBRARY1" "ieee" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "1" - ( Origin gFrontEnd ) - ) - ( attribute "ROT" "0" - ( Origin gFrontEnd ) - ) - ( attribute "USE1" "ieee.std_logic_1164.all" - ( Origin gFrontEnd ) - ) - ( attribute "USE2" "work.all" - ( Origin gFrontEnd ) - ) - ( attribute "VER" "1" - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(4350,-350)" - ( Origin gFrontEnd ) - ) - ( objectStatus "page1_i2 (pc036a_fmc_lpc_connector)" ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):busy_from_fpga" + ( memberType ( signal ) ) + ( alias ( busRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_busy_from_fpga") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):busy_from_fpga(0)") ) + ( objectStatus "BUSY_FROM_FPGA" ) ) - ( designInst "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5" - ( attribute "BLOCK" "TRUE" - ( Origin gFrontEnd ) - ) - ( attribute "CDS_LIB" "fmc_tlu_v1_lib" - ( Origin gPackager ) - ) - ( attribute "LIBRARY1" "ieee" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "3" - ( Origin gFrontEnd ) - ) - ( attribute "ROT" "0" - ( Origin gFrontEnd ) - ) - ( attribute "USE1" "ieee.std_logic_1164.all" - ( Origin gFrontEnd ) - ) - ( attribute "USE2" "work.all" - ( Origin gFrontEnd ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_busy_from_fpga" + ( memberType ( signal ) ) + ( objectFlag fObjectAlias ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_busy_from_fpga(0)") ) + ( objectStatus "PAGE1_BUSY_FROM_FPGA" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):busy_to_fpga" + ( memberType ( signal ) ) + ( alias ( busRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_busy_to_fpga") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):busy_to_fpga(0)") ) + ( objectStatus "BUSY_TO_FPGA" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_busy_to_fpga" + ( memberType ( signal ) ) + ( objectFlag fObjectAlias ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_busy_to_fpga(0)") ) + ( objectStatus "PAGE1_BUSY_TO_FPGA" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):clk_from_fpga" + ( memberType ( signal ) ) + ( alias ( busRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_clk_from_fpga") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):clk_from_fpga(0)") ) + ( objectStatus "CLK_FROM_FPGA" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_clk_from_fpga" + ( memberType ( signal ) ) + ( objectFlag fObjectAlias ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_clk_from_fpga(0)") ) + ( objectStatus "PAGE1_CLK_FROM_FPGA" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):clk_to_dut" + ( memberType ( signal ) ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):clk_to_dut(0)") ) + ( objectStatus "CLK_TO_DUT" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\clk_to_dut*\" + ( memberType ( signal ) ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\clk_to_dut*\(0)") ) + ( objectStatus "CLK_TO_DUT*" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):clk_to_fpga" + ( memberType ( signal ) ) + ( alias ( busRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_clk_to_fpga") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):clk_to_fpga(0)") ) + ( objectStatus "CLK_TO_FPGA" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_clk_to_fpga" + ( memberType ( signal ) ) + ( objectFlag fObjectAlias ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_clk_to_fpga(0)") ) + ( objectStatus "PAGE1_CLK_TO_FPGA" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):cont_from_fpga" + ( memberType ( signal ) ) + ( alias ( busRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_cont_from_fpga") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):gpio_clk") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):cont_from_fpga(0)") ) + ( objectStatus "CONT_FROM_FPGA" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_cont_from_fpga" + ( memberType ( signal ) ) + ( objectFlag fObjectAlias ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_cont_from_fpga(0)") ) + ( objectStatus "PAGE1_CONT_FROM_FPGA" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):cont_to_fpga" + ( memberType ( signal ) ) + ( alias ( busRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_cont_to_fpga") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):cont_to_fpga(0)") ) + ( objectStatus "CONT_TO_FPGA" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_cont_to_fpga" + ( memberType ( signal ) ) + ( objectFlag fObjectAlias ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_cont_to_fpga(0)") ) + ( objectStatus "PAGE1_CONT_TO_FPGA" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):enable_busy_from_fpga" + ( memberType ( signal ) ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):enable_busy_from_fpga(0)") ) + ( objectStatus "ENABLE_BUSY_FROM_FPGA" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):enable_clk_from_fpga" + ( memberType ( signal ) ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):enable_clk_from_fpga(0)") ) + ( objectStatus "ENABLE_CLK_FROM_FPGA" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):enable_clk_to_dut" + ( memberType ( signal ) ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):enable_clk_to_dut(0)") ) + ( objectStatus "ENABLE_CLK_TO_DUT" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):enable_cont_from_fpga" + ( memberType ( signal ) ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):enable_cont_from_fpga(0)") ) + ( objectStatus "ENABLE_CONT_FROM_FPGA" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):enable_spare_from_fpga" + ( memberType ( signal ) ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):enable_spare_from_fpga(0)") ) + ( objectStatus "ENABLE_SPARE_FROM_FPGA" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):enable_trig_from_fpga" + ( memberType ( signal ) ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):enable_trig_from_fpga(0)") ) + ( objectStatus "ENABLE_TRIG_FROM_FPGA" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):hdmi_clk" + ( memberType ( signal ) ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):hdmi_clk(0)") ) + ( objectStatus "HDMI_CLK" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\hdmi_clk*\" + ( memberType ( signal ) ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):\hdmi_clk*\(0)") ) + ( objectStatus "HDMI_CLK*" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):spare_from_fpga" + ( memberType ( signal ) ) + ( alias ( busRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_spare_from_fpga") ) + ( objectFlag fObjectAlias ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):spare_from_fpga(0)") ) + ( objectStatus "SPARE_FROM_FPGA" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_spare_from_fpga" + ( memberType ( signal ) ) + ( objectFlag fObjectAlias ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_spare_from_fpga(0)") ) + ( objectStatus "PAGE1_SPARE_FROM_FPGA" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):spare_to_fpga" + ( memberType ( signal ) ) + ( alias ( busRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_spare_to_fpga") ) + ( objectFlag fObjectAlias ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):spare_to_fpga(0)") ) + ( objectStatus "SPARE_TO_FPGA" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_spare_to_fpga" + ( memberType ( signal ) ) + ( objectFlag fObjectAlias ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_spare_to_fpga(0)") ) + ( objectStatus "PAGE1_SPARE_TO_FPGA" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):trig_from_fpga" + ( memberType ( signal ) ) + ( alias ( busRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_trig_from_fpga") ) + ( objectFlag fObjectAlias ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):trig_from_fpga(0)") ) + ( objectStatus "TRIG_FROM_FPGA" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_trig_from_fpga" + ( memberType ( signal ) ) + ( objectFlag fObjectAlias ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_trig_from_fpga(0)") ) + ( objectStatus "PAGE1_TRIG_FROM_FPGA" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):trig_to_fpga" + ( memberType ( signal ) ) + ( alias ( busRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_trig_to_fpga") ) + ( objectFlag fObjectAlias ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):trig_to_fpga(0)") ) + ( objectStatus "TRIG_TO_FPGA" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_trig_to_fpga" + ( memberType ( signal ) ) + ( objectFlag fObjectAlias ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_trig_to_fpga(0)") ) + ( objectStatus "PAGE1_TRIG_TO_FPGA" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):hdmi_power_enable" + ( memberType ( signal ) ) + ( alias ( busRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_hdmi_power_enable") ) + ( objectFlag fObjectAlias ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):hdmi_power_enable(0)") ) + ( objectStatus "HDMI_POWER_ENABLE" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_hdmi_power_enable" + ( memberType ( signal ) ) + ( objectFlag fObjectAlias ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_hdmi_power_enable(0)") ) + ( objectStatus "PAGE1_HDMI_POWER_ENABLE" ) + ) + ( designInst "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2" + ( attribute "BLOCK" "TRUE" + ( Origin gFrontEnd ) + ) + ( attribute "CDS_LIB" "fmc_tlu_v1_lib" + ( Origin gPackager ) + ) + ( attribute "CDS_LMAN_SYM_OUTLINE" "-600,725,600,-975" + ( Origin gPackager ) + ) + ( attribute "LIBRARY1" "ieee" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "USE1" "ieee.std_logic_1164.all" + ( Origin gFrontEnd ) + ) + ( attribute "USE2" "work.all" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(4350,-350)" + ( Origin gFrontEnd ) + ) + ( objectStatus "page1_i2 (pc036a_fmc_lpc_connector)" ) + ) + ( designInst "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5" + ( attribute "BLOCK" "TRUE" + ( Origin gFrontEnd ) + ) + ( attribute "CDS_LIB" "fmc_tlu_v1_lib" + ( Origin gPackager ) + ) + ( attribute "LIBRARY1" "ieee" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "3" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "USE1" "ieee.std_logic_1164.all" + ( Origin gFrontEnd ) + ) + ( attribute "USE2" "work.all" + ( Origin gFrontEnd ) ) ( attribute "VER" "1" ( Origin gFrontEnd ) @@ -29312,7 +31298,7 @@ ) ( objectStatus "page2_i38 (fmc_tlu_cfd)" ) ) - ( designInst "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62" + ( designInst "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55" ( attribute "BLOCK" "TRUE" ( Origin gFrontEnd ) ) @@ -29322,7 +31308,7 @@ ( attribute "LIBRARY1" "ieee" ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "2" + ( attribute "PHYS_PAGE" "3" ( Origin gFrontEnd ) ) ( attribute "ROT" "0" @@ -29337,46 +31323,19 @@ ( attribute "VER" "1" ( Origin gFrontEnd ) ) - ( attribute "XY" "(1550,-850)" + ( attribute "XY" "(50,-1250)" ( Origin gFrontEnd ) ) - ( objectStatus "page4_i62 (fmc_tlu_diode_clamp)" ) + ( objectStatus "page2_i55 (fmc_tlu_vsupply5v)" ) ) - ( designInst "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63" + ( designInst "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1" ( attribute "BLOCK" "TRUE" ( Origin gFrontEnd ) ) ( attribute "CDS_LIB" "fmc_tlu_v1_lib" ( Origin gPackager ) ) - ( attribute "LIBRARY1" "ieee" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "2" - ( Origin gFrontEnd ) - ) - ( attribute "ROT" "0" - ( Origin gFrontEnd ) - ) - ( attribute "USE1" "ieee.std_logic_1164.all" - ( Origin gFrontEnd ) - ) - ( attribute "USE2" "work.all" - ( Origin gFrontEnd ) - ) - ( attribute "VER" "1" - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(2050,-2850)" - ( Origin gFrontEnd ) - ) - ( objectStatus "page4_i63 (fmc_tlu_diode_clamp)" ) - ) - ( designInst "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64" - ( attribute "BLOCK" "TRUE" - ( Origin gFrontEnd ) - ) - ( attribute "CDS_LIB" "fmc_tlu_v1_lib" + ( attribute "CDS_LMAN_SYM_OUTLINE" "-775,775,750,-725" ( Origin gPackager ) ) ( attribute "LIBRARY1" "ieee" @@ -29397,40 +31356,48 @@ ( attribute "VER" "1" ( Origin gFrontEnd ) ) - ( attribute "XY" "(5000,-800)" + ( attribute "XY" "(775,250)" ( Origin gFrontEnd ) ) - ( objectStatus "page4_i64 (fmc_tlu_diode_clamp)" ) + ( objectStatus "\page4_i1 (fmc_tlu_hdmi_dut_connector)\" ) ) - ( designInst "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55" + ( designInst "@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78" ( attribute "BLOCK" "TRUE" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "CDS_LIB" "fmc_tlu_v1_lib" + ( Status sBlockFlattened ) ( Origin gPackager ) ) ( attribute "LIBRARY1" "ieee" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "3" + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "ROT" "0" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "USE1" "ieee.std_logic_1164.all" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "USE2" "work.all" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(50,-1250)" + ( attribute "XY" "(5400,-350)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( objectStatus "page2_i55 (fmc_tlu_vsupply5v)" ) ) ) ) @@ -29447,7 +31414,7 @@ ( properties ) ) ) - ( designConstraints + ( designConstraints ( ruleChanges ( allRules ) ( design "pc023a_dac_vthresh" @@ -30638,7 +32605,7 @@ ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-1UF,16V" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603" ( Origin gPackager ) ) ( attribute "CDS_LOCATION" "C6" @@ -30697,7 +32664,7 @@ ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-1UF,16V" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603" ( Origin gPackager ) ) ( attribute "CDS_LOCATION" "C2" @@ -30756,7 +32723,7 @@ ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-1UF,16V" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603" ( Origin gPackager ) ) ( attribute "CDS_LOCATION" "C3" @@ -30815,7 +32782,7 @@ ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603" ( Origin gPackager ) ) ( attribute "CDS_LOCATION" "C5" @@ -30979,7 +32946,7 @@ ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-1UF,16V" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603" ( Origin gPackager ) ) ( attribute "CDS_LOCATION" "C4" @@ -31037,7 +33004,7 @@ ( attribute "CHIPS_PART_NAME" "TP" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "TP_HOLE" ( Origin gPackager ) ) ( objectStatus "PAGE1_I68" ) @@ -31081,7 +33048,7 @@ ( attribute "CHIPS_PART_NAME" "TP" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "TP_HOLE" ( Origin gPackager ) ) ( objectStatus "PAGE1_I69" ) @@ -31167,7 +33134,7 @@ ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-1UF,16V" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603" ( Origin gPackager ) ) ( objectStatus "PAGE1_I73" ) @@ -33358,6 +35325,48 @@ ( Origin gPackager ) ) ( objectStatus "IC2" ) + ( pin "hys(0)" + ( attribute "PN" "8" + ( Origin gPackager ) + ) + ( objectStatus "IC2.8" ) + ) + ( pin "\in+\(0)" + ( attribute "PN" "10" + ( Origin gPackager ) + ) + ( objectStatus "IC2.10" ) + ) + ( pin "\in-\(0)" + ( attribute "PN" "9" + ( Origin gPackager ) + ) + ( objectStatus "IC2.9" ) + ) + ( pin "le(0)" + ( attribute "PN" "4" + ( Origin gPackager ) + ) + ( objectStatus "IC2.4" ) + ) + ( pin "\le*\(0)" + ( attribute "PN" "5" + ( Origin gPackager ) + ) + ( objectStatus "IC2.5" ) + ) + ( pin "q(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "IC2.1" ) + ) + ( pin "\q*\(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "IC2.2" ) + ) ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i123" ( attribute "CDS_LIB" "cnpassive" @@ -33391,7 +35400,7 @@ ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603" ( Origin gPackager ) ) ( attribute "LOCATION" "C1" @@ -33407,6 +35416,18 @@ ( Origin gPackager ) ) ( objectStatus "C1" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "C1.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "C1.2" ) + ) ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i39" ( attribute "CDS_LIB" "cnpassive" @@ -33440,7 +35461,7 @@ ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-1.0UF,6.3V" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603" ( Origin gPackager ) ) ( attribute "LOCATION" "C4" @@ -33456,6 +35477,18 @@ ( Origin gPackager ) ) ( objectStatus "C4" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "C4.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "C4.2" ) + ) ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i40" ( attribute "CDS_LIB" "cnpassive" @@ -33489,7 +35522,7 @@ ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603" ( Origin gPackager ) ) ( attribute "LOCATION" "C7" @@ -33505,6 +35538,18 @@ ( Origin gPackager ) ) ( objectStatus "C7" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "C7.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "C7.2" ) + ) ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i41" ( attribute "CDS_LIB" "cnpassive" @@ -33538,7 +35583,7 @@ ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603" ( Origin gPackager ) ) ( attribute "LOCATION" "C10" @@ -33554,6 +35599,18 @@ ( Origin gPackager ) ) ( objectStatus "C10" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "C10.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "C10.2" ) + ) ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i43" ( attribute "CDS_LIB" "cnpassive" @@ -33587,7 +35644,7 @@ ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603" ( Origin gPackager ) ) ( attribute "LOCATION" "C9" @@ -33603,6 +35660,18 @@ ( Origin gPackager ) ) ( objectStatus "C9" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "C9.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "C9.2" ) + ) ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i44" ( attribute "CDS_LIB" "cnpassive" @@ -33636,7 +35705,7 @@ ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-1.0UF,6.3V" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603" ( Origin gPackager ) ) ( attribute "LOCATION" "C6" @@ -33652,6 +35721,18 @@ ( Origin gPackager ) ) ( objectStatus "C6" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "C6.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "C6.2" ) + ) ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i47" ( attribute "CDS_LIB" "cnpassive" @@ -33685,7 +35766,7 @@ ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-1.0UF,6.3V" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603" ( Origin gPackager ) ) ( attribute "LOCATION" "C3" @@ -33701,6 +35782,18 @@ ( Origin gPackager ) ) ( objectStatus "C3" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "C3.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "C3.2" ) + ) ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i71" ( attribute "CDS_LIB" "cnlinear" @@ -33743,6 +35836,48 @@ ( Origin gPackager ) ) ( objectStatus "IC2" ) + ( pin "hys(0)" + ( attribute "PN" "13" + ( Origin gPackager ) + ) + ( objectStatus "IC2.13" ) + ) + ( pin "\in+\(0)" + ( attribute "PN" "11" + ( Origin gPackager ) + ) + ( objectStatus "IC2.11" ) + ) + ( pin "\in-\(0)" + ( attribute "PN" "12" + ( Origin gPackager ) + ) + ( objectStatus "IC2.12" ) + ) + ( pin "le(0)" + ( attribute "PN" "17" + ( Origin gPackager ) + ) + ( objectStatus "IC2.17" ) + ) + ( pin "\le*\(0)" + ( attribute "PN" "16" + ( Origin gPackager ) + ) + ( objectStatus "IC2.16" ) + ) + ( pin "q(0)" + ( attribute "PN" "20" + ( Origin gPackager ) + ) + ( objectStatus "IC2.20" ) + ) + ( pin "\q*\(0)" + ( attribute "PN" "19" + ( Origin gPackager ) + ) + ( objectStatus "IC2.19" ) + ) ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i73" ( attribute "CDS_LIB" "cnpassive" @@ -33822,6 +35957,18 @@ ( Origin gPackager ) ) ( objectStatus "R9" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "R9.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "R9.2" ) + ) ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i78" ( attribute "CDS_LIB" "cnpassive" @@ -33855,7 +36002,7 @@ ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-10NF,50V" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603" ( Origin gPackager ) ) ( attribute "LOCATION" "C2" @@ -33871,6 +36018,18 @@ ( Origin gPackager ) ) ( objectStatus "C2" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "C2.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "C2.2" ) + ) ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i79" ( attribute "CDS_LIB" "cnpassive" @@ -33950,6 +36109,18 @@ ( Origin gPackager ) ) ( objectStatus "R5" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "R5.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "R5.2" ) + ) ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i81" ( attribute "CDS_LIB" "cnpassive" @@ -34029,6 +36200,18 @@ ( Origin gPackager ) ) ( objectStatus "R10" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "R10.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "R10.2" ) + ) ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i148" ( attribute "CDS_LIB" "cnpassive" @@ -34108,6 +36291,18 @@ ( Origin gPackager ) ) ( objectStatus "R6" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "R6.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "R6.2" ) + ) ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i92" ( attribute "CDS_LIB" "cnpassive" @@ -34187,6 +36382,18 @@ ( Origin gPackager ) ) ( objectStatus "R7" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "R7.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "R7.2" ) + ) ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i93" ( attribute "CDS_LIB" "cnpassive" @@ -34266,6 +36473,18 @@ ( Origin gPackager ) ) ( objectStatus "R3" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "R3.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "R3.2" ) + ) ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i94" ( attribute "CDS_LIB" "cnpassive" @@ -34345,6 +36564,18 @@ ( Origin gPackager ) ) ( objectStatus "R2" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "R2.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "R2.2" ) + ) ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i111" ( attribute "CDS_LIB" "cnpassive" @@ -34424,6 +36655,18 @@ ( Origin gPackager ) ) ( objectStatus "R13" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "R13.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "R13.2" ) + ) ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i113" ( attribute "CDS_LIB" "cnpassive" @@ -34503,15 +36746,17 @@ ( Origin gPackager ) ) ( objectStatus "R11" ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i87" - ( attribute "CHIPS_PART_NAME" "MC100EL29" - ( Origin gPackager ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "R11.1" ) ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i58" - ( attribute "CHIPS_PART_NAME" "MC100EL29" - ( Origin gPackager ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "R11.2" ) ) ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i147" @@ -34592,57 +36837,81 @@ ( Origin gPackager ) ) ( objectStatus "R8" ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i150" - ( attribute "CDS_LIB" "cnpassive" - ( Origin gPackager ) - ) - ( attribute "PACK_TYPE" "0603" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "1" - ( Origin gFrontEnd ) - ) - ( attribute "ROT" "1" - ( Origin gFrontEnd ) - ) - ( attribute "SIZE" "1" - ( Origin gFrontEnd ) - ) - ( attribute "VALUE" "100NF" - ( Origin gFrontEnd ) - ) - ( attribute "VER" "1" - ( Origin gFrontEnd ) - ) - ( attribute "VOLTAGE" "16V" - ( Units "uVoltage" "V" 1.000000) - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(5750,600)" - ( Origin gFrontEnd ) - ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "C5" - ( Origin gPackager ) - ) - ( attribute "CDS_LOCATION" "C5" - ( Origin gPackager ) - ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "R8.1" ) ) - ( attribute "SEC" "1" - ( Origin gPackager ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "R8.2" ) ) - ( objectStatus "C5" ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i151" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i150" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "0603" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "100NF" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(5750,600)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "C5" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C5" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "C5" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "C5.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "C5.2" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i151" ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) @@ -34674,7 +36943,7 @@ ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603" ( Origin gPackager ) ) ( attribute "LOCATION" "C8" @@ -34690,6 +36959,18 @@ ( Origin gPackager ) ) ( objectStatus "C8" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "C8.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "C8.2" ) + ) ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i152" ( attribute "CDS_LIB" "cnpassive" @@ -34769,6 +37050,18 @@ ( Origin gPackager ) ) ( objectStatus "R14" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "R14.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "R14.2" ) + ) ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i153" ( attribute "CDS_LIB" "cnpassive" @@ -34848,6 +37141,18 @@ ( Origin gPackager ) ) ( objectStatus "R12" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "R12.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "R12.2" ) + ) ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i159" ( attribute "CDS_LIB" "cnpassive" @@ -34883,7 +37188,7 @@ ( attribute "CHIPS_PART_NAME" "TP" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "TP_HOLE" ( Origin gPackager ) ) ( attribute "CDS_LOCATION" "TP2" @@ -34899,6 +37204,12 @@ ( Origin gPackager ) ) ( objectStatus "TP2" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "TP2.1" ) + ) ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i160" ( attribute "CDS_LIB" "cnpassive" @@ -34934,7 +37245,7 @@ ( attribute "CHIPS_PART_NAME" "TP" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "TP_HOLE" ( Origin gPackager ) ) ( attribute "CDS_LOCATION" "TP3" @@ -34950,6 +37261,12 @@ ( Origin gPackager ) ) ( objectStatus "TP3" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "TP3.1" ) + ) ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i161" ( attribute "CDS_LIB" "cnpassive" @@ -34985,7 +37302,7 @@ ( attribute "CHIPS_PART_NAME" "TP" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "TP_HOLE" ( Origin gPackager ) ) ( attribute "CDS_LOCATION" "TP4" @@ -35001,6 +37318,12 @@ ( Origin gPackager ) ) ( objectStatus "TP4" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "TP4.1" ) + ) ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i162" ( attribute "CDS_LIB" "cnpassive" @@ -35036,7 +37359,7 @@ ( attribute "CHIPS_PART_NAME" "TP" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "TP_HOLE" ( Origin gPackager ) ) ( attribute "CDS_LOCATION" "TP1" @@ -35052,6 +37375,12 @@ ( Origin gPackager ) ) ( objectStatus "TP1" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "TP1.1" ) + ) ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i163" ( attribute "CDS_LIB" "cnpassive" @@ -35087,7 +37416,7 @@ ( attribute "CHIPS_PART_NAME" "TP" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "TP_HOLE" ( Origin gPackager ) ) ( attribute "CDS_LOCATION" "TP5" @@ -35103,6 +37432,12 @@ ( Origin gPackager ) ) ( objectStatus "TP5" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "TP5.1" ) + ) ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i164" ( attribute "CDS_LIB" "cnpassive" @@ -35138,7 +37473,7 @@ ( attribute "CHIPS_PART_NAME" "TP" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "TP_HOLE" ( Origin gPackager ) ) ( attribute "CDS_LOCATION" "TP6" @@ -35154,6 +37489,12 @@ ( Origin gPackager ) ) ( objectStatus "TP6" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "TP6.1" ) + ) ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i165" ( attribute "CDS_LIB" "cnpassive" @@ -35189,7 +37530,7 @@ ( attribute "CHIPS_PART_NAME" "TP" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( attribute "CDS_PART_NAME" "TP_HOLE" ( Origin gPackager ) ) ( attribute "CDS_LOCATION" "TP7" @@ -35205,6 +37546,12 @@ ( Origin gPackager ) ) ( objectStatus "TP7" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "TP7.1" ) + ) ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i120" ( attribute "CASE" "SOT23" @@ -35250,6 +37597,24 @@ ( Origin gPackager ) ) ( objectStatus "D1" ) + ( pin "a" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "D1.1" ) + ) + ( pin "ac" + ( attribute "PN" "3" + ( Origin gPackager ) + ) + ( objectStatus "D1.3" ) + ) + ( pin "c" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "D1.2" ) + ) ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i168" ( attribute "CDS_LIB" "cnconnector" @@ -35292,6 +37657,12 @@ ( Origin gPackager ) ) ( objectStatus "PX1" ) + ( pin "a" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "PX1.1" ) + ) ) ( gate "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i169" ( attribute "CDS_LIB" "cnconnector" @@ -35334,1408 +37705,496 @@ ( Origin gPackager ) ) ( objectStatus "PX2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i1:hys(0)" - ( attribute "PN" "8" - ( Origin gPackager ) - ) - ( objectStatus "IC2.8" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i1:\in+\(0)" - ( attribute "PN" "10" - ( Origin gPackager ) + ( pin "a" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "PX2.1" ) ) - ( objectStatus "IC2.10" ) ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i1:\in-\(0)" - ( attribute "PN" "9" - ( Origin gPackager ) - ) - ( objectStatus "IC2.9" ) + ) + ) + ( EndBaseCopy ) + ) + ( BaseCopy "fmc_tlu_vsupply5v" + ( constraintHeader + ( revisionNumber + ( logicalViewRevNum 15 ) + ( physicalViewRevNum 1 ) + ( otherViewRevNum 0 ) ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i1:le(0)" - ( attribute "PN" "4" - ( Origin gPackager ) - ) - ( objectStatus "IC2.4" ) + ( contents + ( electricalConstraints ) + ( physicalConstraints ) + ( spacingConstraints ) + ( sameNetSpacingConstraints ) + ( netClasses ) + ( properties ) ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i1:\le*\(0)" - ( attribute "PN" "5" - ( Origin gPackager ) - ) - ( objectStatus "IC2.5" ) + ) + ( designConstraints + ( ruleChanges + ( allRules ) + ( design "fmc_tlu_vsupply5v" + ( physicalCSetRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):\DEFAULT\" ) + ( spacingCSetRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):\DEFAULT\" ) + ( sameNetSpacingCSetRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):\DEFAULT\" ) ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i1:q(0)" - ( attribute "PN" "1" - ( Origin gPackager ) + ( physicalCSet "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):\DEFAULT\" + ( attribute "DIFFP_PRIMARY_GAP" "0.2000,0.2000,0.2000,0.2000,0.2000,0.2000" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "IC2.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i1:\q*\(0)" - ( attribute "PN" "2" - ( Origin gPackager ) + ( attribute "DIFFP_COUPLED_PLUS" "0.0000,0.0000,0.0000,0.0000,0.0000,0.0000" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "IC2.2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i111:b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) + ( attribute "DIFFP_COUPLED_MINUS" "0.0000,0.0000,0.0000,0.0000,0.0000,0.0000" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "R13.2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i111:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "DIFFP_MIN_SPACE" "0.0000,0.0000,0.0000,0.0000,0.0000,0.0000" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "R13.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i123:b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) + ( attribute "MIN_LINE_WIDTH" "0.2257,0.2257,0.2257,0.2257,0.2257,0.2257" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "C1.2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i123:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "MAX_LINE_WIDTH" "0.0000,0.0000,0.0000,0.0000,0.0000,0.0000" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "C1.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i39:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "DIFFP_NECK_GAP" "0.1800,0.1800,0.1800,0.1800,0.1800,0.1800" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "C4.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i39:b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) + ( attribute "MIN_NECK_WIDTH" "0.1800,0.1800,0.1800,0.1800,0.1800,0.1800" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "C4.2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i40:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "MAXIMUM_NECK_LENGTH" "5.0000,5.0000,5.0000,5.0000,5.0000,5.0000" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "C7.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i40:b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) + ( attribute "VIA_LIST" "VIA,VIA32RD0-3D,VIA55-30-80,VIA36RD0-4D" + ( Origin gBackEnd ) ) - ( objectStatus "C7.2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i41:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "ALLOW_ON_ETCH_SUBCLASS" "TRUE,TRUE,TRUE,TRUE,TRUE,TRUE" + ( Origin gBackEnd ) ) - ( objectStatus "C10.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i41:b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) + ( attribute "TS_ALLOWED" "ANYWHERE,ANYWHERE,ANYWHERE,ANYWHERE,ANYWHERE,ANYWHERE" + ( Origin gBackEnd ) ) - ( objectStatus "C10.2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i43:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "MIN_BVIA_STAGGER" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "C9.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i43:b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) + ( attribute "MAX_BVIA_STAGGER" "0.0000,0.0000,0.0000,0.0000,0.0000,0.0000" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "C9.2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i44:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "PAD_PAD_DIRECT_CONNECT" "ALL_ALLOWED,ALL_ALLOWED,ALL_ALLOWED,ALL_ALLOWED,ALL_ALLOWED,ALL_ALLOWED" + ( Origin gBackEnd ) ) - ( objectStatus "C6.1" ) + ( objectStatus "DEFAULT" ) ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i44:b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) + ( spacingCSet "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):\DEFAULT\" + ( attribute "THRUPIN_TO_THRUPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "C6.2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i47:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "THRUPIN_TO_SMDPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "C3.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i47:b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) + ( attribute "TESTPIN_TO_THRUPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "C3.2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i71:hys(0)" - ( attribute "PN" "13" - ( Origin gPackager ) + ( attribute "THRUPIN_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "IC2.13" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i71:\in+\(0)" - ( attribute "PN" "11" - ( Origin gPackager ) + ( attribute "TESTVIA_TO_THRUPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "IC2.11" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i71:\in-\(0)" - ( attribute "PN" "12" - ( Origin gPackager ) + ( attribute "BBV_TO_THRUPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "IC2.12" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i71:le(0)" - ( attribute "PN" "17" - ( Origin gPackager ) + ( attribute "THRUPIN_TO_BONDPAD_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "IC2.17" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i71:\le*\(0)" - ( attribute "PN" "16" - ( Origin gPackager ) + ( attribute "LINE_TO_THRUPIN_SPACING" "0.2000,0.2000,0.2000,0.2000,0.2000,0.2000" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "IC2.16" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i71:q(0)" - ( attribute "PN" "20" - ( Origin gPackager ) + ( attribute "THRUPIN_TO_SHAPE_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "IC2.20" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i71:\q*\(0)" - ( attribute "PN" "19" - ( Origin gPackager ) + ( attribute "SMDPIN_TO_SMDPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "IC2.19" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i73:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "SMDPIN_TO_TESTPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "R9.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i73:b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) + ( attribute "SMDPIN_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "R9.2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i78:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "SMDPIN_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "C2.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i78:b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) + ( attribute "BBV_TO_SMDPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "C2.2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i79:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "SMDPIN_TO_BONDPAD_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "R5.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i79:b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) + ( attribute "LINE_TO_SMDPIN_SPACING" "0.1800,0.2000,0.2000,0.2000,0.2000,0.2000" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "R5.2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i81:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "SHAPE_TO_SMDPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "R10.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i81:b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) + ( attribute "TESTPIN_TO_TESTPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "R10.2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i148:b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) + ( attribute "TESTPIN_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "R6.2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i148:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "TESTPIN_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "R6.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i92:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "BBV_TO_TESTPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "R7.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i92:b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) + ( attribute "BONDPAD_TO_TESTPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "R7.2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i93:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "LINE_TO_TESTPIN_SPACING" "0.2000,0.2000,0.2000,0.2000,0.2000,0.2000" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "R3.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i93:b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) + ( attribute "SHAPE_TO_TESTPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "R3.2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i94:b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) + ( attribute "THRUVIA_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "R2.2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i94:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "TESTVIA_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "R2.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i113:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "BBV_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "R11.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i113:b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) + ( attribute "BONDPAD_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "R11.2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i147:b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) + ( attribute "LINE_TO_THRUVIA_SPACING" "0.2000,0.2000,0.2000,0.2000,0.2000,0.2000" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "R8.2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i147:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "SHAPE_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "R8.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i150:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "TESTVIA_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "C5.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i150:b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) + ( attribute "BBV_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "C5.2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i151:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "BONDPAD_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "C8.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i151:b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) + ( attribute "LINE_TO_TESTVIA_SPACING" "0.2000,0.2000,0.2000,0.2000,0.2000,0.2000" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "C8.2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i152:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "SHAPE_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "R14.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i152:b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) + ( attribute "BBV_TO_BBV_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "R14.2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i153:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "BONDPAD_TO_BBV_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "R12.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i153:b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) + ( attribute "BBV_TO_LINE_SPACING" "0.2000,0.2000,0.2000,0.2000,0.2000,0.2000" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "R12.2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i159:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "BBV_TO_SHAPE_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "TP2.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i160:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "BONDPAD_TO_BONDPAD_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "TP3.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i161:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "BONDPAD_TO_LINE_SPACING" "0.2000,0.2000,0.2000,0.2000,0.2000,0.2000" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "TP4.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i162:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "BONDPAD_TO_SHAPE_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "TP1.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i163:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "LINE_TO_LINE_SPACING" "0.2570,0.2000,0.2000,0.2000,0.2000,0.2570" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "TP5.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i164:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "LINE_TO_SHAPE_SPACING" "0.2000,0.2000,0.2000,0.2000,0.2000,0.2000" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "TP6.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i165:a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "SHAPE_TO_SHAPE_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "TP7.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i120:a" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "HOLE_TO_PIN_SPACING" "0.2032,0.2032,0.2032,0.2032,0.2032,0.2032" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "D1.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i120:ac" - ( attribute "PN" "3" - ( Origin gPackager ) + ( attribute "HOLE_TO_VIA_SPACING" "0.2032,0.2032,0.2032,0.2032,0.2032,0.2032" + ( Units "uDesign" "mm" 1.000000) + ( Origin gBackEnd ) ) - ( objectStatus "D1.3" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i120:c" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "D1.2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i168:a" - ( attribute "PN" "1" - ( Origin gPackager ) - ) - ( objectStatus "PX1.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1):page1_i169:a" - ( attribute "PN" "1" - ( Origin gPackager ) - ) - ( objectStatus "PX2.1" ) - ) - ) - ) - ( EndBaseCopy ) - ) - ( BaseCopy "fmc_tlu_diode_clamp" - ( constraintHeader - ( revisionNumber - ( logicalViewRevNum 15 ) - ( physicalViewRevNum 0 ) - ( otherViewRevNum 0 ) - ) - ( contents - ( electricalConstraints ) - ( netClasses ) - ( properties ) - ) - ) - ( designConstraints - ( ruleChanges - ( allRules ) - ( design "fmc_tlu_diode_clamp" - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig5" - ( objectStatus "sig5" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig7" - ( objectStatus "sig7" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig4" - ( objectStatus "sig4" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig6" - ( objectStatus "sig6" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig0" - ( objectStatus "sig0" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig1" - ( objectStatus "sig1" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig3" - ( objectStatus "sig3" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):sig2" - ( objectStatus "sig2" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):gnd_signal" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_gnd_signal") ) - ( objectStatus "gnd_signal" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_gnd_signal" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_gnd_signal" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):p2v5" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_p2v5") ) - ( objectStatus "p2v5" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_p2v5" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_p2v5" ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i9" - ( attribute "CDS_LIB" "cnpassive" - ( Origin gPackager ) - ) - ( attribute "PACK_TYPE" "0603" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "1" - ( Origin gFrontEnd ) - ) - ( attribute "ROT" "1" - ( Origin gFrontEnd ) - ) - ( attribute "SIZE" "1" - ( Origin gFrontEnd ) - ) - ( attribute "VALUE" "100NF" - ( Origin gFrontEnd ) - ) - ( attribute "VER" "1" - ( Origin gFrontEnd ) - ) - ( attribute "VOLTAGE" "16V" - ( Units "uVoltage" "V" 1.000000) - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(4450,500)" - ( Origin gFrontEnd ) - ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" - ( Origin gPackager ) - ) - ( objectStatus "PAGE1_I9" ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i11" - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Origin gPackager ) - ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i13" - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Origin gPackager ) - ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i15" - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Origin gPackager ) - ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i17" - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Origin gPackager ) - ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i19" - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Origin gPackager ) - ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i21" - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Origin gPackager ) - ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i23" - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Origin gPackager ) - ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i7" - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Origin gPackager ) - ) - ( attribute "CDS_LIB" "bris_cds_analogue" - ( Origin gPackager ) - ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,50,125,-50" - ( Origin gPackager ) - ) - ( attribute "MANUF" "NXP" - ( Origin gFrontEnd ) - ) - ( attribute "PACK_TYPE" "TSSOP" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "1" - ( Origin gFrontEnd ) - ) - ( attribute "ROT" "3" - ( Origin gFrontEnd ) - ) - ( attribute "VER" "1" - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(350,550)" - ( Origin gFrontEnd ) - ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP-GND=GND_SA" - ( Origin gPackager ) - ) - ( objectStatus "PAGE1_I7" ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i40" - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Origin gPackager ) - ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i39" - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Origin gPackager ) - ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i38" - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Origin gPackager ) - ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i37" - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Origin gPackager ) - ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i36" - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Origin gPackager ) - ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i35" - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Origin gPackager ) - ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i34" - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Origin gPackager ) - ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i43" - ( attribute "CDS_LIB" "bris_cds_analogue" - ( Origin gPackager ) - ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,50,125,-50" - ( Origin gPackager ) - ) - ( attribute "MANUF" "NXP" - ( Origin gFrontEnd ) - ) - ( attribute "PACK_TYPE" "TSSOP" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "1" - ( Origin gFrontEnd ) - ) - ( attribute "ROT" "3" - ( Origin gFrontEnd ) - ) - ( attribute "VER" "1" - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(350,-2750)" - ( Origin gFrontEnd ) - ) - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP-GND=GND_SA" - ( Origin gPackager ) - ) - ( objectStatus "PAGE1_I43" ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i44" - ( attribute "CDS_LIB" "bris_cds_analogue" - ( Origin gPackager ) - ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,50,125,-50" - ( Origin gPackager ) - ) - ( attribute "MANUF" "NXP" - ( Origin gFrontEnd ) - ) - ( attribute "PACK_TYPE" "TSSOP" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "1" - ( Origin gFrontEnd ) - ) - ( attribute "ROT" "3" - ( Origin gFrontEnd ) - ) - ( attribute "VER" "1" - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(350,-2200)" - ( Origin gFrontEnd ) - ) - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP-GND=GND_SA" - ( Origin gPackager ) - ) - ( objectStatus "PAGE1_I44" ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i45" - ( attribute "CDS_LIB" "bris_cds_analogue" - ( Origin gPackager ) - ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,50,125,-50" - ( Origin gPackager ) - ) - ( attribute "MANUF" "NXP" - ( Origin gFrontEnd ) - ) - ( attribute "PACK_TYPE" "TSSOP" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "1" - ( Origin gFrontEnd ) - ) - ( attribute "ROT" "3" - ( Origin gFrontEnd ) - ) - ( attribute "VER" "1" - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(350,-1650)" - ( Origin gFrontEnd ) - ) - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP-GND=GND_SA" - ( Origin gPackager ) - ) - ( objectStatus "PAGE1_I45" ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i46" - ( attribute "CDS_LIB" "bris_cds_analogue" - ( Origin gPackager ) - ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,50,125,-50" - ( Origin gPackager ) - ) - ( attribute "MANUF" "NXP" - ( Origin gFrontEnd ) - ) - ( attribute "PACK_TYPE" "TSSOP" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "1" - ( Origin gFrontEnd ) - ) - ( attribute "ROT" "3" - ( Origin gFrontEnd ) - ) - ( attribute "VER" "1" - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(350,-1100)" - ( Origin gFrontEnd ) - ) - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP-GND=GND_SA" - ( Origin gPackager ) - ) - ( objectStatus "PAGE1_I46" ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i47" - ( attribute "CDS_LIB" "bris_cds_analogue" - ( Origin gPackager ) - ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,50,125,-50" - ( Origin gPackager ) - ) - ( attribute "MANUF" "NXP" - ( Origin gFrontEnd ) - ) - ( attribute "PACK_TYPE" "TSSOP" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "1" - ( Origin gFrontEnd ) - ) - ( attribute "ROT" "3" - ( Origin gFrontEnd ) - ) - ( attribute "VER" "1" - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(350,-550)" - ( Origin gFrontEnd ) - ) - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP-GND=GND_SA" - ( Origin gPackager ) - ) - ( objectStatus "PAGE1_I47" ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i48" - ( attribute "CDS_LIB" "bris_cds_analogue" - ( Origin gPackager ) - ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,50,125,-50" - ( Origin gPackager ) - ) - ( attribute "MANUF" "NXP" - ( Origin gFrontEnd ) - ) - ( attribute "PACK_TYPE" "TSSOP" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "1" - ( Origin gFrontEnd ) - ) - ( attribute "ROT" "3" - ( Origin gFrontEnd ) - ) - ( attribute "VER" "1" - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(350,0)" - ( Origin gFrontEnd ) - ) - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP-GND=GND_SA" - ( Origin gPackager ) - ) - ( objectStatus "PAGE1_I48" ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i49" - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Origin gPackager ) - ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i50" - ( attribute "CDS_LIB" "bris_cds_analogue" - ( Origin gPackager ) - ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,50,125,-50" - ( Origin gPackager ) - ) - ( attribute "MANUF" "NXP" - ( Origin gFrontEnd ) - ) - ( attribute "PACK_TYPE" "TSSOP" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "1" - ( Origin gFrontEnd ) - ) - ( attribute "ROT" "1" - ( Origin gFrontEnd ) - ) - ( attribute "VER" "1" - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(350,-3300)" - ( Origin gFrontEnd ) - ) - ( attribute "CHIPS_PART_NAME" "PRTR5V0U8S" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "PRTR5V0U8S_TSSOP-NXP-GND=GND_SA" - ( Origin gPackager ) - ) - ( objectStatus "PAGE1_I50" ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i51" - ( attribute "CDS_LIB" "cndiscrete" - ( Origin gPackager ) - ) - ( attribute "PACK_TYPE" "SOD123-CA" - ( Origin gFrontEnd ) - ) - ( attribute "PHYS_PAGE" "1" - ( Origin gFrontEnd ) - ) - ( attribute "ROT" "0" - ( Origin gFrontEnd ) - ) - ( attribute "TYPE" "BZT52C2V7" - ( Origin gFrontEnd ) - ) - ( attribute "VER" "1" - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(4800,500)" - ( Origin gFrontEnd ) - ) - ( attribute "CHIPS_PART_NAME" "ZENER" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "ZENER_SOD123-CA-BZT52C2V7" - ( Origin gPackager ) - ) - ( objectStatus "PAGE1_I51" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i50:\in\" - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i9:b(0)" - ( attribute "PN" "#" - ( Origin gPackager ) - ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i9:a(0)" - ( attribute "PN" "#" - ( Origin gPackager ) - ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i7:\in\" - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i43:\in\" - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i44:\in\" - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i45:\in\" - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i46:\in\" - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i47:\in\" - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i48:\in\" - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i51:a(0)" - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1):page1_i51:k(0)" - ) - ) - ) - ( EndBaseCopy ) - ) - ( BaseCopy "fmc_tlu_vsupply5v" - ( constraintHeader - ( revisionNumber - ( logicalViewRevNum 15 ) - ( physicalViewRevNum 1 ) - ( otherViewRevNum 0 ) - ) - ( contents - ( electricalConstraints ) - ( physicalConstraints ) - ( spacingConstraints ) - ( sameNetSpacingConstraints ) - ( netClasses ) - ( properties ) - ) - ) - ( designConstraints - ( ruleChanges - ( allRules ) - ( design "fmc_tlu_vsupply5v" - ( physicalCSetRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):\DEFAULT\" ) - ( spacingCSetRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):\DEFAULT\" ) - ( sameNetSpacingCSetRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):\DEFAULT\" ) - ) - ( physicalCSet "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):\DEFAULT\" - ( attribute "DIFFP_PRIMARY_GAP" "0.2000,0.2000,0.2000,0.2000,0.2000,0.2000" + ( attribute "HOLE_TO_LINE_SPACING" "0.2032,0.2032,0.2032,0.2032,0.2032,0.2032" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "DIFFP_COUPLED_PLUS" "0.0000,0.0000,0.0000,0.0000,0.0000,0.0000" + ( attribute "HOLE_TO_SHAPE_SPACING" "0.2032,0.2032,0.2032,0.2032,0.2032,0.2032" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "DIFFP_COUPLED_MINUS" "0.0000,0.0000,0.0000,0.0000,0.0000,0.0000" + ( attribute "HOLE_TO_HOLE_SPACING" "0.2032,0.2032,0.2032,0.2032,0.2032,0.2032" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "DIFFP_MIN_SPACE" "0.0000,0.0000,0.0000,0.0000,0.0000,0.0000" + ( attribute "MVIA_TO_MVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "MIN_LINE_WIDTH" "0.2257,0.2257,0.2257,0.2257,0.2257,0.2257" + ( attribute "MVIA_TO_THRUPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "MAX_LINE_WIDTH" "0.0000,0.0000,0.0000,0.0000,0.0000,0.0000" + ( attribute "MVIA_TO_SMDPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "DIFFP_NECK_GAP" "0.1800,0.1800,0.1800,0.1800,0.1800,0.1800" + ( attribute "MVIA_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "MIN_NECK_WIDTH" "0.1800,0.1800,0.1800,0.1800,0.1800,0.1800" + ( attribute "MVIA_TO_BBV_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "MAXIMUM_NECK_LENGTH" "5.0000,5.0000,5.0000,5.0000,5.0000,5.0000" + ( attribute "MVIA_TO_LINE_SPACING" "0.2000,0.2000,0.2000,0.2000,0.2000,0.2000" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "VIA_LIST" "VIA,VIA32RD0-3D,VIA55-30-80,VIA36RD0-4D" + ( attribute "MVIA_TO_SHAPE_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "ALLOW_ON_ETCH_SUBCLASS" "TRUE,TRUE,TRUE,TRUE,TRUE,TRUE" + ( attribute "MVIA_TO_TESTPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "TS_ALLOWED" "ANYWHERE,ANYWHERE,ANYWHERE,ANYWHERE,ANYWHERE,ANYWHERE" + ( attribute "MVIA_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "MIN_BVIA_STAGGER" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "BONDPAD_TO_MVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "MAX_BVIA_STAGGER" "0.0000,0.0000,0.0000,0.0000,0.0000,0.0000" + ( attribute "MIN_BVIA_GAP" "0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "PAD_PAD_DIRECT_CONNECT" "ALL_ALLOWED,ALL_ALLOWED,ALL_ALLOWED,ALL_ALLOWED,ALL_ALLOWED,ALL_ALLOWED" + ( attribute "BONDPAD_TO_BONDPAD_DIFFP_SPC" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) ( objectStatus "DEFAULT" ) ) - ( spacingCSet "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):\DEFAULT\" - ( attribute "THRUPIN_TO_THRUPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) + ( sameNetSpacingCSet "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):\DEFAULT\" + ( attribute "SAME_NET" "FALSE,FALSE,FALSE,FALSE,FALSE,FALSE" ( Origin gBackEnd ) ) - ( attribute "THRUPIN_TO_SMDPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "SN_THRUPIN_TO_THRUPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "TESTPIN_TO_THRUPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "SN_THRUPIN_TO_SMDPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "THRUPIN_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "SN_TESTPIN_TO_THRUPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "TESTVIA_TO_THRUPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "SN_THRUPIN_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "BBV_TO_THRUPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "SN_TESTVIA_TO_THRUPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "THRUPIN_TO_BONDPAD_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "SN_BBV_TO_THRUPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "LINE_TO_THRUPIN_SPACING" "0.2000,0.2000,0.2000,0.2000,0.2000,0.2000" + ( attribute "SN_THRUPIN_TO_BONDPAD_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "THRUPIN_TO_SHAPE_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "SN_LINE_TO_THRUPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "SMDPIN_TO_SMDPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "SN_THRUPIN_TO_SHAPE_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "SMDPIN_TO_TESTPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "SN_SMDPIN_TO_SMDPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "SMDPIN_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "SN_SMDPIN_TO_TESTPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "SMDPIN_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "SN_SMDPIN_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "BBV_TO_SMDPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "SN_SMDPIN_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "SMDPIN_TO_BONDPAD_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "SN_BBV_TO_SMDPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "LINE_TO_SMDPIN_SPACING" "0.1800,0.2000,0.2000,0.2000,0.2000,0.2000" + ( attribute "SN_SMDPIN_TO_BONDPAD_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "SHAPE_TO_SMDPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "SN_LINE_TO_SMDPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "TESTPIN_TO_TESTPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "SN_SHAPE_TO_SMDPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "TESTPIN_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "SN_TESTPIN_TO_TESTPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "TESTPIN_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "SN_TESTPIN_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "BBV_TO_TESTPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "SN_TESTPIN_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "BONDPAD_TO_TESTPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "SN_BBV_TO_TESTPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "LINE_TO_TESTPIN_SPACING" "0.2000,0.2000,0.2000,0.2000,0.2000,0.2000" + ( attribute "SN_BONDPAD_TO_TESTPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "SHAPE_TO_TESTPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "SN_LINE_TO_TESTPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "THRUVIA_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "SN_SHAPE_TO_TESTPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "TESTVIA_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "SN_THRUVIA_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "BBV_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "SN_TESTVIA_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "BONDPAD_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "SN_BBV_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "LINE_TO_THRUVIA_SPACING" "0.2000,0.2000,0.2000,0.2000,0.2000,0.2000" + ( attribute "SN_BONDPAD_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "SHAPE_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "SN_LINE_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "TESTVIA_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "SN_SHAPE_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "BBV_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "SN_TESTVIA_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "BONDPAD_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "SN_BBV_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "LINE_TO_TESTVIA_SPACING" "0.2000,0.2000,0.2000,0.2000,0.2000,0.2000" + ( attribute "SN_BONDPAD_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "SHAPE_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "SN_LINE_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "BBV_TO_BBV_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "SN_SHAPE_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) - ( attribute "BONDPAD_TO_BBV_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "BBV_TO_LINE_SPACING" "0.2000,0.2000,0.2000,0.2000,0.2000,0.2000" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "BBV_TO_SHAPE_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "BONDPAD_TO_BONDPAD_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "BONDPAD_TO_LINE_SPACING" "0.2000,0.2000,0.2000,0.2000,0.2000,0.2000" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "BONDPAD_TO_SHAPE_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "LINE_TO_LINE_SPACING" "0.2570,0.2000,0.2000,0.2000,0.2000,0.2570" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "LINE_TO_SHAPE_SPACING" "0.2000,0.2000,0.2000,0.2000,0.2000,0.2000" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SHAPE_TO_SHAPE_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "HOLE_TO_PIN_SPACING" "0.2032,0.2032,0.2032,0.2032,0.2032,0.2032" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "HOLE_TO_VIA_SPACING" "0.2032,0.2032,0.2032,0.2032,0.2032,0.2032" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "HOLE_TO_LINE_SPACING" "0.2032,0.2032,0.2032,0.2032,0.2032,0.2032" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "HOLE_TO_SHAPE_SPACING" "0.2032,0.2032,0.2032,0.2032,0.2032,0.2032" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "HOLE_TO_HOLE_SPACING" "0.2032,0.2032,0.2032,0.2032,0.2032,0.2032" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "MVIA_TO_MVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "MVIA_TO_THRUPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "MVIA_TO_SMDPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "MVIA_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "MVIA_TO_BBV_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "MVIA_TO_LINE_SPACING" "0.2000,0.2000,0.2000,0.2000,0.2000,0.2000" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "MVIA_TO_SHAPE_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "MVIA_TO_TESTPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "MVIA_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "BONDPAD_TO_MVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "MIN_BVIA_GAP" "0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "BONDPAD_TO_BONDPAD_DIFFP_SPC" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( objectStatus "DEFAULT" ) - ) - ( sameNetSpacingCSet "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):\DEFAULT\" - ( attribute "SAME_NET" "FALSE,FALSE,FALSE,FALSE,FALSE,FALSE" - ( Origin gBackEnd ) - ) - ( attribute "SN_THRUPIN_TO_THRUPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_THRUPIN_TO_SMDPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_TESTPIN_TO_THRUPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_THRUPIN_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_TESTVIA_TO_THRUPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_BBV_TO_THRUPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_THRUPIN_TO_BONDPAD_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_LINE_TO_THRUPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_THRUPIN_TO_SHAPE_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_SMDPIN_TO_SMDPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_SMDPIN_TO_TESTPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_SMDPIN_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_SMDPIN_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_BBV_TO_SMDPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_SMDPIN_TO_BONDPAD_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_LINE_TO_SMDPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_SHAPE_TO_SMDPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_TESTPIN_TO_TESTPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_TESTPIN_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_TESTPIN_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_BBV_TO_TESTPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_BONDPAD_TO_TESTPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_LINE_TO_TESTPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_SHAPE_TO_TESTPIN_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_THRUVIA_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_TESTVIA_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_BBV_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_BONDPAD_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_LINE_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_SHAPE_TO_THRUVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_TESTVIA_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_BBV_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_BONDPAD_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_LINE_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_SHAPE_TO_TESTVIA_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" - ( Units "uDesign" "mm" 1.000000) - ( Origin gBackEnd ) - ) - ( attribute "SN_BBV_TO_BBV_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" + ( attribute "SN_BBV_TO_BBV_SPACING" "0.1270,0.1270,0.1270,0.1270,0.1270,0.1270" ( Units "uDesign" "mm" 1.000000) ( Origin gBackEnd ) ) @@ -37253,10 +38712,18 @@ ( objectFlag fObjectAlias ) ( objectStatus "page1_vm2<14>" ) ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(1)" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_vm2<1>" ) + ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(6)" ( objectFlag fObjectAlias ) ( objectStatus "page1_vm2<6>" ) ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(6)" + ( objectFlag fObjectAlias ) + ( objectStatus "vm2<6>" ) + ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(14)" ( objectFlag fObjectAlias ) ( objectStatus "vm2<14>" ) @@ -37289,10 +38756,6 @@ ( objectFlag fObjectAlias ) ( objectStatus "vm2<7>" ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(6)" - ( objectFlag fObjectAlias ) - ( objectStatus "vm2<6>" ) - ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(5)" ( objectFlag fObjectAlias ) ( objectStatus "vm2<5>" ) @@ -37301,172 +38764,3789 @@ ( objectFlag fObjectAlias ) ( objectStatus "vm2<4>" ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(3)" - ( objectFlag fObjectAlias ) - ( objectStatus "vm2<3>" ) - ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(2)" ( objectFlag fObjectAlias ) ( objectStatus "vm2<2>" ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(1)" + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(3)" ( objectFlag fObjectAlias ) - ( objectStatus "page1_vm2<1>" ) + ( objectStatus "vm2<3>" ) ) ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(1)" ( objectFlag fObjectAlias ) ( objectStatus "vm2<1>" ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(0)" - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1(0)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1(1)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(1)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1(5)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(5)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1(4)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(4)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1(3)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(3)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1(2)") ) - ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(2)") ) - ( attribute "PHYS_NET_NAME" "VP1<0>" + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(0)" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1(0)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1(1)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(1)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1(5)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(5)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1(4)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(4)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1(3)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(3)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1(2)") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(2)") ) + ( attribute "PHYS_NET_NAME" "VP1<0>" + ( Origin gPackager ) + ) + ( attribute "CDS_PHYS_NET_NAME" "VP1<0>" + ( Origin gPackager ) + ) + ( attribute "PNN" "VP1<0>" + ( Origin gPackager ) + ) + ( objectStatus "VP1<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1(0)" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_vp1<0>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1(1)" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_vp1<1>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(1)" + ( objectFlag fObjectAlias ) + ( objectStatus "vp1<1>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1(5)" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_vp1<5>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(5)" + ( objectFlag fObjectAlias ) + ( objectStatus "vp1<5>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1(4)" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_vp1<4>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(4)" + ( objectFlag fObjectAlias ) + ( objectStatus "vp1<4>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1(3)" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_vp1<3>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(3)" + ( objectFlag fObjectAlias ) + ( objectStatus "vp1<3>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1(2)" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_vp1<2>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(2)" + ( objectFlag fObjectAlias ) + ( objectStatus "vp1<2>" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):unnamed_1_capcersmdcl2_i81_a" + ( attribute "CDS_PHYS_NET_NAME" "UNNAMED_1_CAPCERSMDCL2_I81_A" + ( Origin gPackager ) + ) + ( objectStatus "UNNAMED_1_CAPCERSMDCL2_I81_A" ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i40" + ( attribute "CDS_LIB" "bris_cds_special" + ( Origin gPackager ) + ) + ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,125,125,-125" + ( Origin gPackager ) + ) + ( attribute "MANUF" "LINEAR TECHNOLOGY" + ( Origin gFrontEnd ) + ) + ( attribute "PACK_TYPE" "SOT_223" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "6" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(1700,825)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "LT1175" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "LT1175_SOT_223" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "REG1" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "REG1" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "REG1" ) + ( pin "gnd" + ( attribute "PN" "3" + ( Origin gPackager ) + ) + ( objectStatus "REG1.3" ) + ) + ( pin "v_in0" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "REG1.2" ) + ) + ( pin "v_in1" + ( attribute "PN" "4" + ( Origin gPackager ) + ) + ( objectStatus "REG1.4" ) + ) + ( pin "v_out" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "REG1.1" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i42" + ( attribute "CDS_LIB" "cndiscrete" + ( Origin gPackager ) + ) + ( attribute "MODEL" "WURTH" + ( Origin gFrontEnd ) + ) + ( attribute "PACK_TYPE" "SMD" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "7427921" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(625,2900)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "FERRITE" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "FERRITE_SMD-7427921,WURTH" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "L2" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "L2" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "L2" ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i44" + ( attribute "CDS_LIB" "cndiscrete" + ( Origin gPackager ) + ) + ( attribute "MODEL" "WURTH" + ( Origin gFrontEnd ) + ) + ( attribute "PACK_TYPE" "SMD" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "7427921" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(625,875)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "FERRITE" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "FERRITE_SMD-7427921,WURTH" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "L3" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "L3" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "L3" ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i50" + ( attribute "CDS_LIB" "bris_cds_analogue" + ( Origin gPackager ) + ) + ( attribute "CDS_LMAN_SYM_OUTLINE" "-150,200,150,-150" + ( Origin gPackager ) + ) + ( attribute "KL_COMMENTS" "-" + ( Origin gFrontEnd ) + ) + ( attribute "MANUF" "LINEAR" + ( Origin gFrontEnd ) + ) + ( attribute "OL_COMMENTS" "-" + ( Origin gFrontEnd ) + ) + ( attribute "PACK_TYPE" "SOT223" + ( Origin gFrontEnd ) + ) + ( attribute "PART_NAME" "lt1129CST-5" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_DES_PREFIX" "VR" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "PL_COMMENTS" "-" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(1700,2850)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "LT1129CST-5" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "LT1129CST-5_SOT223-LINEAR" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "VR1" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "VR1" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "VR1" ) + ( pin "gnd" + ( objectStatus "VR1.2" ) + ) + ( pin "tab" + ( objectStatus "VR1.4" ) + ) + ( pin "vin" + ( objectStatus "VR1.1" ) + ) + ( pin "vout" + ( objectStatus "VR1.3" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i52" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "0805" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "4.7UF" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "10V" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(1100,2750)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0805" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "C9" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C9" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "C9" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "C9.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "C9.2" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i54" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "0805" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "4.7UF" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "10V" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(1100,725)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0805" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "C10" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C10" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "C10" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "C10.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "C10.2" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i56" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "0805" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "4.7UF" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "10V" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(2475,2750)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0805" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "C12" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C12" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "C12" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "C12.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "C12.2" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i58" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "0805" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "4.7UF" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "10V" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(2450,675)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0805" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "C11" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C11" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "C11" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "C11.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "C11.2" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i66" + ( attribute "CDS_LIB" "cndiscrete" + ( Origin gPackager ) + ) + ( attribute "MODEL" "MURATA" + ( Origin gFrontEnd ) + ) + ( attribute "PACK_TYPE" "SMD" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "BLM41P800S" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(-3100,2375)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "FERRITE" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "FERRITE_SMD-BLM41P800S,MURATA" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "L1" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "L1" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "L1" ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i70" + ( attribute "CDS_LIB" "cnlinear" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "BGA" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "TYPE" "LTM8047EY#PBF" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(-725,1550)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "LTM8047" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "LTM8047EY#PBF" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "RG1" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "RG1" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "RG1" ) + ( pin "adj" + ( attribute "PN" "G7" + ( Origin gPackager ) + ) + ( objectStatus "RG1.G7" ) + ) + ( pin "bias" + ( attribute "PN" "H5" + ( Origin gPackager ) + ) + ( objectStatus "RG1.H5" ) + ) + ( pin "gnd(0)" + ( attribute "PN" "E1" + ( Origin gPackager ) + ) + ( objectStatus "RG1.E1" ) + ) + ( pin "gnd(1)" + ( attribute "PN" "E2" + ( Origin gPackager ) + ) + ( objectStatus "RG1.E2" ) + ) + ( pin "gnd(2)" + ( attribute "PN" "E3" + ( Origin gPackager ) + ) + ( objectStatus "RG1.E3" ) + ) + ( pin "gnd(3)" + ( attribute "PN" "E4" + ( Origin gPackager ) + ) + ( objectStatus "RG1.E4" ) + ) + ( pin "gnd(4)" + ( attribute "PN" "E5" + ( Origin gPackager ) + ) + ( objectStatus "RG1.E5" ) + ) + ( pin "gnd(5)" + ( attribute "PN" "E6" + ( Origin gPackager ) + ) + ( objectStatus "RG1.E6" ) + ) + ( pin "gnd(6)" + ( attribute "PN" "E7" + ( Origin gPackager ) + ) + ( objectStatus "RG1.E7" ) + ) + ( pin "gnd(7)" + ( attribute "PN" "F4" + ( Origin gPackager ) + ) + ( objectStatus "RG1.F4" ) + ) + ( pin "gnd(8)" + ( attribute "PN" "F5" + ( Origin gPackager ) + ) + ( objectStatus "RG1.F5" ) + ) + ( pin "gnd(9)" + ( attribute "PN" "F6" + ( Origin gPackager ) + ) + ( objectStatus "RG1.F6" ) + ) + ( pin "gnd(10)" + ( attribute "PN" "F7" + ( Origin gPackager ) + ) + ( objectStatus "RG1.F7" ) + ) + ( pin "gnd(11)" + ( attribute "PN" "G4" + ( Origin gPackager ) + ) + ( objectStatus "RG1.G4" ) + ) + ( pin "gnd(12)" + ( attribute "PN" "G5" + ( Origin gPackager ) + ) + ( objectStatus "RG1.G5" ) + ) + ( pin "gnd(13)" + ( attribute "PN" "G6" + ( Origin gPackager ) + ) + ( objectStatus "RG1.G6" ) + ) + ( pin "gnd(14)" + ( attribute "PN" "H4" + ( Origin gPackager ) + ) + ( objectStatus "RG1.H4" ) + ) + ( pin "gnd(15)" + ( attribute "PN" "H7" + ( Origin gPackager ) + ) + ( objectStatus "RG1.H7" ) + ) + ( pin "run" + ( attribute "PN" "F3" + ( Origin gPackager ) + ) + ( objectStatus "RG1.F3" ) + ) + ( pin "ss" + ( attribute "PN" "H6" + ( Origin gPackager ) + ) + ( objectStatus "RG1.H6" ) + ) + ( pin "vin(0)" + ( attribute "PN" "H1" + ( Origin gPackager ) + ) + ( objectStatus "RG1.H1" ) + ) + ( pin "vin(1)" + ( attribute "PN" "H2" + ( Origin gPackager ) + ) + ( objectStatus "RG1.H2" ) + ) + ( pin "vin(2)" + ( attribute "PN" "G1" + ( Origin gPackager ) + ) + ( objectStatus "RG1.G1" ) + ) + ( pin "vin(3)" + ( attribute "PN" "G2" + ( Origin gPackager ) + ) + ( objectStatus "RG1.G2" ) + ) + ( pin "vout(0)" + ( attribute "PN" "A6" + ( Origin gPackager ) + ) + ( objectStatus "RG1.A6" ) + ) + ( pin "vout(1)" + ( attribute "PN" "A7" + ( Origin gPackager ) + ) + ( objectStatus "RG1.A7" ) + ) + ( pin "vout(2)" + ( attribute "PN" "B6" + ( Origin gPackager ) + ) + ( objectStatus "RG1.B6" ) + ) + ( pin "vout(3)" + ( attribute "PN" "B7" + ( Origin gPackager ) + ) + ( objectStatus "RG1.B7" ) + ) + ( pin "vout(4)" + ( attribute "PN" "C6" + ( Origin gPackager ) + ) + ( objectStatus "RG1.C6" ) + ) + ( pin "vout(5)" + ( attribute "PN" "C7" + ( Origin gPackager ) + ) + ( objectStatus "RG1.C7" ) + ) + ( pin "\vout-\(0)" + ( attribute "PN" "A1" + ( Origin gPackager ) + ) + ( objectStatus "RG1.A1" ) + ) + ( pin "\vout-\(1)" + ( attribute "PN" "A2" + ( Origin gPackager ) + ) + ( objectStatus "RG1.A2" ) + ) + ( pin "\vout-\(2)" + ( attribute "PN" "A3" + ( Origin gPackager ) + ) + ( objectStatus "RG1.A3" ) + ) + ( pin "\vout-\(3)" + ( attribute "PN" "A4" + ( Origin gPackager ) + ) + ( objectStatus "RG1.A4" ) + ) + ( pin "\vout-\(4)" + ( attribute "PN" "A5" + ( Origin gPackager ) + ) + ( objectStatus "RG1.A5" ) + ) + ( pin "\vout-\(5)" + ( attribute "PN" "B1" + ( Origin gPackager ) + ) + ( objectStatus "RG1.B1" ) + ) + ( pin "\vout-\(6)" + ( attribute "PN" "B2" + ( Origin gPackager ) + ) + ( objectStatus "RG1.B2" ) + ) + ( pin "\vout-\(7)" + ( attribute "PN" "B3" + ( Origin gPackager ) + ) + ( objectStatus "RG1.B3" ) + ) + ( pin "\vout-\(8)" + ( attribute "PN" "B4" + ( Origin gPackager ) + ) + ( objectStatus "RG1.B4" ) + ) + ( pin "\vout-\(9)" + ( attribute "PN" "B5" + ( Origin gPackager ) + ) + ( objectStatus "RG1.B5" ) + ) + ( pin "\vout-\(10)" + ( attribute "PN" "C1" + ( Origin gPackager ) + ) + ( objectStatus "RG1.C1" ) + ) + ( pin "\vout-\(11)" + ( attribute "PN" "C2" + ( Origin gPackager ) + ) + ( objectStatus "RG1.C2" ) + ) + ( pin "\vout-\(12)" + ( attribute "PN" "C3" + ( Origin gPackager ) + ) + ( objectStatus "RG1.C3" ) + ) + ( pin "\vout-\(13)" + ( attribute "PN" "C4" + ( Origin gPackager ) + ) + ( objectStatus "RG1.C4" ) + ) + ( pin "\vout-\(14)" + ( attribute "PN" "C5" + ( Origin gPackager ) + ) + ( objectStatus "RG1.C5" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i72" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "1210" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "4.7UF" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "50V" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(-1825,1525)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C5" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "C5" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "C5" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "C5.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "C5.2" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i74" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "DIST" "FLAT" + ( Origin gFrontEnd ) + ) + ( attribute "MAX_TEMP" "RTMAX" + ( Origin gFrontEnd ) + ) + ( attribute "NEGTOL" "RTOL%" + ( Origin gFrontEnd ) + ) + ( attribute "PACK_TYPE" "1/10W" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "POSTOL" "RTOL%" + ( Origin gFrontEnd ) + ) + ( attribute "POWER" "RMAX" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SLOPE" "RSMAX" + ( Origin gFrontEnd ) + ) + ( attribute "TC1" "RTMPL" + ( Origin gFrontEnd ) + ) + ( attribute "TC2" "RTMPQ" + ( Origin gFrontEnd ) + ) + ( attribute "TOL" "1%" + ( Origin gFrontEnd ) + ) + ( attribute "TOL_ON_OFF" "ON" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "6.19K" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "2" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "RVMAX" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(-1300,1250)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-6.19K,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R2" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "R2" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "R2" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "R2.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "R2.2" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i79" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "1210" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "4.7UF" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "50V" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(-3550,2075)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C1" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "C1" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "C1" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "C1.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "C1.2" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i81" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "1210" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "4.7UF" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "50V" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(-2725,2200)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C2" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "C2" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "C2" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "C2.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "C2.2" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i82" + ( attribute "CDS_LIB" "cnlinear" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "BGA" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "TYPE" "LTM8047EY#PBF" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(-700,2700)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "LTM8047" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "LTM8047EY#PBF" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "RG2" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "RG2" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "RG2" ) + ( pin "adj" + ( attribute "PN" "G7" + ( Origin gPackager ) + ) + ( objectStatus "RG2.G7" ) + ) + ( pin "bias" + ( attribute "PN" "H5" + ( Origin gPackager ) + ) + ( objectStatus "RG2.H5" ) + ) + ( pin "gnd(0)" + ( attribute "PN" "E1" + ( Origin gPackager ) + ) + ( objectStatus "RG2.E1" ) + ) + ( pin "gnd(1)" + ( attribute "PN" "E2" + ( Origin gPackager ) + ) + ( objectStatus "RG2.E2" ) + ) + ( pin "gnd(2)" + ( attribute "PN" "E3" + ( Origin gPackager ) + ) + ( objectStatus "RG2.E3" ) + ) + ( pin "gnd(3)" + ( attribute "PN" "E4" + ( Origin gPackager ) + ) + ( objectStatus "RG2.E4" ) + ) + ( pin "gnd(4)" + ( attribute "PN" "E5" + ( Origin gPackager ) + ) + ( objectStatus "RG2.E5" ) + ) + ( pin "gnd(5)" + ( attribute "PN" "E6" + ( Origin gPackager ) + ) + ( objectStatus "RG2.E6" ) + ) + ( pin "gnd(6)" + ( attribute "PN" "E7" + ( Origin gPackager ) + ) + ( objectStatus "RG2.E7" ) + ) + ( pin "gnd(7)" + ( attribute "PN" "F4" + ( Origin gPackager ) + ) + ( objectStatus "RG2.F4" ) + ) + ( pin "gnd(8)" + ( attribute "PN" "F5" + ( Origin gPackager ) + ) + ( objectStatus "RG2.F5" ) + ) + ( pin "gnd(9)" + ( attribute "PN" "F6" + ( Origin gPackager ) + ) + ( objectStatus "RG2.F6" ) + ) + ( pin "gnd(10)" + ( attribute "PN" "F7" + ( Origin gPackager ) + ) + ( objectStatus "RG2.F7" ) + ) + ( pin "gnd(11)" + ( attribute "PN" "G4" + ( Origin gPackager ) + ) + ( objectStatus "RG2.G4" ) + ) + ( pin "gnd(12)" + ( attribute "PN" "G5" + ( Origin gPackager ) + ) + ( objectStatus "RG2.G5" ) + ) + ( pin "gnd(13)" + ( attribute "PN" "G6" + ( Origin gPackager ) + ) + ( objectStatus "RG2.G6" ) + ) + ( pin "gnd(14)" + ( attribute "PN" "H4" + ( Origin gPackager ) + ) + ( objectStatus "RG2.H4" ) + ) + ( pin "gnd(15)" + ( attribute "PN" "H7" + ( Origin gPackager ) + ) + ( objectStatus "RG2.H7" ) + ) + ( pin "run" + ( attribute "PN" "F3" + ( Origin gPackager ) + ) + ( objectStatus "RG2.F3" ) + ) + ( pin "ss" + ( attribute "PN" "H6" + ( Origin gPackager ) + ) + ( objectStatus "RG2.H6" ) + ) + ( pin "vin(0)" + ( attribute "PN" "H1" + ( Origin gPackager ) + ) + ( objectStatus "RG2.H1" ) + ) + ( pin "vin(1)" + ( attribute "PN" "H2" + ( Origin gPackager ) + ) + ( objectStatus "RG2.H2" ) + ) + ( pin "vin(2)" + ( attribute "PN" "G1" + ( Origin gPackager ) + ) + ( objectStatus "RG2.G1" ) + ) + ( pin "vin(3)" + ( attribute "PN" "G2" + ( Origin gPackager ) + ) + ( objectStatus "RG2.G2" ) + ) + ( pin "vout(0)" + ( attribute "PN" "A6" + ( Origin gPackager ) + ) + ( objectStatus "RG2.A6" ) + ) + ( pin "vout(1)" + ( attribute "PN" "A7" + ( Origin gPackager ) + ) + ( objectStatus "RG2.A7" ) + ) + ( pin "vout(2)" + ( attribute "PN" "B6" + ( Origin gPackager ) + ) + ( objectStatus "RG2.B6" ) + ) + ( pin "vout(3)" + ( attribute "PN" "B7" + ( Origin gPackager ) + ) + ( objectStatus "RG2.B7" ) + ) + ( pin "vout(4)" + ( attribute "PN" "C6" + ( Origin gPackager ) + ) + ( objectStatus "RG2.C6" ) + ) + ( pin "vout(5)" + ( attribute "PN" "C7" + ( Origin gPackager ) + ) + ( objectStatus "RG2.C7" ) + ) + ( pin "\vout-\(0)" + ( attribute "PN" "A1" + ( Origin gPackager ) + ) + ( objectStatus "RG2.A1" ) + ) + ( pin "\vout-\(1)" + ( attribute "PN" "A2" + ( Origin gPackager ) + ) + ( objectStatus "RG2.A2" ) + ) + ( pin "\vout-\(2)" + ( attribute "PN" "A3" + ( Origin gPackager ) + ) + ( objectStatus "RG2.A3" ) + ) + ( pin "\vout-\(3)" + ( attribute "PN" "A4" + ( Origin gPackager ) + ) + ( objectStatus "RG2.A4" ) + ) + ( pin "\vout-\(4)" + ( attribute "PN" "A5" + ( Origin gPackager ) + ) + ( objectStatus "RG2.A5" ) + ) + ( pin "\vout-\(5)" + ( attribute "PN" "B1" + ( Origin gPackager ) + ) + ( objectStatus "RG2.B1" ) + ) + ( pin "\vout-\(6)" + ( attribute "PN" "B2" + ( Origin gPackager ) + ) + ( objectStatus "RG2.B2" ) + ) + ( pin "\vout-\(7)" + ( attribute "PN" "B3" + ( Origin gPackager ) + ) + ( objectStatus "RG2.B3" ) + ) + ( pin "\vout-\(8)" + ( attribute "PN" "B4" + ( Origin gPackager ) + ) + ( objectStatus "RG2.B4" ) + ) + ( pin "\vout-\(9)" + ( attribute "PN" "B5" + ( Origin gPackager ) + ) + ( objectStatus "RG2.B5" ) + ) + ( pin "\vout-\(10)" + ( attribute "PN" "C1" + ( Origin gPackager ) + ) + ( objectStatus "RG2.C1" ) + ) + ( pin "\vout-\(11)" + ( attribute "PN" "C2" + ( Origin gPackager ) + ) + ( objectStatus "RG2.C2" ) + ) + ( pin "\vout-\(12)" + ( attribute "PN" "C3" + ( Origin gPackager ) + ) + ( objectStatus "RG2.C3" ) + ) + ( pin "\vout-\(13)" + ( attribute "PN" "C4" + ( Origin gPackager ) + ) + ( objectStatus "RG2.C4" ) + ) + ( pin "\vout-\(14)" + ( attribute "PN" "C5" + ( Origin gPackager ) + ) + ( objectStatus "RG2.C5" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i83" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "1210" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "4.7UF" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "50V" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(-1800,2675)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C6" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "C6" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "C6" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "C6.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "C6.2" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i85" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "DIST" "FLAT" + ( Origin gFrontEnd ) + ) + ( attribute "MAX_TEMP" "RTMAX" + ( Origin gFrontEnd ) + ) + ( attribute "NEGTOL" "RTOL%" + ( Origin gFrontEnd ) + ) + ( attribute "PACK_TYPE" "1/10W" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "POSTOL" "RTOL%" + ( Origin gFrontEnd ) + ) + ( attribute "POWER" "RMAX" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SLOPE" "RSMAX" + ( Origin gFrontEnd ) + ) + ( attribute "TC1" "RTMPL" + ( Origin gFrontEnd ) + ) + ( attribute "TC2" "RTMPQ" + ( Origin gFrontEnd ) + ) + ( attribute "TOL" "1%" + ( Origin gFrontEnd ) + ) + ( attribute "TOL_ON_OFF" "ON" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "6.19K" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "2" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "RVMAX" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(-1275,2400)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-6.19K,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R3" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "R3" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "R3" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "R3.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "R3.2" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i88" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "1210" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "22UF" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(250,650)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C8" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "C8" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "C8" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "C8.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "C8.2" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i89" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "1210" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "22UF" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(250,2675)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C7" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "C7" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "C7" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "C7.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "C7.2" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i142" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "1210" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "4.7UF" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "50V" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(-2150,2675)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C3" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "C3" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "C3" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "C3.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "C3.2" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i144" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "1210" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "4.7UF" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "50V" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(-2150,1525)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C4" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "C4" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "C4" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "C4.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "C4.2" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i146" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "DIST" "FLAT" + ( Origin gFrontEnd ) + ) + ( attribute "MAX_TEMP" "RTMAX" + ( Origin gFrontEnd ) + ) + ( attribute "NEGTOL" "RTOL%" + ( Origin gFrontEnd ) + ) + ( attribute "PACK_TYPE" "1/10W" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "POSTOL" "RTOL%" + ( Origin gFrontEnd ) + ) + ( attribute "POWER" "RMAX" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SLOPE" "RSMAX" + ( Origin gFrontEnd ) + ) + ( attribute "TC1" "RTMPL" + ( Origin gFrontEnd ) + ) + ( attribute "TC2" "RTMPQ" + ( Origin gFrontEnd ) + ) + ( attribute "TOL" "1%" + ( Origin gFrontEnd ) + ) + ( attribute "TOL_ON_OFF" "ON" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "3.3" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "2" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "RVMAX" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(-2725,1900)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-3.3,1%" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R1" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "R1" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( objectStatus "R1" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ( objectStatus "R1.1" ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ( objectStatus "R1.2" ) + ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1" + ( memberType ( signal ) ) + ( alias ( busRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(0)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(1)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(2)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(3)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(4)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(5)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(6)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(7)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(8)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(9)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(10)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(11)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(12)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(13)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(14)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):gnd_signal") ) + ( objectStatus "VM1" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1" + ( memberType ( signal ) ) + ( objectFlag fObjectAlias ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(0)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(1)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(2)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(3)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(4)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(5)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(6)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(7)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(8)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(9)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(10)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(11)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(12)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(13)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(14)") ) + ( objectStatus "PAGE1_VM1" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2" + ( memberType ( signal ) ) + ( alias ( busRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2") ) + ( attribute "BUS_NAME" "VM2" + ( Origin gPackager ) + ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(0)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(1)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(2)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(3)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(4)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(5)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(6)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(7)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(8)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(9)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(10)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(11)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(12)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(13)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(14)") ) + ( objectStatus "VM2" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2" + ( memberType ( signal ) ) + ( objectFlag fObjectAlias ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(0)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(1)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(2)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(3)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(4)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(5)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(6)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(7)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(8)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(9)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(10)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(11)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(12)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(13)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(14)") ) + ( objectStatus "PAGE1_VM2" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1" + ( memberType ( signal ) ) + ( alias ( busRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1") ) + ( attribute "BUS_NAME" "VP1" + ( Origin gPackager ) + ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(0)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(1)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(2)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(3)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(4)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(5)") ) + ( objectStatus "VP1" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1" + ( memberType ( signal ) ) + ( objectFlag fObjectAlias ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1(0)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1(1)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1(2)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1(3)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1(4)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1(5)") ) + ( objectStatus "PAGE1_VP1" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2" + ( memberType ( signal ) ) + ( alias ( busRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp2") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2(0)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2(1)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2(2)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2(3)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2(4)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2(5)") ) + ( objectStatus "VP2" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp2" + ( memberType ( signal ) ) + ( objectFlag fObjectAlias ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp2(0)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp2(1)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp2(2)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp2(3)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp2(4)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp2(5)") ) + ( objectStatus "PAGE1_VP2" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vi" + ( memberType ( signal ) ) + ( alias ( busRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vi") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vi(0)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vi(1)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vi(2)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vi(3)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vin_filtered") ) + ( objectStatus "VI" ) + ) + ( bus "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vi" + ( memberType ( signal ) ) + ( objectFlag fObjectAlias ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vi(0)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vi(1)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vi(2)") ) + ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vi(3)") ) + ( objectStatus "PAGE1_VI" ) + ) + ) + ) + ( EndBaseCopy ) + ) + ( BaseCopy "fmc_tlu_hdmi_dut_connector" + ( constraintHeader + ( revisionNumber + ( logicalViewRevNum 11 ) + ( physicalViewRevNum 0 ) + ( otherViewRevNum 0 ) + ) + ( contents + ( electricalConstraints ) + ( netClasses ) + ( properties ) + ) + ) + ( designConstraints + ( ruleChanges + ( allRules ) + ( design "fmc_tlu_hdmi_dut_connector" + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):sig2_p" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):sig2_n" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):sig1_p" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):sig1_n" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):sig0_p" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):sig0_n" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):gnd_signal" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_gnd_signal") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):gnd_signal") ) + ( objectStatus "gnd_signal" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_gnd_signal" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_gnd_signal" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):gnd_signal" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):vclamp" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_p3v3" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_p3v3" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):busy_from_fpga" + ( objectStatus "busy_from_fpga" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):clk_from_fpga" + ( objectStatus "clk_from_fpga" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):p3v3" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_p3v3") ) + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):vclamp") ) + ( attribute "PHYS_NET_NAME" "P3V3" + ( Origin gPackager ) + ) + ( objectStatus "p3v3" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):spare_from_fpga" + ( objectStatus "spare_from_fpga" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):p5v" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_p5v") ) + ( objectStatus "p5v" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_p5v" + ( objectFlag fObjectAlias ) + ( objectStatus "page1_p5v" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):sig4_n" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):sig4_p" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):sig3_p" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):enable_spare_from_fpga" + ( objectStatus "enable_spare_from_fpga" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_commonmodelinefilter_i80_2" + ( objectStatus "unnamed_1_commonmodelinefilter_i80_2" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_commonmodelinefilter_i80_1" + ( objectStatus "unnamed_1_commonmodelinefilter_i80_1" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_capcersmdcl2_i8_b" + ( objectStatus "unnamed_1_capcersmdcl2_i8_b" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_capcersmdcl2_i9_b" + ( objectStatus "unnamed_1_capcersmdcl2_i9_b" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):enable_clk_to_dut" + ( objectStatus "enable_clk_to_dut" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_capn4i_i83_a" + ( objectStatus "unnamed_1_capn4i_i83_a" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):sig3_n" + ( objectFlag fObjectAlias ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):busy" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):sig2_n") ) + ( attribute "PHYS_NET_NAME" "BUSY" + ( Origin gPackager ) + ) + ( objectStatus "busy" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):\busy*\" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):sig2_p") ) + ( attribute "PHYS_NET_NAME" "BUSY*" + ( Origin gPackager ) + ) + ( objectStatus "busy*" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):clk" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):sig1_n") ) + ( attribute "PHYS_NET_NAME" "CLK" + ( Origin gPackager ) + ) + ( objectStatus "clk" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):\clk*\" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):sig1_p") ) + ( attribute "PHYS_NET_NAME" "CLK*" + ( Origin gPackager ) + ) + ( objectStatus "clk*" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):cont" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):sig3_p") ) + ( attribute "PHYS_NET_NAME" "CONT" + ( Origin gPackager ) + ) + ( objectStatus "cont" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):\cont*\" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):sig3_n") ) + ( attribute "PHYS_NET_NAME" "CONT*" + ( Origin gPackager ) + ) + ( objectStatus "cont*" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):spare" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):sig0_p") ) + ( attribute "PHYS_NET_NAME" "SPARE" + ( Origin gPackager ) + ) + ( objectStatus "spare" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):\spare*\" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):sig0_n") ) + ( attribute "PHYS_NET_NAME" "SPARE*" + ( Origin gPackager ) + ) + ( objectStatus "spare*" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):trig" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):sig4_p") ) + ( attribute "PHYS_NET_NAME" "TRIG" + ( Origin gPackager ) + ) + ( objectStatus "trig" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):\trig*\" + ( alias ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):sig4_n") ) + ( attribute "PHYS_NET_NAME" "TRIG*" + ( Origin gPackager ) + ) + ( objectStatus "trig*" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):clk_to_dut" + ( objectStatus "clk_to_dut" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):\clk_to_dut*\" + ( objectStatus "clk_to_dut*" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):hdmi_power" + ( objectStatus "hdmi_power" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):hdmi_power_enable" + ( objectStatus "hdmi_power_enable" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):busy_to_fpga" + ( objectStatus "busy_to_fpga" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_capcersmdcl2_i88_a" + ( objectStatus "unnamed_1_capcersmdcl2_i88_a" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):trig_to_fpga" + ( objectStatus "trig_to_fpga" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):clk_to_fpga" + ( objectStatus "clk_to_fpga" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):cont_from_fpga" + ( objectStatus "cont_from_fpga" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):gnd_hdmi" + ( objectStatus "gnd_hdmi" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):enable_busy_from_fpga" + ( objectStatus "enable_busy_from_fpga" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):enable_clk_from_fpga" + ( objectStatus "enable_clk_from_fpga" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):enable_cont_from_fpga" + ( objectStatus "enable_cont_from_fpga" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):enable_trig_from_fpga" + ( objectStatus "enable_trig_from_fpga" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):hdmi_clk" + ( objectStatus "hdmi_clk" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):\hdmi_clk*\" + ( objectStatus "hdmi_clk*" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):spare_to_fpga" + ( objectStatus "spare_to_fpga" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):trig_from_fpga" + ( objectStatus "trig_from_fpga" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):cont_to_fpga" + ( objectStatus "cont_to_fpga" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_capn4i_i112_a" + ( objectStatus "unnamed_1_capn4i_i112_a" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_capn4i_i124_a" + ( objectStatus "unnamed_1_capn4i_i124_a" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_capn4i_i136_a" + ( objectStatus "unnamed_1_capn4i_i136_a" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_capn4i_i148_a" + ( objectStatus "unnamed_1_capn4i_i148_a" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_commonmodelinefilter_i109_1" + ( objectStatus "unnamed_1_commonmodelinefilter_i109_1" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_commonmodelinefilter_i109_2" + ( objectStatus "unnamed_1_commonmodelinefilter_i109_2" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_commonmodelinefilter_i121_1" + ( objectStatus "unnamed_1_commonmodelinefilter_i121_1" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_commonmodelinefilter_i121_2" + ( objectStatus "unnamed_1_commonmodelinefilter_i121_2" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_commonmodelinefilter_i133_1" + ( objectStatus "unnamed_1_commonmodelinefilter_i133_1" ) + ) + ( signal "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):unnamed_1_commonmodelinefilter_i133_2" + ( objectStatus "unnamed_1_commonmodelinefilter_i133_2" ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i2" + ( attribute "CDS_LIB" "cnconnector" + ( Origin gPackager ) + ) + ( attribute "CDS_LMAN_SYM_OUTLINE" "0,25,150,-475" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "J1" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "J1" + ( Origin gPackager ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "TYPE" "HDMI-19-01-X-SM" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(3550,875)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CON19P" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "CON19P-HDMI-19-01-X-SM-GND=GNDA" + ( Origin gPackager ) + ) + ( objectStatus "PAGE1_I2" ) + ( pin "a(0)" + ( attribute "PN" "#" + ( Origin gPackager ) + ) + ) + ( pin "a(1)" + ( attribute "PN" "#" + ( Origin gPackager ) + ) + ) + ( pin "a(2)" + ( attribute "PN" "#" + ( Origin gPackager ) + ) + ) + ( pin "a(3)" + ( attribute "PN" "#" + ( Origin gPackager ) + ) + ) + ( pin "a(4)" + ( attribute "PN" "#" + ( Origin gPackager ) + ) + ) + ( pin "a(5)" + ( attribute "PN" "#" + ( Origin gPackager ) + ) + ) + ( pin "a(6)" + ( attribute "PN" "#" + ( Origin gPackager ) + ) + ) + ( pin "a(7)" + ( attribute "PN" "#" + ( Origin gPackager ) + ) + ) + ( pin "a(8)" + ( attribute "PN" "#" + ( Origin gPackager ) + ) + ) + ( pin "a(9)" + ( attribute "PN" "#" + ( Origin gPackager ) + ) + ) + ( pin "a(10)" + ( attribute "PN" "#" + ( Origin gPackager ) + ) + ) + ( pin "a(11)" + ( attribute "PN" "#" + ( Origin gPackager ) + ) + ) + ( pin "a(12)" + ( attribute "PN" "#" + ( Origin gPackager ) + ) + ) + ( pin "a(13)" + ( attribute "PN" "#" + ( Origin gPackager ) + ) + ) + ( pin "a(14)" + ( attribute "PN" "#" + ( Origin gPackager ) + ) + ) + ( pin "a(15)" + ( attribute "PN" "#" + ( Origin gPackager ) + ) + ) + ( pin "a(16)" + ( attribute "PN" "#" + ( Origin gPackager ) + ) + ) + ( pin "a(17)" + ( attribute "PN" "#" + ( Origin gPackager ) + ) + ) + ( pin "a(18)" + ( attribute "PN" "#" + ( Origin gPackager ) + ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i8" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C9" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "C9" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "0603" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "100NF" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(5625,675)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( Origin gPackager ) + ) + ( objectStatus "PAGE1_I8" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i9" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C8" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "C8" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "0603" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "100NF" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(5625,875)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( Origin gPackager ) + ) + ( objectStatus "PAGE1_I9" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i10" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R11" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "DIST" "FLAT" + ( Origin gFrontEnd ) + ) + ( attribute "LOCATION" "R11" + ( Origin gPackager ) + ) + ( attribute "MAX_TEMP" "RTMAX" + ( Origin gFrontEnd ) + ) + ( attribute "NEGTOL" "RTOL%" + ( Origin gFrontEnd ) + ) + ( attribute "PACK_TYPE" "1/10W" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "POSTOL" "RTOL%" + ( Origin gFrontEnd ) + ) + ( attribute "POWER" "RMAX" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SLOPE" "RSMAX" + ( Origin gFrontEnd ) + ) + ( attribute "TC1" "RTMPL" + ( Origin gFrontEnd ) + ) + ( attribute "TC2" "RTMPQ" + ( Origin gFrontEnd ) + ) + ( attribute "TOL" "1%" + ( Origin gFrontEnd ) + ) + ( attribute "TOL_ON_OFF" "ON" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "51" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "2" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "RVMAX" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(5925,875)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-51,1%" + ( Origin gPackager ) + ) + ( objectStatus "PAGE1_I10" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i11" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "R12" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "DIST" "FLAT" + ( Origin gFrontEnd ) + ) + ( attribute "LOCATION" "R12" + ( Origin gPackager ) + ) + ( attribute "MAX_TEMP" "RTMAX" + ( Origin gFrontEnd ) + ) + ( attribute "NEGTOL" "RTOL%" + ( Origin gFrontEnd ) + ) + ( attribute "PACK_TYPE" "1/10W" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "POSTOL" "RTOL%" + ( Origin gFrontEnd ) + ) + ( attribute "POWER" "RMAX" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SLOPE" "RSMAX" + ( Origin gFrontEnd ) + ) + ( attribute "TC1" "RTMPL" + ( Origin gFrontEnd ) + ) + ( attribute "TC2" "RTMPQ" + ( Origin gFrontEnd ) + ) + ( attribute "TOL" "1%" + ( Origin gFrontEnd ) + ) + ( attribute "TOL_ON_OFF" "ON" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "51" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "2" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "RVMAX" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(5925,675)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-51,1%" + ( Origin gPackager ) + ) + ( objectStatus "PAGE1_I11" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i88" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "CDS_LOCATION" "C1" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "C1" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "0603" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "100NF" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(5800,-1450)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( Origin gPackager ) + ) + ( objectStatus "PAGE1_I88" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ) + ( pin "b(0)" + ( attribute "PN" "2" + ( Origin gPackager ) + ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i87" + ( attribute "CDS_LIB" "cninterface" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "LLP" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "2" + ( Origin gFrontEnd ) + ) + ( attribute "TYPE" "DS92001TLD" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(3050,-1225)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "DS92001" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "DS92001TLD-GND=GND_SIGNAL;VCC=A" + ( Origin gPackager ) + ) + ( objectStatus "PAGE1_I87" ) + ( pin "en" + ) + ( pin "\in+\" + ) + ( pin "\in-\" + ) + ( pin "\out+\" + ) + ( pin "\out-\" + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i85" + ( attribute "CDS_LIB" "cnlinear" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "SOT223" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "TYPE" "TPS78633DCQ" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(5375,-1675)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "TPS786XX" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "TPS78633DCQ" + ( Origin gPackager ) + ) + ( objectStatus "PAGE1_I85" ) + ( pin "en" + ) + ( pin "fb" + ) + ( pin "gnd(0)" + ) + ( pin "gnd(1)" + ) + ( pin "\in\" + ) + ( pin "\out\" + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i83" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "DIELECTRIC" "X5R" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "TYPE" "GNM21" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "1UF" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(-200,825)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPN4I" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "CAPN4I-1UF,16V,X5R,GNM21" + ( Origin gPackager ) + ) + ( objectStatus "PAGE1_I83" ) + ( pin "a(0)" + ) + ( pin "b(0)" + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i82" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "1206_TC164" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "TOL" "1%" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "47" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(-475,775)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "RES_ARRAY_X4" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "RES_ARRAY_X4_1206_TC164-47,1%" + ( Origin gPackager ) + ) + ( objectStatus "PAGE1_I82" ) + ( pin "a(0)" + ) + ( pin "b(0)" + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i81" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "1206_TC164" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "TOL" "1%" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "47" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(-625,875)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "RES_ARRAY_X4" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "RES_ARRAY_X4_1206_TC164-47,1%" + ( Origin gPackager ) + ) + ( objectStatus "PAGE1_I81" ) + ( pin "a(0)" + ) + ( pin "b(0)" + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i106" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "DIST" "FLAT" + ( Origin gFrontEnd ) + ) + ( attribute "MAX_TEMP" "RTMAX" + ( Origin gFrontEnd ) + ) + ( attribute "NEGTOL" "RTOL%" + ( Origin gFrontEnd ) + ) + ( attribute "PACK_TYPE" "1/10W" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "POSTOL" "RTOL%" + ( Origin gFrontEnd ) + ) + ( attribute "POWER" "RMAX" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SLOPE" "RSMAX" + ( Origin gFrontEnd ) + ) + ( attribute "TC1" "RTMPL" + ( Origin gFrontEnd ) + ) + ( attribute "TC2" "RTMPQ" + ( Origin gFrontEnd ) + ) + ( attribute "TOL" "1%" + ( Origin gFrontEnd ) + ) + ( attribute "TOL_ON_OFF" "ON" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "100" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "RVMAX" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(3475,-1075)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-100,1%" + ( Origin gPackager ) + ) + ( objectStatus "PAGE1_I106" ) + ( pin "a(0)" + ) + ( pin "b(0)" + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i80" + ( attribute "CDS_LIB" "cndiscrete" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "4312" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "TYPE" "744231091" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "90OHM" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(-1025,825)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "COMMON_MODE_LINE_FILTER" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "COMMON_MODE_LINE_FILTER_4312-7A" + ( Origin gPackager ) + ) + ( objectStatus "PAGE1_I80" ) + ( pin "\1\(0)" + ) + ( pin "\1dot\(0)" + ) + ( pin "\2\(0)" + ) + ( pin "\2dot\(0)" + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i79" + ( attribute "CDS_LIB" "cninterface" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "VQFN" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "2" + ( Origin gFrontEnd ) + ) + ( attribute "TYPE" "SN65MLVD040RGZ" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(475,800)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "SN65MLVD040" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "SN65MLVD040RGZ-GND=GND_SIGNAL;A" + ( Origin gPackager ) + ) + ( objectStatus "PAGE1_I79" ) + ( pin "a(0)" + ) + ( pin "\b*\(0)" + ) + ( pin "d(0)" + ) + ( pin "de(0)" + ) + ( pin "fsen(0)" + ) + ( pin "pdn" + ) + ( pin "r(0)" + ) + ( pin "\re*\(0)" + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78" + ( attribute "CHIPS_PART_NAME" "CON8P" + ( Origin gPackager ) + ) + ( pin "sig0_n" + ) + ( pin "sig0_p" + ) + ( pin "sig1_n" + ) + ( pin "sig1_p" + ) + ( pin "sig2_n" + ) + ( pin "sig2_p" + ) + ( pin "sig3_n" + ) + ( pin "sig3_p" + ) + ( pin "sig4_n" + ) + ( pin "sig4_p" + ) + ( pin "vclamp" + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i40" + ( attribute "CHIPS_PART_NAME" "74LVC1G07" + ( Origin gPackager ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i3" + ( attribute "CHIPS_PART_NAME" "CON19P" + ( Origin gPackager ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):page1_i67" + ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "0603" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "100NF" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(5975,0)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( Origin gPackager ) + ) + ( pin "a(0)" + ( attribute "PN" "#" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "page1_i67.a<0>" ) + ) + ( pin "b(0)" + ( attribute "PN" "#" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "page1_i67.b<0>" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):page1_i70" + ( attribute "CDS_LIB" "cndiscrete" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "LOCATION" "D3" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "SOT23" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "TYPE" "USBLC6-2SC6" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(5025,175)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "USBLC6-2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "USBLC6-2SC6" + ( Origin gPackager ) + ) + ( pin "gnd" + ( objectStatus "D3.gnd" ) + ) + ( pin "\i/o1\(0)" + ( objectStatus "D3.i/o1<0>" ) + ) + ( pin "\i/o1\(1)" + ( objectStatus "D3.i/o1<1>" ) + ) + ( pin "\i/o2\(0)" + ( objectStatus "D3.i/o2<0>" ) + ) + ( pin "\i/o2\(1)" + ( objectStatus "D3.i/o2<1>" ) + ) + ( pin "vbus" + ( objectStatus "D3.vbus" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):page1_i58" + ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "0603" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "100NF" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(2575,-1875)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( Origin gPackager ) + ) + ( pin "a(0)" + ( attribute "PN" "#" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "page1_i58.a<0>" ) + ) + ( pin "b(0)" + ( attribute "PN" "#" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( objectStatus "page1_i58.b<0>" ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):page1_i56" + ( attribute "CDS_LIB" "cndiscrete" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PHYS_NET_NAME" "VP1<0>" + ( attribute "PACK_TYPE" "SC88" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "TYPE" "PRTR5V0U4Y" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(1050,-2450)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "PRTR5V0U4Y" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PNN" "VP1<0>" + ( attribute "CDS_PART_NAME" "PRTR5V0U4Y" ( Origin gPackager ) ) - ( objectStatus "VP1<0>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1(0)" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_vp1<0>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1(1)" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_vp1<1>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(1)" - ( objectFlag fObjectAlias ) - ( objectStatus "vp1<1>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1(5)" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_vp1<5>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(5)" - ( objectFlag fObjectAlias ) - ( objectStatus "vp1<5>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1(4)" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_vp1<4>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(4)" - ( objectFlag fObjectAlias ) - ( objectStatus "vp1<4>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1(3)" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_vp1<3>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(3)" - ( objectFlag fObjectAlias ) - ( objectStatus "vp1<3>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1(2)" - ( objectFlag fObjectAlias ) - ( objectStatus "page1_vp1<2>" ) - ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(2)" - ( objectFlag fObjectAlias ) - ( objectStatus "vp1<2>" ) + ( pin "esd1" + ( objectStatus "page1_i56.esd1" ) + ) + ( pin "esd2" + ( objectStatus "page1_i56.esd2" ) + ) + ( pin "esd3" + ( objectStatus "page1_i56.esd3" ) + ) + ( pin "esd4" + ( objectStatus "page1_i56.esd4" ) + ) + ( pin "gnd" + ( objectStatus "page1_i56.gnd" ) + ) + ( pin "vcc" + ( objectStatus "page1_i56.vcc" ) + ) ) - ( signal "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):unnamed_1_capcersmdcl2_i81_a" - ( attribute "CDS_PHYS_NET_NAME" "UNNAMED_1_CAPCERSMDCL2_I81_A" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):page1_i55" + ( attribute "CDS_LIB" "cndiscrete" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "UNNAMED_1_CAPCERSMDCL2_I81_A" ) + ( attribute "PACK_TYPE" "SC88" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "TYPE" "PRTR5V0U4Y" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(1025,-250)" + ( Status sBlockFlattened ) + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "PRTR5V0U4Y" + ( Status sBlockFlattened ) + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "PRTR5V0U4Y" + ( Origin gPackager ) + ) + ( pin "esd1" + ( objectStatus "page1_i55.esd1" ) + ) + ( pin "esd2" + ( objectStatus "page1_i55.esd2" ) + ) + ( pin "esd3" + ( objectStatus "page1_i55.esd3" ) + ) + ( pin "esd4" + ( objectStatus "page1_i55.esd4" ) + ) + ( pin "gnd" + ( objectStatus "page1_i55.gnd" ) + ) + ( pin "vcc" + ( objectStatus "page1_i55.vcc" ) + ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i40" - ( attribute "CDS_LIB" "bris_cds_special" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i65" + ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-125,125,125,-125" + ( attribute "LOCATION" "TP11" ( Origin gPackager ) ) - ( attribute "MANUF" "LINEAR TECHNOLOGY" + ( attribute "PACK_TYPE" "HOLE" ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "SOT_223" + ( attribute "PART_NAME" "TP" ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" ( Origin gFrontEnd ) ) - ( attribute "ROT" "6" + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "0.8MM" ( Origin gFrontEnd ) ) ( attribute "VER" "1" ( Origin gFrontEnd ) ) - ( attribute "XY" "(1700,825)" + ( attribute "XY" "(4500,475)" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "LT1175" + ( attribute "CHIPS_PART_NAME" "TP" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "LT1175_SOT_223" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "REG1" + ( objectStatus "PAGE1_I65" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i66" + ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "LOCATION" "REG1" + ( attribute "LOCATION" "TP12" ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" + ( attribute "PACK_TYPE" "HOLE" + ( Origin gFrontEnd ) + ) + ( attribute "PART_NAME" "TP" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "0.8MM" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(4500,375)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "TP" ( Origin gPackager ) ) - ( attribute "SEC" "1" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( objectStatus "REG1" ) - ( pin "gnd" - ( attribute "PN" "3" + ( objectStatus "PAGE1_I66" ) + ( pin "a(0)" + ( attribute "PN" "1" ( Origin gPackager ) ) - ( objectStatus "REG1.3" ) ) - ( pin "v_in0" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "REG1.2" ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i67" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) ) - ( pin "v_in1" - ( attribute "PN" "4" + ( attribute "LOCATION" "TP4" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "HOLE" + ( Origin gFrontEnd ) + ) + ( attribute "PART_NAME" "TP" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "0.8MM" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(3050,-425)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "TP" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( Origin gPackager ) + ) + ( objectStatus "PAGE1_I67" ) + ( pin "a(0)" + ( attribute "PN" "1" ( Origin gPackager ) ) - ( objectStatus "REG1.4" ) ) - ( pin "v_out" + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i68" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "TP9" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "HOLE" + ( Origin gFrontEnd ) + ) + ( attribute "PART_NAME" "TP" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "0.8MM" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(4150,475)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "TP" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( Origin gPackager ) + ) + ( objectStatus "PAGE1_I68" ) + ( pin "a(0)" ( attribute "PN" "1" ( Origin gPackager ) ) - ( objectStatus "REG1.1" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i42" - ( attribute "CDS_LIB" "cndiscrete" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i69" + ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "MODEL" "WURTH" + ( attribute "LOCATION" "TP10" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "HOLE" ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "SMD" + ( attribute "PART_NAME" "TP" ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" @@ -37475,43 +42555,86 @@ ( attribute "ROT" "0" ( Origin gFrontEnd ) ) - ( attribute "VALUE" "7427921" + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "0.8MM" ( Origin gFrontEnd ) ) ( attribute "VER" "1" ( Origin gFrontEnd ) ) - ( attribute "XY" "(625,2900)" + ( attribute "XY" "(4150,375)" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "FERRITE" + ( attribute "CHIPS_PART_NAME" "TP" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "FERRITE_SMD-7427921,WURTH" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "LOCATION" "L2" + ( objectStatus "PAGE1_I69" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i70" + ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "L2" + ( attribute "LOCATION" "TP3" ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" + ( attribute "PACK_TYPE" "HOLE" + ( Origin gFrontEnd ) + ) + ( attribute "PART_NAME" "TP" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "1" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "0.8MM" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(2900,-425)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "TP" ( Origin gPackager ) ) - ( attribute "SEC" "1" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( objectStatus "L2" ) + ( objectStatus "PAGE1_I70" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i44" - ( attribute "CDS_LIB" "cndiscrete" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i71" + ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "MODEL" "WURTH" + ( attribute "LOCATION" "TP7" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "HOLE" ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "SMD" + ( attribute "PART_NAME" "TP" ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" @@ -37520,236 +42643,323 @@ ( attribute "ROT" "0" ( Origin gFrontEnd ) ) - ( attribute "VALUE" "7427921" + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "0.8MM" ( Origin gFrontEnd ) ) ( attribute "VER" "1" ( Origin gFrontEnd ) ) - ( attribute "XY" "(625,875)" + ( attribute "XY" "(3550,-475)" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "FERRITE" + ( attribute "CHIPS_PART_NAME" "TP" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "FERRITE_SMD-7427921,WURTH" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "LOCATION" "L3" + ( objectStatus "PAGE1_I71" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i72" + ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "L3" + ( attribute "LOCATION" "TP8" ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" + ( attribute "PACK_TYPE" "HOLE" + ( Origin gFrontEnd ) + ) + ( attribute "PART_NAME" "TP" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "2" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "0.8MM" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(3700,-550)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "TP" ( Origin gPackager ) ) - ( attribute "SEC" "1" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( objectStatus "L3" ) + ( objectStatus "PAGE1_I72" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i50" - ( attribute "CDS_LIB" "bris_cds_analogue" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i73" + ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "CDS_LMAN_SYM_OUTLINE" "-150,200,150,-150" + ( attribute "LOCATION" "TP5" ( Origin gPackager ) ) - ( attribute "KL_COMMENTS" "-" + ( attribute "PACK_TYPE" "HOLE" ( Origin gFrontEnd ) ) - ( attribute "MANUF" "LINEAR" + ( attribute "PART_NAME" "TP" ( Origin gFrontEnd ) ) - ( attribute "OL_COMMENTS" "-" + ( attribute "PHYS_PAGE" "1" ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "SOT223" + ( attribute "ROT" "2" ( Origin gFrontEnd ) ) - ( attribute "PART_NAME" "lt1129CST-5" + ( attribute "SIZE" "1" ( Origin gFrontEnd ) ) - ( attribute "PHYS_DES_PREFIX" "VR" + ( attribute "VALUE" "0.8MM" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(3200,225)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "TP" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( Origin gPackager ) + ) + ( objectStatus "PAGE1_I73" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i74" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "TP6" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "HOLE" + ( Origin gFrontEnd ) + ) + ( attribute "PART_NAME" "TP" ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" ( Origin gFrontEnd ) ) - ( attribute "PL_COMMENTS" "-" + ( attribute "ROT" "2" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "0.8MM" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(3200,125)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "TP" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" + ( Origin gPackager ) + ) + ( objectStatus "PAGE1_I74" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i75" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "TP1" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "HOLE" + ( Origin gFrontEnd ) + ) + ( attribute "PART_NAME" "TP" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "2" ( Origin gFrontEnd ) ) - ( attribute "ROT" "0" + ( attribute "SIZE" "1" ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" + ( attribute "VALUE" "0.8MM" ( Origin gFrontEnd ) ) ( attribute "VER" "1" ( Origin gFrontEnd ) ) - ( attribute "XY" "(1700,2850)" + ( attribute "XY" "(2850,25)" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "LT1129CST-5" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "LT1129CST-5_SOT223-LINEAR" + ( attribute "CHIPS_PART_NAME" "TP" ( Origin gPackager ) ) - ( attribute "LOCATION" "VR1" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "VR1" - ( Origin gPackager ) + ( objectStatus "PAGE1_I75" ) + ( pin "a(0)" + ( attribute "PN" "1" + ( Origin gPackager ) + ) ) - ( attribute "CDS_SEC" "1" + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i76" + ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "SEC" "1" + ( attribute "LOCATION" "TP2" ( Origin gPackager ) ) - ( objectStatus "VR1" ) - ( pin "gnd" - ( objectStatus "VR1.2" ) - ) - ( pin "tab" - ( objectStatus "VR1.4" ) - ) - ( pin "vin" - ( objectStatus "VR1.1" ) - ) - ( pin "vout" - ( objectStatus "VR1.3" ) - ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i52" - ( attribute "CDS_LIB" "cnpassive" - ( Origin gPackager ) + ( attribute "PACK_TYPE" "HOLE" + ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "0805" + ( attribute "PART_NAME" "TP" ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "ROT" "2" ( Origin gFrontEnd ) ) ( attribute "SIZE" "1" ( Origin gFrontEnd ) ) - ( attribute "VALUE" "4.7UF" + ( attribute "VALUE" "0.8MM" ( Origin gFrontEnd ) ) ( attribute "VER" "1" ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "10V" - ( Units "uVoltage" "V" 1.000000) - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(1100,2750)" + ( attribute "XY" "(2850,125)" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0805-4.7UF,10V" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "C9" - ( Origin gPackager ) - ) - ( attribute "CDS_LOCATION" "C9" - ( Origin gPackager ) - ) - ( attribute "CDS_SEC" "1" + ( attribute "CHIPS_PART_NAME" "TP" ( Origin gPackager ) ) - ( attribute "SEC" "1" + ( attribute "CDS_PART_NAME" "TP_HOLE-0.8MM" ( Origin gPackager ) ) - ( objectStatus "C9" ) + ( objectStatus "PAGE1_I76" ) ( pin "a(0)" ( attribute "PN" "1" ( Origin gPackager ) ) - ( objectStatus "C9.1" ) - ) - ( pin "b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "C9.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i54" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1):page1_i9" ( attribute "CDS_LIB" "cnpassive" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "0805" + ( attribute "PACK_TYPE" "0603" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "ROT" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "SIZE" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VALUE" "4.7UF" + ( attribute "VALUE" "100NF" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "VER" "1" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "10V" + ( attribute "VOLTAGE" "16V" ( Units "uVoltage" "V" 1.000000) + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) - ( attribute "XY" "(1100,725)" + ( attribute "XY" "(2175,-1875)" + ( Status sBlockFlattened ) ( Origin gFrontEnd ) ) ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0805-4.7UF,10V" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "C10" - ( Origin gPackager ) - ) - ( attribute "CDS_LOCATION" "C10" - ( Origin gPackager ) - ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) - ) - ( attribute "SEC" "1" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" ( Origin gPackager ) ) - ( objectStatus "C10" ) ( pin "a(0)" - ( attribute "PN" "1" + ( attribute "PN" "#" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C10.1" ) + ( objectStatus "page1_i9.a<0>" ) ) ( pin "b(0)" - ( attribute "PN" "2" + ( attribute "PN" "#" + ( Status sBlockFlattened ) ( Origin gPackager ) ) - ( objectStatus "C10.2" ) + ( objectStatus "page1_i9.b<0>" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i56" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i28" + ( attribute "CHIPS_PART_NAME" "74LVC1G07" + ( Origin gPackager ) + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i90" ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) + ( attribute "LOCATION" "C12" + ( Origin gPackager ) + ) ( attribute "PACK_TYPE" "0805" ( Origin gFrontEnd ) ) @@ -37772,7 +42982,7 @@ ( Units "uVoltage" "V" 1.000000) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2475,2750)" + ( attribute "XY" "(5950,-1900)" ( Origin gFrontEnd ) ) ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" @@ -37781,36 +42991,25 @@ ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0805-4.7UF,10V" ( Origin gPackager ) ) - ( attribute "LOCATION" "C12" - ( Origin gPackager ) - ) - ( attribute "CDS_LOCATION" "C12" - ( Origin gPackager ) - ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) - ) - ( attribute "SEC" "1" - ( Origin gPackager ) - ) - ( objectStatus "C12" ) + ( objectStatus "PAGE1_I90" ) ( pin "a(0)" ( attribute "PN" "1" ( Origin gPackager ) ) - ( objectStatus "C12.1" ) ) ( pin "b(0)" ( attribute "PN" "2" ( Origin gPackager ) ) - ( objectStatus "C12.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i58" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i91" ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) + ( attribute "LOCATION" "C12" + ( Origin gPackager ) + ) ( attribute "PACK_TYPE" "0805" ( Origin gFrontEnd ) ) @@ -37833,7 +43032,7 @@ ( Units "uVoltage" "V" 1.000000) ( Origin gFrontEnd ) ) - ( attribute "XY" "(2450,675)" + ( attribute "XY" "(4675,-1425)" ( Origin gFrontEnd ) ) ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" @@ -37842,40 +43041,23 @@ ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0805-4.7UF,10V" ( Origin gPackager ) ) - ( attribute "LOCATION" "C11" - ( Origin gPackager ) - ) - ( attribute "CDS_LOCATION" "C11" - ( Origin gPackager ) - ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) - ) - ( attribute "SEC" "1" - ( Origin gPackager ) - ) - ( objectStatus "C11" ) + ( objectStatus "PAGE1_I91" ) ( pin "a(0)" ( attribute "PN" "1" ( Origin gPackager ) ) - ( objectStatus "C11.1" ) ) ( pin "b(0)" ( attribute "PN" "2" ( Origin gPackager ) ) - ( objectStatus "C11.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i66" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i109" ( attribute "CDS_LIB" "cndiscrete" ( Origin gPackager ) ) - ( attribute "MODEL" "MURATA" - ( Origin gFrontEnd ) - ) - ( attribute "PACK_TYPE" "SMD" + ( attribute "PACK_TYPE" "4312" ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" @@ -37884,45 +43066,39 @@ ( attribute "ROT" "0" ( Origin gFrontEnd ) ) - ( attribute "VALUE" "BLM41P800S" + ( attribute "TYPE" "744231091" ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VALUE" "90OHM" ( Origin gFrontEnd ) ) - ( attribute "XY" "(-3100,2375)" + ( attribute "VER" "1" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "FERRITE" - ( Origin gPackager ) + ( attribute "XY" "(-1025,-2375)" + ( Origin gFrontEnd ) ) - ( attribute "CDS_PART_NAME" "FERRITE_SMD-BLM41P800S,MURATA" + ( attribute "CHIPS_PART_NAME" "COMMON_MODE_LINE_FILTER" ( Origin gPackager ) ) - ( attribute "LOCATION" "L1" + ( attribute "CDS_PART_NAME" "COMMON_MODE_LINE_FILTER_4312-7A" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "L1" - ( Origin gPackager ) + ( objectStatus "PAGE1_I109" ) + ( pin "\1\(0)" ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) + ( pin "\1dot\(0)" ) - ( attribute "SEC" "1" - ( Origin gPackager ) + ( pin "\2\(0)" ) - ( objectStatus "L1" ) - ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i1" - ( attribute "CHIPS_PART_NAME" "LT3471" - ( Origin gPackager ) + ( pin "\2dot\(0)" ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i70" - ( attribute "CDS_LIB" "cnlinear" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i110" + ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "BGA" + ( attribute "PACK_TYPE" "1206_TC164" ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" @@ -37931,584 +43107,500 @@ ( attribute "ROT" "0" ( Origin gFrontEnd ) ) - ( attribute "TYPE" "LTM8047EY#PBF" + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "TOL" "1%" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "47" ( Origin gFrontEnd ) ) ( attribute "VER" "1" ( Origin gFrontEnd ) ) - ( attribute "XY" "(-725,1550)" + ( attribute "XY" "(-625,-2325)" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "LTM8047" + ( attribute "CHIPS_PART_NAME" "RES_ARRAY_X4" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "LTM8047EY#PBF" + ( attribute "CDS_PART_NAME" "RES_ARRAY_X4_1206_TC164-47,1%" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "RG1" - ( Origin gPackager ) + ( objectStatus "PAGE1_I110" ) + ( pin "a(0)" ) - ( attribute "LOCATION" "RG1" + ( pin "b(0)" + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i111" + ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" + ( attribute "PACK_TYPE" "1206_TC164" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "TOL" "1%" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "47" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(-475,-2425)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "RES_ARRAY_X4" ( Origin gPackager ) ) - ( attribute "SEC" "1" + ( attribute "CDS_PART_NAME" "RES_ARRAY_X4_1206_TC164-47,1%" ( Origin gPackager ) ) - ( objectStatus "RG1" ) - ( pin "adj" - ( attribute "PN" "G7" - ( Origin gPackager ) - ) - ( objectStatus "RG1.G7" ) + ( objectStatus "PAGE1_I111" ) + ( pin "a(0)" ) - ( pin "bias" - ( attribute "PN" "H5" - ( Origin gPackager ) - ) - ( objectStatus "RG1.H5" ) + ( pin "b(0)" ) - ( pin "gnd(0)" - ( attribute "PN" "E1" - ( Origin gPackager ) - ) - ( objectStatus "RG1.E1" ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i112" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) ) - ( pin "gnd(1)" - ( attribute "PN" "E2" - ( Origin gPackager ) - ) - ( objectStatus "RG1.E2" ) + ( attribute "DIELECTRIC" "X5R" + ( Origin gFrontEnd ) ) - ( pin "gnd(2)" - ( attribute "PN" "E3" - ( Origin gPackager ) - ) - ( objectStatus "RG1.E3" ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) ) - ( pin "gnd(3)" - ( attribute "PN" "E4" - ( Origin gPackager ) - ) - ( objectStatus "RG1.E4" ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) ) - ( pin "gnd(4)" - ( attribute "PN" "E5" - ( Origin gPackager ) - ) - ( objectStatus "RG1.E5" ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) ) - ( pin "gnd(5)" - ( attribute "PN" "E6" - ( Origin gPackager ) - ) - ( objectStatus "RG1.E6" ) + ( attribute "TYPE" "GNM21" + ( Origin gFrontEnd ) ) - ( pin "gnd(6)" - ( attribute "PN" "E7" - ( Origin gPackager ) - ) - ( objectStatus "RG1.E7" ) + ( attribute "VALUE" "1UF" + ( Origin gFrontEnd ) ) - ( pin "gnd(7)" - ( attribute "PN" "F4" - ( Origin gPackager ) - ) - ( objectStatus "RG1.F4" ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) ) - ( pin "gnd(8)" - ( attribute "PN" "F5" - ( Origin gPackager ) - ) - ( objectStatus "RG1.F5" ) + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) ) - ( pin "gnd(9)" - ( attribute "PN" "F6" - ( Origin gPackager ) - ) - ( objectStatus "RG1.F6" ) + ( attribute "XY" "(-200,-2375)" + ( Origin gFrontEnd ) ) - ( pin "gnd(10)" - ( attribute "PN" "F7" - ( Origin gPackager ) - ) - ( objectStatus "RG1.F7" ) + ( attribute "CHIPS_PART_NAME" "CAPN4I" + ( Origin gPackager ) ) - ( pin "gnd(11)" - ( attribute "PN" "G4" - ( Origin gPackager ) - ) - ( objectStatus "RG1.G4" ) + ( attribute "CDS_PART_NAME" "CAPN4I-1UF,16V,X5R,GNM21" + ( Origin gPackager ) ) - ( pin "gnd(12)" - ( attribute "PN" "G5" - ( Origin gPackager ) - ) - ( objectStatus "RG1.G5" ) + ( objectStatus "PAGE1_I112" ) + ( pin "a(0)" ) - ( pin "gnd(13)" - ( attribute "PN" "G6" - ( Origin gPackager ) - ) - ( objectStatus "RG1.G6" ) + ( pin "b(0)" ) - ( pin "gnd(14)" - ( attribute "PN" "H4" - ( Origin gPackager ) - ) - ( objectStatus "RG1.H4" ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i116" + ( attribute "CDS_LIB" "cninterface" + ( Origin gPackager ) ) - ( pin "gnd(15)" - ( attribute "PN" "H7" - ( Origin gPackager ) - ) - ( objectStatus "RG1.H7" ) + ( attribute "PACK_TYPE" "VQFN" + ( Origin gFrontEnd ) ) - ( pin "run" - ( attribute "PN" "F3" - ( Origin gPackager ) - ) - ( objectStatus "RG1.F3" ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) ) - ( pin "ss" - ( attribute "PN" "H6" - ( Origin gPackager ) - ) - ( objectStatus "RG1.H6" ) + ( attribute "ROT" "2" + ( Origin gFrontEnd ) ) - ( pin "vin(0)" - ( attribute "PN" "H1" - ( Origin gPackager ) - ) - ( objectStatus "RG1.H1" ) + ( attribute "TYPE" "SN65MLVD040RGZ" + ( Origin gFrontEnd ) ) - ( pin "vin(1)" - ( attribute "PN" "H2" - ( Origin gPackager ) - ) - ( objectStatus "RG1.H2" ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) ) - ( pin "vin(2)" - ( attribute "PN" "G1" - ( Origin gPackager ) - ) - ( objectStatus "RG1.G1" ) + ( attribute "XY" "(475,-2400)" + ( Origin gFrontEnd ) ) - ( pin "vin(3)" - ( attribute "PN" "G2" - ( Origin gPackager ) - ) - ( objectStatus "RG1.G2" ) + ( attribute "CHIPS_PART_NAME" "SN65MLVD040" + ( Origin gPackager ) ) - ( pin "vout(0)" - ( attribute "PN" "A6" - ( Origin gPackager ) - ) - ( objectStatus "RG1.A6" ) + ( attribute "CDS_PART_NAME" "SN65MLVD040RGZ-GND=GND_SIGNAL;A" + ( Origin gPackager ) ) - ( pin "vout(1)" - ( attribute "PN" "A7" - ( Origin gPackager ) - ) - ( objectStatus "RG1.A7" ) + ( objectStatus "PAGE1_I116" ) + ( pin "a(0)" ) - ( pin "vout(2)" - ( attribute "PN" "B6" - ( Origin gPackager ) - ) - ( objectStatus "RG1.B6" ) + ( pin "\b*\(0)" ) - ( pin "vout(3)" - ( attribute "PN" "B7" - ( Origin gPackager ) - ) - ( objectStatus "RG1.B7" ) + ( pin "d(0)" ) - ( pin "vout(4)" - ( attribute "PN" "C6" - ( Origin gPackager ) - ) - ( objectStatus "RG1.C6" ) + ( pin "de(0)" ) - ( pin "vout(5)" - ( attribute "PN" "C7" - ( Origin gPackager ) - ) - ( objectStatus "RG1.C7" ) + ( pin "fsen(0)" ) - ( pin "\vout-\(0)" - ( attribute "PN" "A1" - ( Origin gPackager ) - ) - ( objectStatus "RG1.A1" ) + ( pin "pdn" ) - ( pin "\vout-\(1)" - ( attribute "PN" "A2" - ( Origin gPackager ) - ) - ( objectStatus "RG1.A2" ) + ( pin "r(0)" ) - ( pin "\vout-\(2)" - ( attribute "PN" "A3" - ( Origin gPackager ) - ) - ( objectStatus "RG1.A3" ) + ( pin "\re*\(0)" ) - ( pin "\vout-\(3)" - ( attribute "PN" "A4" - ( Origin gPackager ) - ) - ( objectStatus "RG1.A4" ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i121" + ( attribute "CDS_LIB" "cndiscrete" + ( Origin gPackager ) ) - ( pin "\vout-\(4)" - ( attribute "PN" "A5" - ( Origin gPackager ) - ) - ( objectStatus "RG1.A5" ) + ( attribute "PACK_TYPE" "4312" + ( Origin gFrontEnd ) ) - ( pin "\vout-\(5)" - ( attribute "PN" "B1" - ( Origin gPackager ) - ) - ( objectStatus "RG1.B1" ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) ) - ( pin "\vout-\(6)" - ( attribute "PN" "B2" - ( Origin gPackager ) - ) - ( objectStatus "RG1.B2" ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) ) - ( pin "\vout-\(7)" - ( attribute "PN" "B3" - ( Origin gPackager ) - ) - ( objectStatus "RG1.B3" ) + ( attribute "TYPE" "744231091" + ( Origin gFrontEnd ) ) - ( pin "\vout-\(8)" - ( attribute "PN" "B4" - ( Origin gPackager ) - ) - ( objectStatus "RG1.B4" ) + ( attribute "VALUE" "90OHM" + ( Origin gFrontEnd ) ) - ( pin "\vout-\(9)" - ( attribute "PN" "B5" - ( Origin gPackager ) - ) - ( objectStatus "RG1.B5" ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) ) - ( pin "\vout-\(10)" - ( attribute "PN" "C1" - ( Origin gPackager ) - ) - ( objectStatus "RG1.C1" ) + ( attribute "XY" "(-1025,-1575)" + ( Origin gFrontEnd ) ) - ( pin "\vout-\(11)" - ( attribute "PN" "C2" - ( Origin gPackager ) - ) - ( objectStatus "RG1.C2" ) + ( attribute "CHIPS_PART_NAME" "COMMON_MODE_LINE_FILTER" + ( Origin gPackager ) ) - ( pin "\vout-\(12)" - ( attribute "PN" "C3" - ( Origin gPackager ) - ) - ( objectStatus "RG1.C3" ) + ( attribute "CDS_PART_NAME" "COMMON_MODE_LINE_FILTER_4312-7A" + ( Origin gPackager ) ) - ( pin "\vout-\(13)" - ( attribute "PN" "C4" - ( Origin gPackager ) - ) - ( objectStatus "RG1.C4" ) + ( objectStatus "PAGE1_I121" ) + ( pin "\1\(0)" ) - ( pin "\vout-\(14)" - ( attribute "PN" "C5" - ( Origin gPackager ) - ) - ( objectStatus "RG1.C5" ) + ( pin "\1dot\(0)" + ) + ( pin "\2\(0)" + ) + ( pin "\2dot\(0)" ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i72" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i122" ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "1210" + ( attribute "PACK_TYPE" "1206_TC164" ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "ROT" "0" ( Origin gFrontEnd ) ) ( attribute "SIZE" "1" ( Origin gFrontEnd ) ) - ( attribute "VALUE" "4.7UF" + ( attribute "TOL" "1%" ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VALUE" "47" ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "50V" - ( Units "uVoltage" "V" 1.000000) + ( attribute "VER" "1" ( Origin gFrontEnd ) ) - ( attribute "XY" "(-1825,1525)" + ( attribute "XY" "(-625,-1525)" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210-4.7UF,50V" - ( Origin gPackager ) - ) - ( attribute "CDS_LOCATION" "C5" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "C5" - ( Origin gPackager ) - ) - ( attribute "CDS_SEC" "1" + ( attribute "CHIPS_PART_NAME" "RES_ARRAY_X4" ( Origin gPackager ) ) - ( attribute "SEC" "1" + ( attribute "CDS_PART_NAME" "RES_ARRAY_X4_1206_TC164-47,1%" ( Origin gPackager ) ) - ( objectStatus "C5" ) + ( objectStatus "PAGE1_I122" ) ( pin "a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) - ) - ( objectStatus "C5.1" ) ) ( pin "b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "C5.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i74" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i123" ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "DIST" "FLAT" + ( attribute "PACK_TYPE" "1206_TC164" ( Origin gFrontEnd ) ) - ( attribute "MAX_TEMP" "RTMAX" + ( attribute "PHYS_PAGE" "1" ( Origin gFrontEnd ) ) - ( attribute "NEGTOL" "RTOL%" + ( attribute "ROT" "0" ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "1/10W" + ( attribute "SIZE" "1" ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" + ( attribute "TOL" "1%" ( Origin gFrontEnd ) ) - ( attribute "POSTOL" "RTOL%" + ( attribute "VALUE" "47" ( Origin gFrontEnd ) ) - ( attribute "POWER" "RMAX" + ( attribute "VER" "1" ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "XY" "(-475,-1625)" ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" - ( Origin gFrontEnd ) + ( attribute "CHIPS_PART_NAME" "RES_ARRAY_X4" + ( Origin gPackager ) ) - ( attribute "SLOPE" "RSMAX" + ( attribute "CDS_PART_NAME" "RES_ARRAY_X4_1206_TC164-47,1%" + ( Origin gPackager ) + ) + ( objectStatus "PAGE1_I123" ) + ( pin "a(0)" + ) + ( pin "b(0)" + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i124" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) + ) + ( attribute "DIELECTRIC" "X5R" ( Origin gFrontEnd ) ) - ( attribute "TC1" "RTMPL" + ( attribute "PHYS_PAGE" "1" ( Origin gFrontEnd ) ) - ( attribute "TC2" "RTMPQ" + ( attribute "ROT" "0" ( Origin gFrontEnd ) ) - ( attribute "TOL" "1%" + ( attribute "SIZE" "1" ( Origin gFrontEnd ) ) - ( attribute "TOL_ON_OFF" "ON" + ( attribute "TYPE" "GNM21" ( Origin gFrontEnd ) ) - ( attribute "VALUE" "6.19K" + ( attribute "VALUE" "1UF" ( Origin gFrontEnd ) ) - ( attribute "VER" "2" + ( attribute "VER" "1" ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "RVMAX" + ( attribute "VOLTAGE" "16V" ( Units "uVoltage" "V" 1.000000) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-1300,1250)" + ( attribute "XY" "(-200,-1575)" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( attribute "CHIPS_PART_NAME" "CAPN4I" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-6.19K,1%" + ( attribute "CDS_PART_NAME" "CAPN4I-1UF,16V,X5R,GNM21" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "R2" - ( Origin gPackager ) + ( objectStatus "PAGE1_I124" ) + ( pin "a(0)" ) - ( attribute "LOCATION" "R2" + ( pin "b(0)" + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i128" + ( attribute "CDS_LIB" "cninterface" ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" + ( attribute "PACK_TYPE" "VQFN" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "2" + ( Origin gFrontEnd ) + ) + ( attribute "TYPE" "SN65MLVD040RGZ" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(475,-1600)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "SN65MLVD040" ( Origin gPackager ) ) - ( attribute "SEC" "1" + ( attribute "CDS_PART_NAME" "SN65MLVD040RGZ-GND=GND_SIGNAL;A" ( Origin gPackager ) ) - ( objectStatus "R2" ) + ( objectStatus "PAGE1_I128" ) ( pin "a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) - ) - ( objectStatus "R2.1" ) ) - ( pin "b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "R2.2" ) + ( pin "\b*\(0)" + ) + ( pin "d(0)" + ) + ( pin "de(0)" + ) + ( pin "fsen(0)" + ) + ( pin "pdn" + ) + ( pin "r(0)" + ) + ( pin "\re*\(0)" ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i79" - ( attribute "CDS_LIB" "cnpassive" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i133" + ( attribute "CDS_LIB" "cndiscrete" ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "1210" + ( attribute "PACK_TYPE" "4312" ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "ROT" "0" ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" + ( attribute "TYPE" "744231091" ( Origin gFrontEnd ) ) - ( attribute "VALUE" "4.7UF" + ( attribute "VALUE" "90OHM" ( Origin gFrontEnd ) ) ( attribute "VER" "1" ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "50V" - ( Units "uVoltage" "V" 1.000000) - ( Origin gFrontEnd ) - ) - ( attribute "XY" "(-3550,2075)" + ( attribute "XY" "(-1025,-775)" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210-4.7UF,50V" - ( Origin gPackager ) - ) - ( attribute "CDS_LOCATION" "C1" + ( attribute "CHIPS_PART_NAME" "COMMON_MODE_LINE_FILTER" ( Origin gPackager ) ) - ( attribute "LOCATION" "C1" + ( attribute "CDS_PART_NAME" "COMMON_MODE_LINE_FILTER_4312-7A" ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) + ( objectStatus "PAGE1_I133" ) + ( pin "\1\(0)" ) - ( attribute "SEC" "1" - ( Origin gPackager ) + ( pin "\1dot\(0)" ) - ( objectStatus "C1" ) - ( pin "a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) - ) - ( objectStatus "C1.1" ) + ( pin "\2\(0)" ) - ( pin "b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "C1.2" ) + ( pin "\2dot\(0)" ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i81" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i134" ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "1210" + ( attribute "PACK_TYPE" "1206_TC164" ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "ROT" "0" ( Origin gFrontEnd ) ) ( attribute "SIZE" "1" ( Origin gFrontEnd ) ) - ( attribute "VALUE" "4.7UF" + ( attribute "TOL" "1%" ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VALUE" "47" ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "50V" - ( Units "uVoltage" "V" 1.000000) + ( attribute "VER" "1" ( Origin gFrontEnd ) ) - ( attribute "XY" "(-2725,2200)" + ( attribute "XY" "(-625,-725)" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( attribute "CHIPS_PART_NAME" "RES_ARRAY_X4" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210-4.7UF,50V" + ( attribute "CDS_PART_NAME" "RES_ARRAY_X4_1206_TC164-47,1%" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "C2" - ( Origin gPackager ) + ( objectStatus "PAGE1_I134" ) + ( pin "a(0)" ) - ( attribute "LOCATION" "C2" + ( pin "b(0)" + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i135" + ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" + ( attribute "PACK_TYPE" "1206_TC164" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "TOL" "1%" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "47" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(-475,-825)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "RES_ARRAY_X4" ( Origin gPackager ) ) - ( attribute "SEC" "1" + ( attribute "CDS_PART_NAME" "RES_ARRAY_X4_1206_TC164-47,1%" ( Origin gPackager ) ) - ( objectStatus "C2" ) + ( objectStatus "PAGE1_I135" ) ( pin "a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) - ) - ( objectStatus "C2.1" ) ) ( pin "b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "C2.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i82" - ( attribute "CDS_LIB" "cnlinear" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i136" + ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "BGA" + ( attribute "DIELECTRIC" "X5R" ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" @@ -38517,462 +43609,367 @@ ( attribute "ROT" "0" ( Origin gFrontEnd ) ) - ( attribute "TYPE" "LTM8047EY#PBF" + ( attribute "SIZE" "1" ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "TYPE" "GNM21" ( Origin gFrontEnd ) ) - ( attribute "XY" "(-700,2700)" + ( attribute "VALUE" "1UF" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "LTM8047" - ( Origin gPackager ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) ) - ( attribute "CDS_PART_NAME" "LTM8047EY#PBF" - ( Origin gPackager ) + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) ) - ( attribute "CDS_LOCATION" "RG2" - ( Origin gPackager ) + ( attribute "XY" "(-200,-775)" + ( Origin gFrontEnd ) ) - ( attribute "LOCATION" "RG2" + ( attribute "CHIPS_PART_NAME" "CAPN4I" ( Origin gPackager ) ) - ( attribute "CDS_SEC" "1" + ( attribute "CDS_PART_NAME" "CAPN4I-1UF,16V,X5R,GNM21" ( Origin gPackager ) ) - ( attribute "SEC" "1" - ( Origin gPackager ) + ( objectStatus "PAGE1_I136" ) + ( pin "a(0)" ) - ( objectStatus "RG2" ) - ( pin "adj" - ( attribute "PN" "G7" - ( Origin gPackager ) - ) - ( objectStatus "RG2.G7" ) + ( pin "b(0)" ) - ( pin "bias" - ( attribute "PN" "H5" - ( Origin gPackager ) - ) - ( objectStatus "RG2.H5" ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i140" + ( attribute "CDS_LIB" "cninterface" + ( Origin gPackager ) ) - ( pin "gnd(0)" - ( attribute "PN" "E1" - ( Origin gPackager ) - ) - ( objectStatus "RG2.E1" ) + ( attribute "PACK_TYPE" "VQFN" + ( Origin gFrontEnd ) ) - ( pin "gnd(1)" - ( attribute "PN" "E2" - ( Origin gPackager ) - ) - ( objectStatus "RG2.E2" ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) ) - ( pin "gnd(2)" - ( attribute "PN" "E3" - ( Origin gPackager ) - ) - ( objectStatus "RG2.E3" ) + ( attribute "ROT" "2" + ( Origin gFrontEnd ) ) - ( pin "gnd(3)" - ( attribute "PN" "E4" - ( Origin gPackager ) - ) - ( objectStatus "RG2.E4" ) + ( attribute "TYPE" "SN65MLVD040RGZ" + ( Origin gFrontEnd ) ) - ( pin "gnd(4)" - ( attribute "PN" "E5" - ( Origin gPackager ) - ) - ( objectStatus "RG2.E5" ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) ) - ( pin "gnd(5)" - ( attribute "PN" "E6" - ( Origin gPackager ) - ) - ( objectStatus "RG2.E6" ) + ( attribute "XY" "(475,-800)" + ( Origin gFrontEnd ) ) - ( pin "gnd(6)" - ( attribute "PN" "E7" - ( Origin gPackager ) - ) - ( objectStatus "RG2.E7" ) + ( attribute "CHIPS_PART_NAME" "SN65MLVD040" + ( Origin gPackager ) ) - ( pin "gnd(7)" - ( attribute "PN" "F4" - ( Origin gPackager ) - ) - ( objectStatus "RG2.F4" ) + ( attribute "CDS_PART_NAME" "SN65MLVD040RGZ-GND=GND_SIGNAL;A" + ( Origin gPackager ) ) - ( pin "gnd(8)" - ( attribute "PN" "F5" - ( Origin gPackager ) - ) - ( objectStatus "RG2.F5" ) + ( objectStatus "PAGE1_I140" ) + ( pin "a(0)" ) - ( pin "gnd(9)" - ( attribute "PN" "F6" - ( Origin gPackager ) - ) - ( objectStatus "RG2.F6" ) + ( pin "\b*\(0)" ) - ( pin "gnd(10)" - ( attribute "PN" "F7" - ( Origin gPackager ) - ) - ( objectStatus "RG2.F7" ) + ( pin "d(0)" ) - ( pin "gnd(11)" - ( attribute "PN" "G4" - ( Origin gPackager ) - ) - ( objectStatus "RG2.G4" ) + ( pin "de(0)" ) - ( pin "gnd(12)" - ( attribute "PN" "G5" - ( Origin gPackager ) - ) - ( objectStatus "RG2.G5" ) + ( pin "fsen(0)" ) - ( pin "gnd(13)" - ( attribute "PN" "G6" - ( Origin gPackager ) - ) - ( objectStatus "RG2.G6" ) + ( pin "pdn" ) - ( pin "gnd(14)" - ( attribute "PN" "H4" - ( Origin gPackager ) - ) - ( objectStatus "RG2.H4" ) + ( pin "r(0)" ) - ( pin "gnd(15)" - ( attribute "PN" "H7" - ( Origin gPackager ) - ) - ( objectStatus "RG2.H7" ) + ( pin "\re*\(0)" ) - ( pin "run" - ( attribute "PN" "F3" - ( Origin gPackager ) - ) - ( objectStatus "RG2.F3" ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i145" + ( attribute "CDS_LIB" "cndiscrete" + ( Origin gPackager ) ) - ( pin "ss" - ( attribute "PN" "H6" - ( Origin gPackager ) - ) - ( objectStatus "RG2.H6" ) + ( attribute "PACK_TYPE" "4312" + ( Origin gFrontEnd ) ) - ( pin "vin(0)" - ( attribute "PN" "H1" - ( Origin gPackager ) - ) - ( objectStatus "RG2.H1" ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) ) - ( pin "vin(1)" - ( attribute "PN" "H2" - ( Origin gPackager ) - ) - ( objectStatus "RG2.H2" ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) ) - ( pin "vin(2)" - ( attribute "PN" "G1" - ( Origin gPackager ) - ) - ( objectStatus "RG2.G1" ) + ( attribute "TYPE" "744231091" + ( Origin gFrontEnd ) ) - ( pin "vin(3)" - ( attribute "PN" "G2" - ( Origin gPackager ) - ) - ( objectStatus "RG2.G2" ) + ( attribute "VALUE" "90OHM" + ( Origin gFrontEnd ) ) - ( pin "vout(0)" - ( attribute "PN" "A6" - ( Origin gPackager ) - ) - ( objectStatus "RG2.A6" ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) ) - ( pin "vout(1)" - ( attribute "PN" "A7" - ( Origin gPackager ) - ) - ( objectStatus "RG2.A7" ) + ( attribute "XY" "(-1025,25)" + ( Origin gFrontEnd ) ) - ( pin "vout(2)" - ( attribute "PN" "B6" - ( Origin gPackager ) - ) - ( objectStatus "RG2.B6" ) + ( attribute "CHIPS_PART_NAME" "COMMON_MODE_LINE_FILTER" + ( Origin gPackager ) ) - ( pin "vout(3)" - ( attribute "PN" "B7" - ( Origin gPackager ) - ) - ( objectStatus "RG2.B7" ) + ( attribute "CDS_PART_NAME" "COMMON_MODE_LINE_FILTER_4312-7A" + ( Origin gPackager ) ) - ( pin "vout(4)" - ( attribute "PN" "C6" - ( Origin gPackager ) - ) - ( objectStatus "RG2.C6" ) + ( objectStatus "PAGE1_I145" ) + ( pin "\1\(0)" ) - ( pin "vout(5)" - ( attribute "PN" "C7" - ( Origin gPackager ) - ) - ( objectStatus "RG2.C7" ) + ( pin "\1dot\(0)" ) - ( pin "\vout-\(0)" - ( attribute "PN" "A1" - ( Origin gPackager ) - ) - ( objectStatus "RG2.A1" ) + ( pin "\2\(0)" ) - ( pin "\vout-\(1)" - ( attribute "PN" "A2" - ( Origin gPackager ) - ) - ( objectStatus "RG2.A2" ) + ( pin "\2dot\(0)" ) - ( pin "\vout-\(2)" - ( attribute "PN" "A3" - ( Origin gPackager ) - ) - ( objectStatus "RG2.A3" ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i146" + ( attribute "CDS_LIB" "cnpassive" + ( Origin gPackager ) ) - ( pin "\vout-\(3)" - ( attribute "PN" "A4" - ( Origin gPackager ) - ) - ( objectStatus "RG2.A4" ) + ( attribute "PACK_TYPE" "1206_TC164" + ( Origin gFrontEnd ) ) - ( pin "\vout-\(4)" - ( attribute "PN" "A5" - ( Origin gPackager ) - ) - ( objectStatus "RG2.A5" ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) ) - ( pin "\vout-\(5)" - ( attribute "PN" "B1" - ( Origin gPackager ) - ) - ( objectStatus "RG2.B1" ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) ) - ( pin "\vout-\(6)" - ( attribute "PN" "B2" - ( Origin gPackager ) - ) - ( objectStatus "RG2.B2" ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) ) - ( pin "\vout-\(7)" - ( attribute "PN" "B3" - ( Origin gPackager ) - ) - ( objectStatus "RG2.B3" ) + ( attribute "TOL" "1%" + ( Origin gFrontEnd ) ) - ( pin "\vout-\(8)" - ( attribute "PN" "B4" - ( Origin gPackager ) - ) - ( objectStatus "RG2.B4" ) + ( attribute "VALUE" "47" + ( Origin gFrontEnd ) ) - ( pin "\vout-\(9)" - ( attribute "PN" "B5" - ( Origin gPackager ) - ) - ( objectStatus "RG2.B5" ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) ) - ( pin "\vout-\(10)" - ( attribute "PN" "C1" - ( Origin gPackager ) - ) - ( objectStatus "RG2.C1" ) + ( attribute "XY" "(-625,75)" + ( Origin gFrontEnd ) ) - ( pin "\vout-\(11)" - ( attribute "PN" "C2" - ( Origin gPackager ) - ) - ( objectStatus "RG2.C2" ) + ( attribute "CHIPS_PART_NAME" "RES_ARRAY_X4" + ( Origin gPackager ) ) - ( pin "\vout-\(12)" - ( attribute "PN" "C3" - ( Origin gPackager ) - ) - ( objectStatus "RG2.C3" ) + ( attribute "CDS_PART_NAME" "RES_ARRAY_X4_1206_TC164-47,1%" + ( Origin gPackager ) ) - ( pin "\vout-\(13)" - ( attribute "PN" "C4" - ( Origin gPackager ) - ) - ( objectStatus "RG2.C4" ) + ( objectStatus "PAGE1_I146" ) + ( pin "a(0)" ) - ( pin "\vout-\(14)" - ( attribute "PN" "C5" - ( Origin gPackager ) - ) - ( objectStatus "RG2.C5" ) + ( pin "b(0)" ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i83" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i147" ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "1210" + ( attribute "PACK_TYPE" "1206_TC164" ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "ROT" "0" ( Origin gFrontEnd ) ) ( attribute "SIZE" "1" ( Origin gFrontEnd ) ) - ( attribute "VALUE" "4.7UF" + ( attribute "TOL" "1%" ( Origin gFrontEnd ) ) - ( attribute "VER" "1" + ( attribute "VALUE" "47" ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "50V" - ( Units "uVoltage" "V" 1.000000) + ( attribute "VER" "1" ( Origin gFrontEnd ) ) - ( attribute "XY" "(-1800,2675)" + ( attribute "XY" "(-475,-25)" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210-4.7UF,50V" - ( Origin gPackager ) - ) - ( attribute "CDS_LOCATION" "C6" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "C6" - ( Origin gPackager ) - ) - ( attribute "CDS_SEC" "1" + ( attribute "CHIPS_PART_NAME" "RES_ARRAY_X4" ( Origin gPackager ) ) - ( attribute "SEC" "1" + ( attribute "CDS_PART_NAME" "RES_ARRAY_X4_1206_TC164-47,1%" ( Origin gPackager ) ) - ( objectStatus "C6" ) + ( objectStatus "PAGE1_I147" ) ( pin "a(0)" - ( attribute "PN" "1" - ( Origin gPackager ) - ) - ( objectStatus "C6.1" ) ) ( pin "b(0)" - ( attribute "PN" "2" - ( Origin gPackager ) - ) - ( objectStatus "C6.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i85" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i148" ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "DIST" "FLAT" + ( attribute "DIELECTRIC" "X5R" ( Origin gFrontEnd ) ) - ( attribute "MAX_TEMP" "RTMAX" + ( attribute "PHYS_PAGE" "1" ( Origin gFrontEnd ) ) - ( attribute "NEGTOL" "RTOL%" + ( attribute "ROT" "0" ( Origin gFrontEnd ) ) - ( attribute "PACK_TYPE" "1/10W" + ( attribute "SIZE" "1" ( Origin gFrontEnd ) ) - ( attribute "PHYS_PAGE" "1" + ( attribute "TYPE" "GNM21" ( Origin gFrontEnd ) ) - ( attribute "POSTOL" "RTOL%" + ( attribute "VALUE" "1UF" ( Origin gFrontEnd ) ) - ( attribute "POWER" "RMAX" + ( attribute "VER" "1" ( Origin gFrontEnd ) ) - ( attribute "ROT" "1" + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" + ( attribute "XY" "(-200,25)" ( Origin gFrontEnd ) ) - ( attribute "SLOPE" "RSMAX" - ( Origin gFrontEnd ) + ( attribute "CHIPS_PART_NAME" "CAPN4I" + ( Origin gPackager ) ) - ( attribute "TC1" "RTMPL" - ( Origin gFrontEnd ) + ( attribute "CDS_PART_NAME" "CAPN4I-1UF,16V,X5R,GNM21" + ( Origin gPackager ) ) - ( attribute "TC2" "RTMPQ" - ( Origin gFrontEnd ) + ( objectStatus "PAGE1_I148" ) + ( pin "a(0)" ) - ( attribute "TOL" "1%" + ( pin "b(0)" + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i152" + ( attribute "CDS_LIB" "cninterface" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "VQFN" ( Origin gFrontEnd ) ) - ( attribute "TOL_ON_OFF" "ON" + ( attribute "PHYS_PAGE" "1" ( Origin gFrontEnd ) ) - ( attribute "VALUE" "6.19K" + ( attribute "ROT" "2" ( Origin gFrontEnd ) ) - ( attribute "VER" "2" + ( attribute "TYPE" "SN65MLVD040RGZ" ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "RVMAX" - ( Units "uVoltage" "V" 1.000000) + ( attribute "VER" "1" ( Origin gFrontEnd ) ) - ( attribute "XY" "(-1275,2400)" + ( attribute "XY" "(475,0)" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "RSMD0603" + ( attribute "CHIPS_PART_NAME" "SN65MLVD040" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-6.19K,1%" + ( attribute "CDS_PART_NAME" "SN65MLVD040RGZ-GND=GND_SIGNAL;A" ( Origin gPackager ) ) - ( attribute "CDS_LOCATION" "R3" + ( objectStatus "PAGE1_I152" ) + ( pin "a(0)" + ) + ( pin "\b*\(0)" + ) + ( pin "d(0)" + ) + ( pin "de(0)" + ) + ( pin "fsen(0)" + ) + ( pin "pdn" + ) + ( pin "r(0)" + ) + ( pin "\re*\(0)" + ) + ) + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i157" + ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "LOCATION" "R3" + ( attribute "CDS_LOCATION" "C1" ( Origin gPackager ) ) ( attribute "CDS_SEC" "1" ( Origin gPackager ) ) + ( attribute "LOCATION" "C1" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "0603" + ( Origin gFrontEnd ) + ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) + ) ( attribute "SEC" "1" ( Origin gPackager ) ) - ( objectStatus "R3" ) + ( attribute "SIZE" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VALUE" "100NF" + ( Origin gFrontEnd ) + ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) + ) + ( attribute "VOLTAGE" "16V" + ( Units "uVoltage" "V" 1.000000) + ( Origin gFrontEnd ) + ) + ( attribute "XY" "(3425,-2075)" + ( Origin gFrontEnd ) + ) + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" + ( Origin gPackager ) + ) + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" + ( Origin gPackager ) + ) + ( objectStatus "PAGE1_I157" ) ( pin "a(0)" ( attribute "PN" "1" ( Origin gPackager ) ) - ( objectStatus "R3.1" ) ) ( pin "b(0)" ( attribute "PN" "2" ( Origin gPackager ) ) - ( objectStatus "R3.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i88" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i160" ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "1210" + ( attribute "CDS_LOCATION" "C1" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "C1" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "0603" ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" @@ -38981,10 +43978,13 @@ ( attribute "ROT" "1" ( Origin gFrontEnd ) ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) ( attribute "SIZE" "1" ( Origin gFrontEnd ) ) - ( attribute "VALUE" "22UF" + ( attribute "VALUE" "100NF" ( Origin gFrontEnd ) ) ( attribute "VER" "1" @@ -38994,46 +43994,41 @@ ( Units "uVoltage" "V" 1.000000) ( Origin gFrontEnd ) ) - ( attribute "XY" "(250,650)" + ( attribute "XY" "(-1000,-3175)" ( Origin gFrontEnd ) ) ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210-22UF,16V" - ( Origin gPackager ) - ) - ( attribute "CDS_LOCATION" "C8" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "C8" - ( Origin gPackager ) - ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) - ) - ( attribute "SEC" "1" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" ( Origin gPackager ) ) - ( objectStatus "C8" ) + ( objectStatus "PAGE1_I160" ) ( pin "a(0)" ( attribute "PN" "1" ( Origin gPackager ) ) - ( objectStatus "C8.1" ) ) ( pin "b(0)" ( attribute "PN" "2" ( Origin gPackager ) ) - ( objectStatus "C8.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i89" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i161" ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "1210" + ( attribute "CDS_LOCATION" "C1" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "C1" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "0603" ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" @@ -39042,10 +44037,13 @@ ( attribute "ROT" "1" ( Origin gFrontEnd ) ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) ( attribute "SIZE" "1" ( Origin gFrontEnd ) ) - ( attribute "VALUE" "22UF" + ( attribute "VALUE" "100NF" ( Origin gFrontEnd ) ) ( attribute "VER" "1" @@ -39055,46 +44053,41 @@ ( Units "uVoltage" "V" 1.000000) ( Origin gFrontEnd ) ) - ( attribute "XY" "(250,2675)" + ( attribute "XY" "(0,-3175)" ( Origin gFrontEnd ) ) ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210-22UF,16V" - ( Origin gPackager ) - ) - ( attribute "CDS_LOCATION" "C7" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "C7" - ( Origin gPackager ) - ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) - ) - ( attribute "SEC" "1" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" ( Origin gPackager ) ) - ( objectStatus "C7" ) + ( objectStatus "PAGE1_I161" ) ( pin "a(0)" ( attribute "PN" "1" ( Origin gPackager ) ) - ( objectStatus "C7.1" ) ) ( pin "b(0)" ( attribute "PN" "2" ( Origin gPackager ) ) - ( objectStatus "C7.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i142" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i162" ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "1210" + ( attribute "CDS_LOCATION" "C1" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "C1" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "0603" ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" @@ -39103,59 +44096,57 @@ ( attribute "ROT" "1" ( Origin gFrontEnd ) ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) ( attribute "SIZE" "1" ( Origin gFrontEnd ) ) - ( attribute "VALUE" "4.7UF" + ( attribute "VALUE" "100NF" ( Origin gFrontEnd ) ) ( attribute "VER" "1" ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "50V" + ( attribute "VOLTAGE" "16V" ( Units "uVoltage" "V" 1.000000) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-2150,2675)" + ( attribute "XY" "(-250,-3175)" ( Origin gFrontEnd ) ) ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210-4.7UF,50V" - ( Origin gPackager ) - ) - ( attribute "CDS_LOCATION" "C3" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "C3" - ( Origin gPackager ) - ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) - ) - ( attribute "SEC" "1" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" ( Origin gPackager ) ) - ( objectStatus "C3" ) + ( objectStatus "PAGE1_I162" ) ( pin "a(0)" ( attribute "PN" "1" ( Origin gPackager ) ) - ( objectStatus "C3.1" ) ) ( pin "b(0)" ( attribute "PN" "2" ( Origin gPackager ) ) - ( objectStatus "C3.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i144" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i163" ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "1210" + ( attribute "CDS_LOCATION" "C1" + ( Origin gPackager ) + ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) + ) + ( attribute "LOCATION" "C1" + ( Origin gPackager ) + ) + ( attribute "PACK_TYPE" "0603" ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" @@ -39164,330 +44155,131 @@ ( attribute "ROT" "1" ( Origin gFrontEnd ) ) + ( attribute "SEC" "1" + ( Origin gPackager ) + ) ( attribute "SIZE" "1" ( Origin gFrontEnd ) ) - ( attribute "VALUE" "4.7UF" + ( attribute "VALUE" "100NF" ( Origin gFrontEnd ) ) ( attribute "VER" "1" ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "50V" + ( attribute "VOLTAGE" "16V" ( Units "uVoltage" "V" 1.000000) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-2150,1525)" + ( attribute "XY" "(-500,-3175)" ( Origin gFrontEnd ) ) ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Origin gPackager ) ) - ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_1210-4.7UF,50V" - ( Origin gPackager ) - ) - ( attribute "CDS_LOCATION" "C4" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "C4" - ( Origin gPackager ) - ) - ( attribute "CDS_SEC" "1" - ( Origin gPackager ) - ) - ( attribute "SEC" "1" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" ( Origin gPackager ) ) - ( objectStatus "C4" ) + ( objectStatus "PAGE1_I163" ) ( pin "a(0)" ( attribute "PN" "1" ( Origin gPackager ) ) - ( objectStatus "C4.1" ) ) ( pin "b(0)" ( attribute "PN" "2" ( Origin gPackager ) ) - ( objectStatus "C4.2" ) ) ) - ( gate "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i146" + ( gate "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i164" ( attribute "CDS_LIB" "cnpassive" ( Origin gPackager ) ) - ( attribute "DIST" "FLAT" - ( Origin gFrontEnd ) + ( attribute "CDS_LOCATION" "C1" + ( Origin gPackager ) ) - ( attribute "MAX_TEMP" "RTMAX" - ( Origin gFrontEnd ) + ( attribute "CDS_SEC" "1" + ( Origin gPackager ) ) - ( attribute "NEGTOL" "RTOL%" - ( Origin gFrontEnd ) + ( attribute "LOCATION" "C1" + ( Origin gPackager ) ) - ( attribute "PACK_TYPE" "1/10W" + ( attribute "PACK_TYPE" "0603" ( Origin gFrontEnd ) ) ( attribute "PHYS_PAGE" "1" ( Origin gFrontEnd ) ) - ( attribute "POSTOL" "RTOL%" - ( Origin gFrontEnd ) - ) - ( attribute "POWER" "RMAX" - ( Origin gFrontEnd ) - ) ( attribute "ROT" "1" ( Origin gFrontEnd ) ) - ( attribute "SIZE" "1" - ( Origin gFrontEnd ) - ) - ( attribute "SLOPE" "RSMAX" - ( Origin gFrontEnd ) - ) - ( attribute "TC1" "RTMPL" - ( Origin gFrontEnd ) - ) - ( attribute "TC2" "RTMPQ" - ( Origin gFrontEnd ) - ) - ( attribute "TOL" "1%" - ( Origin gFrontEnd ) + ( attribute "SEC" "1" + ( Origin gPackager ) ) - ( attribute "TOL_ON_OFF" "ON" + ( attribute "SIZE" "1" ( Origin gFrontEnd ) ) - ( attribute "VALUE" "3.3" + ( attribute "VALUE" "100NF" ( Origin gFrontEnd ) ) - ( attribute "VER" "2" + ( attribute "VER" "1" ( Origin gFrontEnd ) ) - ( attribute "VOLTAGE" "RVMAX" + ( attribute "VOLTAGE" "16V" ( Units "uVoltage" "V" 1.000000) ( Origin gFrontEnd ) ) - ( attribute "XY" "(-2725,1900)" + ( attribute "XY" "(-750,-3175)" ( Origin gFrontEnd ) ) - ( attribute "CHIPS_PART_NAME" "RSMD0603" - ( Origin gPackager ) - ) - ( attribute "CDS_PART_NAME" "RSMD0603_1/10W-3.3,1%" - ( Origin gPackager ) - ) - ( attribute "CDS_LOCATION" "R1" - ( Origin gPackager ) - ) - ( attribute "LOCATION" "R1" - ( Origin gPackager ) - ) - ( attribute "CDS_SEC" "1" + ( attribute "CHIPS_PART_NAME" "CAPCERSMDCL2" ( Origin gPackager ) ) - ( attribute "SEC" "1" + ( attribute "CDS_PART_NAME" "CAPCERSMDCL2_0603-100NF,16V" ( Origin gPackager ) ) - ( objectStatus "R1" ) + ( objectStatus "PAGE1_I164" ) ( pin "a(0)" ( attribute "PN" "1" ( Origin gPackager ) ) - ( objectStatus "R1.1" ) ) ( pin "b(0)" ( attribute "PN" "2" ( Origin gPackager ) ) - ( objectStatus "R1.2" ) ) ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i42:a" - ( attribute "PN" "1" - ( Origin gPackager ) + ( designInst "@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78" + ( attribute "BLOCK" "TRUE" + ( Origin gFrontEnd ) ) - ( objectStatus "L2.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i42:b" - ( attribute "PN" "2" + ( attribute "CDS_LIB" "fmc_tlu_v1_lib" ( Origin gPackager ) ) - ( objectStatus "L2.2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i44:a" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "LIBRARY1" "ieee" + ( Origin gFrontEnd ) ) - ( objectStatus "L3.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i44:b" - ( attribute "PN" "2" - ( Origin gPackager ) + ( attribute "PHYS_PAGE" "1" + ( Origin gFrontEnd ) ) - ( objectStatus "L3.2" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i66:a" - ( attribute "PN" "1" - ( Origin gPackager ) + ( attribute "ROT" "0" + ( Origin gFrontEnd ) ) - ( objectStatus "L1.1" ) - ) - ( pin "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_i66:b" - ( attribute "PN" "2" - ( Origin gPackager ) + ( attribute "USE1" "ieee.std_logic_1164.all" + ( Origin gFrontEnd ) ) - ( objectStatus "L1.2" ) - ) - ( bus "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1" - ( memberType ( signal ) ) - ( alias ( busRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(0)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(1)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(2)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(3)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(4)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(5)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(6)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(7)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(8)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(9)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(10)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(11)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(12)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(13)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm1(14)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):gnd_signal") ) - ( objectStatus "VM1" ) - ) - ( bus "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1" - ( memberType ( signal ) ) - ( objectFlag fObjectAlias ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(0)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(1)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(2)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(3)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(4)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(5)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(6)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(7)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(8)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(9)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(10)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(11)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(12)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(13)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm1(14)") ) - ( objectStatus "PAGE1_VM1" ) - ) - ( bus "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2" - ( memberType ( signal ) ) - ( alias ( busRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2") ) - ( attribute "BUS_NAME" "VM2" - ( Origin gPackager ) + ( attribute "USE2" "work.all" + ( Origin gFrontEnd ) ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(0)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(1)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(2)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(3)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(4)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(5)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(6)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(7)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(8)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(9)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(10)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(11)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(12)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(13)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vm2(14)") ) - ( objectStatus "VM2" ) - ) - ( bus "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2" - ( memberType ( signal ) ) - ( objectFlag fObjectAlias ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(0)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(1)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(2)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(3)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(4)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(5)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(6)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(7)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(8)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(9)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(10)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(11)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(12)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(13)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vm2(14)") ) - ( objectStatus "PAGE1_VM2" ) - ) - ( bus "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1" - ( memberType ( signal ) ) - ( alias ( busRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1") ) - ( attribute "BUS_NAME" "VP1" - ( Origin gPackager ) + ( attribute "VER" "1" + ( Origin gFrontEnd ) ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(0)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(1)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(2)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(3)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(4)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp1(5)") ) - ( objectStatus "VP1" ) - ) - ( bus "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1" - ( memberType ( signal ) ) - ( objectFlag fObjectAlias ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1(0)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1(1)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1(2)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1(3)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1(4)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp1(5)") ) - ( objectStatus "PAGE1_VP1" ) - ) - ( bus "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2" - ( memberType ( signal ) ) - ( alias ( busRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp2") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2(0)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2(1)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2(2)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2(3)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2(4)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vp2(5)") ) - ( objectStatus "VP2" ) - ) - ( bus "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp2" - ( memberType ( signal ) ) - ( objectFlag fObjectAlias ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp2(0)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp2(1)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp2(2)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp2(3)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp2(4)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vp2(5)") ) - ( objectStatus "PAGE1_VP2" ) - ) - ( bus "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vi" - ( memberType ( signal ) ) - ( alias ( busRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vi") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vi(0)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vi(1)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vi(2)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vi(3)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):vin_filtered") ) - ( objectStatus "VI" ) - ) - ( bus "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vi" - ( memberType ( signal ) ) - ( objectFlag fObjectAlias ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vi(0)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vi(1)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vi(2)") ) - ( member ( signalRef "@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1):page1_vi(3)") ) - ( objectStatus "PAGE1_VI" ) + ( attribute "XY" "(5400,-350)" + ( Origin gFrontEnd ) + ) + ( objectStatus "\page1_i78 (fmc_tlu_diode_clamp_b)\" ) ) ) ) diff --git a/circuit_board/Cadence/worklib/fmc_tlu_toplevel_c/sch_1/fmc_tlu_toplevel_c.xcon b/circuit_board/Cadence/worklib/fmc_tlu_toplevel_c/sch_1/fmc_tlu_toplevel_c.xcon index f29f51bbfdf79b7efac7d0a776359139b83a9b45..8be705d8247647cc4477a0a287cd4748ba51a88e 100644 --- a/circuit_board/Cadence/worklib/fmc_tlu_toplevel_c/sch_1/fmc_tlu_toplevel_c.xcon +++ b/circuit_board/Cadence/worklib/fmc_tlu_toplevel_c/sch_1/fmc_tlu_toplevel_c.xcon @@ -5,15 +5,15 @@ <schemaVersion>16.6</schemaVersion> <creatorTool>csnetlister</creatorTool> <modifierTool>csnetlister</modifierTool> - <modificationTime>2016-04-22T09:05:07</modificationTime> + <modificationTime>2016-05-20T14:40:51</modificationTime> <savedLibrary>fmc_tlu_v1_lib</savedLibrary> </header> <designs> <design schemaType="nameBased" name="fmc_tlu_toplevel_c" view="sch_1"> <lastids> - <instanceid>96</instanceid> - <netid>367</netid> - <insttermid>1726</insttermid> + <instanceid>97</instanceid> + <netid>407</netid> + <insttermid>1843</insttermid> </lastids> <cells> <cell> @@ -247,40 +247,6 @@ </term> </terms> </cell> - <cell> - <id>S8</id> - <library>cnconnector</library> - <name>con8p</name> - <view>sym_1</view> - <parameters> - </parameters> - <terms> - <term> - <id>T73</id> - <name>a</name> - <direction>inout</direction> - <msb>7</msb> - <lsb>0</lsb> - </term> - </terms> - </cell> - <cell> - <id>S9</id> - <library>cnconnector</library> - <name>con19p</name> - <view>sym_1</view> - <parameters> - </parameters> - <terms> - <term> - <id>T74</id> - <name>a</name> - <direction>inout</direction> - <msb>18</msb> - <lsb>0</lsb> - </term> - </terms> - </cell> <cell> <id>S10</id> <library>fmc_tlu_v1_lib</library> @@ -369,120 +335,6 @@ </term> </terms> </cell> - <cell> - <id>S15</id> - <library>cndiscrete</library> - <name>trans mosfet</name> - <view>sym_1</view> - <parameters> - </parameters> - <terms> - <term> - <id>T152</id> - <name>d</name> - <direction>inout</direction> - </term> - <term> - <id>T153</id> - <name>g</name> - <direction>inout</direction> - </term> - <term> - <id>T154</id> - <name>s</name> - <direction>inout</direction> - </term> - </terms> - </cell> - <cell> - <id>S16</id> - <library>cninterface</library> - <name>74lvc1g07</name> - <view>sym_1</view> - <parameters> - </parameters> - <terms> - <term> - <id>T171</id> - <name>a</name> - <direction>input</direction> - </term> - <term> - <id>T172</id> - <name>y</name> - <direction>output</direction> - </term> - </terms> - </cell> - <cell> - <id>S18</id> - <library>fmc_tlu_v1_lib</library> - <name>fmc_tlu_diode_clamp</name> - <view>sym_1</view> - <parameters> - </parameters> - <terms> - <term> - <id>T209</id> - <name>sig0</name> - <direction>input</direction> - </term> - <term> - <id>T210</id> - <name>sig1</name> - <direction>input</direction> - </term> - <term> - <id>T211</id> - <name>sig2</name> - <direction>input</direction> - </term> - <term> - <id>T212</id> - <name>sig3</name> - <direction>input</direction> - </term> - <term> - <id>T213</id> - <name>sig4</name> - <direction>input</direction> - </term> - <term> - <id>T214</id> - <name>sig5</name> - <direction>input</direction> - </term> - <term> - <id>T215</id> - <name>sig6</name> - <direction>input</direction> - </term> - <term> - <id>T216</id> - <name>sig7</name> - <direction>input</direction> - </term> - </terms> - </cell> - <cell> - <id>S19</id> - <library>cnpassive</library> - <name>tp</name> - <view>sym_1</view> - <parameters> - <parameter> - <name>size</name> - <value>1</value> - </parameter> - </parameters> - <terms> - <term> - <id>T233</id> - <name>a<SIZE-1..0></name> - <direction>inout</direction> - </term> - </terms> - </cell> <cell> <id>S20</id> <library>cnmemory</library> @@ -628,6 +480,121 @@ </term> </terms> </cell> + <cell> + <id>S27</id> + <library>fmc_tlu_v1_lib</library> + <name>fmc_tlu_hdmi_dut_connector</name> + <view>sym_1</view> + <parameters> + </parameters> + <terms> + <term> + <id>T408</id> + <name>busy_from_fpga</name> + <direction>input</direction> + </term> + <term> + <id>T409</id> + <name>busy_to_fpga</name> + <direction>output</direction> + </term> + <term> + <id>T410</id> + <name>clk_from_fpga</name> + <direction>input</direction> + </term> + <term> + <id>T411</id> + <name>clk_to_dut</name> + <direction>input</direction> + </term> + <term> + <id>T412</id> + <name>clk_to_dut*</name> + <direction>input</direction> + </term> + <term> + <id>T413</id> + <name>clk_to_fpga</name> + <direction>output</direction> + </term> + <term> + <id>T414</id> + <name>cont_from_fpga</name> + <direction>input</direction> + </term> + <term> + <id>T415</id> + <name>cont_to_fpga</name> + <direction>output</direction> + </term> + <term> + <id>T416</id> + <name>enable_busy_from_fpga</name> + <direction>input</direction> + </term> + <term> + <id>T417</id> + <name>enable_clk_from_fpga</name> + <direction>input</direction> + </term> + <term> + <id>T418</id> + <name>enable_clk_to_dut</name> + <direction>input</direction> + </term> + <term> + <id>T419</id> + <name>enable_cont_from_fpga</name> + <direction>input</direction> + </term> + <term> + <id>T420</id> + <name>enable_spare_from_fpga</name> + <direction>input</direction> + </term> + <term> + <id>T421</id> + <name>enable_trig_from_fpga</name> + <direction>input</direction> + </term> + <term> + <id>T422</id> + <name>hdmi_clk</name> + <direction>output</direction> + </term> + <term> + <id>T423</id> + <name>hdmi_clk*</name> + <direction>output</direction> + </term> + <term> + <id>T424</id> + <name>hdmi_power_enable</name> + <direction>input</direction> + </term> + <term> + <id>T425</id> + <name>spare_from_fpga</name> + <direction>input</direction> + </term> + <term> + <id>T426</id> + <name>spare_to_fpga</name> + <direction>output</direction> + </term> + <term> + <id>T427</id> + <name>trig_from_fpga</name> + <direction>input</direction> + </term> + <term> + <id>T428</id> + <name>trig_to_fpga</name> + <direction>output</direction> + </term> + </terms> + </cell> </cells> <nets> <net> @@ -691,448 +658,316 @@ <lsb>0</lsb> </net> <net> - <id>N64</id> - <name>page4_frame</name> + <id>N144</id> + <name>page4_vbus</name> </net> <net> - <id>N65</id> - <name>gnd_hdmi1</name> + <id>N151</id> + <name>unnamed_1_24aa025e48_i8_a0</name> </net> <net> - <id>N66</id> - <name>gnd_hdmi2</name> + <id>N152</id> + <name>unnamed_1_24aa025e48_i8_a1</name> </net> <net> - <id>N67</id> - <name>page4_gnd_signal</name> + <id>N153</id> + <name>unnamed_1_24aa025e48_i8_a2</name> </net> <net> - <id>N70</id> - <name>unnamed_4_capcersmdcl2_i19_b</name> + <id>N156</id> + <name>page2_m5v</name> </net> <net> - <id>N71</id> - <name>unnamed_4_capcersmdcl2_i22_b</name> + <id>N158</id> + <name>page2_p5v</name> </net> <net> - <id>N72</id> - <name>unnamed_4_capcersmdcl2_i8_b</name> + <id>N167</id> + <name>page1_beam_trigger</name> + <msb>3</msb> + <lsb>0</lsb> </net> <net> - <id>N73</id> - <name>unnamed_4_capcersmdcl2_i9_b</name> + <id>N168</id> + <name>page1_beam_trigger*</name> + <msb>3</msb> + <lsb>0</lsb> </net> <net> - <id>N94</id> - <name>busy0</name> + <id>N169</id> + <name>page1_beam_trigger_cfd</name> + <msb>3</msb> + <lsb>0</lsb> </net> <net> - <id>N95</id> - <name>busy0*</name> + <id>N170</id> + <name>page1_beam_trigger_cfd*</name> + <msb>3</msb> + <lsb>0</lsb> </net> <net> - <id>N96</id> - <name>busy1</name> + <id>N183</id> + <name>fmc_la</name> + <msb>33</msb> + <lsb>0</lsb> </net> <net> - <id>N97</id> - <name>busy1*</name> + <id>N184</id> + <name>page1_fmc_la</name> + <msb>33</msb> + <lsb>0</lsb> </net> <net> - <id>N98</id> - <name>clk1</name> + <id>N185</id> + <name>fmc_la*</name> + <msb>33</msb> + <lsb>0</lsb> </net> <net> - <id>N99</id> - <name>clk1*</name> + <id>N186</id> + <name>page1_fmc_la*</name> + <msb>33</msb> + <lsb>0</lsb> </net> <net> - <id>N100</id> - <name>cont0</name> + <id>N279</id> + <name>front_panel_clk</name> </net> <net> - <id>N101</id> - <name>cont0*</name> + <id>N280</id> + <name>front_panel_clk*</name> </net> <net> - <id>N102</id> - <name>cont1</name> + <id>N307</id> + <name>unnamed_1_plemo2ci_i7_a</name> </net> <net> - <id>N103</id> - <name>cont1*</name> + <id>N308</id> + <name>unnamed_1_plemo2ci_i7_b</name> </net> <net> - <id>N104</id> - <name>dut_clk0</name> + <id>N332</id> + <name>page1_m5v</name> </net> <net> - <id>N105</id> - <name>dut_clk0*</name> + <id>N333</id> + <name>page1_p5v</name> </net> <net> - <id>N106</id> - <name>trig0</name> + <id>N334</id> + <name>clk_io_1</name> </net> <net> - <id>N107</id> - <name>trig0*</name> + <id>N336</id> + <name>clk_io_2</name> </net> <net> - <id>N108</id> - <name>trig1</name> + <id>N340</id> + <name>gpio_clk</name> </net> <net> - <id>N109</id> - <name>trig1*</name> + <id>N341</id> + <name>page1_gpio_clk</name> </net> <net> - <id>N111</id> - <name>unnamed_4_con19p_i2_a</name> + <id>N355</id> + <name>page1_p12v</name> </net> <net> - <id>N112</id> - <name>spare1</name> + <id>N363</id> + <name>page2_p12v</name> </net> <net> - <id>N113</id> - <name>spare1*</name> + <id>N374</id> + <name>busy_from_fpga</name> + <msb>0</msb> + <lsb>0</lsb> </net> <net> - <id>N116</id> - <name>hdmi_power_enable1</name> + <id>N375</id> + <name>busy_to_fpga</name> + <msb>0</msb> + <lsb>0</lsb> </net> <net> - <id>N117</id> - <name>page4_p5v</name> + <id>N376</id> + <name>clk_from_fpga</name> + <msb>0</msb> + <lsb>0</lsb> </net> <net> - <id>N118</id> - <name>unnamed_4_74lvc1g07_i28_y</name> + <id>N377</id> + <name>clk_to_dut</name> + <msb>0</msb> + <lsb>0</lsb> </net> <net> - <id>N121</id> - <name>page4_p2v5</name> + <id>N378</id> + <name>clk_to_dut*</name> + <msb>0</msb> + <lsb>0</lsb> </net> <net> - <id>N122</id> - <name>busy2</name> + <id>N379</id> + <name>clk_to_fpga</name> + <msb>0</msb> + <lsb>0</lsb> </net> <net> - <id>N123</id> - <name>busy2*</name> + <id>N380</id> + <name>cont_from_fpga</name> + <msb>0</msb> + <lsb>0</lsb> </net> <net> - <id>N124</id> - <name>clk2</name> + <id>N381</id> + <name>cont_to_fpga</name> + <msb>0</msb> + <lsb>0</lsb> </net> <net> - <id>N125</id> - <name>clk2*</name> + <id>N382</id> + <name>enable_busy_from_fpga</name> + <msb>0</msb> + <lsb>0</lsb> </net> <net> - <id>N126</id> - <name>cont2</name> + <id>N383</id> + <name>enable_clk_from_fpga</name> + <msb>0</msb> + <lsb>0</lsb> </net> <net> - <id>N127</id> - <name>cont2*</name> + <id>N384</id> + <name>enable_clk_to_dut</name> + <msb>0</msb> + <lsb>0</lsb> </net> <net> - <id>N128</id> - <name>hdmi_power_enable2</name> + <id>N385</id> + <name>enable_cont_from_fpga</name> + <msb>0</msb> + <lsb>0</lsb> </net> <net> - <id>N129</id> - <name>spare2</name> - </net> - <net> - <id>N130</id> - <name>spare2*</name> - </net> - <net> - <id>N131</id> - <name>trig2</name> - </net> - <net> - <id>N132</id> - <name>trig2*</name> - </net> - <net> - <id>N133</id> - <name>unnamed_4_74lvc1g07_i40_y</name> - </net> - <net> - <id>N134</id> - <name>unnamed_4_capcersmdcl2_i41_b</name> - </net> - <net> - <id>N135</id> - <name>unnamed_4_capcersmdcl2_i42_b</name> - </net> - <net> - <id>N136</id> - <name>unnamed_4_con19p_i3_a</name> - </net> - <net> - <id>N137</id> - <name>page4_p3v3</name> - </net> - <net> - <id>N144</id> - <name>page4_vbus</name> - </net> - <net> - <id>N151</id> - <name>unnamed_1_24aa025e48_i8_a0</name> - </net> - <net> - <id>N152</id> - <name>unnamed_1_24aa025e48_i8_a1</name> - </net> - <net> - <id>N153</id> - <name>unnamed_1_24aa025e48_i8_a2</name> - </net> - <net> - <id>N156</id> - <name>page2_m5v</name> - </net> - <net> - <id>N158</id> - <name>page2_p5v</name> - </net> - <net> - <id>N161</id> - <name>ctrig1</name> - </net> - <net> - <id>N162</id> - <name>ctrig1*</name> - </net> - <net> - <id>N163</id> - <name>ctrig2</name> - </net> - <net> - <id>N164</id> - <name>ctrig2*</name> - </net> - <net> - <id>N167</id> - <name>page1_beam_trigger</name> - <msb>3</msb> + <id>N386</id> + <name>enable_spare_from_fpga</name> + <msb>0</msb> <lsb>0</lsb> </net> <net> - <id>N168</id> - <name>page1_beam_trigger*</name> - <msb>3</msb> + <id>N387</id> + <name>enable_trig_from_fpga</name> + <msb>0</msb> <lsb>0</lsb> </net> <net> - <id>N169</id> - <name>page1_beam_trigger_cfd</name> - <msb>3</msb> + <id>N388</id> + <name>hdmi_clk</name> + <msb>0</msb> <lsb>0</lsb> </net> <net> - <id>N170</id> - <name>page1_beam_trigger_cfd*</name> - <msb>3</msb> + <id>N389</id> + <name>hdmi_clk*</name> + <msb>0</msb> <lsb>0</lsb> </net> <net> - <id>N171</id> - <name>page1_busy1</name> - </net> - <net> - <id>N172</id> - <name>page1_busy1*</name> - </net> - <net> - <id>N173</id> - <name>page1_clk1</name> - </net> - <net> - <id>N174</id> - <name>page1_clk1*</name> - </net> - <net> - <id>N175</id> - <name>page1_cont1</name> - </net> - <net> - <id>N176</id> - <name>page1_cont1*</name> - </net> - <net> - <id>N177</id> - <name>page1_spare1</name> - </net> - <net> - <id>N178</id> - <name>page1_spare1*</name> - </net> - <net> - <id>N179</id> - <name>page1_trig1</name> - </net> - <net> - <id>N180</id> - <name>page1_trig1*</name> - </net> - <net> - <id>N183</id> - <name>fmc_la</name> - <msb>33</msb> + <id>N390</id> + <name>hdmi_power_enable</name> + <msb>0</msb> <lsb>0</lsb> </net> <net> - <id>N184</id> - <name>page1_fmc_la</name> - <msb>33</msb> + <id>N391</id> + <name>spare_from_fpga</name> + <msb>0</msb> <lsb>0</lsb> </net> <net> - <id>N185</id> - <name>fmc_la*</name> - <msb>33</msb> + <id>N392</id> + <name>spare_to_fpga</name> + <msb>0</msb> <lsb>0</lsb> </net> <net> - <id>N186</id> - <name>page1_fmc_la*</name> - <msb>33</msb> + <id>N393</id> + <name>trig_from_fpga</name> + <msb>0</msb> <lsb>0</lsb> </net> <net> - <id>N189</id> - <name>page1_cont0</name> - </net> - <net> - <id>N190</id> - <name>page1_cont0*</name> - </net> - <net> - <id>N191</id> - <name>page1_spare2</name> - </net> - <net> - <id>N192</id> - <name>page1_spare2*</name> - </net> - <net> - <id>N259</id> - <name>page1_trig2</name> - </net> - <net> - <id>N260</id> - <name>page1_trig2*</name> - </net> - <net> - <id>N279</id> - <name>front_panel_clk</name> - </net> - <net> - <id>N280</id> - <name>front_panel_clk*</name> - </net> - <net> - <id>N283</id> - <name>page1_busy0</name> - </net> - <net> - <id>N284</id> - <name>page1_busy0*</name> - </net> - <net> - <id>N285</id> - <name>page1_busy2</name> - </net> - <net> - <id>N286</id> - <name>page1_busy2*</name> - </net> - <net> - <id>N287</id> - <name>page1_clk2</name> - </net> - <net> - <id>N288</id> - <name>page1_clk2*</name> - </net> - <net> - <id>N289</id> - <name>page1_cont2</name> - </net> - <net> - <id>N290</id> - <name>page1_cont2*</name> - </net> - <net> - <id>N291</id> - <name>page1_dut_clk0</name> - </net> - <net> - <id>N292</id> - <name>page1_dut_clk0*</name> - </net> - <net> - <id>N293</id> - <name>page1_hdmi_power_enable1</name> - </net> - <net> - <id>N294</id> - <name>page1_hdmi_power_enable2</name> - </net> - <net> - <id>N295</id> - <name>page1_trig0</name> + <id>N394</id> + <name>trig_to_fpga</name> + <msb>0</msb> + <lsb>0</lsb> </net> <net> - <id>N296</id> - <name>page1_trig0*</name> + <id>N397</id> + <name>page1_busy_from_fpga</name> + <msb>0</msb> + <lsb>0</lsb> </net> <net> - <id>N307</id> - <name>unnamed_1_plemo2ci_i7_a</name> + <id>N398</id> + <name>page1_busy_to_fpga</name> + <msb>0</msb> + <lsb>0</lsb> </net> <net> - <id>N308</id> - <name>unnamed_1_plemo2ci_i7_b</name> + <id>N399</id> + <name>page1_clk_from_fpga</name> + <msb>0</msb> + <lsb>0</lsb> </net> <net> - <id>N332</id> - <name>page1_m5v</name> + <id>N400</id> + <name>page1_clk_to_fpga</name> + <msb>0</msb> + <lsb>0</lsb> </net> <net> - <id>N333</id> - <name>page1_p5v</name> + <id>N401</id> + <name>page1_cont_from_fpga</name> + <msb>0</msb> + <lsb>0</lsb> </net> <net> - <id>N334</id> - <name>clk_io_1</name> + <id>N402</id> + <name>page1_cont_to_fpga</name> + <msb>0</msb> + <lsb>0</lsb> </net> <net> - <id>N336</id> - <name>clk_io_2</name> + <id>N403</id> + <name>page1_spare_from_fpga</name> + <msb>0</msb> + <lsb>0</lsb> </net> <net> - <id>N340</id> - <name>gpio_clk</name> + <id>N404</id> + <name>page1_spare_to_fpga</name> + <msb>0</msb> + <lsb>0</lsb> </net> <net> - <id>N341</id> - <name>page1_gpio_clk</name> + <id>N405</id> + <name>page1_trig_from_fpga</name> + <msb>0</msb> + <lsb>0</lsb> </net> <net> - <id>N355</id> - <name>page1_p12v</name> + <id>N406</id> + <name>page1_trig_to_fpga</name> + <msb>0</msb> + <lsb>0</lsb> </net> <net> - <id>N363</id> - <name>page2_p12v</name> + <id>N407</id> + <name>page1_hdmi_power_enable</name> + <msb>0</msb> + <lsb>0</lsb> </net> <net> <id>N1</id> @@ -1149,11 +984,6 @@ <name>p3v3</name> <scope>global</scope> </net> - <net> - <id>N63</id> - <name>frame</name> - <scope>global</scope> - </net> <net> <id>N354</id> <name>p12v</name> @@ -1175,24 +1005,10 @@ <alias net1="N4" lsb1="-1" msb1="-1" net2="N3" lsb2="-1" msb2="-1" /> <alias net1="N6" lsb1="-1" msb1="-1" net2="N5" lsb2="-1" msb2="-1" /> <alias net1="N13" lsb1="-1" msb1="-1" net2="N1" lsb2="-1" msb2="-1" /> - <alias net1="N64" lsb1="-1" msb1="-1" net2="N63" lsb2="-1" msb2="-1" /> - <alias net1="N67" lsb1="-1" msb1="-1" net2="N1" lsb2="-1" msb2="-1" /> - <alias net1="N121" lsb1="-1" msb1="-1" net2="N3" lsb2="-1" msb2="-1" /> - <alias net1="N137" lsb1="-1" msb1="-1" net2="N5" lsb2="-1" msb2="-1" /> <alias net1="N167" lsb1="0" msb1="3" net2="N11" lsb2="0" msb2="3" /> <alias net1="N168" lsb1="0" msb1="3" net2="N12" lsb2="0" msb2="3" /> <alias net1="N169" lsb1="0" msb1="3" net2="N40" lsb2="0" msb2="3" /> <alias net1="N170" lsb1="0" msb1="3" net2="N53" lsb2="0" msb2="3" /> - <alias net1="N171" lsb1="-1" msb1="-1" net2="N96" lsb2="-1" msb2="-1" /> - <alias net1="N172" lsb1="-1" msb1="-1" net2="N97" lsb2="-1" msb2="-1" /> - <alias net1="N173" lsb1="-1" msb1="-1" net2="N98" lsb2="-1" msb2="-1" /> - <alias net1="N174" lsb1="-1" msb1="-1" net2="N99" lsb2="-1" msb2="-1" /> - <alias net1="N175" lsb1="-1" msb1="-1" net2="N102" lsb2="-1" msb2="-1" /> - <alias net1="N176" lsb1="-1" msb1="-1" net2="N103" lsb2="-1" msb2="-1" /> - <alias net1="N177" lsb1="-1" msb1="-1" net2="N112" lsb2="-1" msb2="-1" /> - <alias net1="N178" lsb1="-1" msb1="-1" net2="N113" lsb2="-1" msb2="-1" /> - <alias net1="N179" lsb1="-1" msb1="-1" net2="N108" lsb2="-1" msb2="-1" /> - <alias net1="N180" lsb1="-1" msb1="-1" net2="N109" lsb2="-1" msb2="-1" /> <alias net1="N184" lsb1="33" msb1="33" net2="N167" lsb2="0" msb2="0" /> <alias net1="N184" lsb1="31" msb1="31" net2="N167" lsb2="1" msb2="1" /> <alias net1="N184" lsb1="29" msb1="29" net2="N167" lsb2="2" msb2="2" /> @@ -1201,11 +1017,6 @@ <alias net1="N184" lsb1="28" msb1="28" net2="N169" lsb2="2" msb2="2" /> <alias net1="N184" lsb1="24" msb1="24" net2="N169" lsb2="3" msb2="3" /> <alias net1="N184" lsb1="30" msb1="30" net2="N169" lsb2="1" msb2="1" /> - <alias net1="N184" lsb1="14" msb1="14" net2="N171" lsb2="-1" msb2="-1" /> - <alias net1="N184" lsb1="27" msb1="27" net2="N173" lsb2="-1" msb2="-1" /> - <alias net1="N184" lsb1="18" msb1="18" net2="N175" lsb2="-1" msb2="-1" /> - <alias net1="N184" lsb1="8" msb1="8" net2="N177" lsb2="-1" msb2="-1" /> - <alias net1="N184" lsb1="3" msb1="3" net2="N179" lsb2="-1" msb2="-1" /> <alias net1="N184" lsb1="0" msb1="33" net2="N183" lsb2="0" msb2="33" /> <alias net1="N186" lsb1="25" msb1="25" net2="N168" lsb2="3" msb2="3" /> <alias net1="N186" lsb1="29" msb1="29" net2="N168" lsb2="2" msb2="2" /> @@ -1215,61 +1026,37 @@ <alias net1="N186" lsb1="30" msb1="30" net2="N170" lsb2="1" msb2="1" /> <alias net1="N186" lsb1="24" msb1="24" net2="N170" lsb2="3" msb2="3" /> <alias net1="N186" lsb1="28" msb1="28" net2="N170" lsb2="2" msb2="2" /> - <alias net1="N186" lsb1="14" msb1="14" net2="N172" lsb2="-1" msb2="-1" /> - <alias net1="N186" lsb1="27" msb1="27" net2="N174" lsb2="-1" msb2="-1" /> - <alias net1="N186" lsb1="18" msb1="18" net2="N176" lsb2="-1" msb2="-1" /> - <alias net1="N186" lsb1="8" msb1="8" net2="N178" lsb2="-1" msb2="-1" /> - <alias net1="N186" lsb1="3" msb1="3" net2="N180" lsb2="-1" msb2="-1" /> <alias net1="N186" lsb1="0" msb1="33" net2="N185" lsb2="0" msb2="33" /> - <alias net1="N189" lsb1="-1" msb1="-1" net2="N100" lsb2="-1" msb2="-1" /> - <alias net1="N189" lsb1="-1" msb1="-1" net2="N184" lsb2="22" msb2="22" /> - <alias net1="N190" lsb1="-1" msb1="-1" net2="N101" lsb2="-1" msb2="-1" /> - <alias net1="N190" lsb1="-1" msb1="-1" net2="N186" lsb2="22" msb2="22" /> - <alias net1="N191" lsb1="-1" msb1="-1" net2="N129" lsb2="-1" msb2="-1" /> - <alias net1="N191" lsb1="-1" msb1="-1" net2="N184" lsb2="11" msb2="11" /> - <alias net1="N192" lsb1="-1" msb1="-1" net2="N130" lsb2="-1" msb2="-1" /> - <alias net1="N192" lsb1="-1" msb1="-1" net2="N186" lsb2="11" msb2="11" /> - <alias net1="N259" lsb1="-1" msb1="-1" net2="N131" lsb2="-1" msb2="-1" /> - <alias net1="N259" lsb1="-1" msb1="-1" net2="N184" lsb2="16" msb2="16" /> - <alias net1="N260" lsb1="-1" msb1="-1" net2="N132" lsb2="-1" msb2="-1" /> - <alias net1="N260" lsb1="-1" msb1="-1" net2="N186" lsb2="16" msb2="16" /> - <alias net1="N283" lsb1="-1" msb1="-1" net2="N94" lsb2="-1" msb2="-1" /> - <alias net1="N283" lsb1="-1" msb1="-1" net2="N184" lsb2="19" msb2="19" /> - <alias net1="N284" lsb1="-1" msb1="-1" net2="N95" lsb2="-1" msb2="-1" /> - <alias net1="N284" lsb1="-1" msb1="-1" net2="N186" lsb2="19" msb2="19" /> - <alias net1="N285" lsb1="-1" msb1="-1" net2="N122" lsb2="-1" msb2="-1" /> - <alias net1="N285" lsb1="-1" msb1="-1" net2="N184" lsb2="12" msb2="12" /> - <alias net1="N286" lsb1="-1" msb1="-1" net2="N123" lsb2="-1" msb2="-1" /> - <alias net1="N286" lsb1="-1" msb1="-1" net2="N186" lsb2="12" msb2="12" /> - <alias net1="N287" lsb1="-1" msb1="-1" net2="N124" lsb2="-1" msb2="-1" /> - <alias net1="N287" lsb1="-1" msb1="-1" net2="N184" lsb2="2" msb2="2" /> - <alias net1="N288" lsb1="-1" msb1="-1" net2="N125" lsb2="-1" msb2="-1" /> - <alias net1="N288" lsb1="-1" msb1="-1" net2="N186" lsb2="2" msb2="2" /> - <alias net1="N289" lsb1="-1" msb1="-1" net2="N126" lsb2="-1" msb2="-1" /> - <alias net1="N289" lsb1="-1" msb1="-1" net2="N184" lsb2="7" msb2="7" /> - <alias net1="N290" lsb1="-1" msb1="-1" net2="N127" lsb2="-1" msb2="-1" /> - <alias net1="N290" lsb1="-1" msb1="-1" net2="N186" lsb2="7" msb2="7" /> - <alias net1="N291" lsb1="-1" msb1="-1" net2="N104" lsb2="-1" msb2="-1" /> - <alias net1="N291" lsb1="-1" msb1="-1" net2="N184" lsb2="21" msb2="21" /> - <alias net1="N292" lsb1="-1" msb1="-1" net2="N105" lsb2="-1" msb2="-1" /> - <alias net1="N292" lsb1="-1" msb1="-1" net2="N186" lsb2="21" msb2="21" /> - <alias net1="N293" lsb1="-1" msb1="-1" net2="N116" lsb2="-1" msb2="-1" /> - <alias net1="N293" lsb1="-1" msb1="-1" net2="N184" lsb2="4" msb2="4" /> - <alias net1="N294" lsb1="-1" msb1="-1" net2="N128" lsb2="-1" msb2="-1" /> - <alias net1="N294" lsb1="-1" msb1="-1" net2="N186" lsb2="15" msb2="15" /> - <alias net1="N295" lsb1="-1" msb1="-1" net2="N106" lsb2="-1" msb2="-1" /> - <alias net1="N295" lsb1="-1" msb1="-1" net2="N184" lsb2="20" msb2="20" /> - <alias net1="N296" lsb1="-1" msb1="-1" net2="N107" lsb2="-1" msb2="-1" /> - <alias net1="N296" lsb1="-1" msb1="-1" net2="N186" lsb2="20" msb2="20" /> <alias net1="N341" lsb1="-1" msb1="-1" net2="N184" lsb2="0" msb2="0" /> <alias net1="N341" lsb1="-1" msb1="-1" net2="N340" lsb2="-1" msb2="-1" /> <alias net1="N355" lsb1="-1" msb1="-1" net2="N354" lsb2="-1" msb2="-1" /> <alias net1="N363" lsb1="-1" msb1="-1" net2="N354" lsb2="-1" msb2="-1" /> <alias net1="m5v" lsb1="-1" msb1="-1" net2="N156" lsb2="-1" msb2="-1" /> <alias net1="m5v" lsb1="-1" msb1="-1" net2="N332" lsb2="-1" msb2="-1" /> - <alias net1="p5v" lsb1="-1" msb1="-1" net2="N117" lsb2="-1" msb2="-1" /> <alias net1="p5v" lsb1="-1" msb1="-1" net2="N158" lsb2="-1" msb2="-1" /> <alias net1="p5v" lsb1="-1" msb1="-1" net2="N333" lsb2="-1" msb2="-1" /> + <alias net1="N397" lsb1="0" msb1="0" net2="N184" lsb2="3" msb2="3" /> + <alias net1="N397" lsb1="0" msb1="0" net2="N374" lsb2="0" msb2="0" /> + <alias net1="N398" lsb1="0" msb1="0" net2="N186" lsb2="3" msb2="3" /> + <alias net1="N398" lsb1="0" msb1="0" net2="N375" lsb2="0" msb2="0" /> + <alias net1="N399" lsb1="0" msb1="0" net2="N184" lsb2="4" msb2="4" /> + <alias net1="N399" lsb1="0" msb1="0" net2="N376" lsb2="0" msb2="0" /> + <alias net1="N400" lsb1="0" msb1="0" net2="N186" lsb2="4" msb2="4" /> + <alias net1="N400" lsb1="0" msb1="0" net2="N379" lsb2="0" msb2="0" /> + <alias net1="N401" lsb1="0" msb1="0" net2="N184" lsb2="0" msb2="0" /> + <alias net1="N401" lsb1="0" msb1="0" net2="N380" lsb2="0" msb2="0" /> + <alias net1="N402" lsb1="0" msb1="0" net2="N186" lsb2="0" msb2="0" /> + <alias net1="N402" lsb1="0" msb1="0" net2="N381" lsb2="0" msb2="0" /> + <alias net1="N403" lsb1="0" msb1="0" net2="N184" lsb2="1" msb2="1" /> + <alias net1="N403" lsb1="0" msb1="0" net2="N391" lsb2="0" msb2="0" /> + <alias net1="N404" lsb1="0" msb1="0" net2="N186" lsb2="1" msb2="1" /> + <alias net1="N404" lsb1="0" msb1="0" net2="N392" lsb2="0" msb2="0" /> + <alias net1="N405" lsb1="0" msb1="0" net2="N184" lsb2="2" msb2="2" /> + <alias net1="N405" lsb1="0" msb1="0" net2="N393" lsb2="0" msb2="0" /> + <alias net1="N406" lsb1="0" msb1="0" net2="N186" lsb2="2" msb2="2" /> + <alias net1="N406" lsb1="0" msb1="0" net2="N394" lsb2="0" msb2="0" /> + <alias net1="N407" lsb1="0" msb1="0" net2="N184" lsb2="5" msb2="5" /> + <alias net1="N407" lsb1="0" msb1="0" net2="N390" lsb2="0" msb2="0" /> </aliases> <differentialnets> </differentialnets> @@ -1549,55 +1336,6 @@ <portinterfaces> </portinterfaces> </instance> - <instance> - <id>I19</id> - <cellid>S9</cellid> - <name>page4_i2</name> - <parameters> - </parameters> - <masks> - </masks> - <powers> - <power> - <name>gnd</name> - <override>N65</override> - </power> - </powers> - <pins> - <pin> - <id>M177</id> - <termid>T74</termid> - <msb>18</msb> - <lsb>0</lsb> - <connections> - <connection pinmsb="16" pinlsb="16" net="N1" /> - <connection pinmsb="10" pinlsb="10" net="N1" /> - <connection pinmsb="7" pinlsb="7" net="N1" /> - <connection pinmsb="4" pinlsb="4" net="N1" /> - <connection pinmsb="1" pinlsb="1" net="N1" /> - <connection pinmsb="6" pinlsb="6" net="N96" /> - <connection pinmsb="8" pinlsb="8" net="N97" /> - <connection pinmsb="2" pinlsb="2" net="N98" /> - <connection pinmsb="0" pinlsb="0" net="N99" /> - <connection pinmsb="3" pinlsb="3" net="N102" /> - <connection pinmsb="5" pinlsb="5" net="N103" /> - <connection pinmsb="13" pinlsb="13" net="N111" /> - <connection pinmsb="9" pinlsb="9" net="N112" /> - <connection pinmsb="11" pinlsb="11" net="N113" /> - <connection pinmsb="14" pinlsb="14" net="N161" /> - <connection pinmsb="15" pinlsb="15" net="N162" /> - </connections> - </pin> - </pins> - <differentialpins> - </differentialpins> - <differentialbuspins> - </differentialbuspins> - <portgroups> - </portgroups> - <portinterfaces> - </portinterfaces> - </instance> <instance> <id>I20</id> <cellid>S3</cellid> @@ -1747,1480 +1485,10 @@ </connections> </pin> <pin> - <id>M253</id> - <termid>T99</termid> - <connections> - <connection net="N24" netmsb="2" netlsb="2" /> - </connections> - </pin> - </pins> - <differentialpins> - </differentialpins> - <differentialbuspins> - </differentialbuspins> - <portgroups> - </portgroups> - <portinterfaces> - </portinterfaces> - </instance> - <instance> - <id>I27</id> - <cellid>S10</cellid> - <name>page2_i37</name> - <parameters> - </parameters> - <masks> - </masks> - <powers> - </powers> - <pins> - <pin> - <id>M258</id> - <termid>T92</termid> - <connections> - <connection net="N40" netmsb="1" netlsb="1" /> - </connections> - </pin> - <pin> - <id>M259</id> - <termid>T93</termid> - <connections> - <connection net="N53" netmsb="1" netlsb="1" /> - </connections> - </pin> - <pin> - <id>M260</id> - <termid>T94</termid> - <connections> - <connection net="N11" netmsb="1" netlsb="1" /> - </connections> - </pin> - <pin> - <id>M261</id> - <termid>T95</termid> - <connections> - <connection net="N12" netmsb="1" netlsb="1" /> - </connections> - </pin> - <pin> - <id>M264</id> - <termid>T98</termid> - <connections> - <connection net="N56" netmsb="1" netlsb="1" /> - </connections> - </pin> - <pin> - <id>M265</id> - <termid>T99</termid> - <connections> - <connection net="N24" netmsb="1" netlsb="1" /> - </connections> - </pin> - </pins> - <differentialpins> - </differentialpins> - <differentialbuspins> - </differentialbuspins> - <portgroups> - </portgroups> - <portinterfaces> - </portinterfaces> - </instance> - <instance> - <id>I28</id> - <cellid>S10</cellid> - <name>page2_i38</name> - <parameters> - </parameters> - <masks> - </masks> - <powers> - </powers> - <pins> - <pin> - <id>M270</id> - <termid>T92</termid> - <connections> - <connection net="N40" netmsb="0" netlsb="0" /> - </connections> - </pin> - <pin> - <id>M271</id> - <termid>T93</termid> - <connections> - <connection net="N53" netmsb="0" netlsb="0" /> - </connections> - </pin> - <pin> - <id>M272</id> - <termid>T94</termid> - <connections> - <connection net="N11" netmsb="0" netlsb="0" /> - </connections> - </pin> - <pin> - <id>M273</id> - <termid>T95</termid> - <connections> - <connection net="N12" netmsb="0" netlsb="0" /> - </connections> - </pin> - <pin> - <id>M276</id> - <termid>T98</termid> - <connections> - <connection net="N56" netmsb="0" netlsb="0" /> - </connections> - </pin> - <pin> - <id>M277</id> - <termid>T99</termid> - <connections> - <connection net="N24" netmsb="0" netlsb="0" /> - </connections> - </pin> - </pins> - <differentialpins> - </differentialpins> - <differentialbuspins> - </differentialbuspins> - <portgroups> - </portgroups> - <portinterfaces> - </portinterfaces> - </instance> - <instance> - <id>I29</id> - <cellid>S9</cellid> - <name>page4_i3</name> - <parameters> - </parameters> - <masks> - </masks> - <powers> - <power> - <name>gnd</name> - <override>N66</override> - </power> - </powers> - <pins> - <pin> - <id>M299</id> - <termid>T74</termid> - <msb>18</msb> - <lsb>0</lsb> - <connections> - <connection pinmsb="16" pinlsb="16" net="N1" /> - <connection pinmsb="10" pinlsb="10" net="N1" /> - <connection pinmsb="7" pinlsb="7" net="N1" /> - <connection pinmsb="4" pinlsb="4" net="N1" /> - <connection pinmsb="1" pinlsb="1" net="N1" /> - <connection pinmsb="6" pinlsb="6" net="N122" /> - <connection pinmsb="8" pinlsb="8" net="N123" /> - <connection pinmsb="2" pinlsb="2" net="N124" /> - <connection pinmsb="0" pinlsb="0" net="N125" /> - <connection pinmsb="3" pinlsb="3" net="N126" /> - <connection pinmsb="5" pinlsb="5" net="N127" /> - <connection pinmsb="9" pinlsb="9" net="N129" /> - <connection pinmsb="11" pinlsb="11" net="N130" /> - <connection pinmsb="13" pinlsb="13" net="N136" /> - <connection pinmsb="14" pinlsb="14" net="N163" /> - <connection pinmsb="15" pinlsb="15" net="N164" /> - </connections> - </pin> - </pins> - <differentialpins> - </differentialpins> - <differentialbuspins> - </differentialbuspins> - <portgroups> - </portgroups> - <portinterfaces> - </portinterfaces> - </instance> - <instance> - <id>I31</id> - <cellid>S12</cellid> - <name>page4_i8</name> - <parameters> - </parameters> - <masks> - </masks> - <powers> - </powers> - <pins> - <pin> - <id>M361</id> - <termid>T115</termid> - <msb>0</msb> - <lsb>0</lsb> - <connections> - <connection pinmsb="0" pinlsb="0" net="N65" /> - </connections> - </pin> - <pin> - <id>M362</id> - <termid>T116</termid> - <msb>0</msb> - <lsb>0</lsb> - <connections> - <connection pinmsb="0" pinlsb="0" net="N72" /> - </connections> - </pin> - </pins> - <differentialpins> - </differentialpins> - <differentialbuspins> - </differentialbuspins> - <portgroups> - </portgroups> - <portinterfaces> - </portinterfaces> - </instance> - <instance> - <id>I32</id> - <cellid>S12</cellid> - <name>page4_i9</name> - <parameters> - </parameters> - <masks> - </masks> - <powers> - </powers> - <pins> - <pin> - <id>M363</id> - <termid>T115</termid> - <msb>0</msb> - <lsb>0</lsb> - <connections> - <connection pinmsb="0" pinlsb="0" net="N65" /> - </connections> - </pin> - <pin> - <id>M364</id> - <termid>T116</termid> - <msb>0</msb> - <lsb>0</lsb> - <connections> - <connection pinmsb="0" pinlsb="0" net="N73" /> - </connections> - </pin> - </pins> - <differentialpins> - </differentialpins> - <differentialbuspins> - </differentialbuspins> - <portgroups> - </portgroups> - <portinterfaces> - </portinterfaces> - </instance> - <instance> - <id>I33</id> - <cellid>S13</cellid> - <name>page4_i10</name> - <parameters> - </parameters> - <masks> - </masks> - <powers> - </powers> - <pins> - <pin> - <id>M365</id> - <termid>T117</termid> - <msb>0</msb> - <lsb>0</lsb> - <connections> - <connection pinmsb="0" pinlsb="0" net="N73" /> - </connections> - </pin> - <pin> - <id>M366</id> - <termid>T118</termid> - <msb>0</msb> - <lsb>0</lsb> - <connections> - <connection pinmsb="0" pinlsb="0" net="N1" /> - </connections> - </pin> - </pins> - <differentialpins> - </differentialpins> - <differentialbuspins> - </differentialbuspins> - <portgroups> - </portgroups> - <portinterfaces> - </portinterfaces> - </instance> - <instance> - <id>I34</id> - <cellid>S13</cellid> - <name>page4_i11</name> - <parameters> - </parameters> - <masks> - </masks> - <powers> - </powers> - <pins> - <pin> - <id>M367</id> - <termid>T117</termid> - <msb>0</msb> - <lsb>0</lsb> - <connections> - <connection pinmsb="0" pinlsb="0" net="N72" /> - </connections> - </pin> - <pin> - <id>M368</id> - <termid>T118</termid> - <msb>0</msb> - <lsb>0</lsb> - <connections> - <connection pinmsb="0" pinlsb="0" net="N1" /> - </connections> - </pin> - </pins> - <differentialpins> - </differentialpins> - <differentialbuspins> - </differentialbuspins> - <portgroups> - </portgroups> - <portinterfaces> - </portinterfaces> - </instance> - <instance> - <id>I39</id> - <cellid>S13</cellid> - <name>page4_i18</name> - <parameters> - </parameters> - <masks> - </masks> - <powers> - </powers> - <pins> - <pin> - <id>M377</id> - <termid>T117</termid> - <msb>0</msb> - <lsb>0</lsb> - <connections> - <connection pinmsb="0" pinlsb="0" net="N70" /> - </connections> - </pin> - <pin> - <id>M378</id> - <termid>T118</termid> - <msb>0</msb> - <lsb>0</lsb> - <connections> - <connection pinmsb="0" pinlsb="0" net="N1" /> - </connections> - </pin> - </pins> - <differentialpins> - </differentialpins> - <differentialbuspins> - </differentialbuspins> - <portgroups> - </portgroups> - <portinterfaces> - </portinterfaces> - </instance> - <instance> - <id>I40</id> - <cellid>S12</cellid> - <name>page4_i19</name> - <parameters> - </parameters> - <masks> - </masks> - <powers> - </powers> - <pins> - <pin> - <id>M379</id> - <termid>T115</termid> - <msb>0</msb> - <lsb>0</lsb> - <connections> - <connection pinmsb="0" pinlsb="0" net="N63" /> - </connections> - </pin> - <pin> - <id>M380</id> - <termid>T116</termid> - <msb>0</msb> - <lsb>0</lsb> - <connections> - <connection pinmsb="0" pinlsb="0" net="N70" /> - </connections> - </pin> - </pins> - <differentialpins> - </differentialpins> - <differentialbuspins> - </differentialbuspins> - <portgroups> - </portgroups> - <portinterfaces> - </portinterfaces> - </instance> - <instance> - <id>I41</id> - <cellid>S13</cellid> - <name>page4_i21</name> - <parameters> - </parameters> - <masks> - </masks> - <powers> - </powers> - <pins> - <pin> - <id>M381</id> - <termid>T117</termid> - <msb>0</msb> - <lsb>0</lsb> - <connections> - <connection pinmsb="0" pinlsb="0" net="N71" /> - </connections> - </pin> - <pin> - <id>M382</id> - <termid>T118</termid> - <msb>0</msb> - <lsb>0</lsb> - <connections> - <connection pinmsb="0" pinlsb="0" net="N1" /> - </connections> - </pin> - </pins> - <differentialpins> - </differentialpins> - <differentialbuspins> - </differentialbuspins> - <portgroups> - </portgroups> - <portinterfaces> - </portinterfaces> - </instance> - <instance> - <id>I42</id> - <cellid>S12</cellid> - <name>page4_i22</name> - <parameters> - </parameters> - <masks> - </masks> - <powers> - </powers> - <pins> - <pin> - <id>M383</id> - <termid>T115</termid> - <msb>0</msb> - <lsb>0</lsb> - <connections> - <connection pinmsb="0" pinlsb="0" net="N63" /> - </connections> - </pin> - <pin> - <id>M384</id> - <termid>T116</termid> - <msb>0</msb> - <lsb>0</lsb> - <connections> - <connection pinmsb="0" pinlsb="0" net="N71" /> - </connections> - </pin> - </pins> - <differentialpins> - </differentialpins> - <differentialbuspins> - </differentialbuspins> - <portgroups> - </portgroups> - <portinterfaces> - </portinterfaces> - </instance> - <instance> - <id>I45</id> - <cellid>S15</cellid> - <name>page4_i26</name> - <parameters> - </parameters> - <masks> - </masks> - <powers> - </powers> - <pins> - <pin> - <id>M447</id> - <termid>T152</termid> - <connections> - <connection net="N5" /> - </connections> - </pin> - <pin> - <id>M448</id> - <termid>T153</termid> - <connections> - <connection net="N118" /> - </connections> - </pin> - <pin> - <id>M449</id> - <termid>T154</termid> - <connections> - <connection net="N111" /> - </connections> - </pin> - </pins> - <differentialpins> - </differentialpins> - <differentialbuspins> - </differentialbuspins> - <portgroups> - </portgroups> - <portinterfaces> - </portinterfaces> - </instance> - <instance> - <id>I46</id> - <cellid>S16</cellid> - <name>page4_i28</name> - <parameters> - </parameters> - <masks> - </masks> - <powers> - <power> - <name>gnd</name> - <override>N1</override> - </power> - <power> - <name>vcc</name> - <override>N3</override> - </power> - </powers> - <pins> - <pin> - <id>M474</id> - <termid>T171</termid> - <connections> - <connection net="N116" /> - </connections> - </pin> - <pin> - <id>M475</id> - <termid>T172</termid> - <connections> - <connection net="N118" /> - </connections> - </pin> - </pins> - <differentialpins> - </differentialpins> - <differentialbuspins> - </differentialbuspins> - <portgroups> - </portgroups> - <portinterfaces> - </portinterfaces> - </instance> - <instance> - <id>I47</id> - <cellid>S13</cellid> - <name>page4_i29</name> - <parameters> - </parameters> - <masks> - </masks> - <powers> - </powers> - <pins> - <pin> - <id>M476</id> - <termid>T117</termid> - <msb>0</msb> - <lsb>0</lsb> - <connections> - <connection pinmsb="0" pinlsb="0" net="N118" /> - </connections> - </pin> - <pin> - <id>M477</id> - <termid>T118</termid> - <msb>0</msb> - <lsb>0</lsb> - <connections> - <connection pinmsb="0" pinlsb="0" net="p5v" /> - </connections> - </pin> - </pins> - <differentialpins> - </differentialpins> - <differentialbuspins> - </differentialbuspins> - <portgroups> - </portgroups> - <portinterfaces> - </portinterfaces> - </instance> - <instance> - <id>I50</id> - <cellid>S13</cellid> - <name>page4_i38</name> - <parameters> - </parameters> - <masks> - </masks> - <powers> - </powers> - <pins> - <pin> - <id>M518</id> - <termid>T117</termid> - <msb>0</msb> - <lsb>0</lsb> - <connections> - <connection pinmsb="0" pinlsb="0" net="N134" /> - </connections> - </pin> - <pin> - <id>M519</id> - <termid>T118</termid> - <msb>0</msb> - <lsb>0</lsb> - <connections> - <connection pinmsb="0" pinlsb="0" net="N1" /> - </connections> - </pin> - </pins> - <differentialpins> - </differentialpins> - <differentialbuspins> - </differentialbuspins> - <portgroups> - </portgroups> - <portinterfaces> - </portinterfaces> - </instance> - <instance> - <id>I51</id> - <cellid>S13</cellid> - <name>page4_i39</name> - <parameters> - </parameters> - <masks> - </masks> - <powers> - </powers> - <pins> - <pin> - <id>M520</id> - <termid>T117</termid> - <msb>0</msb> - <lsb>0</lsb> - <connections> - <connection pinmsb="0" pinlsb="0" net="N135" /> - </connections> - </pin> - <pin> - <id>M521</id> - <termid>T118</termid> - <msb>0</msb> - <lsb>0</lsb> - <connections> - <connection pinmsb="0" pinlsb="0" net="N1" /> - </connections> - </pin> - </pins> - <differentialpins> - </differentialpins> - <differentialbuspins> - </differentialbuspins> - <portgroups> - </portgroups> - <portinterfaces> - </portinterfaces> - </instance> - <instance> - <id>I52</id> - <cellid>S16</cellid> - <name>page4_i40</name> - <parameters> - </parameters> - <masks> - </masks> - <powers> - <power> - <name>gnd</name> - <override>N1</override> - </power> - <power> - <name>vcc</name> - <override>N3</override> - </power> - </powers> - <pins> - <pin> - <id>M522</id> - <termid>T171</termid> - <connections> - <connection net="N128" /> - </connections> - </pin> - <pin> - <id>M523</id> - <termid>T172</termid> - <connections> - <connection net="N133" /> - </connections> - </pin> - </pins> - <differentialpins> - </differentialpins> - <differentialbuspins> - </differentialbuspins> - <portgroups> - </portgroups> - <portinterfaces> - </portinterfaces> - </instance> - <instance> - <id>I53</id> - <cellid>S12</cellid> - <name>page4_i41</name> - <parameters> - </parameters> - <masks> - </masks> - <powers> - </powers> - <pins> - <pin> - <id>M524</id> - <termid>T115</termid> - <msb>0</msb> - <lsb>0</lsb> - <connections> - <connection pinmsb="0" pinlsb="0" net="N66" /> - </connections> - </pin> - <pin> - <id>M525</id> - <termid>T116</termid> - <msb>0</msb> - <lsb>0</lsb> - <connections> - <connection pinmsb="0" pinlsb="0" net="N134" /> - </connections> - </pin> - </pins> - <differentialpins> - </differentialpins> - <differentialbuspins> - </differentialbuspins> - <portgroups> - </portgroups> - <portinterfaces> - </portinterfaces> - </instance> - <instance> - <id>I54</id> - <cellid>S12</cellid> - <name>page4_i42</name> - <parameters> - </parameters> - <masks> - </masks> - <powers> - </powers> - <pins> - <pin> - <id>M526</id> - <termid>T115</termid> - <msb>0</msb> - <lsb>0</lsb> - <connections> - <connection pinmsb="0" pinlsb="0" net="N66" /> - </connections> - </pin> - <pin> - <id>M527</id> - <termid>T116</termid> - <msb>0</msb> - <lsb>0</lsb> - <connections> - <connection pinmsb="0" pinlsb="0" net="N135" /> - </connections> - </pin> - </pins> - <differentialpins> - </differentialpins> - <differentialbuspins> - </differentialbuspins> - <portgroups> - </portgroups> - <portinterfaces> - </portinterfaces> - </instance> - <instance> - <id>I55</id> - <cellid>S13</cellid> - <name>page4_i44</name> - <parameters> - </parameters> - <masks> - </masks> - <powers> - </powers> - <pins> - <pin> - <id>M528</id> - <termid>T117</termid> - <msb>0</msb> - <lsb>0</lsb> - <connections> - <connection pinmsb="0" pinlsb="0" net="N133" /> - </connections> - </pin> - <pin> - <id>M529</id> - <termid>T118</termid> - <msb>0</msb> - <lsb>0</lsb> - <connections> - <connection pinmsb="0" pinlsb="0" net="p5v" /> - </connections> - </pin> - </pins> - <differentialpins> - </differentialpins> - <differentialbuspins> - </differentialbuspins> - <portgroups> - </portgroups> - <portinterfaces> - </portinterfaces> - </instance> - <instance> - <id>I56</id> - <cellid>S15</cellid> - <name>page4_i45</name> - <parameters> - </parameters> - <masks> - </masks> - <powers> - </powers> - <pins> - <pin> - <id>M530</id> - <termid>T152</termid> - <connections> - <connection net="N5" /> - </connections> - </pin> - <pin> - <id>M531</id> - <termid>T153</termid> - <connections> - <connection net="N133" /> - </connections> - </pin> - <pin> - <id>M532</id> - <termid>T154</termid> - <connections> - <connection net="N136" /> - </connections> - </pin> - </pins> - <differentialpins> - </differentialpins> - <differentialbuspins> - </differentialbuspins> - <portgroups> - </portgroups> - <portinterfaces> - </portinterfaces> - </instance> - <instance> - <id>I58</id> - <cellid>S12</cellid> - <name>page4_i56</name> - <parameters> - </parameters> - <masks> - </masks> - <powers> - </powers> - <pins> - <pin> - <id>M539</id> - <termid>T115</termid> - <msb>0</msb> - <lsb>0</lsb> - <connections> - <connection pinmsb="0" pinlsb="0" net="N3" /> - </connections> - </pin> - <pin> - <id>M540</id> - <termid>T116</termid> - <msb>0</msb> - <lsb>0</lsb> - <connections> - <connection pinmsb="0" pinlsb="0" net="N1" /> - </connections> - </pin> - </pins> - <differentialpins> - </differentialpins> - <differentialbuspins> - </differentialbuspins> - <portgroups> - </portgroups> - <portinterfaces> - </portinterfaces> - </instance> - <instance> - <id>I59</id> - <cellid>S12</cellid> - <name>page4_i60</name> - <parameters> - </parameters> - <masks> - </masks> - <powers> - </powers> - <pins> - <pin> - <id>M541</id> - <termid>T115</termid> - <msb>0</msb> - <lsb>0</lsb> - <connections> - <connection pinmsb="0" pinlsb="0" net="N3" /> - </connections> - </pin> - <pin> - <id>M542</id> - <termid>T116</termid> - <msb>0</msb> - <lsb>0</lsb> - <connections> - <connection pinmsb="0" pinlsb="0" net="N1" /> - </connections> - </pin> - </pins> - <differentialpins> - </differentialpins> - <differentialbuspins> - </differentialbuspins> - <portgroups> - </portgroups> - <portinterfaces> - </portinterfaces> - </instance> - <instance> - <id>I60</id> - <cellid>S18</cellid> - <name>page4_i62</name> - <parameters> - </parameters> - <masks> - </masks> - <powers> - </powers> - <pins> - <pin> - <id>M597</id> - <termid>T209</termid> - <connections> - <connection net="N112" /> - </connections> - </pin> - <pin> - <id>M598</id> - <termid>T210</termid> - <connections> - <connection net="N113" /> - </connections> - </pin> - <pin> - <id>M599</id> - <termid>T211</termid> - <connections> - <connection net="N98" /> - </connections> - </pin> - <pin> - <id>M600</id> - <termid>T212</termid> - <connections> - <connection net="N99" /> - </connections> - </pin> - <pin> - <id>M601</id> - <termid>T213</termid> - <connections> - <connection net="N97" /> - </connections> - </pin> - <pin> - <id>M602</id> - <termid>T214</termid> - <connections> - <connection net="N96" /> - </connections> - </pin> - <pin> - <id>M603</id> - <termid>T215</termid> - <connections> - <connection net="N102" /> - </connections> - </pin> - <pin> - <id>M604</id> - <termid>T216</termid> - <connections> - <connection net="N103" /> - </connections> - </pin> - </pins> - <differentialpins> - </differentialpins> - <differentialbuspins> - </differentialbuspins> - <portgroups> - </portgroups> - <portinterfaces> - </portinterfaces> - </instance> - <instance> - <id>I61</id> - <cellid>S18</cellid> - <name>page4_i63</name> - <parameters> - </parameters> - <masks> - </masks> - <powers> - </powers> - <pins> - <pin> - <id>M607</id> - <termid>T209</termid> - <connections> - <connection net="N106" /> - </connections> - </pin> - <pin> - <id>M608</id> - <termid>T210</termid> - <connections> - <connection net="N107" /> - </connections> - </pin> - <pin> - <id>M609</id> - <termid>T211</termid> - <connections> - <connection net="N94" /> - </connections> - </pin> - <pin> - <id>M610</id> - <termid>T212</termid> - <connections> - <connection net="N100" /> - </connections> - </pin> - <pin> - <id>M611</id> - <termid>T213</termid> - <connections> - <connection net="N101" /> - </connections> - </pin> - <pin> - <id>M612</id> - <termid>T214</termid> - <connections> - <connection net="N95" /> - </connections> - </pin> - <pin> - <id>M613</id> - <termid>T215</termid> - <connections> - <connection net="N104" /> - </connections> - </pin> - <pin> - <id>M614</id> - <termid>T216</termid> - <connections> - <connection net="N105" /> - </connections> - </pin> - </pins> - <differentialpins> - </differentialpins> - <differentialbuspins> - </differentialbuspins> - <portgroups> - </portgroups> - <portinterfaces> - </portinterfaces> - </instance> - <instance> - <id>I62</id> - <cellid>S18</cellid> - <name>page4_i64</name> - <parameters> - </parameters> - <masks> - </masks> - <powers> - </powers> - <pins> - <pin> - <id>M617</id> - <termid>T209</termid> - <connections> - <connection net="N129" /> - </connections> - </pin> - <pin> - <id>M618</id> - <termid>T210</termid> - <connections> - <connection net="N130" /> - </connections> - </pin> - <pin> - <id>M619</id> - <termid>T211</termid> - <connections> - <connection net="N124" /> - </connections> - </pin> - <pin> - <id>M620</id> - <termid>T212</termid> - <connections> - <connection net="N125" /> - </connections> - </pin> - <pin> - <id>M621</id> - <termid>T213</termid> - <connections> - <connection net="N123" /> - </connections> - </pin> - <pin> - <id>M622</id> - <termid>T214</termid> - <connections> - <connection net="N122" /> - </connections> - </pin> - <pin> - <id>M623</id> - <termid>T215</termid> - <connections> - <connection net="N126" /> - </connections> - </pin> - <pin> - <id>M624</id> - <termid>T216</termid> - <connections> - <connection net="N127" /> - </connections> - </pin> - </pins> - <differentialpins> - </differentialpins> - <differentialbuspins> - </differentialbuspins> - <portgroups> - </portgroups> - <portinterfaces> - </portinterfaces> - </instance> - <instance> - <id>I63</id> - <cellid>S19</cellid> - <name>page4_i65</name> - <parameters> - </parameters> - <masks> - </masks> - <powers> - </powers> - <pins> - <pin> - <id>M651</id> - <termid>T233</termid> - <msb>0</msb> - <lsb>0</lsb> - <connections> - <connection pinmsb="0" pinlsb="0" net="N112" /> - </connections> - </pin> - </pins> - <differentialpins> - </differentialpins> - <differentialbuspins> - </differentialbuspins> - <portgroups> - </portgroups> - <portinterfaces> - </portinterfaces> - </instance> - <instance> - <id>I64</id> - <cellid>S19</cellid> - <name>page4_i66</name> - <parameters> - </parameters> - <masks> - </masks> - <powers> - </powers> - <pins> - <pin> - <id>M652</id> - <termid>T233</termid> - <msb>0</msb> - <lsb>0</lsb> - <connections> - <connection pinmsb="0" pinlsb="0" net="N113" /> - </connections> - </pin> - </pins> - <differentialpins> - </differentialpins> - <differentialbuspins> - </differentialbuspins> - <portgroups> - </portgroups> - <portinterfaces> - </portinterfaces> - </instance> - <instance> - <id>I65</id> - <cellid>S19</cellid> - <name>page4_i67</name> - <parameters> - </parameters> - <masks> - </masks> - <powers> - </powers> - <pins> - <pin> - <id>M653</id> - <termid>T233</termid> - <msb>0</msb> - <lsb>0</lsb> - <connections> - <connection pinmsb="0" pinlsb="0" net="N1" /> - </connections> - </pin> - </pins> - <differentialpins> - </differentialpins> - <differentialbuspins> - </differentialbuspins> - <portgroups> - </portgroups> - <portinterfaces> - </portinterfaces> - </instance> - <instance> - <id>I66</id> - <cellid>S19</cellid> - <name>page4_i68</name> - <parameters> - </parameters> - <masks> - </masks> - <powers> - </powers> - <pins> - <pin> - <id>M654</id> - <termid>T233</termid> - <msb>0</msb> - <lsb>0</lsb> - <connections> - <connection pinmsb="0" pinlsb="0" net="N102" /> - </connections> - </pin> - </pins> - <differentialpins> - </differentialpins> - <differentialbuspins> - </differentialbuspins> - <portgroups> - </portgroups> - <portinterfaces> - </portinterfaces> - </instance> - <instance> - <id>I67</id> - <cellid>S19</cellid> - <name>page4_i69</name> - <parameters> - </parameters> - <masks> - </masks> - <powers> - </powers> - <pins> - <pin> - <id>M655</id> - <termid>T233</termid> - <msb>0</msb> - <lsb>0</lsb> - <connections> - <connection pinmsb="0" pinlsb="0" net="N103" /> - </connections> - </pin> - </pins> - <differentialpins> - </differentialpins> - <differentialbuspins> - </differentialbuspins> - <portgroups> - </portgroups> - <portinterfaces> - </portinterfaces> - </instance> - <instance> - <id>I68</id> - <cellid>S19</cellid> - <name>page4_i70</name> - <parameters> - </parameters> - <masks> - </masks> - <powers> - </powers> - <pins> - <pin> - <id>M656</id> - <termid>T233</termid> - <msb>0</msb> - <lsb>0</lsb> - <connections> - <connection pinmsb="0" pinlsb="0" net="N1" /> - </connections> - </pin> - </pins> - <differentialpins> - </differentialpins> - <differentialbuspins> - </differentialbuspins> - <portgroups> - </portgroups> - <portinterfaces> - </portinterfaces> - </instance> - <instance> - <id>I69</id> - <cellid>S19</cellid> - <name>page4_i71</name> - <parameters> - </parameters> - <masks> - </masks> - <powers> - </powers> - <pins> - <pin> - <id>M657</id> - <termid>T233</termid> - <msb>0</msb> - <lsb>0</lsb> - <connections> - <connection pinmsb="0" pinlsb="0" net="N161" /> - </connections> - </pin> - </pins> - <differentialpins> - </differentialpins> - <differentialbuspins> - </differentialbuspins> - <portgroups> - </portgroups> - <portinterfaces> - </portinterfaces> - </instance> - <instance> - <id>I70</id> - <cellid>S19</cellid> - <name>page4_i72</name> - <parameters> - </parameters> - <masks> - </masks> - <powers> - </powers> - <pins> - <pin> - <id>M658</id> - <termid>T233</termid> - <msb>0</msb> - <lsb>0</lsb> - <connections> - <connection pinmsb="0" pinlsb="0" net="N162" /> - </connections> - </pin> - </pins> - <differentialpins> - </differentialpins> - <differentialbuspins> - </differentialbuspins> - <portgroups> - </portgroups> - <portinterfaces> - </portinterfaces> - </instance> - <instance> - <id>I71</id> - <cellid>S19</cellid> - <name>page4_i73</name> - <parameters> - </parameters> - <masks> - </masks> - <powers> - </powers> - <pins> - <pin> - <id>M659</id> - <termid>T233</termid> - <msb>0</msb> - <lsb>0</lsb> + <id>M253</id> + <termid>T99</termid> <connections> - <connection pinmsb="0" pinlsb="0" net="N96" /> + <connection net="N24" netmsb="2" netlsb="2" /> </connections> </pin> </pins> @@ -3234,9 +1502,9 @@ </portinterfaces> </instance> <instance> - <id>I72</id> - <cellid>S19</cellid> - <name>page4_i74</name> + <id>I27</id> + <cellid>S10</cellid> + <name>page2_i37</name> <parameters> </parameters> <masks> @@ -3245,42 +1513,45 @@ </powers> <pins> <pin> - <id>M660</id> - <termid>T233</termid> - <msb>0</msb> - <lsb>0</lsb> + <id>M258</id> + <termid>T92</termid> <connections> - <connection pinmsb="0" pinlsb="0" net="N97" /> + <connection net="N40" netmsb="1" netlsb="1" /> </connections> </pin> - </pins> - <differentialpins> - </differentialpins> - <differentialbuspins> - </differentialbuspins> - <portgroups> - </portgroups> - <portinterfaces> - </portinterfaces> - </instance> - <instance> - <id>I73</id> - <cellid>S19</cellid> - <name>page4_i75</name> - <parameters> - </parameters> - <masks> - </masks> - <powers> - </powers> - <pins> <pin> - <id>M661</id> - <termid>T233</termid> - <msb>0</msb> - <lsb>0</lsb> + <id>M259</id> + <termid>T93</termid> + <connections> + <connection net="N53" netmsb="1" netlsb="1" /> + </connections> + </pin> + <pin> + <id>M260</id> + <termid>T94</termid> + <connections> + <connection net="N11" netmsb="1" netlsb="1" /> + </connections> + </pin> + <pin> + <id>M261</id> + <termid>T95</termid> + <connections> + <connection net="N12" netmsb="1" netlsb="1" /> + </connections> + </pin> + <pin> + <id>M264</id> + <termid>T98</termid> + <connections> + <connection net="N56" netmsb="1" netlsb="1" /> + </connections> + </pin> + <pin> + <id>M265</id> + <termid>T99</termid> <connections> - <connection pinmsb="0" pinlsb="0" net="N98" /> + <connection net="N24" netmsb="1" netlsb="1" /> </connections> </pin> </pins> @@ -3294,9 +1565,9 @@ </portinterfaces> </instance> <instance> - <id>I74</id> - <cellid>S19</cellid> - <name>page4_i76</name> + <id>I28</id> + <cellid>S10</cellid> + <name>page2_i38</name> <parameters> </parameters> <masks> @@ -3305,12 +1576,45 @@ </powers> <pins> <pin> - <id>M662</id> - <termid>T233</termid> - <msb>0</msb> - <lsb>0</lsb> + <id>M270</id> + <termid>T92</termid> + <connections> + <connection net="N40" netmsb="0" netlsb="0" /> + </connections> + </pin> + <pin> + <id>M271</id> + <termid>T93</termid> + <connections> + <connection net="N53" netmsb="0" netlsb="0" /> + </connections> + </pin> + <pin> + <id>M272</id> + <termid>T94</termid> + <connections> + <connection net="N11" netmsb="0" netlsb="0" /> + </connections> + </pin> + <pin> + <id>M273</id> + <termid>T95</termid> + <connections> + <connection net="N12" netmsb="0" netlsb="0" /> + </connections> + </pin> + <pin> + <id>M276</id> + <termid>T98</termid> + <connections> + <connection net="N56" netmsb="0" netlsb="0" /> + </connections> + </pin> + <pin> + <id>M277</id> + <termid>T99</termid> <connections> - <connection pinmsb="0" pinlsb="0" net="N99" /> + <connection net="N24" netmsb="0" netlsb="0" /> </connections> </pin> </pins> @@ -3746,177 +2050,10 @@ </powers> <pins> <pin> - <id>M877</id> - <termid>T57</termid> - <connections> - <connection net="N56" netmsb="2" netlsb="2" /> - </connections> - </pin> - </pins> - <differentialpins> - </differentialpins> - <differentialbuspins> - </differentialbuspins> - <portgroups> - </portgroups> - <portinterfaces> - </portinterfaces> - </instance> - <instance> - <id>I87</id> - <cellid>S6</cellid> - <name>page2_i54</name> - <parameters> - </parameters> - <masks> - </masks> - <powers> - <power> - <name>gnd</name> - <override>N1</override> - </power> - </powers> - <pins> - <pin> - <id>M878</id> - <termid>T57</termid> - <connections> - <connection net="N56" netmsb="3" netlsb="3" /> - </connections> - </pin> - </pins> - <differentialpins> - </differentialpins> - <differentialbuspins> - </differentialbuspins> - <portgroups> - </portgroups> - <portinterfaces> - </portinterfaces> - </instance> - <instance> - <id>I88</id> - <cellid>S8</cellid> - <name>page4_i78</name> - <parameters> - </parameters> - <masks> - </masks> - <powers> - <power> - <name>gnd</name> - <override>N63</override> - </power> - </powers> - <pins> - <pin> - <id>M879</id> - <termid>T73</termid> - <msb>7</msb> - <lsb>0</lsb> - <connections> - <connection pinmsb="5" pinlsb="5" net="N94" /> - <connection pinmsb="2" pinlsb="2" net="N95" /> - <connection pinmsb="4" pinlsb="4" net="N100" /> - <connection pinmsb="3" pinlsb="3" net="N101" /> - <connection pinmsb="1" pinlsb="1" net="N104" /> - <connection pinmsb="0" pinlsb="0" net="N105" /> - <connection pinmsb="7" pinlsb="7" net="N106" /> - <connection pinmsb="6" pinlsb="6" net="N107" /> - </connections> - </pin> - </pins> - <differentialpins> - </differentialpins> - <differentialbuspins> - </differentialbuspins> - <portgroups> - </portgroups> - <portinterfaces> - </portinterfaces> - </instance> - <instance> - <id>I89</id> - <cellid>S12</cellid> - <name>page1_i90</name> - <parameters> - </parameters> - <masks> - </masks> - <powers> - </powers> - <pins> - <pin> - <id>M1123</id> - <termid>T115</termid> - <msb>0</msb> - <lsb>0</lsb> - <connections> - <connection pinmsb="0" pinlsb="0" net="N1" /> - </connections> - </pin> - <pin> - <id>M1124</id> - <termid>T116</termid> - <msb>0</msb> - <lsb>0</lsb> - <connections> - <connection pinmsb="0" pinlsb="0" net="N3" /> - </connections> - </pin> - </pins> - <differentialpins> - </differentialpins> - <differentialbuspins> - </differentialbuspins> - <portgroups> - </portgroups> - <portinterfaces> - </portinterfaces> - </instance> - <instance> - <id>I90</id> - <cellid>S22</cellid> - <name>page1_i92</name> - <parameters> - </parameters> - <masks> - </masks> - <powers> - </powers> - <pins> - <pin> - <id>M1125</id> - <termid>T294</termid> - <connections> - <connection net="N1" /> - </connections> - </pin> - <pin> - <id>M1126</id> - <termid>T295</termid> - <msb>1</msb> - <lsb>0</lsb> - <connections> - <connection pinmsb="1" pinlsb="1" net="N279" /> - <connection pinmsb="0" pinlsb="0" net="N307" /> - </connections> - </pin> - <pin> - <id>M1127</id> - <termid>T296</termid> - <msb>1</msb> - <lsb>0</lsb> - <connections> - <connection pinmsb="1" pinlsb="1" net="N280" /> - <connection pinmsb="0" pinlsb="0" net="N308" /> - </connections> - </pin> - <pin> - <id>M1128</id> - <termid>T297</termid> + <id>M877</id> + <termid>T57</termid> <connections> - <connection net="N3" /> + <connection net="N56" netmsb="2" netlsb="2" /> </connections> </pin> </pins> @@ -3930,48 +2067,64 @@ </portinterfaces> </instance> <instance> - <id>I91</id> - <cellid>S22</cellid> - <name>page4_i33</name> + <id>I87</id> + <cellid>S6</cellid> + <name>page2_i54</name> <parameters> </parameters> <masks> </masks> <powers> + <power> + <name>gnd</name> + <override>N1</override> + </power> </powers> <pins> <pin> - <id>M1156</id> - <termid>T294</termid> + <id>M878</id> + <termid>T57</termid> <connections> - <connection net="N1" /> + <connection net="N56" netmsb="3" netlsb="3" /> </connections> </pin> + </pins> + <differentialpins> + </differentialpins> + <differentialbuspins> + </differentialbuspins> + <portgroups> + </portgroups> + <portinterfaces> + </portinterfaces> + </instance> + <instance> + <id>I89</id> + <cellid>S12</cellid> + <name>page1_i90</name> + <parameters> + </parameters> + <masks> + </masks> + <powers> + </powers> + <pins> <pin> - <id>M1157</id> - <termid>T295</termid> - <msb>1</msb> + <id>M1123</id> + <termid>T115</termid> + <msb>0</msb> <lsb>0</lsb> <connections> - <connection pinmsb="1" pinlsb="1" net="N109" /> - <connection pinmsb="0" pinlsb="0" net="N162" /> + <connection pinmsb="0" pinlsb="0" net="N1" /> </connections> </pin> <pin> - <id>M1158</id> - <termid>T296</termid> - <msb>1</msb> + <id>M1124</id> + <termid>T116</termid> + <msb>0</msb> <lsb>0</lsb> <connections> - <connection pinmsb="1" pinlsb="1" net="N108" /> - <connection pinmsb="0" pinlsb="0" net="N161" /> - </connections> - </pin> - <pin> - <id>M1159</id> - <termid>T297</termid> - <connections> - <connection net="N3" /> + <connection pinmsb="0" pinlsb="0" net="N5" /> </connections> </pin> </pins> @@ -3985,9 +2138,9 @@ </portinterfaces> </instance> <instance> - <id>I92</id> + <id>I90</id> <cellid>S22</cellid> - <name>page4_i49</name> + <name>page1_i92</name> <parameters> </parameters> <masks> @@ -3996,37 +2149,37 @@ </powers> <pins> <pin> - <id>M1160</id> + <id>M1125</id> <termid>T294</termid> <connections> <connection net="N1" /> </connections> </pin> <pin> - <id>M1161</id> + <id>M1126</id> <termid>T295</termid> <msb>1</msb> <lsb>0</lsb> <connections> - <connection pinmsb="1" pinlsb="1" net="N132" /> - <connection pinmsb="0" pinlsb="0" net="N164" /> + <connection pinmsb="1" pinlsb="1" net="N279" /> + <connection pinmsb="0" pinlsb="0" net="N307" /> </connections> </pin> <pin> - <id>M1162</id> + <id>M1127</id> <termid>T296</termid> <msb>1</msb> <lsb>0</lsb> <connections> - <connection pinmsb="1" pinlsb="1" net="N131" /> - <connection pinmsb="0" pinlsb="0" net="N163" /> + <connection pinmsb="1" pinlsb="1" net="N280" /> + <connection pinmsb="0" pinlsb="0" net="N308" /> </connections> </pin> <pin> - <id>M1163</id> + <id>M1128</id> <termid>T297</termid> <connections> - <connection net="N3" /> + <connection net="N5" /> </connections> </pin> </pins> @@ -4109,7 +2262,7 @@ <msb>0</msb> <lsb>0</lsb> <connections> - <connection pinmsb="0" pinlsb="0" net="N3" /> + <connection pinmsb="0" pinlsb="0" net="N5" /> </connections> </pin> </pins> @@ -4164,6 +2317,174 @@ <portinterfaces> </portinterfaces> </instance> + <instance> + <id>I97</id> + <cellid>S27</cellid> + <name>page4_i1</name> + <parameters> + </parameters> + <masks> + </masks> + <powers> + </powers> + <pins> + <pin> + <id>M1775</id> + <termid>T408</termid> + <connections> + <connection net="N374" netmsb="0" netlsb="0" /> + </connections> + </pin> + <pin> + <id>M1776</id> + <termid>T409</termid> + <connections> + <connection net="N375" netmsb="0" netlsb="0" /> + </connections> + </pin> + <pin> + <id>M1777</id> + <termid>T410</termid> + <connections> + <connection net="N376" netmsb="0" netlsb="0" /> + </connections> + </pin> + <pin> + <id>M1778</id> + <termid>T411</termid> + <connections> + <connection net="N377" netmsb="0" netlsb="0" /> + </connections> + </pin> + <pin> + <id>M1779</id> + <termid>T412</termid> + <connections> + <connection net="N378" netmsb="0" netlsb="0" /> + </connections> + </pin> + <pin> + <id>M1780</id> + <termid>T413</termid> + <connections> + <connection net="N379" netmsb="0" netlsb="0" /> + </connections> + </pin> + <pin> + <id>M1781</id> + <termid>T414</termid> + <connections> + <connection net="N380" netmsb="0" netlsb="0" /> + </connections> + </pin> + <pin> + <id>M1782</id> + <termid>T415</termid> + <connections> + <connection net="N381" netmsb="0" netlsb="0" /> + </connections> + </pin> + <pin> + <id>M1783</id> + <termid>T416</termid> + <connections> + <connection net="N382" netmsb="0" netlsb="0" /> + </connections> + </pin> + <pin> + <id>M1784</id> + <termid>T417</termid> + <connections> + <connection net="N383" netmsb="0" netlsb="0" /> + </connections> + </pin> + <pin> + <id>M1785</id> + <termid>T418</termid> + <connections> + <connection net="N384" netmsb="0" netlsb="0" /> + </connections> + </pin> + <pin> + <id>M1786</id> + <termid>T419</termid> + <connections> + <connection net="N385" netmsb="0" netlsb="0" /> + </connections> + </pin> + <pin> + <id>M1787</id> + <termid>T420</termid> + <connections> + <connection net="N386" netmsb="0" netlsb="0" /> + </connections> + </pin> + <pin> + <id>M1788</id> + <termid>T421</termid> + <connections> + <connection net="N387" netmsb="0" netlsb="0" /> + </connections> + </pin> + <pin> + <id>M1789</id> + <termid>T422</termid> + <connections> + <connection net="N388" netmsb="0" netlsb="0" /> + </connections> + </pin> + <pin> + <id>M1790</id> + <termid>T423</termid> + <connections> + <connection net="N389" netmsb="0" netlsb="0" /> + </connections> + </pin> + <pin> + <id>M1791</id> + <termid>T424</termid> + <connections> + <connection net="N390" netmsb="0" netlsb="0" /> + </connections> + </pin> + <pin> + <id>M1792</id> + <termid>T425</termid> + <connections> + <connection net="N391" netmsb="0" netlsb="0" /> + </connections> + </pin> + <pin> + <id>M1793</id> + <termid>T426</termid> + <connections> + <connection net="N392" netmsb="0" netlsb="0" /> + </connections> + </pin> + <pin> + <id>M1794</id> + <termid>T427</termid> + <connections> + <connection net="N393" netmsb="0" netlsb="0" /> + </connections> + </pin> + <pin> + <id>M1795</id> + <termid>T428</termid> + <connections> + <connection net="N394" netmsb="0" netlsb="0" /> + </connections> + </pin> + </pins> + <differentialpins> + </differentialpins> + <differentialbuspins> + </differentialbuspins> + <portgroups> + </portgroups> + <portinterfaces> + </portinterfaces> + </instance> </instances> <templateresolutions> </templateresolutions> @@ -4173,11 +2494,6 @@ <extension name="schematic_extension"> <schematicExtension> <netScopes> - <netScope ref="frame"> - <pageScope number="4"> - <scope>global</scope> - </pageScope> - </netScope> <netScope ref="gnd_signal"> <pageScope number="1"> <scope>global</scope> @@ -4185,9 +2501,6 @@ <pageScope number="2"> <scope>global</scope> </pageScope> - <pageScope number="4"> - <scope>global</scope> - </pageScope> </netScope> <netScope ref="m5v"> <pageScope number="1"> @@ -4209,17 +2522,11 @@ <pageScope number="1"> <scope>global</scope> </pageScope> - <pageScope number="4"> - <scope>global</scope> - </pageScope> </netScope> <netScope ref="p3v3"> <pageScope number="1"> <scope>global</scope> </pageScope> - <pageScope number="4"> - <scope>global</scope> - </pageScope> </netScope> <netScope ref="p5v"> <pageScope number="1"> @@ -4228,9 +2535,6 @@ <pageScope number="2"> <scope>global</scope> </pageScope> - <pageScope number="4"> - <scope>global</scope> - </pageScope> </netScope> </netScopes> <pages> @@ -4254,26 +2558,32 @@ <msb>3</msb> <lsb>0</lsb> </net> - <net ref="busy0"></net> - <net ref="busy0*"></net> - <net ref="busy1"></net> - <net ref="busy1*"></net> - <net ref="busy2"></net> - <net ref="busy2*"></net> - <net ref="clk1"></net> - <net ref="clk1*"></net> - <net ref="clk2"></net> - <net ref="clk2*"></net> + <net ref="busy_from_fpga"> + <msb>0</msb> + <lsb>0</lsb> + </net> + <net ref="busy_to_fpga"> + <msb>0</msb> + <lsb>0</lsb> + </net> + <net ref="clk_from_fpga"> + <msb>0</msb> + <lsb>0</lsb> + </net> <net ref="clk_io_1"></net> <net ref="clk_io_2"></net> - <net ref="cont0"></net> - <net ref="cont0*"></net> - <net ref="cont1"></net> - <net ref="cont1*"></net> - <net ref="cont2"></net> - <net ref="cont2*"></net> - <net ref="dut_clk0"></net> - <net ref="dut_clk0*"></net> + <net ref="clk_to_fpga"> + <msb>0</msb> + <lsb>0</lsb> + </net> + <net ref="cont_from_fpga"> + <msb>0</msb> + <lsb>0</lsb> + </net> + <net ref="cont_to_fpga"> + <msb>0</msb> + <lsb>0</lsb> + </net> <net ref="fmc_la"> <msb>33</msb> <lsb>0</lsb> @@ -4286,8 +2596,10 @@ <net ref="front_panel_clk*"></net> <net ref="gnd_signal"></net> <net ref="gpio_clk"></net> - <net ref="hdmi_power_enable1"></net> - <net ref="hdmi_power_enable2"></net> + <net ref="hdmi_power_enable"> + <msb>0</msb> + <lsb>0</lsb> + </net> <net ref="m5v"></net> <net ref="p12v"></net> <net ref="p2v5"></net> @@ -4295,16 +2607,22 @@ <net ref="p5v"></net> <net ref="scl"></net> <net ref="sda"></net> - <net ref="spare1"></net> - <net ref="spare1*"></net> - <net ref="spare2"></net> - <net ref="spare2*"></net> - <net ref="trig0"></net> - <net ref="trig0*"></net> - <net ref="trig1"></net> - <net ref="trig1*"></net> - <net ref="trig2"></net> - <net ref="trig2*"></net> + <net ref="spare_from_fpga"> + <msb>0</msb> + <lsb>0</lsb> + </net> + <net ref="spare_to_fpga"> + <msb>0</msb> + <lsb>0</lsb> + </net> + <net ref="trig_from_fpga"> + <msb>0</msb> + <lsb>0</lsb> + </net> + <net ref="trig_to_fpga"> + <msb>0</msb> + <lsb>0</lsb> + </net> <net ref="unnamed_1_24aa025e48_i8_a0"></net> <net ref="unnamed_1_24aa025e48_i8_a1"></net> <net ref="unnamed_1_24aa025e48_i8_a2"></net> @@ -4380,99 +2698,93 @@ <physicalPageNumber>2</physicalPageNumber> <errorStatus>false</errorStatus> <nets> - <net ref="busy0"></net> - <net ref="busy0*"></net> - <net ref="busy1"></net> - <net ref="busy1*"></net> - <net ref="busy2"></net> - <net ref="busy2*"></net> - <net ref="clk1"></net> - <net ref="clk1*"></net> - <net ref="clk2"></net> - <net ref="clk2*"></net> - <net ref="cont0"></net> - <net ref="cont0*"></net> - <net ref="cont1"></net> - <net ref="cont1*"></net> - <net ref="cont2"></net> - <net ref="cont2*"></net> - <net ref="ctrig1"></net> - <net ref="ctrig1*"></net> - <net ref="ctrig2"></net> - <net ref="ctrig2*"></net> - <net ref="dut_clk0"></net> - <net ref="dut_clk0*"></net> - <net ref="frame"></net> - <net ref="gnd_hdmi1"></net> - <net ref="gnd_hdmi2"></net> - <net ref="gnd_signal"></net> - <net ref="hdmi_power_enable1"></net> - <net ref="hdmi_power_enable2"></net> - <net ref="p2v5"></net> - <net ref="p3v3"></net> - <net ref="p5v"></net> - <net ref="spare1"></net> - <net ref="spare1*"></net> - <net ref="spare2"></net> - <net ref="spare2*"></net> - <net ref="trig0"></net> - <net ref="trig0*"></net> - <net ref="trig1"></net> - <net ref="trig1*"></net> - <net ref="trig2"></net> - <net ref="trig2*"></net> - <net ref="unnamed_4_74lvc1g07_i28_y"></net> - <net ref="unnamed_4_74lvc1g07_i40_y"></net> - <net ref="unnamed_4_capcersmdcl2_i19_b"></net> - <net ref="unnamed_4_capcersmdcl2_i22_b"></net> - <net ref="unnamed_4_capcersmdcl2_i41_b"></net> - <net ref="unnamed_4_capcersmdcl2_i42_b"></net> - <net ref="unnamed_4_capcersmdcl2_i8_b"></net> - <net ref="unnamed_4_capcersmdcl2_i9_b"></net> - <net ref="unnamed_4_con19p_i2_a"></net> - <net ref="unnamed_4_con19p_i3_a"></net> + <net ref="busy_from_fpga"> + <msb>0</msb> + <lsb>0</lsb> + </net> + <net ref="busy_to_fpga"> + <msb>0</msb> + <lsb>0</lsb> + </net> + <net ref="clk_from_fpga"> + <msb>0</msb> + <lsb>0</lsb> + </net> + <net ref="clk_to_dut"> + <msb>0</msb> + <lsb>0</lsb> + </net> + <net ref="clk_to_dut*"> + <msb>0</msb> + <lsb>0</lsb> + </net> + <net ref="clk_to_fpga"> + <msb>0</msb> + <lsb>0</lsb> + </net> + <net ref="cont_from_fpga"> + <msb>0</msb> + <lsb>0</lsb> + </net> + <net ref="cont_to_fpga"> + <msb>0</msb> + <lsb>0</lsb> + </net> + <net ref="enable_busy_from_fpga"> + <msb>0</msb> + <lsb>0</lsb> + </net> + <net ref="enable_clk_from_fpga"> + <msb>0</msb> + <lsb>0</lsb> + </net> + <net ref="enable_clk_to_dut"> + <msb>0</msb> + <lsb>0</lsb> + </net> + <net ref="enable_cont_from_fpga"> + <msb>0</msb> + <lsb>0</lsb> + </net> + <net ref="enable_spare_from_fpga"> + <msb>0</msb> + <lsb>0</lsb> + </net> + <net ref="enable_trig_from_fpga"> + <msb>0</msb> + <lsb>0</lsb> + </net> + <net ref="hdmi_clk"> + <msb>0</msb> + <lsb>0</lsb> + </net> + <net ref="hdmi_clk*"> + <msb>0</msb> + <lsb>0</lsb> + </net> + <net ref="hdmi_power_enable"> + <msb>0</msb> + <lsb>0</lsb> + </net> + <net ref="spare_from_fpga"> + <msb>0</msb> + <lsb>0</lsb> + </net> + <net ref="spare_to_fpga"> + <msb>0</msb> + <lsb>0</lsb> + </net> + <net ref="trig_from_fpga"> + <msb>0</msb> + <lsb>0</lsb> + </net> + <net ref="trig_to_fpga"> + <msb>0</msb> + <lsb>0</lsb> + </net> </nets> <instances> - <instance ref="i2"></instance> - <instance ref="i3"></instance> - <instance ref="i8"></instance> - <instance ref="i9"></instance> - <instance ref="i10"></instance> - <instance ref="i11"></instance> - <instance ref="i18"></instance> - <instance ref="i19"></instance> - <instance ref="i21"></instance> - <instance ref="i22"></instance> - <instance ref="i26"></instance> - <instance ref="i28"></instance> - <instance ref="i29"></instance> - <instance ref="i33"></instance> - <instance ref="i38"></instance> - <instance ref="i39"></instance> - <instance ref="i40"></instance> - <instance ref="i41"></instance> - <instance ref="i42"></instance> - <instance ref="i44"></instance> - <instance ref="i45"></instance> - <instance ref="i49"></instance> - <instance ref="i56"></instance> - <instance ref="i60"></instance> - <instance ref="i62"></instance> - <instance ref="i63"></instance> - <instance ref="i64"></instance> - <instance ref="i65"></instance> - <instance ref="i66"></instance> - <instance ref="i67"></instance> - <instance ref="i68"></instance> - <instance ref="i69"></instance> - <instance ref="i70"></instance> - <instance ref="i71"></instance> - <instance ref="i72"></instance> - <instance ref="i73"></instance> - <instance ref="i74"></instance> - <instance ref="i75"></instance> - <instance ref="i76"></instance> - <instance ref="i78"></instance> + <instance ref="i1"></instance> </instances> </page> </pages> diff --git a/circuit_board/Cadence/worklib/fmc_tlu_toplevel_c/sch_1/module_order.dat b/circuit_board/Cadence/worklib/fmc_tlu_toplevel_c/sch_1/module_order.dat index 6883dbbcfb6986917a0fccd6c93eca9184aea5b1..47685a8d8a32debb819b90e8faef6a7847e44e56 100644 --- a/circuit_board/Cadence/worklib/fmc_tlu_toplevel_c/sch_1/module_order.dat +++ b/circuit_board/Cadence/worklib/fmc_tlu_toplevel_c/sch_1/module_order.dat @@ -2,17 +2,16 @@ Version 15.0 START_MODULEORDER @fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1) 0 1 1 3 0 @fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page1_i2@fmc_tlu_v1_lib.pc036a_fmc_lpc_connector(sch_1) 0 0 4 1 0 -@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i62@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1) 0 1 5 1 0 -@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i63@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1) 0 0 6 1 1 -@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i64@fmc_tlu_v1_lib.fmc_tlu_diode_clamp(sch_1) 0 0 7 1 1 -@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1) 0 0 8 1 0 -@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1) 0 0 9 1 1 -@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1) 0 0 10 1 1 -@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1) 0 0 11 1 1 -@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1) 0 0 12 1 0 -@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i29@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1) 0 0 13 1 0 -@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i30@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1) 0 0 14 1 1 -@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i31@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1) 0 0 15 1 1 -@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i32@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1) 0 0 16 1 1 -@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1) 0 0 17 1 0 +@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i35@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1) 0 0 5 1 0 +@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i36@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1) 0 0 6 1 1 +@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i37@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1) 0 0 7 1 1 +@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i38@fmc_tlu_v1_lib.fmc_tlu_cfd(sch_1) 0 0 8 1 1 +@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1) 0 0 9 1 0 +@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i29@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1) 0 0 10 1 0 +@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i30@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1) 0 0 11 1 1 +@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i31@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1) 0 0 12 1 1 +@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i5@fmc_tlu_v1_lib.pc023a_dac_vthresh(sch_1):page1_i32@fmc_tlu_v1_lib.pc023a_vthresh_buffer(sch_1) 0 0 13 1 1 +@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page2_i55@fmc_tlu_v1_lib.fmc_tlu_vsupply5v(sch_1) 0 0 14 1 0 +@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1) 0 1 15 1 0 +@fmc_tlu_v1_lib.fmc_tlu_toplevel_c(sch_1):page4_i1@fmc_tlu_v1_lib.fmc_tlu_hdmi_dut_connector(sch_1):page1_i78@fmc_tlu_v1_lib.fmc_tlu_diode_clamp_b(sch_1) 0 0 16 1 0 END_MODULEORDER diff --git a/circuit_board/Cadence/worklib/fmc_tlu_toplevel_c/sch_1/page1.cpc b/circuit_board/Cadence/worklib/fmc_tlu_toplevel_c/sch_1/page1.cpc index 09acf0f92988de9cc377df750732a0016960af04..706089d7c9d162e8d3a4700a2cabf968610b9a1e 100755 --- a/circuit_board/Cadence/worklib/fmc_tlu_toplevel_c/sch_1/page1.cpc +++ b/circuit_board/Cadence/worklib/fmc_tlu_toplevel_c/sch_1/page1.cpc @@ -4,15 +4,51 @@ #ISCELL standard gnd_signal * page1_i10 +#ISCELL + standard tap * + page1_i100 +#ISCELL + standard tap * + page1_i104 +#ISCELL + standard tap * + page1_i108 #CELL cnpassive capcersmdcl2 * page1_i11 +#ISCELL + standard tap * + page1_i112 +#ISCELL + standard tap * + page1_i116 #CELL cnpassive rsmd0603 * page1_i12 +#ISCELL + standard tap * + page1_i120 +#ISCELL + standard tap * + page1_i125 +#ISCELL + standard tap * + page1_i126 +#ISCELL + standard tap * + page1_i127 +#ISCELL + standard tap * + page1_i128 +#ISCELL + standard tap * + page1_i129 #CELL cnpassive rsmd0603 * page1_i13 +#ISCELL + cnpower p3v3 * + page1_i130 #CELL cnpassive rsmd0603 * page1_i14 @@ -34,30 +70,9 @@ #CELL fmc_tlu_v1_lib pc036a_fmc_lpc_connector * page1_i2 -#ISCELL - standard tap * - page1_i20 -#ISCELL - standard tap * - page1_i21 -#ISCELL - standard tap * - page1_i22 -#ISCELL - standard tap * - page1_i24 -#ISCELL - standard tap * - page1_i28 #ISCELL standard gnd_signal * page1_i3 -#ISCELL - standard tap * - page1_i31 -#ISCELL - standard tap * - page1_i35 #ISCELL standard tap * page1_i36 @@ -73,30 +88,9 @@ #ISCELL standard tap * page1_i40 -#ISCELL - standard tap * - page1_i41 -#ISCELL - standard tap * - page1_i42 -#ISCELL - standard tap * - page1_i43 -#ISCELL - standard tap * - page1_i44 -#ISCELL - standard tap * - page1_i45 -#ISCELL - standard tap * - page1_i46 #ISCELL standard tap * page1_i47 -#ISCELL - standard tap * - page1_i48 #ISCELL standard tap * page1_i49 @@ -115,30 +109,9 @@ #ISCELL standard tap * page1_i55 -#ISCELL - standard tap * - page1_i56 #ISCELL standard tap * page1_i57 -#ISCELL - standard tap * - page1_i58 -#ISCELL - standard tap * - page1_i59 -#ISCELL - standard tap * - page1_i60 -#ISCELL - standard tap * - page1_i61 -#ISCELL - standard tap * - page1_i62 -#ISCELL - standard tap * - page1_i63 #ISCELL standard tap * page1_i64 @@ -154,42 +127,12 @@ #CELL cnconnector plemo2ci * page1_i7 -#ISCELL - standard tap * - page1_i70 -#ISCELL - standard tap * - page1_i73 -#ISCELL - standard tap * - page1_i76 -#ISCELL - standard tap * - page1_i79 #CELL cnmemory 24aa025e48 * page1_i8 -#ISCELL - standard tap * - page1_i81 #ISCELL standard tap * page1_i82 -#ISCELL - standard tap * - page1_i83 -#ISCELL - standard tap * - page1_i84 -#ISCELL - standard tap * - page1_i85 -#ISCELL - standard tap * - page1_i86 -#ISCELL - cnpower p2v5 * - page1_i88 #ISCELL standard gnd_signal * page1_i89 diff --git a/circuit_board/Cadence/worklib/fmc_tlu_toplevel_c/sch_1/page1.csa b/circuit_board/Cadence/worklib/fmc_tlu_toplevel_c/sch_1/page1.csa index 25644d010d7f52712e84d5996fbb72c532d6c11c..22e757a587814c511a4e3babb65e991a576dbd20 100644 --- a/circuit_board/Cadence/worklib/fmc_tlu_toplevel_c/sch_1/page1.csa +++ b/circuit_board/Cadence/worklib/fmc_tlu_toplevel_c/sch_1/page1.csa @@ -33,12 +33,92 @@ J 0 (0 -3250); DISPLAY 0.978723 (0 -3250); DISPLAY INVISIBLE (0 -3250); +FORCEADD TAP..1 +(1750 125); +FORCEPROP 1 LASTPIN (1700 125) BN 0 +J 0 +(1688 133); +DISPLAY 0.680851 (1688 133); +PAINT GREEN (1688 133); +FORCEPROP 1 LAST HDL_TAP TRUE +J 0 +(2075 0); +DISPLAY 0.872340 (2075 0); +DISPLAY INVISIBLE (2075 0); +FORCEPROP 1 LAST BODY_TYPE PLUMBING +J 0 +(1750 175); +DISPLAY 0.872340 (1750 175); +PAINT GREEN (1750 175); +DISPLAY INVISIBLE (1750 175); +FORCEPROP 2 LAST CDS_LIB standard +J 0 +(1750 125); +DISPLAY INVISIBLE (1750 125); +FORCEPROP 1 LAST PATH I100 +J 0 +(1748 125); +DISPLAY 0.872340 (1748 125); +PAINT GREEN (1748 125); +DISPLAY INVISIBLE (1748 125); +FORCEADD TAP..1 +(150 -725); +FORCEPROP 1 LASTPIN (100 -725) BN 4 +J 0 +(88 -717); +DISPLAY 0.680851 (88 -717); +PAINT MONO (88 -717); +FORCEPROP 2 LAST CDS_LIB standard +J 0 +(150 -725); +DISPLAY INVISIBLE (150 -725); +FORCEPROP 1 LAST PATH I104 +J 0 +(148 -725); +DISPLAY 0.872340 (148 -725); +PAINT GREEN (148 -725); +DISPLAY INVISIBLE (148 -725); +FORCEPROP 1 LAST BODY_TYPE PLUMBING +J 0 +(150 -675); +DISPLAY 0.872340 (150 -675); +PAINT GREEN (150 -675); +DISPLAY INVISIBLE (150 -675); +FORCEPROP 1 LAST HDL_TAP TRUE +J 0 +(475 -850); +DISPLAY 0.872340 (475 -850); +DISPLAY INVISIBLE (475 -850); +FORCEADD TAP..1 +(150 -525); +FORCEPROP 1 LASTPIN (100 -525) BN 3 +J 0 +(88 -517); +DISPLAY 0.680851 (88 -517); +PAINT MONO (88 -517); +FORCEPROP 2 LAST CDS_LIB standard +J 0 +(150 -525); +DISPLAY INVISIBLE (150 -525); +FORCEPROP 1 LAST PATH I108 +J 0 +(148 -525); +DISPLAY 0.872340 (148 -525); +PAINT GREEN (148 -525); +DISPLAY INVISIBLE (148 -525); +FORCEPROP 1 LAST BODY_TYPE PLUMBING +J 0 +(150 -475); +DISPLAY 0.872340 (150 -475); +PAINT GREEN (150 -475); +DISPLAY INVISIBLE (150 -475); +FORCEPROP 1 LAST HDL_TAP TRUE +J 0 +(475 -650); +DISPLAY 0.872340 (475 -650); +DISPLAY INVISIBLE (475 -650); FORCEADD CAPCERSMDCL2..1 (-200 -3300); -FORCEPROP 1 LAST LOCATION C70 -J 0 -(-225 -3250); -DISPLAY 0.723404 (-225 -3250); FORCEPROP 1 LAST VOLTAGE 16V J 1 (-200 -3450); @@ -47,6 +127,10 @@ FORCEPROP 1 LAST VALUE 100NF J 1 (-200 -3400); DISPLAY 0.723404 (-200 -3400); +FORCEPROP 1 LAST LOCATION C70 +J 0 +(-225 -3250); +DISPLAY 0.723404 (-225 -3250); FORCEPROP 1 LAST PACK_TYPE 0603 J 1 (-200 -3500); @@ -77,15 +161,65 @@ J 0 (-175 -3200); DISPLAY 0.723404 (-175 -3200); DISPLAY INVISIBLE (-175 -3200); +FORCEADD TAP..1 +(150 -325); +FORCEPROP 1 LASTPIN (100 -325) BN 2 +J 0 +(88 -317); +DISPLAY 0.680851 (88 -317); +PAINT MONO (88 -317); +FORCEPROP 2 LAST CDS_LIB standard +J 0 +(150 -325); +DISPLAY INVISIBLE (150 -325); +FORCEPROP 1 LAST PATH I112 +J 0 +(148 -325); +DISPLAY 0.872340 (148 -325); +PAINT GREEN (148 -325); +DISPLAY INVISIBLE (148 -325); +FORCEPROP 1 LAST BODY_TYPE PLUMBING +J 0 +(150 -275); +DISPLAY 0.872340 (150 -275); +PAINT GREEN (150 -275); +DISPLAY INVISIBLE (150 -275); +FORCEPROP 1 LAST HDL_TAP TRUE +J 0 +(475 -450); +DISPLAY 0.872340 (475 -450); +DISPLAY INVISIBLE (475 -450); +FORCEADD TAP..1 +(150 -125); +FORCEPROP 1 LASTPIN (100 -125) BN 1 +J 0 +(88 -117); +DISPLAY 0.680851 (88 -117); +PAINT MONO (88 -117); +FORCEPROP 2 LAST CDS_LIB standard +J 0 +(150 -125); +DISPLAY INVISIBLE (150 -125); +FORCEPROP 1 LAST PATH I116 +J 0 +(148 -125); +DISPLAY 0.872340 (148 -125); +PAINT GREEN (148 -125); +DISPLAY INVISIBLE (148 -125); +FORCEPROP 1 LAST BODY_TYPE PLUMBING +J 0 +(150 -75); +DISPLAY 0.872340 (150 -75); +PAINT GREEN (150 -75); +DISPLAY INVISIBLE (150 -75); +FORCEPROP 1 LAST HDL_TAP TRUE +J 0 +(475 -250); +DISPLAY 0.872340 (475 -250); +DISPLAY INVISIBLE (475 -250); FORCEADD RSMD0603..2 R 1 (-1050 -3050); -FORCEPROP 1 LAST LOCATION R61 -R 1 -J 1 -(-1087 -3045); -DISPLAY 0.723404 (-1087 -3045); -PAINT WHITE (-1087 -3045); FORCEPROP 1 LAST VALUE XX R 1 J 1 @@ -98,6 +232,12 @@ J 1 (-990 -3045); DISPLAY 0.617021 (-990 -3045); PAINT WHITE (-990 -3045); +FORCEPROP 1 LAST LOCATION R61 +R 1 +J 1 +(-1087 -3045); +DISPLAY 0.723404 (-1087 -3045); +PAINT WHITE (-1087 -3045); FORCEPROP 1 LAST DIST FLAT R 1 J 0 @@ -193,15 +333,177 @@ J 1 DISPLAY 0.617021 (-925 -3045); PAINT WHITE (-925 -3045); DISPLAY INVISIBLE (-925 -3045); +FORCEADD TAP..1 +(150 75); +FORCEPROP 1 LASTPIN (100 75) BN 0 +J 0 +(88 83); +DISPLAY 0.680851 (88 83); +PAINT MONO (88 83); +FORCEPROP 2 LAST CDS_LIB standard +J 0 +(150 75); +DISPLAY INVISIBLE (150 75); +FORCEPROP 1 LAST PATH I120 +J 0 +(148 75); +DISPLAY 0.872340 (148 75); +PAINT GREEN (148 75); +DISPLAY INVISIBLE (148 75); +FORCEPROP 1 LAST BODY_TYPE PLUMBING +J 0 +(150 125); +DISPLAY 0.872340 (150 125); +PAINT GREEN (150 125); +DISPLAY INVISIBLE (150 125); +FORCEPROP 1 LAST HDL_TAP TRUE +J 0 +(475 -50); +DISPLAY 0.872340 (475 -50); +DISPLAY INVISIBLE (475 -50); +FORCEADD TAP..1 +(150 -875); +FORCEPROP 1 LASTPIN (100 -875) BN 5 +J 0 +(88 -867); +DISPLAY 0.680851 (88 -867); +PAINT MONO (88 -867); +FORCEPROP 1 LAST HDL_TAP TRUE +J 0 +(475 -1000); +DISPLAY 0.872340 (475 -1000); +DISPLAY INVISIBLE (475 -1000); +FORCEPROP 1 LAST BODY_TYPE PLUMBING +J 0 +(150 -825); +DISPLAY 0.872340 (150 -825); +PAINT GREEN (150 -825); +DISPLAY INVISIBLE (150 -825); +FORCEPROP 1 LAST PATH I125 +J 0 +(148 -875); +DISPLAY 0.872340 (148 -875); +PAINT GREEN (148 -875); +DISPLAY INVISIBLE (148 -875); +FORCEPROP 2 LAST CDS_LIB standard +J 0 +(150 -875); +DISPLAY INVISIBLE (150 -875); +FORCEADD TAP..1 +(1750 -675); +FORCEPROP 1 LASTPIN (1700 -675) BN 4 +J 0 +(1688 -667); +DISPLAY 0.680851 (1688 -667); +PAINT MONO (1688 -667); +FORCEPROP 2 LAST CDS_LIB standard +J 0 +(1750 -675); +DISPLAY INVISIBLE (1750 -675); +FORCEPROP 1 LAST PATH I126 +J 0 +(1748 -675); +DISPLAY 0.872340 (1748 -675); +PAINT GREEN (1748 -675); +DISPLAY INVISIBLE (1748 -675); +FORCEPROP 1 LAST HDL_TAP TRUE +J 0 +(2075 -800); +DISPLAY 0.872340 (2075 -800); +DISPLAY INVISIBLE (2075 -800); +FORCEPROP 1 LAST BODY_TYPE PLUMBING +J 0 +(1750 -625); +DISPLAY 0.872340 (1750 -625); +PAINT GREEN (1750 -625); +DISPLAY INVISIBLE (1750 -625); +FORCEADD TAP..1 +(1750 -475); +FORCEPROP 1 LASTPIN (1700 -475) BN 3 +J 0 +(1688 -467); +DISPLAY 0.680851 (1688 -467); +PAINT MONO (1688 -467); +FORCEPROP 2 LAST CDS_LIB standard +J 0 +(1750 -475); +DISPLAY INVISIBLE (1750 -475); +FORCEPROP 1 LAST PATH I127 +J 0 +(1748 -475); +DISPLAY 0.872340 (1748 -475); +PAINT GREEN (1748 -475); +DISPLAY INVISIBLE (1748 -475); +FORCEPROP 1 LAST HDL_TAP TRUE +J 0 +(2075 -600); +DISPLAY 0.872340 (2075 -600); +DISPLAY INVISIBLE (2075 -600); +FORCEPROP 1 LAST BODY_TYPE PLUMBING +J 0 +(1750 -425); +DISPLAY 0.872340 (1750 -425); +PAINT GREEN (1750 -425); +DISPLAY INVISIBLE (1750 -425); +FORCEADD TAP..1 +(1750 -275); +FORCEPROP 1 LASTPIN (1700 -275) BN 2 +J 0 +(1688 -267); +DISPLAY 0.680851 (1688 -267); +PAINT MONO (1688 -267); +FORCEPROP 2 LAST CDS_LIB standard +J 0 +(1750 -275); +DISPLAY INVISIBLE (1750 -275); +FORCEPROP 1 LAST PATH I128 +J 0 +(1748 -275); +DISPLAY 0.872340 (1748 -275); +PAINT GREEN (1748 -275); +DISPLAY INVISIBLE (1748 -275); +FORCEPROP 1 LAST HDL_TAP TRUE +J 0 +(2075 -400); +DISPLAY 0.872340 (2075 -400); +DISPLAY INVISIBLE (2075 -400); +FORCEPROP 1 LAST BODY_TYPE PLUMBING +J 0 +(1750 -225); +DISPLAY 0.872340 (1750 -225); +PAINT GREEN (1750 -225); +DISPLAY INVISIBLE (1750 -225); +FORCEADD TAP..1 +(1750 -75); +FORCEPROP 1 LASTPIN (1700 -75) BN 1 +J 0 +(1688 -67); +DISPLAY 0.680851 (1688 -67); +PAINT MONO (1688 -67); +FORCEPROP 2 LAST CDS_LIB standard +J 0 +(1750 -75); +DISPLAY INVISIBLE (1750 -75); +FORCEPROP 1 LAST PATH I129 +J 0 +(1748 -75); +DISPLAY 0.872340 (1748 -75); +PAINT GREEN (1748 -75); +DISPLAY INVISIBLE (1748 -75); +FORCEPROP 1 LAST HDL_TAP TRUE +J 0 +(2075 -200); +DISPLAY 0.872340 (2075 -200); +DISPLAY INVISIBLE (2075 -200); +FORCEPROP 1 LAST BODY_TYPE PLUMBING +J 0 +(1750 -25); +DISPLAY 0.872340 (1750 -25); +PAINT GREEN (1750 -25); +DISPLAY INVISIBLE (1750 -25); FORCEADD RSMD0603..2 R 1 (-900 -3050); -FORCEPROP 1 LAST LOCATION R63 -R 1 -J 1 -(-937 -3045); -DISPLAY 0.723404 (-937 -3045); -PAINT WHITE (-937 -3045); FORCEPROP 1 LAST VALUE XX R 1 J 1 @@ -214,6 +516,12 @@ J 1 (-840 -3045); DISPLAY 0.617021 (-840 -3045); PAINT WHITE (-840 -3045); +FORCEPROP 1 LAST LOCATION R63 +R 1 +J 1 +(-937 -3045); +DISPLAY 0.723404 (-937 -3045); +PAINT WHITE (-937 -3045); FORCEPROP 1 LAST DIST FLAT R 1 J 0 @@ -309,15 +617,51 @@ J 1 DISPLAY 0.617021 (-775 -3045); PAINT WHITE (-775 -3045); DISPLAY INVISIBLE (-775 -3045); +FORCEADD P3V3..1 +(2900 850); +FORCEPROP 3 LASTPIN (2900 800) SIG_NAME P3V3\g +J 0 +(2910 810); +DISPLAY 0.659574 (2910 810); +PAINT MONO (2910 810); +DISPLAY INVISIBLE (2910 810); +FORCEPROP 1 LAST PATH I130 +J 0 +(2950 850); +DISPLAY 0.872340 (2950 850); +PAINT PINK (2950 850); +DISPLAY INVISIBLE (2950 850); +FORCEPROP 1 LAST HDL_POWER P3V3 +J 1 +(2900 900); +DISPLAY 0.468085 (2900 900); +PAINT GREEN (2900 900); +FORCEPROP 2 LAST CDS_LIB cnpower +J 0 +(2900 850); +DISPLAY INVISIBLE (2900 850); +FORCEPROP 1 LASTPIN (2900 800) VHDL_INIT 1 +R 1 +J 0 +(2950 635); +DISPLAY 0.468085 (2950 635); +PAINT GREEN (2950 635); +DISPLAY INVISIBLE (2950 635); +FORCEPROP 1 LAST BODY_TYPE PLUMBING +J 0 +(2900 850); +DISPLAY 0.468085 (2900 850); +PAINT GREEN (2900 850); +DISPLAY INVISIBLE (2900 850); +FORCEPROP 1 LAST SIZE 1B +J 0 +(2875 950); +DISPLAY 0.872340 (2875 950); +PAINT SKYBLUE (2875 950); +DISPLAY INVISIBLE (2875 950); FORCEADD RSMD0603..2 R 1 (-750 -3050); -FORCEPROP 1 LAST LOCATION R65 -R 1 -J 1 -(-787 -3045); -DISPLAY 0.723404 (-787 -3045); -PAINT WHITE (-787 -3045); FORCEPROP 1 LAST VALUE XX R 1 J 1 @@ -330,6 +674,12 @@ J 1 (-690 -3045); DISPLAY 0.617021 (-690 -3045); PAINT WHITE (-690 -3045); +FORCEPROP 1 LAST LOCATION R65 +R 1 +J 1 +(-787 -3045); +DISPLAY 0.723404 (-787 -3045); +PAINT WHITE (-787 -3045); FORCEPROP 1 LAST DIST FLAT R 1 J 0 @@ -428,12 +778,6 @@ DISPLAY INVISIBLE (-625 -3045); FORCEADD RSMD0603..2 R 1 (-1050 -2600); -FORCEPROP 1 LAST LOCATION R60 -R 1 -J 1 -(-1087 -2595); -DISPLAY 0.723404 (-1087 -2595); -PAINT WHITE (-1087 -2595); FORCEPROP 1 LAST VALUE 00 R 1 J 1 @@ -446,6 +790,12 @@ J 1 (-990 -2595); DISPLAY 0.617021 (-990 -2595); PAINT WHITE (-990 -2595); +FORCEPROP 1 LAST LOCATION R60 +R 1 +J 1 +(-1087 -2595); +DISPLAY 0.723404 (-1087 -2595); +PAINT WHITE (-1087 -2595); FORCEPROP 1 LAST DIST FLAT R 1 J 0 @@ -544,12 +894,6 @@ DISPLAY INVISIBLE (-925 -2595); FORCEADD RSMD0603..2 R 1 (-900 -2600); -FORCEPROP 1 LAST LOCATION R62 -R 1 -J 1 -(-937 -2595); -DISPLAY 0.723404 (-937 -2595); -PAINT WHITE (-937 -2595); FORCEPROP 1 LAST VALUE 00 R 1 J 1 @@ -562,6 +906,12 @@ J 1 (-840 -2595); DISPLAY 0.617021 (-840 -2595); PAINT WHITE (-840 -2595); +FORCEPROP 1 LAST LOCATION R62 +R 1 +J 1 +(-937 -2595); +DISPLAY 0.723404 (-937 -2595); +PAINT WHITE (-937 -2595); FORCEPROP 1 LAST DIST FLAT R 1 J 0 @@ -660,12 +1010,6 @@ DISPLAY INVISIBLE (-775 -2595); FORCEADD RSMD0603..2 R 1 (-750 -2600); -FORCEPROP 1 LAST LOCATION R64 -R 1 -J 1 -(-787 -2595); -DISPLAY 0.723404 (-787 -2595); -PAINT WHITE (-787 -2595); FORCEPROP 1 LAST VALUE 00 R 1 J 1 @@ -678,6 +1022,12 @@ J 1 (-690 -2595); DISPLAY 0.617021 (-690 -2595); PAINT WHITE (-690 -2595); +FORCEPROP 1 LAST LOCATION R64 +R 1 +J 1 +(-787 -2595); +DISPLAY 0.723404 (-787 -2595); +PAINT WHITE (-787 -2595); FORCEPROP 1 LAST DIST FLAT R 1 J 0 @@ -1212,146 +1562,6 @@ J 0 DISPLAY 0.404255 (4586 560); PAINT SKYBLUE (4586 560); DISPLAY INVISIBLE (4586 560); -FORCEADD TAP..1 -(1750 -1450); -FORCEPROP 1 LASTPIN (1700 -1450) BN 3 -J 0 -(1688 -1442); -DISPLAY 0.680851 (1688 -1442); -PAINT GREEN (1688 -1442); -FORCEPROP 1 LAST HDL_TAP TRUE -J 0 -(2075 -1575); -DISPLAY 0.872340 (2075 -1575); -DISPLAY INVISIBLE (2075 -1575); -FORCEPROP 1 LAST BODY_TYPE PLUMBING -J 0 -(1750 -1400); -DISPLAY 0.872340 (1750 -1400); -PAINT GREEN (1750 -1400); -DISPLAY INVISIBLE (1750 -1400); -FORCEPROP 1 LAST PATH I20 -J 0 -(1748 -1450); -DISPLAY 0.872340 (1748 -1450); -PAINT GREEN (1748 -1450); -DISPLAY INVISIBLE (1748 -1450); -FORCEPROP 2 LAST CDS_LIB standard -J 0 -(1750 -1450); -DISPLAY INVISIBLE (1750 -1450); -FORCEADD TAP..1 -(1750 -750); -FORCEPROP 1 LASTPIN (1700 -750) BN 21 -J 0 -(1688 -742); -DISPLAY 0.680851 (1688 -742); -PAINT GREEN (1688 -742); -FORCEPROP 2 LAST CDS_LIB standard -J 0 -(1750 -750); -DISPLAY INVISIBLE (1750 -750); -FORCEPROP 1 LAST PATH I21 -J 0 -(1748 -750); -DISPLAY 0.872340 (1748 -750); -PAINT GREEN (1748 -750); -DISPLAY INVISIBLE (1748 -750); -FORCEPROP 1 LAST BODY_TYPE PLUMBING -J 0 -(1750 -700); -DISPLAY 0.872340 (1750 -700); -PAINT GREEN (1750 -700); -DISPLAY INVISIBLE (1750 -700); -FORCEPROP 1 LAST HDL_TAP TRUE -J 0 -(2075 -875); -DISPLAY 0.872340 (2075 -875); -DISPLAY INVISIBLE (2075 -875); -FORCEADD TAP..1 -(1750 -1825); -FORCEPROP 1 LASTPIN (1700 -1825) BN 8 -J 0 -(1688 -1817); -DISPLAY 0.680851 (1688 -1817); -PAINT GREEN (1688 -1817); -FORCEPROP 2 LAST CDS_LIB standard -J 0 -(1750 -1825); -DISPLAY INVISIBLE (1750 -1825); -FORCEPROP 1 LAST PATH I22 -J 0 -(1748 -1825); -DISPLAY 0.872340 (1748 -1825); -PAINT GREEN (1748 -1825); -DISPLAY INVISIBLE (1748 -1825); -FORCEPROP 1 LAST BODY_TYPE PLUMBING -J 0 -(1750 -1775); -DISPLAY 0.872340 (1750 -1775); -PAINT GREEN (1750 -1775); -DISPLAY INVISIBLE (1750 -1775); -FORCEPROP 1 LAST HDL_TAP TRUE -J 0 -(2075 -1950); -DISPLAY 0.872340 (2075 -1950); -DISPLAY INVISIBLE (2075 -1950); -FORCEADD TAP..1 -(1750 -1575); -FORCEPROP 1 LASTPIN (1700 -1575) BN 14 -J 0 -(1688 -1567); -DISPLAY 0.680851 (1688 -1567); -PAINT GREEN (1688 -1567); -FORCEPROP 1 LAST HDL_TAP TRUE -J 0 -(2075 -1700); -DISPLAY 0.872340 (2075 -1700); -DISPLAY INVISIBLE (2075 -1700); -FORCEPROP 1 LAST BODY_TYPE PLUMBING -J 0 -(1750 -1525); -DISPLAY 0.872340 (1750 -1525); -PAINT GREEN (1750 -1525); -DISPLAY INVISIBLE (1750 -1525); -FORCEPROP 1 LAST PATH I24 -J 0 -(1748 -1575); -DISPLAY 0.872340 (1748 -1575); -PAINT GREEN (1748 -1575); -DISPLAY INVISIBLE (1748 -1575); -FORCEPROP 2 LAST CDS_LIB standard -J 0 -(1750 -1575); -DISPLAY INVISIBLE (1750 -1575); -FORCEADD TAP..1 -(1750 -1700); -FORCEPROP 1 LASTPIN (1700 -1700) BN 18 -J 0 -(1688 -1692); -DISPLAY 0.680851 (1688 -1692); -PAINT GREEN (1688 -1692); -FORCEPROP 2 LAST CDS_LIB standard -J 0 -(1750 -1700); -DISPLAY INVISIBLE (1750 -1700); -FORCEPROP 1 LAST PATH I28 -J 0 -(1748 -1700); -DISPLAY 0.872340 (1748 -1700); -PAINT GREEN (1748 -1700); -DISPLAY INVISIBLE (1748 -1700); -FORCEPROP 1 LAST BODY_TYPE PLUMBING -J 0 -(1750 -1650); -DISPLAY 0.872340 (1750 -1650); -PAINT GREEN (1750 -1650); -DISPLAY INVISIBLE (1750 -1650); -FORCEPROP 1 LAST HDL_TAP TRUE -J 0 -(2075 -1825); -DISPLAY 0.872340 (2075 -1825); -DISPLAY INVISIBLE (2075 -1825); FORCEADD GND_SIGNAL..1 (4300 -1600); FORCEPROP 3 LASTPIN (4350 -1550) SIG_NAME GND_SIGNAL\g @@ -1378,62 +1588,6 @@ J 0 (4300 -1600); DISPLAY INVISIBLE (4300 -1600); FORCEADD TAP..1 -(1750 -1325); -FORCEPROP 1 LASTPIN (1700 -1325) BN 27 -J 0 -(1688 -1317); -DISPLAY 0.680851 (1688 -1317); -PAINT GREEN (1688 -1317); -FORCEPROP 1 LAST HDL_TAP TRUE -J 0 -(2075 -1450); -DISPLAY 0.872340 (2075 -1450); -DISPLAY INVISIBLE (2075 -1450); -FORCEPROP 1 LAST BODY_TYPE PLUMBING -J 0 -(1750 -1275); -DISPLAY 0.872340 (1750 -1275); -PAINT GREEN (1750 -1275); -DISPLAY INVISIBLE (1750 -1275); -FORCEPROP 1 LAST PATH I31 -J 0 -(1748 -1325); -DISPLAY 0.872340 (1748 -1325); -PAINT GREEN (1748 -1325); -DISPLAY INVISIBLE (1748 -1325); -FORCEPROP 2 LAST CDS_LIB standard -J 0 -(1750 -1325); -DISPLAY INVISIBLE (1750 -1325); -FORCEADD TAP..1 -(1750 -500); -FORCEPROP 1 LASTPIN (1700 -500) BN 11 -J 0 -(1688 -492); -DISPLAY 0.680851 (1688 -492); -PAINT GREEN (1688 -492); -FORCEPROP 1 LAST HDL_TAP TRUE -J 0 -(2075 -625); -DISPLAY 0.872340 (2075 -625); -DISPLAY INVISIBLE (2075 -625); -FORCEPROP 1 LAST BODY_TYPE PLUMBING -J 0 -(1750 -450); -DISPLAY 0.872340 (1750 -450); -PAINT GREEN (1750 -450); -DISPLAY INVISIBLE (1750 -450); -FORCEPROP 1 LAST PATH I35 -J 0 -(1748 -500); -DISPLAY 0.872340 (1748 -500); -PAINT GREEN (1748 -500); -DISPLAY INVISIBLE (1748 -500); -FORCEPROP 2 LAST CDS_LIB standard -J 0 -(1750 -500); -DISPLAY INVISIBLE (1750 -500); -FORCEADD TAP..1 (1750 250); FORCEPROP 1 LASTPIN (1700 250) BN 25 J 0 @@ -1588,174 +1742,6 @@ J 0 (1750 500); DISPLAY INVISIBLE (1750 500); FORCEADD TAP..1 -(1750 -2050); -FORCEPROP 1 LASTPIN (1700 -2050) BN 15 -J 0 -(1688 -2042); -DISPLAY 0.680851 (1688 -2042); -PAINT GREEN (1688 -2042); -FORCEPROP 2 LAST CDS_LIB standard -J 0 -(1750 -2050); -DISPLAY INVISIBLE (1750 -2050); -FORCEPROP 1 LAST PATH I41 -J 0 -(1748 -2050); -DISPLAY 0.872340 (1748 -2050); -PAINT GREEN (1748 -2050); -DISPLAY INVISIBLE (1748 -2050); -FORCEPROP 1 LAST BODY_TYPE PLUMBING -J 0 -(1750 -2000); -DISPLAY 0.872340 (1750 -2000); -PAINT GREEN (1750 -2000); -DISPLAY INVISIBLE (1750 -2000); -FORCEPROP 1 LAST HDL_TAP TRUE -J 0 -(2075 -2175); -DISPLAY 0.872340 (2075 -2175); -DISPLAY INVISIBLE (2075 -2175); -FORCEADD TAP..1 -(1750 -875); -FORCEPROP 1 LASTPIN (1700 -875) BN 20 -J 0 -(1688 -867); -DISPLAY 0.680851 (1688 -867); -PAINT GREEN (1688 -867); -FORCEPROP 1 LAST HDL_TAP TRUE -J 0 -(2075 -1000); -DISPLAY 0.872340 (2075 -1000); -DISPLAY INVISIBLE (2075 -1000); -FORCEPROP 1 LAST BODY_TYPE PLUMBING -J 0 -(1750 -825); -DISPLAY 0.872340 (1750 -825); -PAINT GREEN (1750 -825); -DISPLAY INVISIBLE (1750 -825); -FORCEPROP 1 LAST PATH I42 -J 0 -(1748 -875); -DISPLAY 0.872340 (1748 -875); -PAINT GREEN (1748 -875); -DISPLAY INVISIBLE (1748 -875); -FORCEPROP 2 LAST CDS_LIB standard -J 0 -(1750 -875); -DISPLAY INVISIBLE (1750 -875); -FORCEADD TAP..1 -(1750 -125); -FORCEPROP 1 LASTPIN (1700 -125) BN 16 -J 0 -(1688 -117); -DISPLAY 0.680851 (1688 -117); -PAINT GREEN (1688 -117); -FORCEPROP 1 LAST HDL_TAP TRUE -J 0 -(2075 -250); -DISPLAY 0.872340 (2075 -250); -DISPLAY INVISIBLE (2075 -250); -FORCEPROP 1 LAST BODY_TYPE PLUMBING -J 0 -(1750 -75); -DISPLAY 0.872340 (1750 -75); -PAINT GREEN (1750 -75); -DISPLAY INVISIBLE (1750 -75); -FORCEPROP 1 LAST PATH I43 -J 0 -(1748 -125); -DISPLAY 0.872340 (1748 -125); -PAINT GREEN (1748 -125); -DISPLAY INVISIBLE (1748 -125); -FORCEPROP 2 LAST CDS_LIB standard -J 0 -(1750 -125); -DISPLAY INVISIBLE (1750 -125); -FORCEADD TAP..1 -(1750 -375); -FORCEPROP 1 LASTPIN (1700 -375) BN 7 -J 0 -(1688 -367); -DISPLAY 0.680851 (1688 -367); -PAINT GREEN (1688 -367); -FORCEPROP 1 LAST HDL_TAP TRUE -J 0 -(2075 -500); -DISPLAY 0.872340 (2075 -500); -DISPLAY INVISIBLE (2075 -500); -FORCEPROP 1 LAST BODY_TYPE PLUMBING -J 0 -(1750 -325); -DISPLAY 0.872340 (1750 -325); -PAINT GREEN (1750 -325); -DISPLAY INVISIBLE (1750 -325); -FORCEPROP 1 LAST PATH I44 -J 0 -(1748 -375); -DISPLAY 0.872340 (1748 -375); -PAINT GREEN (1748 -375); -DISPLAY INVISIBLE (1748 -375); -FORCEPROP 2 LAST CDS_LIB standard -J 0 -(1750 -375); -DISPLAY INVISIBLE (1750 -375); -FORCEADD TAP..1 -(1750 -250); -FORCEPROP 1 LASTPIN (1700 -250) BN 12 -J 0 -(1688 -242); -DISPLAY 0.680851 (1688 -242); -PAINT GREEN (1688 -242); -FORCEPROP 1 LAST HDL_TAP TRUE -J 0 -(2075 -375); -DISPLAY 0.872340 (2075 -375); -DISPLAY INVISIBLE (2075 -375); -FORCEPROP 1 LAST BODY_TYPE PLUMBING -J 0 -(1750 -200); -DISPLAY 0.872340 (1750 -200); -PAINT GREEN (1750 -200); -DISPLAY INVISIBLE (1750 -200); -FORCEPROP 1 LAST PATH I45 -J 0 -(1748 -250); -DISPLAY 0.872340 (1748 -250); -PAINT GREEN (1748 -250); -DISPLAY INVISIBLE (1748 -250); -FORCEPROP 2 LAST CDS_LIB standard -J 0 -(1750 -250); -DISPLAY INVISIBLE (1750 -250); -FORCEADD TAP..1 -(1750 -1000); -FORCEPROP 1 LASTPIN (1700 -1000) BN 19 -J 0 -(1688 -992); -DISPLAY 0.680851 (1688 -992); -PAINT GREEN (1688 -992); -FORCEPROP 2 LAST CDS_LIB standard -J 0 -(1750 -1000); -DISPLAY INVISIBLE (1750 -1000); -FORCEPROP 1 LAST PATH I46 -J 0 -(1748 -1000); -DISPLAY 0.872340 (1748 -1000); -PAINT GREEN (1748 -1000); -DISPLAY INVISIBLE (1748 -1000); -FORCEPROP 1 LAST BODY_TYPE PLUMBING -J 0 -(1750 -950); -DISPLAY 0.872340 (1750 -950); -PAINT GREEN (1750 -950); -DISPLAY INVISIBLE (1750 -950); -FORCEPROP 1 LAST HDL_TAP TRUE -J 0 -(2075 -1125); -DISPLAY 0.872340 (2075 -1125); -DISPLAY INVISIBLE (2075 -1125); -FORCEADD TAP..1 (1750 625); FORCEPROP 1 LASTPIN (1700 625) BN 28 J 0 @@ -1784,34 +1770,6 @@ J 0 DISPLAY 0.872340 (2075 500); DISPLAY INVISIBLE (2075 500); FORCEADD TAP..1 -(1750 0); -FORCEPROP 1 LASTPIN (1700 0) BN 2 -J 0 -(1688 8); -DISPLAY 0.680851 (1688 8); -PAINT GREEN (1688 8); -FORCEPROP 2 LAST CDS_LIB standard -J 0 -(1750 0); -DISPLAY INVISIBLE (1750 0); -FORCEPROP 1 LAST PATH I48 -J 0 -(1748 0); -DISPLAY 0.872340 (1748 0); -PAINT GREEN (1748 0); -DISPLAY INVISIBLE (1748 0); -FORCEPROP 1 LAST BODY_TYPE PLUMBING -J 0 -(1750 50); -DISPLAY 0.872340 (1750 50); -PAINT GREEN (1750 50); -DISPLAY INVISIBLE (1750 50); -FORCEPROP 1 LAST HDL_TAP TRUE -J 0 -(2075 -125); -DISPLAY 0.872340 (2075 -125); -DISPLAY INVISIBLE (2075 -125); -FORCEADD TAP..1 (1750 750); FORCEPROP 1 LASTPIN (1700 750) BN 31 J 0 @@ -1995,34 +1953,6 @@ J 0 DISPLAY 0.872340 (475 625); DISPLAY INVISIBLE (475 625); FORCEADD TAP..1 -(150 0); -FORCEPROP 1 LASTPIN (100 0) BN 2 -J 0 -(88 8); -DISPLAY 0.680851 (88 8); -PAINT GREEN (88 8); -FORCEPROP 2 LAST CDS_LIB standard -J 0 -(150 0); -DISPLAY INVISIBLE (150 0); -FORCEPROP 1 LAST PATH I56 -J 0 -(148 0); -DISPLAY 0.872340 (148 0); -PAINT GREEN (148 0); -DISPLAY INVISIBLE (148 0); -FORCEPROP 1 LAST BODY_TYPE PLUMBING -J 0 -(150 50); -DISPLAY 0.872340 (150 50); -PAINT GREEN (150 50); -DISPLAY INVISIBLE (150 50); -FORCEPROP 1 LAST HDL_TAP TRUE -J 0 -(475 -125); -DISPLAY 0.872340 (475 -125); -DISPLAY INVISIBLE (475 -125); -FORCEADD TAP..1 (150 625); FORCEPROP 1 LASTPIN (100 625) BN 28 J 0 @@ -2051,174 +1981,6 @@ J 0 DISPLAY 0.872340 (475 500); DISPLAY INVISIBLE (475 500); FORCEADD TAP..1 -(150 -250); -FORCEPROP 1 LASTPIN (100 -250) BN 12 -J 0 -(88 -242); -DISPLAY 0.680851 (88 -242); -PAINT GREEN (88 -242); -FORCEPROP 2 LAST CDS_LIB standard -J 0 -(150 -250); -DISPLAY INVISIBLE (150 -250); -FORCEPROP 1 LAST PATH I58 -J 0 -(148 -250); -DISPLAY 0.872340 (148 -250); -PAINT GREEN (148 -250); -DISPLAY INVISIBLE (148 -250); -FORCEPROP 1 LAST BODY_TYPE PLUMBING -J 0 -(150 -200); -DISPLAY 0.872340 (150 -200); -PAINT GREEN (150 -200); -DISPLAY INVISIBLE (150 -200); -FORCEPROP 1 LAST HDL_TAP TRUE -J 0 -(475 -375); -DISPLAY 0.872340 (475 -375); -DISPLAY INVISIBLE (475 -375); -FORCEADD TAP..1 -(150 -1000); -FORCEPROP 1 LASTPIN (100 -1000) BN 19 -J 0 -(88 -992); -DISPLAY 0.680851 (88 -992); -PAINT GREEN (88 -992); -FORCEPROP 2 LAST CDS_LIB standard -J 0 -(150 -1000); -DISPLAY INVISIBLE (150 -1000); -FORCEPROP 1 LAST PATH I59 -J 0 -(148 -1000); -DISPLAY 0.872340 (148 -1000); -PAINT GREEN (148 -1000); -DISPLAY INVISIBLE (148 -1000); -FORCEPROP 1 LAST BODY_TYPE PLUMBING -J 0 -(150 -950); -DISPLAY 0.872340 (150 -950); -PAINT GREEN (150 -950); -DISPLAY INVISIBLE (150 -950); -FORCEPROP 1 LAST HDL_TAP TRUE -J 0 -(475 -1125); -DISPLAY 0.872340 (475 -1125); -DISPLAY INVISIBLE (475 -1125); -FORCEADD TAP..1 -(150 -375); -FORCEPROP 1 LASTPIN (100 -375) BN 7 -J 0 -(88 -367); -DISPLAY 0.680851 (88 -367); -PAINT GREEN (88 -367); -FORCEPROP 2 LAST CDS_LIB standard -J 0 -(150 -375); -DISPLAY INVISIBLE (150 -375); -FORCEPROP 1 LAST PATH I60 -J 0 -(148 -375); -DISPLAY 0.872340 (148 -375); -PAINT GREEN (148 -375); -DISPLAY INVISIBLE (148 -375); -FORCEPROP 1 LAST BODY_TYPE PLUMBING -J 0 -(150 -325); -DISPLAY 0.872340 (150 -325); -PAINT GREEN (150 -325); -DISPLAY INVISIBLE (150 -325); -FORCEPROP 1 LAST HDL_TAP TRUE -J 0 -(475 -500); -DISPLAY 0.872340 (475 -500); -DISPLAY INVISIBLE (475 -500); -FORCEADD TAP..1 -(150 -125); -FORCEPROP 1 LASTPIN (100 -125) BN 16 -J 0 -(88 -117); -DISPLAY 0.680851 (88 -117); -PAINT GREEN (88 -117); -FORCEPROP 2 LAST CDS_LIB standard -J 0 -(150 -125); -DISPLAY INVISIBLE (150 -125); -FORCEPROP 1 LAST PATH I61 -J 0 -(148 -125); -DISPLAY 0.872340 (148 -125); -PAINT GREEN (148 -125); -DISPLAY INVISIBLE (148 -125); -FORCEPROP 1 LAST BODY_TYPE PLUMBING -J 0 -(150 -75); -DISPLAY 0.872340 (150 -75); -PAINT GREEN (150 -75); -DISPLAY INVISIBLE (150 -75); -FORCEPROP 1 LAST HDL_TAP TRUE -J 0 -(475 -250); -DISPLAY 0.872340 (475 -250); -DISPLAY INVISIBLE (475 -250); -FORCEADD TAP..1 -(150 -875); -FORCEPROP 1 LASTPIN (100 -875) BN 20 -J 0 -(88 -867); -DISPLAY 0.680851 (88 -867); -PAINT GREEN (88 -867); -FORCEPROP 2 LAST CDS_LIB standard -J 0 -(150 -875); -DISPLAY INVISIBLE (150 -875); -FORCEPROP 1 LAST PATH I62 -J 0 -(148 -875); -DISPLAY 0.872340 (148 -875); -PAINT GREEN (148 -875); -DISPLAY INVISIBLE (148 -875); -FORCEPROP 1 LAST BODY_TYPE PLUMBING -J 0 -(150 -825); -DISPLAY 0.872340 (150 -825); -PAINT GREEN (150 -825); -DISPLAY INVISIBLE (150 -825); -FORCEPROP 1 LAST HDL_TAP TRUE -J 0 -(475 -1000); -DISPLAY 0.872340 (475 -1000); -DISPLAY INVISIBLE (475 -1000); -FORCEADD TAP..1 -(150 -2050); -FORCEPROP 1 LASTPIN (100 -2050) BN 4 -J 0 -(88 -2042); -DISPLAY 0.680851 (88 -2042); -PAINT GREEN (88 -2042); -FORCEPROP 1 LAST HDL_TAP TRUE -J 0 -(475 -2175); -DISPLAY 0.872340 (475 -2175); -DISPLAY INVISIBLE (475 -2175); -FORCEPROP 1 LAST BODY_TYPE PLUMBING -J 0 -(150 -2000); -DISPLAY 0.872340 (150 -2000); -PAINT GREEN (150 -2000); -DISPLAY INVISIBLE (150 -2000); -FORCEPROP 1 LAST PATH I63 -J 0 -(148 -2050); -DISPLAY 0.872340 (148 -2050); -PAINT GREEN (148 -2050); -DISPLAY INVISIBLE (148 -2050); -FORCEPROP 2 LAST CDS_LIB standard -J 0 -(150 -2050); -DISPLAY INVISIBLE (150 -2050); -FORCEADD TAP..1 (150 375); FORCEPROP 1 LASTPIN (100 375) BN 24 J 0 @@ -2333,31 +2095,6 @@ DISPLAY INVISIBLE (475 125); FORCEADD PLEMO2CI..1 R 2 (2050 50); -FORCEPROP 2 LASTPIN (2200 50) $PN 2 -J 0 -(2210 60); -DISPLAY 0.808511 (2210 60); -FORCEPROP 2 LASTPIN (2200 150) $PN 1 -J 0 -(2210 160); -DISPLAY 0.808511 (2210 160); -FORCEPROP 1 LAST PATH I7 -J 0 -(2100 250); -DISPLAY 1.021277 (2100 250); -FORCEPROP 1 LAST TYPE PLEMO2-00B -J 0 -(2100 400); -DISPLAY 1.021277 (2100 400); -FORCEPROP 1 LAST $LOCATION PX1 -J 0 -(2100 500); -DISPLAY 0.680851 (2100 500); -PAINT MONO (2100 500); -FORCEPROP 0 LAST POWER_GROUP GND=GND_SIGNAL -J 0 -(2100 450); -DISPLAY 1.021277 (2100 450); FORCEPROP 2 LASTPIN (2200 50) SIG_NAME UN$1$PLEMO2CI$I7$B J 0 (2210 60); @@ -2370,172 +2107,49 @@ J 0 DISPLAY 0.659574 (2210 160); PAINT MONO (2210 160); DISPLAY INVISIBLE (2210 160); +FORCEPROP 1 LAST TYPE EPG.00.302.NLN +J 2 +(2475 350); +DISPLAY 0.723404 (2475 350); +PAINT WHITE (2475 350); +FORCEPROP 2 LAST CDS_LIB cnconnector +J 0 +(2050 50); +DISPLAY INVISIBLE (2050 50); +FORCEPROP 0 LAST POWER_GROUP GND=GND_SIGNAL +J 0 +(2100 450); +DISPLAY 1.021277 (2100 450); FORCEPROP 2 LAST CDS_SEC 1 J 0 (2100 550); DISPLAY 1.021277 (2100 550); DISPLAY INVISIBLE (2100 550); -FORCEPROP 2 LAST $SEC 1 -J 0 -(2100 550); -DISPLAY 0.680851 (2100 550); -PAINT MONO (2100 550); -DISPLAY INVISIBLE (2100 550); FORCEPROP 2 LAST CDS_LOCATION PX1 J 0 (2100 550); DISPLAY 1.021277 (2100 550); DISPLAY INVISIBLE (2100 550); +FORCEPROP 1 LAST $LOCATION PX1 +J 2 +(2025 100); +DISPLAY 0.723404 (2025 100); +PAINT WHITE (2025 100); FORCEPROP 1 LAST NEEDS_NO_SIZE TRUE J 2 (2025 75); DISPLAY 0.872340 (2025 75); PAINT GREEN (2025 75); DISPLAY INVISIBLE (2025 75); -FORCEPROP 2 LAST CDS_LIB cnconnector -J 0 -(2050 50); -DISPLAY INVISIBLE (2050 50); -FORCEADD TAP..1 -(150 -500); -FORCEPROP 1 LASTPIN (100 -500) BN 11 -J 0 -(88 -492); -DISPLAY 0.680851 (88 -492); -PAINT GREEN (88 -492); -FORCEPROP 2 LAST CDS_LIB standard -J 0 -(150 -500); -DISPLAY INVISIBLE (150 -500); -FORCEPROP 1 LAST PATH I70 -J 0 -(148 -500); -DISPLAY 0.872340 (148 -500); -PAINT GREEN (148 -500); -DISPLAY INVISIBLE (148 -500); -FORCEPROP 1 LAST BODY_TYPE PLUMBING -J 0 -(150 -450); -DISPLAY 0.872340 (150 -450); -PAINT GREEN (150 -450); -DISPLAY INVISIBLE (150 -450); -FORCEPROP 1 LAST HDL_TAP TRUE -J 0 -(475 -625); -DISPLAY 0.872340 (475 -625); -DISPLAY INVISIBLE (475 -625); -FORCEADD TAP..1 -(150 -1325); -FORCEPROP 1 LASTPIN (100 -1325) BN 27 -J 0 -(88 -1317); -DISPLAY 0.680851 (88 -1317); -PAINT GREEN (88 -1317); -FORCEPROP 2 LAST CDS_LIB standard -J 0 -(150 -1325); -DISPLAY INVISIBLE (150 -1325); -FORCEPROP 1 LAST PATH I73 -J 0 -(148 -1325); -DISPLAY 0.872340 (148 -1325); -PAINT GREEN (148 -1325); -DISPLAY INVISIBLE (148 -1325); -FORCEPROP 1 LAST BODY_TYPE PLUMBING -J 0 -(150 -1275); -DISPLAY 0.872340 (150 -1275); -PAINT GREEN (150 -1275); -DISPLAY INVISIBLE (150 -1275); -FORCEPROP 1 LAST HDL_TAP TRUE -J 0 -(475 -1450); -DISPLAY 0.872340 (475 -1450); -DISPLAY INVISIBLE (475 -1450); -FORCEADD TAP..1 -(150 -1700); -FORCEPROP 1 LASTPIN (100 -1700) BN 18 -J 0 -(88 -1692); -DISPLAY 0.680851 (88 -1692); -PAINT GREEN (88 -1692); -FORCEPROP 1 LAST HDL_TAP TRUE -J 0 -(475 -1825); -DISPLAY 0.872340 (475 -1825); -DISPLAY INVISIBLE (475 -1825); -FORCEPROP 1 LAST BODY_TYPE PLUMBING -J 0 -(150 -1650); -DISPLAY 0.872340 (150 -1650); -PAINT GREEN (150 -1650); -DISPLAY INVISIBLE (150 -1650); -FORCEPROP 1 LAST PATH I76 -J 0 -(148 -1700); -DISPLAY 0.872340 (148 -1700); -PAINT GREEN (148 -1700); -DISPLAY INVISIBLE (148 -1700); -FORCEPROP 2 LAST CDS_LIB standard -J 0 -(150 -1700); -DISPLAY INVISIBLE (150 -1700); -FORCEADD TAP..1 -(150 -1575); -FORCEPROP 1 LASTPIN (100 -1575) BN 14 -J 0 -(88 -1567); -DISPLAY 0.680851 (88 -1567); -PAINT GREEN (88 -1567); -FORCEPROP 1 LAST HDL_TAP TRUE -J 0 -(475 -1700); -DISPLAY 0.872340 (475 -1700); -DISPLAY INVISIBLE (475 -1700); -FORCEPROP 1 LAST BODY_TYPE PLUMBING -J 0 -(150 -1525); -DISPLAY 0.872340 (150 -1525); -PAINT GREEN (150 -1525); -DISPLAY INVISIBLE (150 -1525); -FORCEPROP 1 LAST PATH I79 -J 0 -(148 -1575); -DISPLAY 0.872340 (148 -1575); -PAINT GREEN (148 -1575); -DISPLAY INVISIBLE (148 -1575); -FORCEPROP 2 LAST CDS_LIB standard -J 0 -(150 -1575); -DISPLAY INVISIBLE (150 -1575); -FORCEADD 24AA025E48..1 -(-200 -2950); -FORCEPROP 1 LAST LOCATION IC9 -J 1 -(-195 -2600); -DISPLAY 0.723404 (-195 -2600); -PAINT GREEN (-195 -2600); -FORCEPROP 1 LAST PACK_TYPE SOIC -J 1 -(-195 -2650); -DISPLAY 0.723404 (-195 -2650); -PAINT GREEN (-195 -2650); -FORCEPROP 1 LAST TYPE 24AA025E48 -J 1 -(-195 -2705); -DISPLAY 0.851064 (-195 -2705); -PAINT GREEN (-195 -2705); -FORCEPROP 1 LAST PATH I8 -J 0 -(-50 -2800); -DISPLAY 0.723404 (-50 -2800); -PAINT GREEN (-50 -2800); -DISPLAY INVISIBLE (-50 -2800); -FORCEPROP 2 LAST CDS_LIB cnmemory -J 0 -(-200 -2950); -DISPLAY INVISIBLE (-200 -2950); -FORCEPROP 2 LASTPIN (-400 -2900) SIG_NAME UN$1$24AA025E48$I8$A2 +FORCEPROP 1 LAST PATH I7 +J 1 +(2175 200); +DISPLAY 0.723404 (2175 200); +PAINT WHITE (2175 200); +DISPLAY INVISIBLE (2175 200); +FORCEADD 24AA025E48..1 +(-200 -2950); +FORCEPROP 2 LASTPIN (-400 -2900) SIG_NAME UN$1$24AA025E48$I8$A2 J 0 (-390 -2890); DISPLAY 0.659574 (-390 -2890); @@ -2553,34 +2167,31 @@ J 0 DISPLAY 0.659574 (-390 -2790); PAINT MONO (-390 -2790); DISPLAY INVISIBLE (-390 -2790); -FORCEADD TAP..1 -(150 -1825); -FORCEPROP 1 LASTPIN (100 -1825) BN 8 -J 0 -(88 -1817); -DISPLAY 0.680851 (88 -1817); -PAINT GREEN (88 -1817); -FORCEPROP 2 LAST CDS_LIB standard -J 0 -(150 -1825); -DISPLAY INVISIBLE (150 -1825); -FORCEPROP 1 LAST PATH I81 -J 0 -(148 -1825); -DISPLAY 0.872340 (148 -1825); -PAINT GREEN (148 -1825); -DISPLAY INVISIBLE (148 -1825); -FORCEPROP 1 LAST BODY_TYPE PLUMBING +FORCEPROP 1 LAST PACK_TYPE SOIC +J 1 +(-150 -2820); +DISPLAY 0.723404 (-150 -2820); +PAINT GREEN (-150 -2820); +FORCEPROP 1 LAST TYPE 24AA025E48T-I/SN +J 1 +(-195 -2740); +DISPLAY 0.723404 (-195 -2740); +PAINT GREEN (-195 -2740); +FORCEPROP 2 LAST CDS_LIB cnmemory J 0 -(150 -1775); -DISPLAY 0.872340 (150 -1775); -PAINT GREEN (150 -1775); -DISPLAY INVISIBLE (150 -1775); -FORCEPROP 1 LAST HDL_TAP TRUE +(-200 -2950); +DISPLAY INVISIBLE (-200 -2950); +FORCEPROP 1 LAST $LOCATION IC? +J 1 +(-200 -2695); +DISPLAY 0.723404 (-200 -2695); +PAINT GREEN (-200 -2695); +FORCEPROP 1 LAST PATH I8 J 0 -(475 -1950); -DISPLAY 0.872340 (475 -1950); -DISPLAY INVISIBLE (475 -1950); +(-50 -2800); +DISPLAY 0.723404 (-50 -2800); +PAINT GREEN (-50 -2800); +DISPLAY INVISIBLE (-50 -2800); FORCEADD TAP..1 (150 -2200); FORCEPROP 1 LASTPIN (100 -2200) BN 0 @@ -2609,161 +2220,6 @@ J 0 (475 -2325); DISPLAY 0.872340 (475 -2325); DISPLAY INVISIBLE (475 -2325); -FORCEADD TAP..1 -(150 -750); -FORCEPROP 1 LASTPIN (100 -750) BN 21 -J 0 -(88 -742); -DISPLAY 0.680851 (88 -742); -PAINT GREEN (88 -742); -FORCEPROP 2 LAST CDS_LIB standard -J 0 -(150 -750); -DISPLAY INVISIBLE (150 -750); -FORCEPROP 1 LAST PATH I83 -J 0 -(148 -750); -DISPLAY 0.872340 (148 -750); -PAINT GREEN (148 -750); -DISPLAY INVISIBLE (148 -750); -FORCEPROP 1 LAST BODY_TYPE PLUMBING -J 0 -(150 -700); -DISPLAY 0.872340 (150 -700); -PAINT GREEN (150 -700); -DISPLAY INVISIBLE (150 -700); -FORCEPROP 1 LAST HDL_TAP TRUE -J 0 -(475 -875); -DISPLAY 0.872340 (475 -875); -DISPLAY INVISIBLE (475 -875); -FORCEADD TAP..1 -(150 -1450); -FORCEPROP 1 LASTPIN (100 -1450) BN 3 -J 0 -(88 -1442); -DISPLAY 0.680851 (88 -1442); -PAINT GREEN (88 -1442); -FORCEPROP 2 LAST CDS_LIB standard -J 0 -(150 -1450); -DISPLAY INVISIBLE (150 -1450); -FORCEPROP 1 LAST PATH I84 -J 0 -(148 -1450); -DISPLAY 0.872340 (148 -1450); -PAINT GREEN (148 -1450); -DISPLAY INVISIBLE (148 -1450); -FORCEPROP 1 LAST HDL_TAP TRUE -J 0 -(475 -1575); -DISPLAY 0.872340 (475 -1575); -DISPLAY INVISIBLE (475 -1575); -FORCEPROP 1 LAST BODY_TYPE PLUMBING -J 0 -(150 -1400); -DISPLAY 0.872340 (150 -1400); -PAINT GREEN (150 -1400); -DISPLAY INVISIBLE (150 -1400); -FORCEADD TAP..1 -(150 -1125); -FORCEPROP 1 LASTPIN (100 -1125) BN 22 -J 0 -(88 -1117); -DISPLAY 0.680851 (88 -1117); -PAINT GREEN (88 -1117); -FORCEPROP 2 LAST CDS_LIB standard -J 0 -(150 -1125); -DISPLAY INVISIBLE (150 -1125); -FORCEPROP 1 LAST PATH I85 -J 0 -(148 -1125); -DISPLAY 0.872340 (148 -1125); -PAINT GREEN (148 -1125); -DISPLAY INVISIBLE (148 -1125); -FORCEPROP 1 LAST HDL_TAP TRUE -J 0 -(475 -1250); -DISPLAY 0.872340 (475 -1250); -DISPLAY INVISIBLE (475 -1250); -FORCEPROP 1 LAST BODY_TYPE PLUMBING -J 0 -(150 -1075); -DISPLAY 0.872340 (150 -1075); -PAINT GREEN (150 -1075); -DISPLAY INVISIBLE (150 -1075); -FORCEADD TAP..1 -(1750 -1125); -FORCEPROP 1 LASTPIN (1700 -1125) BN 22 -J 0 -(1688 -1117); -DISPLAY 0.680851 (1688 -1117); -PAINT GREEN (1688 -1117); -FORCEPROP 1 LAST HDL_TAP TRUE -J 0 -(2075 -1250); -DISPLAY 0.872340 (2075 -1250); -DISPLAY INVISIBLE (2075 -1250); -FORCEPROP 1 LAST BODY_TYPE PLUMBING -J 0 -(1750 -1075); -DISPLAY 0.872340 (1750 -1075); -PAINT GREEN (1750 -1075); -DISPLAY INVISIBLE (1750 -1075); -FORCEPROP 1 LAST PATH I86 -J 0 -(1748 -1125); -DISPLAY 0.872340 (1748 -1125); -PAINT GREEN (1748 -1125); -DISPLAY INVISIBLE (1748 -1125); -FORCEPROP 2 LAST CDS_LIB standard -J 0 -(1750 -1125); -DISPLAY INVISIBLE (1750 -1125); -FORCEADD P2V5..1 -(2900 850); -FORCEPROP 3 LASTPIN (2900 800) SIG_NAME P2V5\g -J 0 -(2910 810); -DISPLAY 0.659574 (2910 810); -PAINT MONO (2910 810); -DISPLAY INVISIBLE (2910 810); -FORCEPROP 2 LAST CDS_LIB cnpower -J 0 -(2900 850); -DISPLAY INVISIBLE (2900 850); -FORCEPROP 1 LASTPIN (2900 800) VHDL_INIT 1 -R 1 -J 0 -(2950 635); -DISPLAY 0.468085 (2950 635); -PAINT GREEN (2950 635); -DISPLAY INVISIBLE (2950 635); -FORCEPROP 1 LAST SIZE 1B -J 0 -(2875 950); -DISPLAY 0.872340 (2875 950); -PAINT SKYBLUE (2875 950); -DISPLAY INVISIBLE (2875 950); -FORCEPROP 1 LAST HDL_POWER P2V5 -J 0 -(2900 850); -DISPLAY 0.468085 (2900 850); -PAINT GREEN (2900 850); -DISPLAY INVISIBLE (2900 850); -FORCEPROP 1 LAST BODY_TYPE PLUMBING -J 0 -(2900 850); -DISPLAY 0.468085 (2900 850); -PAINT GREEN (2900 850); -DISPLAY INVISIBLE (2900 850); -FORCEPROP 1 LAST PATH I88 -J 0 -(2950 850); -DISPLAY 0.872340 (2950 850); -PAINT PINK (2950 850); -DISPLAY INVISIBLE (2950 850); FORCEADD GND_SIGNAL..1 (2250 -150); FORCEPROP 3 LASTPIN (2300 -100) SIG_NAME GND_SIGNAL\g @@ -2834,11 +2290,6 @@ DISPLAY INVISIBLE (-475 -3100); FORCEADD CAPCERSMDCL2..1 R 1 (3050 500); -FORCEPROP 1 LAST LOCATION C1 -R 1 -J 0 -(3000 475); -DISPLAY 0.723404 (3000 475); FORCEPROP 1 LAST VALUE 100NF R 1 J 1 @@ -2849,6 +2300,11 @@ R 1 J 1 (3200 500); DISPLAY 0.723404 (3200 500); +FORCEPROP 1 LAST LOCATION C1 +R 1 +J 0 +(3000 475); +DISPLAY 0.723404 (3000 475); FORCEPROP 1 LAST PATH I90 R 1 J 0 @@ -2912,11 +2368,6 @@ DISPLAY 0.978723 (3000 450); DISPLAY INVISIBLE (3000 450); FORCEADD USBLC6-2..1 (2600 100); -FORCEPROP 1 LAST LOCATION D3 -J 1 -(2605 318); -DISPLAY 0.723404 (2605 318); -PAINT WHITE (2605 318); FORCEPROP 1 LAST TYPE USBLC6-2SC6 J 1 (2605 263); @@ -2927,6 +2378,11 @@ J 1 (2605 -98); DISPLAY 0.723404 (2605 -98); PAINT WHITE (2605 -98); +FORCEPROP 1 LAST LOCATION D3 +J 1 +(2605 318); +DISPLAY 0.723404 (2605 318); +PAINT WHITE (2605 318); FORCEPROP 2 LAST CDS_LIB cndiscrete J 0 (2600 100); @@ -3114,16 +2570,11 @@ J 0 DISPLAY INVISIBLE (2600 -3650); FORCEADD ZENER..1 (3325 525); -FORCEPROP 1 LAST LOCATION Z4 +FORCEPROP 1 LAST TYPE BZT52-C3V6 J 2 -(3467 487); -DISPLAY 0.723404 (3467 487); -PAINT WHITE (3467 487); -FORCEPROP 1 LAST TYPE BZT52C2V7 -J 2 -(3690 570); -DISPLAY 0.723404 (3690 570); -PAINT WHITE (3690 570); +(3750 525); +DISPLAY 0.723404 (3750 525); +PAINT WHITE (3750 525); FORCEPROP 1 LAST PACK_TYPE SOD123-CA J 0 (3365 520); @@ -3134,6 +2585,11 @@ FORCEPROP 2 LAST CDS_LIB cndiscrete J 0 (3325 525); DISPLAY INVISIBLE (3325 525); +FORCEPROP 1 LAST $LOCATION ZD? +J 2 +(3292 537); +DISPLAY 0.723404 (3292 537); +PAINT WHITE (3292 537); FORCEPROP 1 LAST PATH I98 J 0 (3350 850); @@ -3184,34 +2640,29 @@ J 0 DISPLAY INVISIBLE (4050 750); FORCEADD A3-2000..1 (1500 350); -FORCEPROP 0 LAST CDS_CON_LAST_MODIFIED Thu Apr 21 14:46:20 2016 +FORCEPROP 0 LAST CDS_CON_LAST_MODIFIED Fri May 20 14:40:51 2016 J 0 (3250 -2450); DISPLAY INVISIBLE (3250 -2450); FORCEPROP 1 LAST CUSTOM_TXT_CDS <CON_LAST_MODIFIED> J 0 (3250 -2450); -PAINT GREEN (3250 -2450); FORCEPROP 1 LAST CUSTOM_TXT_CDS OVERALL PAGE: <CURRENT_DESIGN_SHEET> OF <TOTAL_DESIGN_SHEETS> J 0 (4875 -3850); DISPLAY 1.255319 (4875 -3850); -PAINT GREEN (4875 -3850); FORCEPROP 1 LAST CUSTOM_TXT_CDS MODULE PAGE: <CON_PAGE_NUM> OF <CON_TOTAL_PAGES> J 0 (4925 -3750); DISPLAY 1.255319 (4925 -3750); -PAINT GREEN (4925 -3750); FORCEPROP 1 LAST CUSTOM_TXT_CDS <CON_DESIGN_LIB> J 0 (3350 -3225); DISPLAY 1.978723 (3350 -3225); -PAINT GREEN (3350 -3225); FORCEPROP 1 LAST CUSTOM_TXT_CDS MODULE: <CON_DESIGN_NAME> J 0 (2900 -3350); DISPLAY 1.255319 (2900 -3350); -PAINT GREEN (2900 -3350); FORCEPROP 1 LAST COMMENT_BODY TRUE J 0 (6300 -5625); @@ -3222,499 +2673,385 @@ FORCEPROP 2 LAST CDS_LIB bris_cds_standard J 0 (1500 350); DISPLAY INVISIBLE (1500 350); -WIRE 16 -1 (50 -3300)(50 -3350); -WIRE 16 -1 (-100 -3300)(50 -3300); +WIRE 16 -1 (4050 700)(4050 425); +WIRE 16 -1 (2600 -3500)(2600 -3600); +WIRE 16 -1 (1050 -3500)(2600 -3500); +WIRE 16 -1 (2600 -3450)(2600 -3350); +WIRE 16 -1 (1050 -3450)(2600 -3450); +WIRE 16 -1 (1900 -2800)(1900 -2500); +WIRE 16 -1 (1200 -2800)(1900 -2800); +WIRE 16 -1 (1900 -3350)(1900 -3650); +WIRE 16 -1 (1900 -3250)(1900 -3350); +WIRE 16 -1 (1050 -3350)(1900 -3350); +WIRE 16 -1 (3050 375)(3050 350); +WIRE 16 -1 (3325 375)(3050 375); +WIRE 16 -1 (3050 400)(3050 375); +WIRE 16 -1 (-450 -3300)(-450 -3250); +WIRE 16 -1 (-450 -3300)(-750 -3300); +WIRE 16 -1 (-450 -3300)(-300 -3300); +WIRE 16 -1 (2300 100)(2300 -100); +WIRE 16 -1 (2350 100)(2300 100); +WIRE 16 -1 (4450 700)(4450 425); +WIRE 16 -1 (4350 -1550)(4350 -1375); WIRE 16 -1 (-1300 -2400)(-1300 -2600); WIRE 16 -1 (-1050 -2400)(-1300 -2400); -WIRE 16 -1 (4350 -1550)(4350 -1375); +WIRE 16 -1 (50 -3300)(50 -3350); +WIRE 16 -1 (-100 -3300)(50 -3300); WIRE 16 -1 (4250 700)(4250 425); -WIRE 16 -1 (4450 700)(4450 425); WIRE 16 -1 (2900 650)(2900 800); WIRE 16 -1 (3050 650)(2900 650); WIRE 16 -1 (2900 100)(2900 650); -WIRE 16 -1 (2300 100)(2300 -100); -WIRE 16 -1 (2350 100)(2300 100); -WIRE 16 -1 (-450 -3300)(-450 -3250); -WIRE 16 -1 (-450 -3300)(-750 -3300); -WIRE 16 -1 (-450 -3300)(-300 -3300); -WIRE 16 -1 (3050 375)(3050 350); -WIRE 16 -1 (3325 375)(3050 375); -WIRE 16 -1 (3050 400)(3050 375); -WIRE 16 -1 (1900 -3350)(1900 -3650); -WIRE 16 -1 (1900 -3250)(1900 -3350); -WIRE 16 -1 (1050 -3350)(1900 -3350); -WIRE 16 -1 (1900 -2800)(1900 -2500); -WIRE 16 -1 (1200 -2800)(1900 -2800); -WIRE 16 -1 (2600 -3450)(2600 -3350); -WIRE 16 -1 (1050 -3450)(2600 -3450); -WIRE 16 -1 (2600 -3500)(2600 -3600); -WIRE 16 -1 (1050 -3500)(2600 -3500); -WIRE 16 -1 (4050 700)(4050 425); -WIRE 16 -1 (-1050 -2400)(-1050 -2500); -WIRE 16 -1 (-900 -2400)(-1050 -2400); -WIRE 16 -1 (-900 -2400)(-900 -2500); -WIRE 16 -1 (-750 -2400)(-900 -2400); -WIRE 16 -1 (-750 -2500)(-750 -2400); +WIRE 16 -1 (3325 475)(3325 375); WIRE 16 -1 (2850 100)(2900 100); WIRE 16 -1 (3050 600)(3050 650); WIRE 16 -1 (3325 650)(3050 650); WIRE 16 -1 (3325 575)(3325 650); -WIRE 16 -1 (-300 -3150)(-300 -3300); -WIRE 16 -1 (-750 -3150)(-750 -3300); +WIRE 16 -1 (-400 -3000)(-600 -3000); +FORCEPROP 2 LAST SIG_NAME SCL +J 0 +(-610 -2990); +DISPLAY 1.021277 (-610 -2990); +WIRE 16 -1 (0 -3000)(250 -3000); +FORCEPROP 2 LAST SIG_NAME SDA +J 0 +(90 -2990); +DISPLAY 1.021277 (90 -2990); +WIRE 16 -1 (-100 -3150)(-100 -3300); +WIRE 16 -1 (-1050 -3150)(-1050 -3300); WIRE 16 -1 (-900 -3150)(-900 -3300); -WIRE 16 -1 (-900 -3300)(-750 -3300); WIRE 16 -1 (-1050 -3300)(-900 -3300); -WIRE 16 -1 (-1050 -3150)(-1050 -3300); -WIRE 16 -1 (3325 475)(3325 375); -WIRE 16 -1 (1050 -3250)(1900 -3250); -WIRE 16 -1 (1900 -3150)(1900 -3250); -WIRE 16 -1 (1050 -3150)(1900 -3150); -WIRE 16 -1 (1900 -3050)(1900 -3150); -WIRE 16 -1 (1050 -3050)(1900 -3050); -WIRE 16 -1 (1900 -2950)(1900 -3050); -WIRE 16 -1 (1050 -2950)(1900 -2950); -WIRE 16 -1 (1900 -2850)(1900 -2950); -WIRE 16 -1 (1050 -2850)(1900 -2850); -WIRE 16 -1 (1050 -2800)(1200 -2800); -WIRE 16 -1 (1200 -2750)(1200 -2800); -WIRE 16 -1 (1050 -2750)(1200 -2750); -WIRE 16 -1 (-100 -3150)(-100 -3300); -WIRE 16 -1 (1700 1125)(500 1125); -FORCEPROP 2 LAST SIG_NAME BEAM_TRIGGER_CFD*<0> +WIRE 16 -1 (-750 -3150)(-750 -3300); +WIRE 16 -1 (-900 -3300)(-750 -3300); +WIRE 16 -1 (-300 -3150)(-300 -3300); +WIRE 16 -1 (-1050 -2800)(-1050 -2950); +WIRE 16 -1 (-1050 -2700)(-1050 -2800); +WIRE 16 -1 (-400 -2800)(-1050 -2800); +WIRE 16 -1 (-900 -2850)(-900 -2950); +WIRE 16 -1 (-900 -2700)(-900 -2850); +WIRE 16 -1 (-400 -2850)(-900 -2850); +WIRE 16 -1 (-750 -2900)(-750 -2950); +WIRE 16 -1 (-750 -2700)(-750 -2900); +WIRE 16 -1 (-400 -2900)(-750 -2900); +WIRE 16 -1 (2250 200)(2350 200); +WIRE 16 -1 (2250 150)(2250 200); +WIRE 16 -1 (2200 150)(2250 150); +WIRE 16 -1 (2250 0)(2350 0); +WIRE 16 -1 (2250 50)(2250 0); +WIRE 16 -1 (2200 50)(2250 50); +WIRE 16 -1 (1700 625)(500 625); +FORCEPROP 2 LAST SIG_NAME BEAM_TRIGGER_CFD*<2> J 0 -(540 1135); -DISPLAY 1.021277 (540 1135); +(540 635); +DISPLAY 1.021277 (540 635); +WIRE 16 -1 (2850 200)(2950 200); +WIRE 16 -1 (2950 200)(2950 125); +WIRE 16 -1 (3700 125)(2950 125); +FORCEPROP 2 LAST SIG_NAME FRONT_PANEL_CLK +J 0 +(2990 160); +DISPLAY 1.021277 (2990 160); +WIRE 17 -1 (3700 -375)(3700 -350); +WIRE 17 -1 (3700 -350)(2700 -350); +WIRE 17 -1 (2700 -350)(2700 -2200); +WIRE 17 -1 (1800 -2200)(2700 -2200); +FORCEPROP 2 LAST SIG_NAME FMC_LA<33..0>* +J 0 +(1865 -2140); +DISPLAY 1.021277 (1865 -2140); +WIRE 17 -1 (1800 -2200)(1800 -650); +WIRE 17 -1 (1800 -450)(1800 -650); +WIRE 17 -1 (1800 -250)(1800 -450); +WIRE 17 -1 (1800 -50)(1800 -250); +WIRE 17 -1 (1800 150)(1800 -50); +WIRE 17 -1 (1800 275)(1800 150); +WIRE 17 -1 (1800 400)(1800 275); +WIRE 17 -1 (1800 525)(1800 400); +WIRE 17 -1 (1800 1150)(1800 1025); +WIRE 17 -1 (1800 650)(1800 525); +WIRE 17 -1 (1800 775)(1800 650); +WIRE 17 -1 (1800 1025)(1800 900); +WIRE 17 -1 (1800 900)(1800 775); +WIRE 16 -1 (1700 125)(-1100 125); +FORCEPROP 2 LAST SIG_NAME CONT_TO_FPGA<0> +J 0 +(-1125 135); +DISPLAY 1.021277 (-1125 135); +WIRE 16 -1 (-1100 -75)(1700 -75); +FORCEPROP 2 LAST SIG_NAME SPARE_TO_FPGA<0> +J 0 +(-1125 -65); +DISPLAY 1.021277 (-1125 -65); +WIRE 16 -1 (-1100 -275)(1700 -275); +FORCEPROP 2 LAST SIG_NAME TRIG_TO_FPGA<0> +J 0 +(-1125 -265); +DISPLAY 1.021277 (-1125 -265); +WIRE 16 -1 (1700 -475)(-1100 -475); +FORCEPROP 2 LAST SIG_NAME BUSY_TO_FPGA<0> +J 0 +(-1125 -465); +DISPLAY 1.021277 (-1125 -465); +WIRE 16 -1 (1700 -675)(-1100 -675); +FORCEPROP 2 LAST SIG_NAME CLK_TO_FPGA<0> +J 0 +(-1125 -665); +DISPLAY 1.021277 (-1125 -665); +WIRE 16 -1 (1700 250)(500 250); +FORCEPROP 2 LAST SIG_NAME BEAM_TRIGGER*<3> +J 0 +(540 260); +DISPLAY 1.021277 (540 260); +WIRE 17 -1 (200 1150)(200 1025); +WIRE 17 -1 (200 1025)(200 900); +WIRE 17 -1 (200 900)(200 775); +WIRE 17 -1 (200 775)(200 650); WIRE 17 -1 (3700 -475)(3700 -450); +WIRE 17 -1 (200 650)(200 525); +WIRE 17 -1 (200 525)(200 400); WIRE 17 -1 (3700 -450)(2925 -450); WIRE 17 -1 (2925 -450)(2925 -2350); +WIRE 17 -1 (200 400)(200 275); +WIRE 17 -1 (200 275)(200 100); WIRE 17 -1 (200 -2350)(2925 -2350); FORCEPROP 2 LAST SIG_NAME FMC_LA<33..0> J 0 (2015 -2340); DISPLAY 1.021277 (2015 -2340); WIRE 17 -1 (200 -2350)(200 -2175); -WIRE 17 -1 (200 -2175)(200 -2025); -WIRE 17 -1 (200 -1800)(200 -2025); -WIRE 17 -1 (200 -1675)(200 -1800); -WIRE 17 -1 (200 -1550)(200 -1675); -WIRE 17 -1 (200 -1425)(200 -1550); -WIRE 17 -1 (200 -1300)(200 -1425); -WIRE 17 -1 (200 -1100)(200 -1300); -WIRE 17 -1 (200 -975)(200 -1100); -WIRE 17 -1 (200 -850)(200 -975); -WIRE 17 -1 (200 -725)(200 -850); -WIRE 17 -1 (200 -475)(200 -725); -WIRE 17 -1 (200 -350)(200 -475); -WIRE 17 -1 (200 -225)(200 -350); -WIRE 17 -1 (200 -100)(200 -225); -WIRE 17 -1 (200 25)(200 -100); -WIRE 17 -1 (200 275)(200 25); -WIRE 17 -1 (200 400)(200 275); -WIRE 17 -1 (200 525)(200 400); -WIRE 17 -1 (200 650)(200 525); -WIRE 17 -1 (200 775)(200 650); -WIRE 17 -1 (200 900)(200 775); -WIRE 17 -1 (200 1025)(200 900); -WIRE 17 -1 (200 1150)(200 1025); -WIRE 16 -1 (100 1000)(-1100 1000); -FORCEPROP 2 LAST SIG_NAME BEAM_TRIGGER<0> +WIRE 17 -1 (200 100)(200 -100); +WIRE 17 -1 (200 -100)(200 -300); +WIRE 17 -1 (200 -2175)(200 -850); +WIRE 17 -1 (200 -700)(200 -850); +WIRE 17 -1 (200 -300)(200 -500); +WIRE 17 -1 (200 -500)(200 -700); +WIRE 16 -1 (100 -525)(-1100 -525); +FORCEPROP 2 LAST SIG_NAME BUSY_FROM_FPGA<0> +J 0 +(-1125 -515); +DISPLAY 1.021277 (-1125 -515); +WIRE 16 -1 (100 -325)(-1100 -325); +FORCEPROP 2 LAST SIG_NAME TRIG_FROM_FPGA<0> +J 0 +(-1125 -315); +DISPLAY 1.021277 (-1125 -315); +WIRE 16 -1 (100 75)(-1100 75); +FORCEPROP 2 LAST SIG_NAME CONT_FROM_FPGA<0> +J 0 +(-1125 85); +DISPLAY 1.021277 (-1125 85); +WIRE 16 -1 (100 -125)(-1100 -125); +FORCEPROP 2 LAST SIG_NAME SPARE_FROM_FPGA<0> J 0 -(-1060 1010); -DISPLAY 1.021277 (-1060 1010); -WIRE 16 -1 (100 875)(-1100 875); -FORCEPROP 2 LAST SIG_NAME BEAM_TRIGGER_CFD<1> +(-1125 -115); +DISPLAY 1.021277 (-1125 -115); +WIRE 16 -1 (100 250)(-1100 250); +FORCEPROP 2 LAST SIG_NAME BEAM_TRIGGER<3> J 0 -(-1060 885); -DISPLAY 1.021277 (-1060 885); +(-1060 260); +DISPLAY 1.021277 (-1060 260); WIRE 16 -1 (100 1125)(-1100 1125); FORCEPROP 2 LAST SIG_NAME BEAM_TRIGGER_CFD<0> J 0 (-1060 1135); DISPLAY 1.021277 (-1060 1135); -WIRE 16 -1 (1700 500)(500 500); -FORCEPROP 2 LAST SIG_NAME BEAM_TRIGGER*<2> -J 0 -(540 510); -DISPLAY 1.021277 (540 510); -WIRE 16 -1 (1700 375)(500 375); -FORCEPROP 2 LAST SIG_NAME BEAM_TRIGGER_CFD*<3> -J 0 -(540 385); -DISPLAY 1.021277 (540 385); -WIRE 16 -1 (1700 250)(500 250); -FORCEPROP 2 LAST SIG_NAME BEAM_TRIGGER*<3> -J 0 -(540 260); -DISPLAY 1.021277 (540 260); -WIRE 16 -1 (1700 0)(500 0); -FORCEPROP 2 LAST SIG_NAME CLK2* +WIRE 16 -1 (1050 -2750)(1200 -2750); +WIRE 16 -1 (1050 -2800)(1200 -2800); +WIRE 16 -1 (1200 -2750)(1200 -2800); +WIRE 16 -1 (1050 -2850)(1900 -2850); +WIRE 16 -1 (1050 -2950)(1900 -2950); +WIRE 16 -1 (1900 -2850)(1900 -2950); +WIRE 16 -1 (1050 -3050)(1900 -3050); +WIRE 16 -1 (1900 -2950)(1900 -3050); +WIRE 16 -1 (1050 -3150)(1900 -3150); +WIRE 16 -1 (1900 -3050)(1900 -3150); +WIRE 16 -1 (1050 -3250)(1900 -3250); +WIRE 16 -1 (1900 -3150)(1900 -3250); +WIRE 16 -1 (-750 -2500)(-750 -2400); +WIRE 16 -1 (-900 -2400)(-900 -2500); +WIRE 16 -1 (-750 -2400)(-900 -2400); +WIRE 16 -1 (-1050 -2400)(-1050 -2500); +WIRE 16 -1 (-900 -2400)(-1050 -2400); +WIRE 16 -1 (5000 -575)(5000 -550); +WIRE 16 -1 (5000 -550)(5650 -550); +FORCEPROP 2 LAST SIG_NAME SDA J 0 -(540 10); -DISPLAY 1.021277 (540 10); -WIRE 16 -1 (100 -2050)(-1100 -2050); -FORCEPROP 2 LAST SIG_NAME HDMI_POWER_ENABLE1 +(5490 -540); +DISPLAY 1.021277 (5490 -540); +WIRE 16 -1 (2850 0)(2950 0); +WIRE 16 -1 (2950 0)(2950 50); +WIRE 16 -1 (3700 25)(3700 50); +WIRE 16 -1 (3700 50)(2950 50); +FORCEPROP 2 LAST SIG_NAME FRONT_PANEL_CLK* J 0 -(-1060 -2040); -DISPLAY 1.021277 (-1060 -2040); -WIRE 16 -1 (100 -2200)(-1100 -2200); -FORCEPROP 2 LAST SIG_NAME GPIO_CLK +(2990 10); +DISPLAY 1.021277 (2990 10); +WIRE 16 -1 (5000 -675)(5000 -700); +WIRE 16 -1 (5000 -700)(5650 -700); +FORCEPROP 2 LAST SIG_NAME SCL J 0 -(-1110 -2190); -DISPLAY 1.021277 (-1110 -2190); -WIRE 16 -1 (-750 -2900)(-750 -2950); -WIRE 16 -1 (-400 -2900)(-750 -2900); -WIRE 16 -1 (-750 -2700)(-750 -2900); -WIRE 16 -1 (-900 -2850)(-900 -2950); -WIRE 16 -1 (-400 -2850)(-900 -2850); -WIRE 16 -1 (-900 -2700)(-900 -2850); -WIRE 16 -1 (-1050 -2800)(-1050 -2950); -WIRE 16 -1 (-400 -2800)(-1050 -2800); -WIRE 16 -1 (-1050 -2700)(-1050 -2800); -WIRE 16 -1 (1050 -2900)(2200 -2900); +(5490 -690); +DISPLAY 1.021277 (5490 -690); +WIRE 16 -1 (3700 -75)(2950 -75); FORCEPROP 2 LAST SIG_NAME CLK_IO_1 J 0 -(2040 -2890); -DISPLAY 1.021277 (2040 -2890); -WIRE 16 -1 (1050 -3000)(2200 -3000); -FORCEPROP 2 LAST SIG_NAME GPIO_CLK -J 0 -(2140 -2990); -DISPLAY 1.021277 (2140 -2990); -WIRE 16 -1 (1050 -3100)(2200 -3100); +(2990 -65); +DISPLAY 1.021277 (2990 -65); +WIRE 16 -1 (3700 -175)(2975 -175); FORCEPROP 2 LAST SIG_NAME CLK_IO_2 J 0 -(2090 -3090); -DISPLAY 1.021277 (2090 -3090); -WIRE 16 -1 (1050 -3300)(2200 -3300); -FORCEPROP 2 LAST SIG_NAME SDA +(3015 -165); +DISPLAY 1.021277 (3015 -165); +WIRE 16 -1 (3725 -175)(3700 -175); +WIRE 16 -1 (100 500)(-1100 500); +FORCEPROP 2 LAST SIG_NAME BEAM_TRIGGER<2> J 0 -(2140 -3290); -DISPLAY 1.021277 (2140 -3290); -WIRE 16 -1 (1050 -3400)(2200 -3400); -FORCEPROP 2 LAST SIG_NAME SCL +(-1060 510); +DISPLAY 1.021277 (-1060 510); +WIRE 16 -1 (100 625)(-1100 625); +FORCEPROP 2 LAST SIG_NAME BEAM_TRIGGER_CFD<2> J 0 -(2140 -3390); -DISPLAY 1.021277 (2140 -3390); -WIRE 17 -1 (1800 1150)(1800 1025); -WIRE 17 -1 (1800 1025)(1800 900); -WIRE 17 -1 (1800 900)(1800 775); -WIRE 17 -1 (1800 775)(1800 650); -WIRE 17 -1 (1800 650)(1800 525); -WIRE 17 -1 (1800 525)(1800 400); -WIRE 17 -1 (1800 400)(1800 275); -WIRE 17 -1 (1800 275)(1800 25); -WIRE 17 -1 (1800 25)(1800 -100); -WIRE 17 -1 (1800 -100)(1800 -225); -WIRE 17 -1 (1800 -225)(1800 -350); -WIRE 17 -1 (1800 -350)(1800 -475); -WIRE 17 -1 (1800 -475)(1800 -725); -WIRE 17 -1 (1800 -725)(1800 -850); -WIRE 17 -1 (1800 -975)(1800 -850); -WIRE 17 -1 (1800 -975)(1800 -1100); -WIRE 17 -1 (1800 -1100)(1800 -1300); -WIRE 17 -1 (1800 -1300)(1800 -1425); -WIRE 17 -1 (1800 -1425)(1800 -1550); -WIRE 17 -1 (1800 -1550)(1800 -1675); -WIRE 17 -1 (1800 -1675)(1800 -1800); -WIRE 17 -1 (1800 -1800)(1800 -2025); -WIRE 17 -1 (1800 -2200)(1800 -2025); -WIRE 17 -1 (1800 -2200)(2700 -2200); -FORCEPROP 2 LAST SIG_NAME FMC_LA<33..0>* +(-1060 635); +DISPLAY 1.021277 (-1060 635); +WIRE 16 -1 (100 750)(-1100 750); +FORCEPROP 2 LAST SIG_NAME BEAM_TRIGGER<1> J 0 -(1865 -2140); -DISPLAY 1.021277 (1865 -2140); -WIRE 17 -1 (2700 -350)(2700 -2200); -WIRE 17 -1 (3700 -350)(2700 -350); -WIRE 17 -1 (3700 -375)(3700 -350); -WIRE 16 -1 (1700 -500)(500 -500); -FORCEPROP 2 LAST SIG_NAME SPARE2* -J 0 -(540 -490); -DISPLAY 1.021277 (540 -490); -WIRE 16 -1 (1700 -375)(500 -375); -FORCEPROP 2 LAST SIG_NAME CONT2* -J 0 -(540 -365); -DISPLAY 1.021277 (540 -365); -WIRE 16 -1 (1700 -250)(500 -250); -FORCEPROP 2 LAST SIG_NAME BUSY2* -J 0 -(540 -240); -DISPLAY 1.021277 (540 -240); -WIRE 16 -1 (1700 -125)(500 -125); -FORCEPROP 2 LAST SIG_NAME TRIG2* -J 0 -(540 -115); -DISPLAY 1.021277 (540 -115); -WIRE 16 -1 (1700 625)(500 625); -FORCEPROP 2 LAST SIG_NAME BEAM_TRIGGER_CFD*<2> +(-1060 760); +DISPLAY 1.021277 (-1060 760); +WIRE 16 -1 (1700 750)(500 750); +FORCEPROP 2 LAST SIG_NAME BEAM_TRIGGER*<1> J 0 -(540 635); -DISPLAY 1.021277 (540 635); +(540 760); +DISPLAY 1.021277 (540 760); +WIRE 16 -1 (1700 875)(500 875); +FORCEPROP 2 LAST SIG_NAME BEAM_TRIGGER_CFD*<1> +J 0 +(540 885); +DISPLAY 1.021277 (540 885); WIRE 16 -1 (1700 1000)(500 1000); FORCEPROP 2 LAST SIG_NAME BEAM_TRIGGER*<0> J 0 (540 1010); DISPLAY 1.021277 (540 1010); -WIRE 16 -1 (1700 875)(500 875); -FORCEPROP 2 LAST SIG_NAME BEAM_TRIGGER_CFD*<1> +WIRE 16 -1 (1050 -3400)(2200 -3400); +FORCEPROP 2 LAST SIG_NAME SCL J 0 -(540 885); -DISPLAY 1.021277 (540 885); -WIRE 16 -1 (1700 750)(500 750); -FORCEPROP 2 LAST SIG_NAME BEAM_TRIGGER*<1> +(2140 -3390); +DISPLAY 1.021277 (2140 -3390); +WIRE 16 -1 (1050 -3300)(2200 -3300); +FORCEPROP 2 LAST SIG_NAME SDA J 0 -(540 760); -DISPLAY 1.021277 (540 760); -WIRE 16 -1 (100 750)(-1100 750); -FORCEPROP 2 LAST SIG_NAME BEAM_TRIGGER<1> +(2140 -3290); +DISPLAY 1.021277 (2140 -3290); +WIRE 16 -1 (1050 -3100)(2200 -3100); +FORCEPROP 2 LAST SIG_NAME CLK_IO_2 J 0 -(-1060 760); -DISPLAY 1.021277 (-1060 760); -WIRE 16 -1 (100 625)(-1100 625); -FORCEPROP 2 LAST SIG_NAME BEAM_TRIGGER_CFD<2> +(2090 -3090); +DISPLAY 1.021277 (2090 -3090); +WIRE 16 -1 (1050 -3000)(2200 -3000); +FORCEPROP 2 LAST SIG_NAME GPIO_CLK J 0 -(-1060 635); -DISPLAY 1.021277 (-1060 635); -WIRE 16 -1 (100 500)(-1100 500); -FORCEPROP 2 LAST SIG_NAME BEAM_TRIGGER<2> +(2140 -2990); +DISPLAY 1.021277 (2140 -2990); +WIRE 16 -1 (1050 -2900)(2200 -2900); +FORCEPROP 2 LAST SIG_NAME CLK_IO_1 J 0 -(-1060 510); -DISPLAY 1.021277 (-1060 510); -WIRE 16 -1 (1700 -875)(500 -875); -FORCEPROP 2 LAST SIG_NAME TRIG0* -J 0 -(540 -865); -DISPLAY 1.021277 (540 -865); -WIRE 16 -1 (1700 -750)(500 -750); -FORCEPROP 2 LAST SIG_NAME DUT_CLK0* -J 0 -(490 -740); -DISPLAY 1.021277 (490 -740); -WIRE 16 -1 (100 -500)(-1100 -500); -FORCEPROP 2 LAST SIG_NAME SPARE2 -J 0 -(-1110 -490); -DISPLAY 1.021277 (-1110 -490); -WIRE 16 -1 (100 -375)(-1100 -375); -FORCEPROP 2 LAST SIG_NAME CONT2 -J 0 -(-1110 -365); -DISPLAY 1.021277 (-1110 -365); -WIRE 16 -1 (100 250)(-1100 250); -FORCEPROP 2 LAST SIG_NAME BEAM_TRIGGER<3> +(2040 -2890); +DISPLAY 1.021277 (2040 -2890); +WIRE 16 -1 (1700 375)(500 375); +FORCEPROP 2 LAST SIG_NAME BEAM_TRIGGER_CFD*<3> J 0 -(-1060 260); -DISPLAY 1.021277 (-1060 260); -WIRE 16 -1 (100 -250)(-1100 -250); -FORCEPROP 2 LAST SIG_NAME BUSY2 +(540 385); +DISPLAY 1.021277 (540 385); +WIRE 16 -1 (1700 500)(500 500); +FORCEPROP 2 LAST SIG_NAME BEAM_TRIGGER*<2> J 0 -(-1110 -240); -DISPLAY 1.021277 (-1110 -240); -WIRE 16 -1 (100 -750)(-1100 -750); -FORCEPROP 2 LAST SIG_NAME DUT_CLK0 +(540 510); +DISPLAY 1.021277 (540 510); +WIRE 16 -1 (1700 1125)(500 1125); +FORCEPROP 2 LAST SIG_NAME BEAM_TRIGGER_CFD*<0> J 0 -(-1060 -740); -DISPLAY 1.021277 (-1060 -740); -WIRE 16 -1 (100 -875)(-1100 -875); -FORCEPROP 2 LAST SIG_NAME TRIG0 -J 0 -(-1060 -865); -DISPLAY 1.021277 (-1060 -865); -WIRE 16 -1 (100 -1000)(-1100 -1000); -FORCEPROP 2 LAST SIG_NAME BUSY0 -J 0 -(-1060 -990); -DISPLAY 1.021277 (-1060 -990); -WIRE 16 -1 (100 -1125)(-1125 -1125); -FORCEPROP 2 LAST SIG_NAME CONT0 -J 0 -(-1135 -1115); -DISPLAY 1.021277 (-1135 -1115); -WIRE 16 -1 (100 -1325)(-1100 -1325); -FORCEPROP 2 LAST SIG_NAME CLK1 -J 0 -(-1110 -1315); -DISPLAY 1.021277 (-1110 -1315); -WIRE 16 -1 (1700 -1575)(500 -1575); -FORCEPROP 2 LAST SIG_NAME BUSY1* -J 0 -(490 -1565); -DISPLAY 1.021277 (490 -1565); -WIRE 16 -1 (1700 -1700)(500 -1700); -FORCEPROP 2 LAST SIG_NAME CONT1* -J 0 -(490 -1690); -DISPLAY 1.021277 (490 -1690); -WIRE 16 -1 (1700 -1825)(525 -1825); -FORCEPROP 2 LAST SIG_NAME SPARE1* -J 0 -(490 -1815); -DISPLAY 1.021277 (490 -1815); -WIRE 16 -1 (1700 -1000)(500 -1000); -FORCEPROP 2 LAST SIG_NAME BUSY0* -J 0 -(540 -990); -DISPLAY 1.021277 (540 -990); -WIRE 16 -1 (450 -1125)(1700 -1125); -FORCEPROP 2 LAST SIG_NAME CONT0* -J 0 -(440 -1115); -DISPLAY 1.021277 (440 -1115); -WIRE 16 -1 (100 -125)(-1100 -125); -FORCEPROP 2 LAST SIG_NAME TRIG2 +(540 1135); +DISPLAY 1.021277 (540 1135); +WIRE 16 -1 (100 -2200)(-1100 -2200); +FORCEPROP 2 LAST SIG_NAME GPIO_CLK J 0 -(-1110 -115); -DISPLAY 1.021277 (-1110 -115); -WIRE 16 -1 (100 0)(-1100 0); -FORCEPROP 2 LAST SIG_NAME CLK2 +(-1110 -2190); +DISPLAY 1.021277 (-1110 -2190); +WIRE 16 -1 (100 1000)(-1100 1000); +FORCEPROP 2 LAST SIG_NAME BEAM_TRIGGER<0> J 0 -(-1110 10); -DISPLAY 1.021277 (-1110 10); -WIRE 16 -1 (2850 200)(2950 200); -WIRE 16 -1 (2950 200)(2950 125); -WIRE 16 -1 (3700 125)(2950 125); -FORCEPROP 2 LAST SIG_NAME FRONT_PANEL_CLK +(-1060 1010); +DISPLAY 1.021277 (-1060 1010); +WIRE 16 -1 (100 875)(-1100 875); +FORCEPROP 2 LAST SIG_NAME BEAM_TRIGGER_CFD<1> J 0 -(2990 160); -DISPLAY 1.021277 (2990 160); -WIRE 16 -1 (1700 -1325)(500 -1325); -FORCEPROP 2 LAST SIG_NAME CLK1* -J 0 -(490 -1315); -DISPLAY 1.021277 (490 -1315); -WIRE 16 -1 (100 -1825)(-1100 -1825); -FORCEPROP 2 LAST SIG_NAME SPARE1 -J 0 -(-1110 -1815); -DISPLAY 1.021277 (-1110 -1815); -WIRE 16 -1 (1700 -1450)(500 -1450); -FORCEPROP 2 LAST SIG_NAME TRIG1* -J 0 -(490 -1440); -DISPLAY 1.021277 (490 -1440); -WIRE 16 -1 (100 -1575)(-1100 -1575); -FORCEPROP 2 LAST SIG_NAME BUSY1 -J 0 -(-1110 -1565); -DISPLAY 1.021277 (-1110 -1565); +(-1060 885); +DISPLAY 1.021277 (-1060 885); WIRE 16 -1 (100 375)(-1100 375); FORCEPROP 2 LAST SIG_NAME BEAM_TRIGGER_CFD<3> J 0 (-1060 385); DISPLAY 1.021277 (-1060 385); -WIRE 16 -1 (100 -1450)(-1100 -1450); -FORCEPROP 2 LAST SIG_NAME TRIG1 -J 0 -(-1110 -1440); -DISPLAY 1.021277 (-1110 -1440); -WIRE 16 -1 (100 -1700)(-1100 -1700); -FORCEPROP 2 LAST SIG_NAME CONT1 -J 0 -(-1110 -1690); -DISPLAY 1.021277 (-1110 -1690); -WIRE 16 -1 (1700 -2050)(500 -2050); -FORCEPROP 2 LAST SIG_NAME HDMI_POWER_ENABLE2 -J 0 -(590 -2040); -DISPLAY 1.021277 (590 -2040); -WIRE 16 -1 (3700 -175)(2975 -175); -FORCEPROP 2 LAST SIG_NAME CLK_IO_2 -J 0 -(3015 -165); -DISPLAY 1.021277 (3015 -165); -WIRE 16 -1 (3725 -175)(3700 -175); -WIRE 16 -1 (3700 -75)(2950 -75); -FORCEPROP 2 LAST SIG_NAME CLK_IO_1 -J 0 -(2990 -65); -DISPLAY 1.021277 (2990 -65); -WIRE 16 -1 (2200 150)(2250 150); -WIRE 16 -1 (2250 150)(2250 200); -WIRE 16 -1 (2250 200)(2350 200); -WIRE 16 -1 (5000 -675)(5000 -700); -WIRE 16 -1 (5000 -700)(5650 -700); -FORCEPROP 2 LAST SIG_NAME SCL -J 0 -(5490 -690); -DISPLAY 1.021277 (5490 -690); -WIRE 16 -1 (0 -3000)(250 -3000); -FORCEPROP 2 LAST SIG_NAME SDA -J 0 -(90 -2990); -DISPLAY 1.021277 (90 -2990); -WIRE 16 -1 (3700 25)(3700 50); -WIRE 16 -1 (3700 50)(2950 50); -FORCEPROP 2 LAST SIG_NAME FRONT_PANEL_CLK* -J 0 -(2990 10); -DISPLAY 1.021277 (2990 10); -WIRE 16 -1 (2950 0)(2950 50); -WIRE 16 -1 (2850 0)(2950 0); -WIRE 16 -1 (2200 50)(2250 50); -WIRE 16 -1 (2250 50)(2250 0); -WIRE 16 -1 (2250 0)(2350 0); -WIRE 16 -1 (5000 -575)(5000 -550); -WIRE 16 -1 (5000 -550)(5650 -550); -FORCEPROP 2 LAST SIG_NAME SDA +WIRE 16 -1 (100 -875)(-1100 -875); +FORCEPROP 2 LAST SIG_NAME HDMI_POWER_ENABLE<0> J 0 -(5490 -540); -DISPLAY 1.021277 (5490 -540); -WIRE 16 -1 (-400 -3000)(-600 -3000); -FORCEPROP 2 LAST SIG_NAME SCL +(-1125 -865); +DISPLAY 1.021277 (-1125 -865); +WIRE 16 -1 (100 -725)(-1100 -725); +FORCEPROP 2 LAST SIG_NAME CLK_FROM_FPGA<0> J 0 -(-610 -2990); -DISPLAY 1.021277 (-610 -2990); -DOT 1 (3050 650); -DOT 1 (3050 375); -DOT 1 (1900 -3350); -DOT 1 (1900 -3150); -DOT 1 (-900 -2400); -DOT 1 (-1050 -2400); -DOT 1 (-750 -2900); -DOT 1 (-450 -3300); -DOT 1 (-750 -3300); -DOT 1 (-900 -3300); -DOT 1 (2900 650); -DOT 1 (1200 -2800); -DOT 1 (1900 -2950); -DOT 1 (1900 -3250); -DOT 1 (-900 -2850); -DOT 1 (-1050 -2800); +(-1125 -715); +DISPLAY 1.021277 (-1125 -715); DOT 1 (1900 -3050); +DOT 1 (-1050 -2800); +DOT 1 (-900 -2850); +DOT 1 (1900 -3250); +DOT 1 (1900 -2950); +DOT 1 (1200 -2800); +DOT 1 (2900 650); +DOT 1 (-900 -3300); +DOT 1 (-750 -3300); +DOT 1 (-450 -3300); +DOT 1 (-750 -2900); +DOT 1 (-1050 -2400); +DOT 1 (-900 -2400); +DOT 1 (1900 -3150); +DOT 1 (1900 -3350); +DOT 1 (3050 375); +DOT 1 (3050 650); FORCENOTE -LICENSED UNDER THE TAPR OPEN HARDWARE LICENSE (WWW.TAPR.ORG/OHL) -(4100 -3600) 0; -DISPLAY LEFT (4100 -3600); -DISPLAY 0.808511 (4100 -3600); +11 +(6200 -2850) 0; +DISPLAY LEFT (6200 -2850); +DISPLAY 1.021277 (6200 -2850); FORCENOTE -AND I2C EEPROM -(5100 -3450) 0; -DISPLAY LEFT (5100 -3450); -DISPLAY 1.021277 (5100 -3450); +CONTAINS PRE-PROGRAMMED +(-1250 -3600) 0; +DISPLAY LEFT (-1250 -3600); +DISPLAY 1.021277 (-1250 -3600); FORCENOTE -FMC CONNECTOR -(5100 -3300) 0; -DISPLAY LEFT (5100 -3300); -DISPLAY 2.000000 (5100 -3300); +UNIQUE ID CODE +(-1250 -3700) 0; +DISPLAY LEFT (-1250 -3700); +DISPLAY 1.021277 (-1250 -3700); FORCENOTE I2C EEPROM, (-1250 -3500) 0; DISPLAY LEFT (-1250 -3500); DISPLAY 1.021277 (-1250 -3500); FORCENOTE -UNIQUE ID CODE -(-1250 -3700) 0; -DISPLAY LEFT (-1250 -3700); -DISPLAY 1.021277 (-1250 -3700); +FMC CONNECTOR +(5100 -3300) 0; +DISPLAY LEFT (5100 -3300); +DISPLAY 2.000000 (5100 -3300); FORCENOTE -CONTAINS PRE-PROGRAMMED -(-1250 -3600) 0; -DISPLAY LEFT (-1250 -3600); -DISPLAY 1.021277 (-1250 -3600); +AND I2C EEPROM +(5100 -3450) 0; +DISPLAY LEFT (5100 -3450); +DISPLAY 1.021277 (5100 -3450); FORCENOTE -11 -(6200 -2850) 0; -DISPLAY LEFT (6200 -2850); -DISPLAY 1.021277 (6200 -2850); +LICENSED UNDER THE TAPR OPEN HARDWARE LICENSE (WWW.TAPR.ORG/OHL) +(4100 -3600) 0; +DISPLAY LEFT (4100 -3600); +DISPLAY 0.808511 (4100 -3600); QUIT diff --git a/circuit_board/Cadence/worklib/fmc_tlu_toplevel_c/sch_1/page1.csb b/circuit_board/Cadence/worklib/fmc_tlu_toplevel_c/sch_1/page1.csb index 0428cb929b5637401540fb9b6374f5998adb15f2..8981c0f4656b9abd0be47934b3a2f19221b53112 100644 Binary files a/circuit_board/Cadence/worklib/fmc_tlu_toplevel_c/sch_1/page1.csb and b/circuit_board/Cadence/worklib/fmc_tlu_toplevel_c/sch_1/page1.csb differ diff --git a/circuit_board/Cadence/worklib/fmc_tlu_toplevel_c/sch_1/page1.csv b/circuit_board/Cadence/worklib/fmc_tlu_toplevel_c/sch_1/page1.csv index 0b29aa716dd2212f1b12cd67d7892ab223dee2b0..757cdacf0c841c2b1bbaba62b5200bf688443c3d 100755 --- a/circuit_board/Cadence/worklib/fmc_tlu_toplevel_c/sch_1/page1.csv +++ b/circuit_board/Cadence/worklib/fmc_tlu_toplevel_c/sch_1/page1.csv @@ -1,120 +1,146 @@ FILE_TYPE = CONNECTIVITY; -{Allegro Design Entry HDL 16.6-S055 (v16-6-112EN) 8/10/2015} +{Allegro Design Entry HDL 16.6-S055 (v16-6-112EP) 8/13/2015} "PAGE_NUMBER" = 1; 0"NC"; 1"GND_SIGNAL\g"; -2"GND_SIGNAL\g"; -3"P3V3\g"; -4"P2V5\g"; -5"P2V5\g"; -6"GND_SIGNAL\g"; -7"P3V3\g"; -8"GND_SIGNAL\g"; -9"GND_SIGNAL\g"; -10"P3V3\g"; -11"P5V\g"; -12"M5V\g"; -13"P12V\g"; -14"GND_SIGNAL\g"; -15"BEAM_TRIGGER_CFD*<0>"; -16"FMC_LA<33..0>"; -17"BEAM_TRIGGER<0>"; -18"BEAM_TRIGGER_CFD<1>"; -19"BEAM_TRIGGER_CFD<0>"; -20"BEAM_TRIGGER*<2>"; -21"BEAM_TRIGGER_CFD*<3>"; -22"BEAM_TRIGGER*<3>"; -23"CLK2*"; -24"HDMI_POWER_ENABLE1"; -25"GPIO_CLK"; -26"UN$1$24AA025E48$I8$A2"; -27"UN$1$24AA025E48$I8$A1"; -28"UN$1$24AA025E48$I8$A0"; -29"CLK_IO_1"; -30"GPIO_CLK"; -31"CLK_IO_2"; -32"SDA"; -33"SCL"; -34"FMC_LA<33..0>*"; -35"SPARE2*"; -36"CONT2*"; -37"BUSY2*"; -38"TRIG2*"; -39"BEAM_TRIGGER_CFD*<2>"; -40"BEAM_TRIGGER*<0>"; -41"BEAM_TRIGGER_CFD*<1>"; -42"BEAM_TRIGGER*<1>"; -43"BEAM_TRIGGER<1>"; +2"P3V3\g"; +3"SCL"; +4"SDA"; +5"GND_SIGNAL\g"; +6"P3V3\g"; +7"UN$1$24AA025E48$I8$A0"; +8"UN$1$24AA025E48$I8$A1"; +9"UN$1$24AA025E48$I8$A2"; +10"UN$1$PLEMO2CI$I7$A"; +11"UN$1$PLEMO2CI$I7$B"; +12"BEAM_TRIGGER_CFD*<2>"; +13"FRONT_PANEL_CLK"; +14"FMC_LA<33..0>*"; +15"CONT_TO_FPGA<0>"; +16"SPARE_TO_FPGA<0>"; +17"TRIG_TO_FPGA<0>"; +18"BUSY_TO_FPGA<0>"; +19"CLK_TO_FPGA<0>"; +20"BEAM_TRIGGER*<3>"; +21"FMC_LA<33..0>"; +22"BUSY_FROM_FPGA<0>"; +23"TRIG_FROM_FPGA<0>"; +24"CONT_FROM_FPGA<0>"; +25"SPARE_FROM_FPGA<0>"; +26"BEAM_TRIGGER<3>"; +27"BEAM_TRIGGER_CFD<0>"; +28"P12V\g"; +29"M5V\g"; +30"P5V\g"; +31"P3V3\g"; +32"GND_SIGNAL\g"; +33"GND_SIGNAL\g"; +34"P2V5\g"; +35"P3V3\g"; +36"GND_SIGNAL\g"; +37"GND_SIGNAL\g"; +38"SDA"; +39"FRONT_PANEL_CLK*"; +40"SCL"; +41"CLK_IO_1"; +42"CLK_IO_2"; +43"BEAM_TRIGGER<2>"; 44"BEAM_TRIGGER_CFD<2>"; -45"BEAM_TRIGGER<2>"; -46"TRIG0*"; -47"DUT_CLK0*"; -48"SPARE2"; -49"CONT2"; -50"BEAM_TRIGGER<3>"; -51"BUSY2"; -52"DUT_CLK0"; -53"TRIG0"; -54"BUSY0"; -55"CONT0"; -56"CLK1"; -57"BUSY1*"; -58"CONT1*"; -59"SPARE1*"; -60"BUSY0*"; -61"CONT0*"; -62"TRIG2"; -63"CLK2"; -64"FRONT_PANEL_CLK"; -65"CLK1*"; -66"SPARE1"; -67"TRIG1*"; -68"BUSY1"; -69"BEAM_TRIGGER_CFD<3>"; -70"TRIG1"; -71"CONT1"; -72"HDMI_POWER_ENABLE2"; -73"CLK_IO_2"; -74"CLK_IO_1"; -75"UN$1$PLEMO2CI$I7$A"; -76"SCL"; -77"SDA"; -78"FRONT_PANEL_CLK*"; -79"UN$1$PLEMO2CI$I7$B"; -80"SDA"; -81"SCL"; +45"BEAM_TRIGGER<1>"; +46"BEAM_TRIGGER*<1>"; +47"BEAM_TRIGGER_CFD*<1>"; +48"BEAM_TRIGGER*<0>"; +49"SCL"; +50"SDA"; +51"CLK_IO_2"; +52"GPIO_CLK"; +53"CLK_IO_1"; +54"BEAM_TRIGGER_CFD*<3>"; +55"BEAM_TRIGGER*<2>"; +56"BEAM_TRIGGER_CFD*<0>"; +57"GPIO_CLK"; +58"BEAM_TRIGGER<0>"; +59"BEAM_TRIGGER_CFD<1>"; +60"BEAM_TRIGGER_CFD<3>"; +61"HDMI_POWER_ENABLE<0>"; +62"CLK_FROM_FPGA<0>"; %"GND_SIGNAL" "1","(0,-3400)","0","standard","I10"; ; CDS_LIB"standard" HDL_POWER"GND_SIGNAL" BODY_TYPE"PLUMBING"; -"GND"14; +"GND"5; +%"TAP" +"1","(1750,125)","0","standard","I100"; +; +HDL_TAP"TRUE" +BODY_TYPE"PLUMBING" +CDS_LIB"standard"; +"B \NAC \NWC"14; +"S \NAC" +BN"0"15; +%"TAP" +"1","(150,-725)","0","standard","I104"; +; +CDS_LIB"standard" +BODY_TYPE"PLUMBING" +HDL_TAP"TRUE"; +"B \NAC \NWC"21; +"S \NAC" +BN"4"62; +%"TAP" +"1","(150,-525)","0","standard","I108"; +; +CDS_LIB"standard" +BODY_TYPE"PLUMBING" +HDL_TAP"TRUE"; +"B \NAC \NWC"21; +"S \NAC" +BN"3"22; %"CAPCERSMDCL2" "1","(-200,-3300)","0","cnpassive","I11"; ; -$LOCATION"C70" CDS_SEC"1" $SEC"1" CDS_LOCATION"C70" VOLTAGE"16V" VALUE"100NF" +LOCATION"C70" PACK_TYPE"0603" CDS_LIB"cnpassive" SIZE"1"; -"B <SIZE-1..0>\NAC" -$PN"2"14; "A <SIZE-1..0>\NAC" -$PN"1"7; +$PN"1"6; +"B <SIZE-1..0>\NAC" +$PN"2"5; +%"TAP" +"1","(150,-325)","0","standard","I112"; +; +CDS_LIB"standard" +BODY_TYPE"PLUMBING" +HDL_TAP"TRUE"; +"B \NAC \NWC"21; +"S \NAC" +BN"2"23; +%"TAP" +"1","(150,-125)","0","standard","I116"; +; +CDS_LIB"standard" +BODY_TYPE"PLUMBING" +HDL_TAP"TRUE"; +"B \NAC \NWC"21; +"S \NAC" +BN"1"25; %"RSMD0603" "2","(-1050,-3050)","1","cnpassive","I12"; ; -$LOCATION"R61" CDS_SEC"1" $SEC"1" CDS_LOCATION"R61" VALUE"XX" PACK_TYPE"1/10W" +LOCATION"R61" DIST"FLAT" MAX_TEMP"RTMAX" NEGTOL"RTOL%" @@ -129,18 +155,72 @@ VOLTAGE"RVMAX" CDS_LIB"cnpassive" TOL"1%"; "A <SIZE-1..0>\NAC" -$PN"1"7; +$PN"1"6; "B <SIZE-1..0>\NAC" -$PN"2"28; +$PN"2"7; +%"TAP" +"1","(150,75)","0","standard","I120"; +; +CDS_LIB"standard" +BODY_TYPE"PLUMBING" +HDL_TAP"TRUE"; +"B \NAC \NWC"21; +"S \NAC" +BN"0"24; +%"TAP" +"1","(150,-875)","0","standard","I125"; +; +HDL_TAP"TRUE" +BODY_TYPE"PLUMBING" +CDS_LIB"standard"; +"B \NAC \NWC"21; +"S \NAC" +BN"5"61; +%"TAP" +"1","(1750,-675)","0","standard","I126"; +; +CDS_LIB"standard" +HDL_TAP"TRUE" +BODY_TYPE"PLUMBING"; +"B \NAC \NWC"14; +"S \NAC" +BN"4"19; +%"TAP" +"1","(1750,-475)","0","standard","I127"; +; +CDS_LIB"standard" +HDL_TAP"TRUE" +BODY_TYPE"PLUMBING"; +"B \NAC \NWC"14; +"S \NAC" +BN"3"18; +%"TAP" +"1","(1750,-275)","0","standard","I128"; +; +CDS_LIB"standard" +HDL_TAP"TRUE" +BODY_TYPE"PLUMBING"; +"B \NAC \NWC"14; +"S \NAC" +BN"2"17; +%"TAP" +"1","(1750,-75)","0","standard","I129"; +; +CDS_LIB"standard" +HDL_TAP"TRUE" +BODY_TYPE"PLUMBING"; +"B \NAC \NWC"14; +"S \NAC" +BN"1"16; %"RSMD0603" "2","(-900,-3050)","1","cnpassive","I13"; ; -$LOCATION"R63" CDS_SEC"1" $SEC"1" CDS_LOCATION"R63" VALUE"XX" PACK_TYPE"1/10W" +LOCATION"R63" DIST"FLAT" MAX_TEMP"RTMAX" NEGTOL"RTOL%" @@ -155,18 +235,27 @@ VOLTAGE"RVMAX" CDS_LIB"cnpassive" TOL"1%"; "A <SIZE-1..0>\NAC" -$PN"1"7; +$PN"1"6; "B <SIZE-1..0>\NAC" -$PN"2"27; +$PN"2"8; +%"P3V3" +"1","(2900,850)","0","cnpower","I130"; +; +HDL_POWER"P3V3" +CDS_LIB"cnpower" +BODY_TYPE"PLUMBING" +SIZE"1B"; +"A<SIZE-1..0>\NAC" +VHDL_INIT"1"2; %"RSMD0603" "2","(-750,-3050)","1","cnpassive","I14"; ; -$LOCATION"R65" CDS_SEC"1" $SEC"1" CDS_LOCATION"R65" VALUE"XX" PACK_TYPE"1/10W" +LOCATION"R65" DIST"FLAT" MAX_TEMP"RTMAX" NEGTOL"RTOL%" @@ -181,18 +270,18 @@ VOLTAGE"RVMAX" CDS_LIB"cnpassive" TOL"1%"; "A <SIZE-1..0>\NAC" -$PN"1"7; +$PN"1"6; "B <SIZE-1..0>\NAC" -$PN"2"26; +$PN"2"9; %"RSMD0603" "2","(-1050,-2600)","1","cnpassive","I15"; ; -$LOCATION"R60" CDS_SEC"1" $SEC"1" CDS_LOCATION"R60" VALUE"00" PACK_TYPE"" +LOCATION"R60" DIST"FLAT" MAX_TEMP"RTMAX" NEGTOL"RTOL%" @@ -207,18 +296,18 @@ VOLTAGE"RVMAX" CDS_LIB"cnpassive" TOL""; "A <SIZE-1..0>\NAC" -$PN"1"28; +$PN"1"7; "B <SIZE-1..0>\NAC" -$PN"2"1; +$PN"2"37; %"RSMD0603" "2","(-900,-2600)","1","cnpassive","I16"; ; -$LOCATION"R62" CDS_SEC"1" $SEC"1" CDS_LOCATION"R62" VALUE"00" PACK_TYPE"" +LOCATION"R62" DIST"FLAT" MAX_TEMP"RTMAX" NEGTOL"RTOL%" @@ -233,18 +322,18 @@ VOLTAGE"RVMAX" CDS_LIB"cnpassive" TOL""; "A <SIZE-1..0>\NAC" -$PN"1"27; +$PN"1"8; "B <SIZE-1..0>\NAC" -$PN"2"1; +$PN"2"37; %"RSMD0603" "2","(-750,-2600)","1","cnpassive","I17"; ; -$LOCATION"R64" CDS_SEC"1" $SEC"1" CDS_LOCATION"R64" VALUE"00" PACK_TYPE"" +LOCATION"R64" DIST"FLAT" MAX_TEMP"RTMAX" NEGTOL"RTOL%" @@ -259,25 +348,25 @@ VOLTAGE"RVMAX" CDS_LIB"cnpassive" TOL""; "A <SIZE-1..0>\NAC" -$PN"1"26; +$PN"1"9; "B <SIZE-1..0>\NAC" -$PN"2"1; +$PN"2"37; %"GND_SIGNAL" "1","(-1350,-2650)","0","standard","I18"; ; HDL_POWER"GND_SIGNAL" BODY_TYPE"PLUMBING" CDS_LIB"standard"; -"GND"1; +"GND"37; %"TAP" "1","(1750,1125)","0","standard","I19"; ; CDS_LIB"standard" BODY_TYPE"PLUMBING" HDL_TAP"TRUE"; -"B \NAC \NWC"34; +"B \NAC \NWC"14; "S \NAC" -BN"32"15; +BN"32"56; %"PC036A_FMC_LPC_CONNECTOR" "1","(4350,-350)","0","fmc_tlu_v1_lib","I2"; ; @@ -289,22 +378,22 @@ USE1"ieee.std_logic_1164.all" LIBRARY1"ieee"; "FMC_LA<33..0>*" VHDL_MODE"inout" -VHDL_VECTOR_TYPE"std_logic_vector"34; +VHDL_VECTOR_TYPE"std_logic_vector"14; "FMC_LA<33..0>" VHDL_MODE"inout" -VHDL_VECTOR_TYPE"std_logic_vector"16; +VHDL_VECTOR_TYPE"std_logic_vector"21; "FMC_CLK1_M2C*" VHDL_MODE"inout" -VHDL_SCALAR_TYPE"std_logic"73; +VHDL_SCALAR_TYPE"std_logic"42; "FMC_CLK1_M2C" VHDL_MODE"inout" -VHDL_SCALAR_TYPE"std_logic"74; +VHDL_SCALAR_TYPE"std_logic"41; "FMC_CLK0_M2C*" VHDL_MODE"inout" -VHDL_SCALAR_TYPE"std_logic"78; +VHDL_SCALAR_TYPE"std_logic"39; "FMC_CLK0_M2C" VHDL_MODE"inout" -VHDL_SCALAR_TYPE"std_logic"64; +VHDL_SCALAR_TYPE"std_logic"13; "DP0_C2M" VHDL_MODE"inout" VHDL_SCALAR_TYPE"std_logic"0; @@ -328,7 +417,7 @@ VHDL_MODE"out" VHDL_SCALAR_TYPE"std_logic"0; "IIC_SDA_MAIN" VHDL_MODE"inout" -VHDL_SCALAR_TYPE"std_logic"80; +VHDL_SCALAR_TYPE"std_logic"38; "DP0_C2M*" VHDL_MODE"inout" VHDL_SCALAR_TYPE"std_logic"0; @@ -346,19 +435,19 @@ VHDL_MODE"in" VHDL_SCALAR_TYPE"std_logic"0; "P12V" VHDL_MODE"in" -VHDL_SCALAR_TYPE"std_logic"13; +VHDL_SCALAR_TYPE"std_logic"28; "P3V3" VHDL_MODE"in" -VHDL_SCALAR_TYPE"std_logic"3; +VHDL_SCALAR_TYPE"std_logic"35; "P2V5" VHDL_MODE"in" -VHDL_SCALAR_TYPE"std_logic"4; +VHDL_SCALAR_TYPE"std_logic"34; "IIC_SCL_MAIN" VHDL_MODE"in" -VHDL_SCALAR_TYPE"std_logic"76; +VHDL_SCALAR_TYPE"std_logic"40; "GND" VHDL_MODE"in" -VHDL_SCALAR_TYPE"std_logic"2; +VHDL_SCALAR_TYPE"std_logic"36; "GBTCLK0_M2C*" VHDL_MODE"in" VHDL_SCALAR_TYPE"std_logic"0; @@ -371,103 +460,40 @@ VHDL_SCALAR_TYPE"std_logic"0; "FPGA_TDO" VHDL_MODE"in" VHDL_SCALAR_TYPE"std_logic"0; -%"TAP" -"1","(1750,-1450)","0","standard","I20"; -; -HDL_TAP"TRUE" -BODY_TYPE"PLUMBING" -CDS_LIB"standard"; -"B \NAC \NWC"34; -"S \NAC" -BN"3"67; -%"TAP" -"1","(1750,-750)","0","standard","I21"; -; -CDS_LIB"standard" -BODY_TYPE"PLUMBING" -HDL_TAP"TRUE"; -"B \NAC \NWC"34; -"S \NAC" -BN"21"47; -%"TAP" -"1","(1750,-1825)","0","standard","I22"; -; -CDS_LIB"standard" -BODY_TYPE"PLUMBING" -HDL_TAP"TRUE"; -"B \NAC \NWC"34; -"S \NAC" -BN"8"59; -%"TAP" -"1","(1750,-1575)","0","standard","I24"; -; -HDL_TAP"TRUE" -BODY_TYPE"PLUMBING" -CDS_LIB"standard"; -"B \NAC \NWC"34; -"S \NAC" -BN"14"57; -%"TAP" -"1","(1750,-1700)","0","standard","I28"; -; -CDS_LIB"standard" -BODY_TYPE"PLUMBING" -HDL_TAP"TRUE"; -"B \NAC \NWC"34; -"S \NAC" -BN"18"58; %"GND_SIGNAL" "1","(4300,-1600)","0","standard","I3"; ; BODY_TYPE"PLUMBING" HDL_POWER"GND_SIGNAL" CDS_LIB"standard"; -"GND"2; -%"TAP" -"1","(1750,-1325)","0","standard","I31"; -; -HDL_TAP"TRUE" -BODY_TYPE"PLUMBING" -CDS_LIB"standard"; -"B \NAC \NWC"34; -"S \NAC" -BN"27"65; -%"TAP" -"1","(1750,-500)","0","standard","I35"; -; -HDL_TAP"TRUE" -BODY_TYPE"PLUMBING" -CDS_LIB"standard"; -"B \NAC \NWC"34; -"S \NAC" -BN"11"35; +"GND"36; %"TAP" "1","(1750,250)","0","standard","I36"; ; HDL_TAP"TRUE" BODY_TYPE"PLUMBING" CDS_LIB"standard"; -"B \NAC \NWC"34; +"B \NAC \NWC"14; "S \NAC" -BN"25"22; +BN"25"20; %"TAP" "1","(1750,875)","0","standard","I38"; ; CDS_LIB"standard" BODY_TYPE"PLUMBING" HDL_TAP"TRUE"; -"B \NAC \NWC"34; +"B \NAC \NWC"14; "S \NAC" -BN"30"41; +BN"30"47; %"TAP" "1","(1750,375)","0","standard","I39"; ; HDL_TAP"TRUE" BODY_TYPE"PLUMBING" CDS_LIB"standard"; -"B \NAC \NWC"34; +"B \NAC \NWC"14; "S \NAC" -BN"24"21; +BN"24"54; %"P3V3" "1","(4250,750)","0","cnpower","I4"; ; @@ -476,97 +502,34 @@ CDS_LIB"cnpower" BODY_TYPE"PLUMBING" SIZE"1B"; "A<SIZE-1..0>\NAC" -VHDL_INIT"1"3; +VHDL_INIT"1"35; %"TAP" "1","(1750,500)","0","standard","I40"; ; HDL_TAP"TRUE" BODY_TYPE"PLUMBING" CDS_LIB"standard"; -"B \NAC \NWC"34; +"B \NAC \NWC"14; "S \NAC" -BN"29"20; -%"TAP" -"1","(1750,-2050)","0","standard","I41"; -; -CDS_LIB"standard" -BODY_TYPE"PLUMBING" -HDL_TAP"TRUE"; -"B \NAC \NWC"34; -"S \NAC" -BN"15"72; -%"TAP" -"1","(1750,-875)","0","standard","I42"; -; -HDL_TAP"TRUE" -BODY_TYPE"PLUMBING" -CDS_LIB"standard"; -"B \NAC \NWC"34; -"S \NAC" -BN"20"46; -%"TAP" -"1","(1750,-125)","0","standard","I43"; -; -HDL_TAP"TRUE" -BODY_TYPE"PLUMBING" -CDS_LIB"standard"; -"B \NAC \NWC"34; -"S \NAC" -BN"16"38; -%"TAP" -"1","(1750,-375)","0","standard","I44"; -; -HDL_TAP"TRUE" -BODY_TYPE"PLUMBING" -CDS_LIB"standard"; -"B \NAC \NWC"34; -"S \NAC" -BN"7"36; -%"TAP" -"1","(1750,-250)","0","standard","I45"; -; -HDL_TAP"TRUE" -BODY_TYPE"PLUMBING" -CDS_LIB"standard"; -"B \NAC \NWC"34; -"S \NAC" -BN"12"37; -%"TAP" -"1","(1750,-1000)","0","standard","I46"; -; -CDS_LIB"standard" -BODY_TYPE"PLUMBING" -HDL_TAP"TRUE"; -"B \NAC \NWC"34; -"S \NAC" -BN"19"60; +BN"29"55; %"TAP" "1","(1750,625)","0","standard","I47"; ; CDS_LIB"standard" BODY_TYPE"PLUMBING" HDL_TAP"TRUE"; -"B \NAC \NWC"34; +"B \NAC \NWC"14; "S \NAC" -BN"28"39; -%"TAP" -"1","(1750,0)","0","standard","I48"; -; -CDS_LIB"standard" -BODY_TYPE"PLUMBING" -HDL_TAP"TRUE"; -"B \NAC \NWC"34; -"S \NAC" -BN"2"23; +BN"28"12; %"TAP" "1","(1750,750)","0","standard","I49"; ; CDS_LIB"standard" BODY_TYPE"PLUMBING" HDL_TAP"TRUE"; -"B \NAC \NWC"34; +"B \NAC \NWC"14; "S \NAC" -BN"31"42; +BN"31"46; %"P2V5" "1","(4450,750)","0","cnpower","I5"; ; @@ -575,298 +538,134 @@ HDL_POWER"P2V5" SIZE"1B" CDS_LIB"cnpower"; "A<SIZE-1..0>\NAC" -VHDL_INIT"1"4; +VHDL_INIT"1"34; %"TAP" "1","(1750,1000)","0","standard","I51"; ; HDL_TAP"TRUE" BODY_TYPE"PLUMBING" CDS_LIB"standard"; -"B \NAC \NWC"34; +"B \NAC \NWC"14; "S \NAC" -BN"33"40; +BN"33"48; %"TAP" "1","(150,1125)","0","standard","I52"; ; HDL_TAP"TRUE" BODY_TYPE"PLUMBING" CDS_LIB"standard"; -"B \NAC \NWC"16; +"B \NAC \NWC"21; "S \NAC" -BN"32"19; +BN"32"27; %"TAP" "1","(150,1000)","0","standard","I54"; ; CDS_LIB"standard" BODY_TYPE"PLUMBING" HDL_TAP"TRUE"; -"B \NAC \NWC"16; +"B \NAC \NWC"21; "S \NAC" -BN"33"17; +BN"33"58; %"TAP" "1","(150,750)","0","standard","I55"; ; CDS_LIB"standard" BODY_TYPE"PLUMBING" HDL_TAP"TRUE"; -"B \NAC \NWC"16; -"S \NAC" -BN"31"43; -%"TAP" -"1","(150,0)","0","standard","I56"; -; -CDS_LIB"standard" -BODY_TYPE"PLUMBING" -HDL_TAP"TRUE"; -"B \NAC \NWC"16; +"B \NAC \NWC"21; "S \NAC" -BN"2"63; +BN"31"45; %"TAP" "1","(150,625)","0","standard","I57"; ; CDS_LIB"standard" BODY_TYPE"PLUMBING" HDL_TAP"TRUE"; -"B \NAC \NWC"16; +"B \NAC \NWC"21; "S \NAC" BN"28"44; %"TAP" -"1","(150,-250)","0","standard","I58"; -; -CDS_LIB"standard" -BODY_TYPE"PLUMBING" -HDL_TAP"TRUE"; -"B \NAC \NWC"16; -"S \NAC" -BN"12"51; -%"TAP" -"1","(150,-1000)","0","standard","I59"; -; -CDS_LIB"standard" -BODY_TYPE"PLUMBING" -HDL_TAP"TRUE"; -"B \NAC \NWC"16; -"S \NAC" -BN"19"54; -%"TAP" -"1","(150,-375)","0","standard","I60"; -; -CDS_LIB"standard" -BODY_TYPE"PLUMBING" -HDL_TAP"TRUE"; -"B \NAC \NWC"16; -"S \NAC" -BN"7"49; -%"TAP" -"1","(150,-125)","0","standard","I61"; -; -CDS_LIB"standard" -BODY_TYPE"PLUMBING" -HDL_TAP"TRUE"; -"B \NAC \NWC"16; -"S \NAC" -BN"16"62; -%"TAP" -"1","(150,-875)","0","standard","I62"; -; -CDS_LIB"standard" -BODY_TYPE"PLUMBING" -HDL_TAP"TRUE"; -"B \NAC \NWC"16; -"S \NAC" -BN"20"53; -%"TAP" -"1","(150,-2050)","0","standard","I63"; -; -HDL_TAP"TRUE" -BODY_TYPE"PLUMBING" -CDS_LIB"standard"; -"B \NAC \NWC"16; -"S \NAC" -BN"4"24; -%"TAP" "1","(150,375)","0","standard","I64"; ; CDS_LIB"standard" BODY_TYPE"PLUMBING" HDL_TAP"TRUE"; -"B \NAC \NWC"16; +"B \NAC \NWC"21; "S \NAC" -BN"24"69; +BN"24"60; %"TAP" "1","(150,500)","0","standard","I65"; ; HDL_TAP"TRUE" BODY_TYPE"PLUMBING" CDS_LIB"standard"; -"B \NAC \NWC"16; +"B \NAC \NWC"21; "S \NAC" -BN"29"45; +BN"29"43; %"TAP" "1","(150,875)","0","standard","I66"; ; CDS_LIB"standard" BODY_TYPE"PLUMBING" HDL_TAP"TRUE"; -"B \NAC \NWC"16; +"B \NAC \NWC"21; "S \NAC" -BN"30"18; +BN"30"59; %"TAP" "1","(150,250)","0","standard","I68"; ; CDS_LIB"standard" BODY_TYPE"PLUMBING" HDL_TAP"TRUE"; -"B \NAC \NWC"16; +"B \NAC \NWC"21; "S \NAC" -BN"25"50; +BN"25"26; %"PLEMO2CI" "1","(2050,50)","2","cnconnector","I7"; ; -ABBREV"PT6911" -TYPE"PLEMO2-00B" -$LOCATION"PX1" +TYPE"EPG.00.302.NLN" +CDS_LIB"cnconnector" POWER_GROUP"GND=GND_SIGNAL" +ABBREV"PT6911" CDS_SEC"1" -$SEC"1" CDS_LOCATION"PX1" -NEEDS_NO_SIZE"TRUE" -CDS_LIB"cnconnector"; -"B\nac" -$PN"2"79; -"A\nac" -$PN"1"75; -%"TAP" -"1","(150,-500)","0","standard","I70"; -; -CDS_LIB"standard" -BODY_TYPE"PLUMBING" -HDL_TAP"TRUE"; -"B \NAC \NWC"16; -"S \NAC" -BN"11"48; -%"TAP" -"1","(150,-1325)","0","standard","I73"; -; -CDS_LIB"standard" -BODY_TYPE"PLUMBING" -HDL_TAP"TRUE"; -"B \NAC \NWC"16; -"S \NAC" -BN"27"56; -%"TAP" -"1","(150,-1700)","0","standard","I76"; -; -HDL_TAP"TRUE" -BODY_TYPE"PLUMBING" -CDS_LIB"standard"; -"B \NAC \NWC"16; -"S \NAC" -BN"18"71; -%"TAP" -"1","(150,-1575)","0","standard","I79"; -; -HDL_TAP"TRUE" -BODY_TYPE"PLUMBING" -CDS_LIB"standard"; -"B \NAC \NWC"16; -"S \NAC" -BN"14"68; +$LOCATION"PX1" +NEEDS_NO_SIZE"TRUE"; +"B\nac"11; +"A\nac"10; %"24AA025E48" "1","(-200,-2950)","0","cnmemory","I8"; ; +PACK_TYPE"SOIC" +TYPE"24AA025E48T-I/SN" +CDS_LIB"cnmemory" CDS_LMAN_SYM_OUTLINE"-150,75,150,-150" -$LOCATION"IC9" CDS_SEC"1" -$SEC"1" CDS_LOCATION"IC9" -PACK_TYPE"SOIC" -TYPE"24AA025E48" -CDS_LIB"cnmemory"; -"A2" -$PN"3"26; -"A1" -$PN"2"27; -"A0" -$PN"1"28; -"VCC" -$PN"8"7; -"VSS" -$PN"4"14; -"SDA" -$PN"5"77; -"SCL" -$PN"6"81; -%"TAP" -"1","(150,-1825)","0","standard","I81"; -; -CDS_LIB"standard" -BODY_TYPE"PLUMBING" -HDL_TAP"TRUE"; -"B \NAC \NWC"16; -"S \NAC" -BN"8"66; +$LOCATION"IC?"; +"A2"9; +"A1"8; +"A0"7; +"VCC"6; +"VSS"5; +"SDA"4; +"SCL"3; %"TAP" "1","(150,-2200)","0","standard","I82"; ; CDS_LIB"standard" BODY_TYPE"PLUMBING" HDL_TAP"TRUE"; -"B \NAC \NWC"16; +"B \NAC \NWC"21; "S \NAC" -BN"0"25; -%"TAP" -"1","(150,-750)","0","standard","I83"; -; -CDS_LIB"standard" -BODY_TYPE"PLUMBING" -HDL_TAP"TRUE"; -"B \NAC \NWC"16; -"S \NAC" -BN"21"52; -%"TAP" -"1","(150,-1450)","0","standard","I84"; -; -CDS_LIB"standard" -HDL_TAP"TRUE" -BODY_TYPE"PLUMBING"; -"B \NAC \NWC"16; -"S \NAC" -BN"3"70; -%"TAP" -"1","(150,-1125)","0","standard","I85"; -; -CDS_LIB"standard" -HDL_TAP"TRUE" -BODY_TYPE"PLUMBING"; -"B \NAC \NWC"16; -"S \NAC" -BN"22"55; -%"TAP" -"1","(1750,-1125)","0","standard","I86"; -; -HDL_TAP"TRUE" -BODY_TYPE"PLUMBING" -CDS_LIB"standard"; -"B \NAC \NWC"34; -"S \NAC" -BN"22"61; -%"P2V5" -"1","(2900,850)","0","cnpower","I88"; -; -CDS_LIB"cnpower" -SIZE"1B" -HDL_POWER"P2V5" -BODY_TYPE"PLUMBING"; -"A<SIZE-1..0>\NAC" -VHDL_INIT"1"5; +BN"0"57; %"GND_SIGNAL" "1","(2250,-150)","0","standard","I89"; ; CDS_LIB"standard" HDL_POWER"GND_SIGNAL" BODY_TYPE"PLUMBING"; -"GND"6; +"GND"33; %"P3V3" "1","(-450,-3200)","0","cnpower","I9"; ; @@ -875,52 +674,52 @@ CDS_LIB"cnpower" BODY_TYPE"PLUMBING" SIZE"1B"; "A<SIZE-1..0>\NAC" -VHDL_INIT"1"7; +VHDL_INIT"1"6; %"CAPCERSMDCL2" "1","(3050,500)","1","cnpassive","I90"; ; -$LOCATION"C1" CDS_SEC"1" $SEC"1" CDS_LOCATION"C1" VALUE"100NF" VOLTAGE"16V" +LOCATION"C1" PACK_TYPE"0603" CDS_LIB"cnpassive" SIZE"1"; -"B <SIZE-1..0>\NAC" -$PN"2"5; "A <SIZE-1..0>\NAC" -$PN"1"8; +$PN"1"1; +"B <SIZE-1..0>\NAC" +$PN"2"2; %"GND_SIGNAL" "1","(3000,300)","0","standard","I91"; ; CDS_LIB"standard" HDL_POWER"GND_SIGNAL" BODY_TYPE"PLUMBING"; -"GND"8; +"GND"1; %"USBLC6-2" "1","(2600,100)","0","cndiscrete","I92"; ; -$LOCATION"D3" CDS_SEC"1" $SEC"1" CDS_LOCATION"D3" TYPE"USBLC6-2SC6" PACK_TYPE"SOT23" +LOCATION"D3" CDS_LIB"cndiscrete"; "I/O1<1>" -$PN"6"64; +$PN"6"13; "I/O1<0>" -$PN"1"75; +$PN"1"10; "GND" -$PN"2"6; +$PN"2"33; "I/O2<0>" -$PN"3"79; +$PN"3"11; "I/O2<1>" -$PN"4"78; +$PN"4"39; "VBUS" -$PN"5"5; +$PN"5"2; %"CON16P" "1","(900,-2950)","6","cnconnector","I93"; ; @@ -931,44 +730,44 @@ $LOCATION"PL1" TYPE"HW8_08G_SM" CDS_LIB"cnconnector"; "A<0>\NAC" -$PN"1"10; +$PN"1"31; "A<1>\NAC" -$PN"2"10; +$PN"2"31; "A<2>\NAC" -$PN"3"9; +$PN"3"32; "A<3>\NAC" -$PN"4"29; +$PN"4"53; "A<4>\NAC" -$PN"5"9; +$PN"5"32; "A<5>\NAC" -$PN"6"30; +$PN"6"52; "A<6>\NAC" -$PN"7"9; +$PN"7"32; "A<7>\NAC" -$PN"8"31; +$PN"8"51; "A<8>\NAC" -$PN"9"9; +$PN"9"32; "A<9>\NAC" $PN"10"0; "A<15>\NAC" -$PN"16"12; +$PN"16"29; "A<14>\NAC" -$PN"15"11; +$PN"15"30; "A<13>\NAC" -$PN"14"33; +$PN"14"49; "A<12>\NAC" -$PN"13"9; +$PN"13"32; "A<11>\NAC" -$PN"12"32; +$PN"12"50; "A<10>\NAC" -$PN"11"9; +$PN"11"32; %"GND_SIGNAL" "1","(1850,-3700)","0","standard","I94"; ; BODY_TYPE"PLUMBING" HDL_POWER"GND_SIGNAL" CDS_LIB"standard"; -"GND"9; +"GND"32; %"P3V3" "1","(1900,-2450)","0","cnpower","I95"; ; @@ -977,7 +776,7 @@ CDS_LIB"cnpower" SIZE"1B" BODY_TYPE"PLUMBING"; "A<SIZE-1..0>\NAC" -VHDL_INIT"1"10; +VHDL_INIT"1"31; %"P5V" "1","(2600,-3300)","0","cnpower","I96"; ; @@ -986,7 +785,7 @@ SIZE"1B" BODY_TYPE"PLUMBING" CDS_LIB"cnpower"; "A<SIZE-1..0>\NAC" -VHDL_INIT"1"11; +VHDL_INIT"1"30; %"M5V" "1","(2600,-3650)","6","cnpower","I97"; ; @@ -995,21 +794,18 @@ BODY_TYPE"plumbing" SIZE"1B" CDS_LIB"cnpower"; "A<SIZE-1..0>\NAC" -vhdl_init"0"12; +vhdl_init"0"29; %"ZENER" "1","(3325,525)","0","cndiscrete","I98"; ; -$LOCATION"Z4" +TYPE"BZT52-C3V6" +PACK_TYPE"SOD123-CA" +CDS_LIB"cndiscrete" CDS_SEC"1" -$SEC"1" CDS_LOCATION"Z4" -TYPE"BZT52C2V7" -PACK_TYPE"SOD123-CA" -CDS_LIB"cndiscrete"; -"K <SIZE-1..0>\NAC" -$PN"C"5; -"A <SIZE-1..0>\NAC" -$PN"A"8; +$LOCATION"ZD?"; +"K <SIZE-1..0>\NAC"2; +"A <SIZE-1..0>\NAC"1; %"P12V" "1","(4050,750)","0","cnpower","I99"; ; @@ -1018,5 +814,5 @@ HDL_POWER"P12V" BODY_TYPE"PLUMBING" CDS_LIB"cnpower"; "A<SIZE-1..0>\NAC" -VHDL_INIT"1"13; +VHDL_INIT"1"28; END. diff --git a/circuit_board/Cadence/worklib/fmc_tlu_toplevel_c/sch_1/page2.cpc b/circuit_board/Cadence/worklib/fmc_tlu_toplevel_c/sch_1/page2.cpc index e34454eec241d2751c3a862ca20c841a5030d234..779419e2b4ebd0f07e7d8f742782f23f7d4dcba9 100755 --- a/circuit_board/Cadence/worklib/fmc_tlu_toplevel_c/sch_1/page2.cpc +++ b/circuit_board/Cadence/worklib/fmc_tlu_toplevel_c/sch_1/page2.cpc @@ -2,179 +2,5 @@ bris_cds_standard a3-2000 * * #CELL - cnpassive rsmd0603 * - page4_i10 -#CELL - cnpassive rsmd0603 * - page4_i11 -#ISCELL - standard gnd_signal * - page4_i12 -#CELL - cnpassive rsmd0603 * - page4_i18 -#CELL - cnpassive capcersmdcl2 * - page4_i19 -#CELL - cnconnector con19p * - page4_i2 -#ISCELL - standard gnd_signal * - page4_i20 -#CELL - cnpassive rsmd0603 * - page4_i21 -#CELL - cnpassive capcersmdcl2 * - page4_i22 -#ISCELL - standard gnd_signal * - page4_i25 -#CELL - cndiscrete trans#20mosfet * - page4_i26 -#CELL - cninterface 74lvc1g07 * - page4_i28 -#CELL - cnpassive rsmd0603 * - page4_i29 -#CELL - cnconnector con19p * - page4_i3 -#ISCELL - cnpower p5v * - page4_i30 -#CELL - cndiscrete usblc6-2 * - page4_i33 -#ISCELL - standard gnd_signal * - page4_i34 -#ISCELL - cnpower p2v5 * - page4_i35 -#ISCELL - standard gnd_signal * - page4_i37 -#CELL - cnpassive rsmd0603 * - page4_i38 -#CELL - cnpassive rsmd0603 * - page4_i39 -#CELL - cninterface 74lvc1g07 * - page4_i40 -#CELL - cnpassive capcersmdcl2 * - page4_i41 -#CELL - cnpassive capcersmdcl2 * - page4_i42 -#ISCELL - cnpower p5v * - page4_i43 -#CELL - cnpassive rsmd0603 * - page4_i44 -#CELL - cndiscrete trans#20mosfet * - page4_i45 -#ISCELL - cnpower p2v5 * - page4_i48 -#CELL - cndiscrete usblc6-2 * - page4_i49 -#ISCELL - standard gnd_signal * - page4_i50 -#ISCELL - standard gnd_signal * - page4_i51 -#ISCELL - cnpower p3v3 * - page4_i54 -#ISCELL - cnpower p3v3 * - page4_i55 -#CELL - cnpassive capcersmdcl2 * - page4_i56 -#ISCELL - standard gnd_signal * - page4_i57 -#ISCELL - cnpower p2v5 * - page4_i58 -#ISCELL - cnpower p2v5 * - page4_i59 -#ISCELL - cnpower frame * - page4_i6 -#CELL - cnpassive capcersmdcl2 * - page4_i60 -#ISCELL - standard gnd_signal * - page4_i61 -#CELL - fmc_tlu_v1_lib fmc_tlu_diode_clamp * - page4_i62 -#CELL - fmc_tlu_v1_lib fmc_tlu_diode_clamp * - page4_i63 -#CELL - fmc_tlu_v1_lib fmc_tlu_diode_clamp * - page4_i64 -#CELL - cnpassive tp * - page4_i65 -#CELL - cnpassive tp * - page4_i66 -#CELL - cnpassive tp * - page4_i67 -#CELL - cnpassive tp * - page4_i68 -#CELL - cnpassive tp * - page4_i69 -#CELL - cnpassive tp * - page4_i70 -#CELL - cnpassive tp * - page4_i71 -#CELL - cnpassive tp * - page4_i72 -#CELL - cnpassive tp * - page4_i73 -#CELL - cnpassive tp * - page4_i74 -#CELL - cnpassive tp * - page4_i75 -#CELL - cnpassive tp * - page4_i76 -#ISCELL - standard gnd_signal * - page4_i77 -#CELL - cnconnector con8p * - page4_i78 -#CELL - cnpassive capcersmdcl2 * - page4_i8 -#CELL - cnpassive capcersmdcl2 * - page4_i9 + fmc_tlu_v1_lib fmc_tlu_hdmi_dut_connector * + page4_i1 diff --git a/circuit_board/Cadence/worklib/fmc_tlu_toplevel_c/sch_1/page2.csa b/circuit_board/Cadence/worklib/fmc_tlu_toplevel_c/sch_1/page2.csa index eae0e52f265fc48aff243d7cc5f3c8ee08b276cb..d80445c021eaa3387c48721aae3460d49cb3276a 100644 --- a/circuit_board/Cadence/worklib/fmc_tlu_toplevel_c/sch_1/page2.csa +++ b/circuit_board/Cadence/worklib/fmc_tlu_toplevel_c/sch_1/page2.csa @@ -7,3580 +7,307 @@ SET COLOR_BODY GREEN; SET COLOR_NOTE PURPLE; SET PROP_DISPLAY VALUE; SET PAGE_NUMBER P4; -FORCEADD RSMD0603..2 -(1750 350); -FORCEPROP 1 LAST VALUE 51 -J 1 -(1755 335); -DISPLAY 0.617021 (1755 335); -PAINT WHITE (1755 335); -FORCEPROP 1 LAST PACK_TYPE 1/10W -J 1 -(1755 290); -DISPLAY 0.617021 (1755 290); -PAINT WHITE (1755 290); -FORCEPROP 1 LAST $LOCATION R11 -J 1 -(1755 387); -DISPLAY 0.723404 (1755 387); -PAINT WHITE (1755 387); -FORCEPROP 2 LASTPIN (1650 350) $PN 1 -J 2 -(1640 360); -DISPLAY 0.808511 (1640 360); -FORCEPROP 2 LASTPIN (1850 350) $PN 2 -J 0 -(1860 360); -DISPLAY 0.808511 (1860 360); -FORCEPROP 1 LAST DIST FLAT -J 0 -(1655 192); -DISPLAY 0.340426 (1655 192); -PAINT GREEN (1655 192); -DISPLAY INVISIBLE (1655 192); -FORCEPROP 1 LAST MAX_TEMP RTMAX -J 0 -(1660 112); -DISPLAY 0.340426 (1660 112); -PAINT GREEN (1660 112); -DISPLAY INVISIBLE (1660 112); -FORCEPROP 1 LAST NEGTOL RTOL% -J 0 -(1655 212); -DISPLAY 0.340426 (1655 212); -PAINT GREEN (1655 212); -DISPLAY INVISIBLE (1655 212); -FORCEPROP 1 LAST POSTOL RTOL% -J 0 -(1655 232); -DISPLAY 0.340426 (1655 232); -PAINT GREEN (1655 232); -DISPLAY INVISIBLE (1655 232); -FORCEPROP 1 LAST POWER RMAX -J 0 -(1660 172); -DISPLAY 0.340426 (1660 172); -PAINT GREEN (1660 172); -DISPLAY INVISIBLE (1660 172); -FORCEPROP 1 LAST SIZE 1B -J 0 -(1675 453); -DISPLAY 0.680851 (1675 453); -PAINT RED (1675 453); -DISPLAY INVISIBLE (1675 453); -FORCEPROP 1 LAST SLOPE RSMAX -J 0 -(1660 132); -DISPLAY 0.340426 (1660 132); -PAINT GREEN (1660 132); -DISPLAY INVISIBLE (1660 132); -FORCEPROP 1 LAST TC1 RTMPL -J 0 -(1660 92); -DISPLAY 0.340426 (1660 92); -PAINT GREEN (1660 92); -DISPLAY INVISIBLE (1660 92); -FORCEPROP 1 LAST TC2 RTMPQ -J 0 -(1660 72); -DISPLAY 0.340426 (1660 72); -PAINT GREEN (1660 72); -DISPLAY INVISIBLE (1660 72); -FORCEPROP 1 LAST TOL_ON_OFF ON -J 0 -(1655 252); -DISPLAY 0.340426 (1655 252); -PAINT GREEN (1655 252); -DISPLAY INVISIBLE (1655 252); -FORCEPROP 1 LAST VOLTAGE RVMAX -J 0 -(1660 152); -DISPLAY 0.340426 (1660 152); -PAINT GREEN (1660 152); -DISPLAY INVISIBLE (1660 152); -FORCEPROP 2 LAST CDS_LIB cnpassive -J 0 -(1750 350); -DISPLAY INVISIBLE (1750 350); -FORCEPROP 1 LAST TOL 1% -J 1 -(1755 225); -DISPLAY 0.617021 (1755 225); -PAINT WHITE (1755 225); -DISPLAY INVISIBLE (1755 225); -FORCEPROP 2 LAST CDS_LOCATION R11 -J 0 -(1800 450); -DISPLAY 1.021277 (1800 450); -DISPLAY INVISIBLE (1800 450); -FORCEPROP 2 LAST $SEC 1 -J 0 -(1800 450); -DISPLAY 0.680851 (1800 450); -PAINT MONO (1800 450); -DISPLAY INVISIBLE (1800 450); -FORCEPROP 2 LAST CDS_SEC 1 -J 0 -(1800 450); -DISPLAY 1.021277 (1800 450); -DISPLAY INVISIBLE (1800 450); -FORCEPROP 1 LAST PATH I10 -J 0 -(1805 266); -DISPLAY 0.702128 (1805 266); -PAINT WHITE (1805 266); -DISPLAY INVISIBLE (1805 266); -FORCEADD RSMD0603..2 -(1750 150); -FORCEPROP 2 LASTPIN (1850 150) $PN 2 -J 0 -(1860 160); -DISPLAY 0.808511 (1860 160); -FORCEPROP 2 LASTPIN (1650 150) $PN 1 -J 2 -(1640 160); -DISPLAY 0.808511 (1640 160); -FORCEPROP 1 LAST PACK_TYPE 1/10W -J 1 -(1755 90); -DISPLAY 0.617021 (1755 90); -PAINT WHITE (1755 90); -FORCEPROP 1 LAST VALUE 51 -J 1 -(1755 135); -DISPLAY 0.617021 (1755 135); -PAINT WHITE (1755 135); -FORCEPROP 1 LAST $LOCATION R12 -J 1 -(1755 187); -DISPLAY 0.723404 (1755 187); -PAINT WHITE (1755 187); -FORCEPROP 1 LAST DIST FLAT -J 0 -(1655 -8); -DISPLAY 0.340426 (1655 -8); -PAINT GREEN (1655 -8); -DISPLAY INVISIBLE (1655 -8); -FORCEPROP 1 LAST MAX_TEMP RTMAX -J 0 -(1660 -88); -DISPLAY 0.340426 (1660 -88); -PAINT GREEN (1660 -88); -DISPLAY INVISIBLE (1660 -88); -FORCEPROP 1 LAST NEGTOL RTOL% -J 0 -(1655 12); -DISPLAY 0.340426 (1655 12); -PAINT GREEN (1655 12); -DISPLAY INVISIBLE (1655 12); -FORCEPROP 1 LAST POSTOL RTOL% -J 0 -(1655 32); -DISPLAY 0.340426 (1655 32); -PAINT GREEN (1655 32); -DISPLAY INVISIBLE (1655 32); -FORCEPROP 1 LAST POWER RMAX -J 0 -(1660 -28); -DISPLAY 0.340426 (1660 -28); -PAINT GREEN (1660 -28); -DISPLAY INVISIBLE (1660 -28); -FORCEPROP 1 LAST SIZE 1B -J 0 -(1675 253); -DISPLAY 0.680851 (1675 253); -PAINT RED (1675 253); -DISPLAY INVISIBLE (1675 253); -FORCEPROP 1 LAST SLOPE RSMAX -J 0 -(1660 -68); -DISPLAY 0.340426 (1660 -68); -PAINT GREEN (1660 -68); -DISPLAY INVISIBLE (1660 -68); -FORCEPROP 1 LAST TC1 RTMPL -J 0 -(1660 -108); -DISPLAY 0.340426 (1660 -108); -PAINT GREEN (1660 -108); -DISPLAY INVISIBLE (1660 -108); -FORCEPROP 1 LAST TC2 RTMPQ -J 0 -(1660 -128); -DISPLAY 0.340426 (1660 -128); -PAINT GREEN (1660 -128); -DISPLAY INVISIBLE (1660 -128); -FORCEPROP 1 LAST TOL_ON_OFF ON -J 0 -(1655 52); -DISPLAY 0.340426 (1655 52); -PAINT GREEN (1655 52); -DISPLAY INVISIBLE (1655 52); -FORCEPROP 1 LAST VOLTAGE RVMAX -J 0 -(1660 -48); -DISPLAY 0.340426 (1660 -48); -PAINT GREEN (1660 -48); -DISPLAY INVISIBLE (1660 -48); -FORCEPROP 2 LAST CDS_LIB cnpassive -J 0 -(1750 150); -DISPLAY INVISIBLE (1750 150); -FORCEPROP 1 LAST TOL 1% -J 1 -(1755 25); -DISPLAY 0.617021 (1755 25); -PAINT WHITE (1755 25); -DISPLAY INVISIBLE (1755 25); -FORCEPROP 2 LAST CDS_LOCATION R12 -J 0 -(1800 250); -DISPLAY 1.021277 (1800 250); -DISPLAY INVISIBLE (1800 250); -FORCEPROP 2 LAST $SEC 1 -J 0 -(1800 250); -DISPLAY 0.680851 (1800 250); -PAINT MONO (1800 250); -DISPLAY INVISIBLE (1800 250); -FORCEPROP 2 LAST CDS_SEC 1 -J 0 -(1800 250); -DISPLAY 1.021277 (1800 250); -DISPLAY INVISIBLE (1800 250); -FORCEPROP 1 LAST PATH I11 -J 0 -(1805 66); -DISPLAY 0.702128 (1805 66); -PAINT WHITE (1805 66); -DISPLAY INVISIBLE (1805 66); -FORCEADD GND_SIGNAL..1 -(2000 150); -FORCEPROP 3 LASTPIN (2050 200) SIG_NAME GND_SIGNAL\g -J 0 -(2060 210); -DISPLAY 0.659574 (2060 210); -PAINT MONO (2060 210); -DISPLAY INVISIBLE (2060 210); -FORCEPROP 2 LAST PATH I12 -J 0 -(1950 250); -DISPLAY 1.021277 (1950 250); -FORCEPROP 1 LAST HDL_POWER GND_SIGNAL -J 0 -(2000 200); -DISPLAY 0.978723 (2000 200); -DISPLAY INVISIBLE (2000 200); -FORCEPROP 1 LAST BODY_TYPE PLUMBING -J 0 -(2000 300); -DISPLAY 0.978723 (2000 300); -DISPLAY INVISIBLE (2000 300); -FORCEPROP 2 LAST CDS_LIB standard -J 0 -(2000 150); -DISPLAY INVISIBLE (2000 150); -FORCEADD RSMD0603..2 -(300 -3400); -FORCEPROP 2 LASTPIN (400 -3400) $PN 2 -J 0 -(410 -3390); -DISPLAY 0.808511 (410 -3390); -FORCEPROP 2 LASTPIN (200 -3400) $PN 1 -J 2 -(190 -3390); -DISPLAY 0.808511 (190 -3390); -FORCEPROP 1 LAST $LOCATION R13 -J 1 -(305 -3363); -DISPLAY 0.723404 (305 -3363); -PAINT WHITE (305 -3363); -FORCEPROP 1 LAST VALUE 51 -J 1 -(305 -3415); -DISPLAY 0.617021 (305 -3415); -PAINT WHITE (305 -3415); -FORCEPROP 1 LAST PACK_TYPE 1/10W -J 1 -(305 -3460); -DISPLAY 0.617021 (305 -3460); -PAINT WHITE (305 -3460); -FORCEPROP 2 LAST CDS_SEC 1 -J 0 -(350 -3300); -DISPLAY 1.021277 (350 -3300); -DISPLAY INVISIBLE (350 -3300); -FORCEPROP 2 LAST $SEC 1 -J 0 -(350 -3300); -DISPLAY 0.680851 (350 -3300); -PAINT MONO (350 -3300); -DISPLAY INVISIBLE (350 -3300); -FORCEPROP 2 LAST CDS_LOCATION R13 -J 0 -(350 -3300); -DISPLAY 1.021277 (350 -3300); -DISPLAY INVISIBLE (350 -3300); -FORCEPROP 1 LAST DIST FLAT -J 0 -(205 -3558); -DISPLAY 0.340426 (205 -3558); -PAINT GREEN (205 -3558); -DISPLAY INVISIBLE (205 -3558); -FORCEPROP 1 LAST MAX_TEMP RTMAX -J 0 -(210 -3638); -DISPLAY 0.340426 (210 -3638); -PAINT GREEN (210 -3638); -DISPLAY INVISIBLE (210 -3638); -FORCEPROP 1 LAST NEGTOL RTOL% -J 0 -(205 -3538); -DISPLAY 0.340426 (205 -3538); -PAINT GREEN (205 -3538); -DISPLAY INVISIBLE (205 -3538); -FORCEPROP 1 LAST POSTOL RTOL% -J 0 -(205 -3518); -DISPLAY 0.340426 (205 -3518); -PAINT GREEN (205 -3518); -DISPLAY INVISIBLE (205 -3518); -FORCEPROP 1 LAST POWER RMAX -J 0 -(210 -3578); -DISPLAY 0.340426 (210 -3578); -PAINT GREEN (210 -3578); -DISPLAY INVISIBLE (210 -3578); -FORCEPROP 1 LAST SIZE 1B -J 0 -(225 -3297); -DISPLAY 0.680851 (225 -3297); -PAINT RED (225 -3297); -DISPLAY INVISIBLE (225 -3297); -FORCEPROP 1 LAST SLOPE RSMAX -J 0 -(210 -3618); -DISPLAY 0.340426 (210 -3618); -PAINT GREEN (210 -3618); -DISPLAY INVISIBLE (210 -3618); -FORCEPROP 1 LAST TC1 RTMPL -J 0 -(210 -3658); -DISPLAY 0.340426 (210 -3658); -PAINT GREEN (210 -3658); -DISPLAY INVISIBLE (210 -3658); -FORCEPROP 1 LAST TC2 RTMPQ -J 0 -(210 -3678); -DISPLAY 0.340426 (210 -3678); -PAINT GREEN (210 -3678); -DISPLAY INVISIBLE (210 -3678); -FORCEPROP 1 LAST TOL_ON_OFF ON -J 0 -(205 -3498); -DISPLAY 0.340426 (205 -3498); -PAINT GREEN (205 -3498); -DISPLAY INVISIBLE (205 -3498); -FORCEPROP 1 LAST VOLTAGE RVMAX -J 0 -(210 -3598); -DISPLAY 0.340426 (210 -3598); -PAINT GREEN (210 -3598); -DISPLAY INVISIBLE (210 -3598); -FORCEPROP 2 LAST CDS_LIB cnpassive -J 0 -(300 -3400); -DISPLAY INVISIBLE (300 -3400); -FORCEPROP 1 LAST TOL 1% -J 1 -(305 -3525); -DISPLAY 0.617021 (305 -3525); -PAINT WHITE (305 -3525); -DISPLAY INVISIBLE (305 -3525); -FORCEPROP 1 LAST PATH I18 -J 0 -(355 -3484); -DISPLAY 0.702128 (355 -3484); -PAINT WHITE (355 -3484); -DISPLAY INVISIBLE (355 -3484); -FORCEADD CAPCERSMDCL2..1 -(0 -3400); -FORCEPROP 1 LAST $LOCATION C10 -J 0 -(-25 -3350); -DISPLAY 0.723404 (-25 -3350); -FORCEPROP 1 LAST VALUE 100NF -J 1 -(0 -3300); -DISPLAY 0.723404 (0 -3300); -FORCEPROP 1 LAST VOLTAGE 16V -J 1 -(-150 -3300); -DISPLAY 0.723404 (-150 -3300); -FORCEPROP 1 LASTPIN (100 -3400) $PN 2 -J 0 -(100 -3375); -DISPLAY 0.723404 (100 -3375); -DISPLAY INVISIBLE (100 -3375); -FORCEPROP 1 LASTPIN (-100 -3400) $PN 1 -J 2 -(-100 -3375); -DISPLAY 0.723404 (-100 -3375); -DISPLAY INVISIBLE (-100 -3375); -FORCEPROP 2 LAST CDS_SEC 1 -J 0 -(50 -3250); -DISPLAY 1.021277 (50 -3250); -DISPLAY INVISIBLE (50 -3250); -FORCEPROP 2 LAST $SEC 1 -J 0 -(50 -3250); -DISPLAY 0.680851 (50 -3250); -PAINT MONO (50 -3250); -DISPLAY INVISIBLE (50 -3250); -FORCEPROP 2 LAST CDS_LOCATION C10 -J 0 -(50 -3250); -DISPLAY 1.021277 (50 -3250); -DISPLAY INVISIBLE (50 -3250); -FORCEPROP 2 LASTPIN (100 -3400) SIG_NAME UN$4$CAPCERSMDCL2$I19$B -J 0 -(110 -3390); -DISPLAY 0.659574 (110 -3390); -PAINT MONO (110 -3390); -DISPLAY INVISIBLE (110 -3390); -FORCEPROP 1 LAST SIZE 1 -J 0 -(-20 -3470); -DISPLAY 0.702128 (-20 -3470); -PAINT WHITE (-20 -3470); -DISPLAY INVISIBLE (-20 -3470); -FORCEPROP 2 LAST CDS_LIB cnpassive -J 0 -(0 -3400); -DISPLAY INVISIBLE (0 -3400); -FORCEPROP 1 LAST PACK_TYPE 0603 -J 1 -(0 -3600); -DISPLAY 0.723404 (0 -3600); -DISPLAY INVISIBLE (0 -3600); -FORCEPROP 1 LAST PATH I19 -J 0 -(25 -3300); -DISPLAY 0.723404 (25 -3300); -DISPLAY INVISIBLE (25 -3300); -FORCEADD CON19P..1 -(-250 250); -FORCEPROP 0 LAST POWER_GROUP GND=GND_HDMI1 -J 0 -(-500 450); -DISPLAY 1.021277 (-500 450); -FORCEPROP 1 LAST $LOCATION J1 -J 1 -(-170 330); -DISPLAY 0.723404 (-170 330); -PAINT GREEN (-170 330); -FORCEPROP 1 LAST TYPE MHDMI-19-02-H-TH-L-TR -J 1 -(-170 290); -DISPLAY 0.723404 (-170 290); -PAINT GREEN (-170 290); -FORCEPROP 2 LAST CDS_LOCATION J1 -J 0 -(-150 500); -DISPLAY 1.021277 (-150 500); -DISPLAY INVISIBLE (-150 500); -FORCEPROP 2 LAST CDS_SEC 1 -J 0 -(-150 500); -DISPLAY 1.021277 (-150 500); -DISPLAY INVISIBLE (-150 500); -FORCEPROP 1 LAST PATH I2 -J 0 -(-85 -240); -DISPLAY 0.723404 (-85 -240); -PAINT GREEN (-85 -240); -DISPLAY INVISIBLE (-85 -240); -FORCEPROP 1 LAST CDS_LMAN_SYM_OUTLINE 0,25,150,-475 -J 0 -(-250 250); -DISPLAY 0.468085 (-250 250); -PAINT GREEN (-250 250); -DISPLAY INVISIBLE (-250 250); -FORCEPROP 2 LAST CDS_LIB cnconnector -J 0 -(-250 250); -DISPLAY INVISIBLE (-250 250); -FORCEPROP 2 LASTPIN (-50 -50) SIG_NAME UN$4$CON19P$I2$A -J 0 -(-40 -40); -DISPLAY 0.659574 (-40 -40); -PAINT MONO (-40 -40); -DISPLAY INVISIBLE (-40 -40); -FORCEPROP 1 LASTPIN (-50 -150) $PN 18 -J 0 -(-50 -145); -DISPLAY 0.617021 (-50 -145); -DISPLAY INVISIBLE (-50 -145); -FORCEPROP 1 LASTPIN (-50 -100) $PN 16 -J 0 -(-50 -95); -DISPLAY 0.617021 (-50 -95); -DISPLAY INVISIBLE (-50 -95); -FORCEPROP 1 LASTPIN (-50 -50) $PN 14 -J 0 -(-50 -45); -DISPLAY 0.617021 (-50 -45); -DISPLAY INVISIBLE (-50 -45); -FORCEPROP 1 LASTPIN (-50 0) $PN 12 -J 0 -(-50 5); -DISPLAY 0.617021 (-50 5); -DISPLAY INVISIBLE (-50 5); -FORCEPROP 1 LASTPIN (-50 50) $PN 10 -J 0 -(-50 55); -DISPLAY 0.617021 (-50 55); -DISPLAY INVISIBLE (-50 55); -FORCEPROP 1 LASTPIN (-50 100) $PN 8 -J 0 -(-50 105); -DISPLAY 0.617021 (-50 105); -DISPLAY INVISIBLE (-50 105); -FORCEPROP 1 LASTPIN (-50 150) $PN 6 -J 0 -(-50 155); -DISPLAY 0.617021 (-50 155); -DISPLAY INVISIBLE (-50 155); -FORCEPROP 1 LASTPIN (-50 200) $PN 4 -J 0 -(-50 205); -DISPLAY 0.617021 (-50 205); -DISPLAY INVISIBLE (-50 205); -FORCEPROP 1 LASTPIN (-50 250) $PN 2 -J 0 -(-50 255); -DISPLAY 0.617021 (-50 255); -DISPLAY INVISIBLE (-50 255); -FORCEPROP 1 LASTPIN (-300 -200) $PN 19 -J 2 -(-300 -195); -DISPLAY 0.617021 (-300 -195); -DISPLAY INVISIBLE (-300 -195); -FORCEPROP 1 LASTPIN (-300 -150) $PN 17 -J 2 -(-300 -145); -DISPLAY 0.617021 (-300 -145); -DISPLAY INVISIBLE (-300 -145); -FORCEPROP 1 LASTPIN (-300 -100) $PN 15 -J 2 -(-300 -95); -DISPLAY 0.617021 (-300 -95); -DISPLAY INVISIBLE (-300 -95); -FORCEPROP 1 LASTPIN (-300 -50) $PN 13 -J 2 -(-300 -45); -DISPLAY 0.617021 (-300 -45); -DISPLAY INVISIBLE (-300 -45); -FORCEPROP 1 LASTPIN (-300 0) $PN 11 -J 2 -(-300 5); -DISPLAY 0.617021 (-300 5); -DISPLAY INVISIBLE (-300 5); -FORCEPROP 1 LASTPIN (-300 50) $PN 9 -J 2 -(-300 55); -DISPLAY 0.617021 (-300 55); -DISPLAY INVISIBLE (-300 55); -FORCEPROP 1 LASTPIN (-300 100) $PN 7 -J 2 -(-300 105); -DISPLAY 0.617021 (-300 105); -DISPLAY INVISIBLE (-300 105); -FORCEPROP 1 LASTPIN (-300 150) $PN 5 -J 2 -(-300 155); -DISPLAY 0.617021 (-300 155); -DISPLAY INVISIBLE (-300 155); -FORCEPROP 1 LASTPIN (-300 200) $PN 3 -J 2 -(-300 205); -DISPLAY 0.617021 (-300 205); -DISPLAY INVISIBLE (-300 205); -FORCEPROP 1 LASTPIN (-300 250) $PN 1 -J 2 -(-300 255); -DISPLAY 0.617021 (-300 255); -DISPLAY INVISIBLE (-300 255); -FORCEADD GND_SIGNAL..1 -(550 -3600); -FORCEPROP 3 LASTPIN (600 -3550) SIG_NAME GND_SIGNAL\g -J 0 -(610 -3540); -DISPLAY 0.659574 (610 -3540); -PAINT MONO (610 -3540); -DISPLAY INVISIBLE (610 -3540); -FORCEPROP 2 LAST PATH I20 -J 0 -(500 -3500); -DISPLAY 1.021277 (500 -3500); -FORCEPROP 1 LAST BODY_TYPE PLUMBING -J 0 -(550 -3450); -DISPLAY 0.978723 (550 -3450); -DISPLAY INVISIBLE (550 -3450); -FORCEPROP 1 LAST HDL_POWER GND_SIGNAL -J 0 -(550 -3550); -DISPLAY 0.978723 (550 -3550); -DISPLAY INVISIBLE (550 -3550); -FORCEPROP 2 LAST CDS_LIB standard -J 0 -(550 -3600); -DISPLAY INVISIBLE (550 -3600); -FORCEADD RSMD0603..2 -(300 -3600); -FORCEPROP 2 LASTPIN (200 -3600) $PN 1 -J 2 -(190 -3590); -DISPLAY 0.808511 (190 -3590); -FORCEPROP 1 LAST $LOCATION R14 -J 1 -(305 -3563); -DISPLAY 0.723404 (305 -3563); -PAINT WHITE (305 -3563); -FORCEPROP 1 LAST VALUE 51 -J 1 -(305 -3615); -DISPLAY 0.617021 (305 -3615); -PAINT WHITE (305 -3615); -FORCEPROP 2 LASTPIN (400 -3600) $PN 2 -J 0 -(410 -3590); -DISPLAY 0.808511 (410 -3590); -FORCEPROP 1 LAST PACK_TYPE 1/10W -J 1 -(305 -3660); -DISPLAY 0.617021 (305 -3660); -PAINT WHITE (305 -3660); -FORCEPROP 2 LAST CDS_SEC 1 -J 0 -(350 -3500); -DISPLAY 1.021277 (350 -3500); -DISPLAY INVISIBLE (350 -3500); -FORCEPROP 2 LAST $SEC 1 -J 0 -(350 -3500); -DISPLAY 0.680851 (350 -3500); -PAINT MONO (350 -3500); -DISPLAY INVISIBLE (350 -3500); -FORCEPROP 2 LAST CDS_LOCATION R14 -J 0 -(350 -3500); -DISPLAY 1.021277 (350 -3500); -DISPLAY INVISIBLE (350 -3500); -FORCEPROP 1 LAST DIST FLAT -J 0 -(205 -3758); -DISPLAY 0.340426 (205 -3758); -PAINT GREEN (205 -3758); -DISPLAY INVISIBLE (205 -3758); -FORCEPROP 1 LAST MAX_TEMP RTMAX -J 0 -(210 -3838); -DISPLAY 0.340426 (210 -3838); -PAINT GREEN (210 -3838); -DISPLAY INVISIBLE (210 -3838); -FORCEPROP 1 LAST NEGTOL RTOL% -J 0 -(205 -3738); -DISPLAY 0.340426 (205 -3738); -PAINT GREEN (205 -3738); -DISPLAY INVISIBLE (205 -3738); -FORCEPROP 1 LAST POSTOL RTOL% -J 0 -(205 -3718); -DISPLAY 0.340426 (205 -3718); -PAINT GREEN (205 -3718); -DISPLAY INVISIBLE (205 -3718); -FORCEPROP 1 LAST POWER RMAX -J 0 -(210 -3778); -DISPLAY 0.340426 (210 -3778); -PAINT GREEN (210 -3778); -DISPLAY INVISIBLE (210 -3778); -FORCEPROP 1 LAST SIZE 1B -J 0 -(225 -3497); -DISPLAY 0.680851 (225 -3497); -PAINT RED (225 -3497); -DISPLAY INVISIBLE (225 -3497); -FORCEPROP 1 LAST SLOPE RSMAX -J 0 -(210 -3818); -DISPLAY 0.340426 (210 -3818); -PAINT GREEN (210 -3818); -DISPLAY INVISIBLE (210 -3818); -FORCEPROP 1 LAST TC1 RTMPL -J 0 -(210 -3858); -DISPLAY 0.340426 (210 -3858); -PAINT GREEN (210 -3858); -DISPLAY INVISIBLE (210 -3858); -FORCEPROP 1 LAST TC2 RTMPQ -J 0 -(210 -3878); -DISPLAY 0.340426 (210 -3878); -PAINT GREEN (210 -3878); -DISPLAY INVISIBLE (210 -3878); -FORCEPROP 1 LAST TOL_ON_OFF ON -J 0 -(205 -3698); -DISPLAY 0.340426 (205 -3698); -PAINT GREEN (205 -3698); -DISPLAY INVISIBLE (205 -3698); -FORCEPROP 1 LAST VOLTAGE RVMAX -J 0 -(210 -3798); -DISPLAY 0.340426 (210 -3798); -PAINT GREEN (210 -3798); -DISPLAY INVISIBLE (210 -3798); -FORCEPROP 2 LAST CDS_LIB cnpassive -J 0 -(300 -3600); -DISPLAY INVISIBLE (300 -3600); -FORCEPROP 1 LAST TOL 1% -J 1 -(305 -3725); -DISPLAY 0.617021 (305 -3725); -PAINT WHITE (305 -3725); -DISPLAY INVISIBLE (305 -3725); -FORCEPROP 1 LAST PATH I21 -J 0 -(355 -3684); -DISPLAY 0.702128 (355 -3684); -PAINT WHITE (355 -3684); -DISPLAY INVISIBLE (355 -3684); -FORCEADD CAPCERSMDCL2..1 -(0 -3600); -FORCEPROP 1 LAST $LOCATION C11 -J 0 -(-25 -3750); -DISPLAY 0.723404 (-25 -3750); -FORCEPROP 1 LAST VALUE 100NF -J 1 -(0 -3700); -DISPLAY 0.723404 (0 -3700); -FORCEPROP 1 LAST VOLTAGE 16V -J 1 -(-150 -3700); -DISPLAY 0.723404 (-150 -3700); -FORCEPROP 1 LASTPIN (100 -3600) $PN 2 -J 0 -(100 -3575); -DISPLAY 0.723404 (100 -3575); -DISPLAY INVISIBLE (100 -3575); -FORCEPROP 1 LASTPIN (-100 -3600) $PN 1 -J 2 -(-100 -3575); -DISPLAY 0.723404 (-100 -3575); -DISPLAY INVISIBLE (-100 -3575); -FORCEPROP 2 LAST CDS_SEC 1 -J 0 -(50 -3450); -DISPLAY 1.021277 (50 -3450); -DISPLAY INVISIBLE (50 -3450); -FORCEPROP 2 LAST $SEC 1 -J 0 -(50 -3450); -DISPLAY 0.680851 (50 -3450); -PAINT MONO (50 -3450); -DISPLAY INVISIBLE (50 -3450); -FORCEPROP 2 LAST CDS_LOCATION C11 -J 0 -(50 -3450); -DISPLAY 1.021277 (50 -3450); -DISPLAY INVISIBLE (50 -3450); -FORCEPROP 2 LASTPIN (100 -3600) SIG_NAME UN$4$CAPCERSMDCL2$I22$B -J 0 -(110 -3590); -DISPLAY 0.659574 (110 -3590); -PAINT MONO (110 -3590); -DISPLAY INVISIBLE (110 -3590); -FORCEPROP 1 LAST SIZE 1 -J 0 -(-20 -3670); -DISPLAY 0.702128 (-20 -3670); -PAINT WHITE (-20 -3670); -DISPLAY INVISIBLE (-20 -3670); -FORCEPROP 2 LAST CDS_LIB cnpassive -J 0 -(0 -3600); -DISPLAY INVISIBLE (0 -3600); -FORCEPROP 1 LAST PACK_TYPE 0603 -J 1 -(0 -3800); -DISPLAY 0.723404 (0 -3800); -DISPLAY INVISIBLE (0 -3800); -FORCEPROP 1 LAST PATH I22 -J 0 -(25 -3500); -DISPLAY 0.723404 (25 -3500); -DISPLAY INVISIBLE (25 -3500); -FORCEADD GND_SIGNAL..1 -(-1000 -300); -FORCEPROP 3 LASTPIN (-950 -250) SIG_NAME GND_SIGNAL\g -J 0 -(-940 -240); -DISPLAY 0.659574 (-940 -240); -PAINT MONO (-940 -240); -DISPLAY INVISIBLE (-940 -240); -FORCEPROP 2 LAST PATH I25 -J 0 -(-1050 -200); -DISPLAY 1.021277 (-1050 -200); -FORCEPROP 1 LAST HDL_POWER GND_SIGNAL -J 0 -(-1000 -250); -DISPLAY 0.978723 (-1000 -250); -DISPLAY INVISIBLE (-1000 -250); -FORCEPROP 1 LAST BODY_TYPE PLUMBING -J 0 -(-1000 -150); -DISPLAY 0.978723 (-1000 -150); -DISPLAY INVISIBLE (-1000 -150); -FORCEPROP 2 LAST CDS_LIB standard -J 0 -(-1000 -300); -DISPLAY INVISIBLE (-1000 -300); -FORCEADD TRANS MOSFET..1 -R 2 -(400 800); -FORCEPROP 1 LAST $LOCATION T1 -J 2 -(325 825); -DISPLAY 0.723404 (325 825); -PAINT WHITE (325 825); -FORCEPROP 1 LAST TYPE SOT23 -J 2 -(325 725); -DISPLAY 0.723404 (325 725); -PAINT WHITE (325 725); -FORCEPROP 1 LAST VALUE FDV301N -J 2 -(325 775); -DISPLAY 0.723404 (325 775); -PAINT WHITE (325 775); -FORCEPROP 2 LAST CDS_LIB cndiscrete -J 0 -(400 800); -DISPLAY INVISIBLE (400 800); -FORCEPROP 1 LAST PACK_TYPE GSD -J 0 -(425 875); -DISPLAY 0.723404 (425 875); -PAINT WHITE (425 875); -DISPLAY INVISIBLE (425 875); -FORCEPROP 1 LAST PATH I26 -J 0 -(425 825); -DISPLAY 0.723404 (425 825); -PAINT WHITE (425 825); -DISPLAY INVISIBLE (425 825); -FORCEADD 74LVC1G07..1 -R 2 -(1450 750); -FORCEPROP 1 LAST PACK_TYPE SC70 -J 2 -(1575 925); -DISPLAY 0.723404 (1575 925); -PAINT GREEN (1575 925); -FORCEPROP 1 LAST TYPE SN74LVC1G07DCK -J 2 -(1575 858); -DISPLAY 0.851064 (1575 858); -PAINT GREEN (1575 858); -FORCEPROP 1 LAST $LOCATION IC4 -J 2 -(1575 975); -DISPLAY 0.723404 (1575 975); -PAINT GREEN (1575 975); -FORCEPROP 0 LAST POWER_GROUP VCC=P2V5;GND=GND_SIGNAL -J 0 -(1000 600); -DISPLAY 1.021277 (1000 600); -FORCEPROP 2 LAST CDS_LIB cninterface -J 0 -(1450 750); -DISPLAY INVISIBLE (1450 750); -FORCEPROP 2 LASTPIN (1300 750) SIG_NAME UN$4$74LVC1G07$I28$Y -J 0 -(1310 760); -DISPLAY 0.659574 (1310 760); -PAINT MONO (1310 760); -DISPLAY INVISIBLE (1310 760); -FORCEPROP 1 LAST PATH I28 -J 1 -(1450 833); -DISPLAY 0.723404 (1450 833); -PAINT GREEN (1450 833); -DISPLAY INVISIBLE (1450 833); -FORCEADD RSMD0603..2 -R 1 -(800 900); -FORCEPROP 1 LAST VALUE 1K -R 1 -J 1 -(815 905); -DISPLAY 0.617021 (815 905); -PAINT WHITE (815 905); -FORCEPROP 1 LAST PACK_TYPE 1/10W -R 1 -J 1 -(860 905); -DISPLAY 0.617021 (860 905); -PAINT WHITE (860 905); -FORCEPROP 1 LAST $LOCATION R15 -R 1 -J 1 -(763 905); -DISPLAY 0.723404 (763 905); -PAINT WHITE (763 905); -FORCEPROP 2 LAST CDS_LIB cnpassive -J 0 -(800 900); -DISPLAY INVISIBLE (800 900); -FORCEPROP 1 LAST VOLTAGE RVMAX -R 1 -J 0 -(998 810); -DISPLAY 0.340426 (998 810); -PAINT GREEN (998 810); -DISPLAY INVISIBLE (998 810); -FORCEPROP 1 LAST TOL_ON_OFF ON -R 1 -J 0 -(898 805); -DISPLAY 0.340426 (898 805); -PAINT GREEN (898 805); -DISPLAY INVISIBLE (898 805); -FORCEPROP 1 LAST TC2 RTMPQ -R 1 -J 0 -(1078 810); -DISPLAY 0.340426 (1078 810); -PAINT GREEN (1078 810); -DISPLAY INVISIBLE (1078 810); -FORCEPROP 1 LAST TC1 RTMPL -R 1 -J 0 -(1058 810); -DISPLAY 0.340426 (1058 810); -PAINT GREEN (1058 810); -DISPLAY INVISIBLE (1058 810); -FORCEPROP 1 LAST SLOPE RSMAX -R 1 -J 0 -(1018 810); -DISPLAY 0.340426 (1018 810); -PAINT GREEN (1018 810); -DISPLAY INVISIBLE (1018 810); -FORCEPROP 1 LAST SIZE 1B -R 1 -J 0 -(697 825); -DISPLAY 0.680851 (697 825); -PAINT RED (697 825); -DISPLAY INVISIBLE (697 825); -FORCEPROP 1 LAST POWER RMAX -R 1 -J 0 -(978 810); -DISPLAY 0.340426 (978 810); -PAINT GREEN (978 810); -DISPLAY INVISIBLE (978 810); -FORCEPROP 1 LAST POSTOL RTOL% -R 1 -J 0 -(918 805); -DISPLAY 0.340426 (918 805); -PAINT GREEN (918 805); -DISPLAY INVISIBLE (918 805); -FORCEPROP 1 LAST NEGTOL RTOL% -R 1 -J 0 -(938 805); -DISPLAY 0.340426 (938 805); -PAINT GREEN (938 805); -DISPLAY INVISIBLE (938 805); -FORCEPROP 1 LAST MAX_TEMP RTMAX -R 1 -J 0 -(1038 810); -DISPLAY 0.340426 (1038 810); -PAINT GREEN (1038 810); -DISPLAY INVISIBLE (1038 810); -FORCEPROP 1 LAST DIST FLAT -R 1 -J 0 -(958 805); -DISPLAY 0.340426 (958 805); -PAINT GREEN (958 805); -DISPLAY INVISIBLE (958 805); -FORCEPROP 1 LAST TOL 1% -R 1 -J 1 -(925 905); -DISPLAY 0.617021 (925 905); -PAINT WHITE (925 905); -DISPLAY INVISIBLE (925 905); -FORCEPROP 1 LAST PATH I29 -R 1 -J 0 -(884 955); -DISPLAY 0.702128 (884 955); -PAINT WHITE (884 955); -DISPLAY INVISIBLE (884 955); -FORCEADD CON19P..1 -(3300 250); -FORCEPROP 0 LAST POWER_GROUP GND=GND_HDMI2 -J 0 -(3050 450); -DISPLAY 1.021277 (3050 450); -FORCEPROP 1 LAST $LOCATION J2 -J 1 -(3380 330); -DISPLAY 0.723404 (3380 330); -PAINT GREEN (3380 330); -FORCEPROP 1 LAST TYPE MHDMI-19-02-H-TH-L-TR -J 1 -(3380 290); -DISPLAY 0.723404 (3380 290); -PAINT GREEN (3380 290); -FORCEPROP 2 LAST CDS_LOCATION J2 -J 0 -(3400 500); -DISPLAY 1.021277 (3400 500); -DISPLAY INVISIBLE (3400 500); -FORCEPROP 2 LAST CDS_SEC 1 -J 0 -(3400 500); -DISPLAY 1.021277 (3400 500); -DISPLAY INVISIBLE (3400 500); -FORCEPROP 1 LAST PATH I3 -J 0 -(3465 -240); -DISPLAY 0.723404 (3465 -240); -PAINT GREEN (3465 -240); -DISPLAY INVISIBLE (3465 -240); -FORCEPROP 1 LAST CDS_LMAN_SYM_OUTLINE 0,25,150,-475 -J 0 -(3300 250); -DISPLAY 0.468085 (3300 250); -PAINT GREEN (3300 250); -DISPLAY INVISIBLE (3300 250); -FORCEPROP 2 LAST CDS_LIB cnconnector -J 0 -(3300 250); -DISPLAY INVISIBLE (3300 250); -FORCEPROP 2 LASTPIN (3500 -50) SIG_NAME UN$4$CON19P$I3$A -J 0 -(3510 -40); -DISPLAY 0.659574 (3510 -40); -PAINT MONO (3510 -40); -DISPLAY INVISIBLE (3510 -40); -FORCEPROP 1 LASTPIN (3500 -150) $PN 18 -J 0 -(3500 -145); -DISPLAY 0.617021 (3500 -145); -DISPLAY INVISIBLE (3500 -145); -FORCEPROP 1 LASTPIN (3500 -100) $PN 16 -J 0 -(3500 -95); -DISPLAY 0.617021 (3500 -95); -DISPLAY INVISIBLE (3500 -95); -FORCEPROP 1 LASTPIN (3500 -50) $PN 14 -J 0 -(3500 -45); -DISPLAY 0.617021 (3500 -45); -DISPLAY INVISIBLE (3500 -45); -FORCEPROP 1 LASTPIN (3500 0) $PN 12 -J 0 -(3500 5); -DISPLAY 0.617021 (3500 5); -DISPLAY INVISIBLE (3500 5); -FORCEPROP 1 LASTPIN (3500 50) $PN 10 -J 0 -(3500 55); -DISPLAY 0.617021 (3500 55); -DISPLAY INVISIBLE (3500 55); -FORCEPROP 1 LASTPIN (3500 100) $PN 8 -J 0 -(3500 105); -DISPLAY 0.617021 (3500 105); -DISPLAY INVISIBLE (3500 105); -FORCEPROP 1 LASTPIN (3500 150) $PN 6 -J 0 -(3500 155); -DISPLAY 0.617021 (3500 155); -DISPLAY INVISIBLE (3500 155); -FORCEPROP 1 LASTPIN (3500 200) $PN 4 -J 0 -(3500 205); -DISPLAY 0.617021 (3500 205); -DISPLAY INVISIBLE (3500 205); -FORCEPROP 1 LASTPIN (3500 250) $PN 2 -J 0 -(3500 255); -DISPLAY 0.617021 (3500 255); -DISPLAY INVISIBLE (3500 255); -FORCEPROP 1 LASTPIN (3250 -200) $PN 19 -J 2 -(3250 -195); -DISPLAY 0.617021 (3250 -195); -DISPLAY INVISIBLE (3250 -195); -FORCEPROP 1 LASTPIN (3250 -150) $PN 17 -J 2 -(3250 -145); -DISPLAY 0.617021 (3250 -145); -DISPLAY INVISIBLE (3250 -145); -FORCEPROP 1 LASTPIN (3250 -100) $PN 15 -J 2 -(3250 -95); -DISPLAY 0.617021 (3250 -95); -DISPLAY INVISIBLE (3250 -95); -FORCEPROP 1 LASTPIN (3250 -50) $PN 13 -J 2 -(3250 -45); -DISPLAY 0.617021 (3250 -45); -DISPLAY INVISIBLE (3250 -45); -FORCEPROP 1 LASTPIN (3250 0) $PN 11 -J 2 -(3250 5); -DISPLAY 0.617021 (3250 5); -DISPLAY INVISIBLE (3250 5); -FORCEPROP 1 LASTPIN (3250 50) $PN 9 -J 2 -(3250 55); -DISPLAY 0.617021 (3250 55); -DISPLAY INVISIBLE (3250 55); -FORCEPROP 1 LASTPIN (3250 100) $PN 7 -J 2 -(3250 105); -DISPLAY 0.617021 (3250 105); -DISPLAY INVISIBLE (3250 105); -FORCEPROP 1 LASTPIN (3250 150) $PN 5 -J 2 -(3250 155); -DISPLAY 0.617021 (3250 155); -DISPLAY INVISIBLE (3250 155); -FORCEPROP 1 LASTPIN (3250 200) $PN 3 -J 2 -(3250 205); -DISPLAY 0.617021 (3250 205); -DISPLAY INVISIBLE (3250 205); -FORCEPROP 1 LASTPIN (3250 250) $PN 1 -J 2 -(3250 255); -DISPLAY 0.617021 (3250 255); -DISPLAY INVISIBLE (3250 255); -FORCEADD P5V..1 -(800 1150); -FORCEPROP 3 LASTPIN (800 1100) SIG_NAME P5V\g -J 0 -(810 1110); -DISPLAY 0.659574 (810 1110); -PAINT MONO (810 1110); -DISPLAY INVISIBLE (810 1110); -FORCEPROP 1 LAST HDL_POWER P5V -J 0 -(760 1215); -DISPLAY 0.617021 (760 1215); -PAINT GREEN (760 1215); -FORCEPROP 1 LASTPIN (800 1100) VHDL_INIT 1 -R 1 -J 0 -(850 935); -DISPLAY 0.468085 (850 935); -PAINT GREEN (850 935); -DISPLAY INVISIBLE (850 935); -FORCEPROP 1 LAST SIZE 1B -J 0 -(775 1250); -DISPLAY 0.872340 (775 1250); -PAINT SKYBLUE (775 1250); -DISPLAY INVISIBLE (775 1250); -FORCEPROP 1 LAST BODY_TYPE PLUMBING -J 0 -(800 1150); -DISPLAY 0.468085 (800 1150); -PAINT GREEN (800 1150); -DISPLAY INVISIBLE (800 1150); -FORCEPROP 1 LAST PATH I30 -J 0 -(850 1150); -DISPLAY 0.872340 (850 1150); -PAINT PINK (850 1150); -DISPLAY INVISIBLE (850 1150); -FORCEPROP 2 LAST CDS_LIB cnpower -J 0 -(800 1150); -DISPLAY INVISIBLE (800 1150); -FORCEADD USBLC6-2..1 -(1100 -1800); -FORCEPROP 1 LAST PACK_TYPE SOT23 -J 1 -(1105 -1998); -DISPLAY 0.723404 (1105 -1998); -PAINT WHITE (1105 -1998); -FORCEPROP 1 LAST TYPE USBLC6-2SC6 -J 1 -(1105 -1637); -DISPLAY 0.723404 (1105 -1637); -PAINT WHITE (1105 -1637); -FORCEPROP 1 LAST $LOCATION D3 -J 1 -(1105 -1582); -DISPLAY 0.723404 (1105 -1582); -PAINT WHITE (1105 -1582); -FORCEPROP 2 LAST CDS_LIB cndiscrete -J 0 -(1100 -1800); -DISPLAY INVISIBLE (1100 -1800); -FORCEPROP 1 LAST PATH I33 -J 1 -(1105 -1817); -DISPLAY 0.723404 (1105 -1817); -PAINT WHITE (1105 -1817); -DISPLAY INVISIBLE (1105 -1817); -FORCEADD GND_SIGNAL..1 -(750 -2050); -FORCEPROP 3 LASTPIN (800 -2000) SIG_NAME GND_SIGNAL\g -J 0 -(810 -1990); -DISPLAY 0.659574 (810 -1990); -PAINT MONO (810 -1990); -DISPLAY INVISIBLE (810 -1990); -FORCEPROP 2 LAST PATH I34 -J 0 -(750 -2200); -DISPLAY 1.021277 (750 -2200); -FORCEPROP 1 LAST BODY_TYPE PLUMBING -J 0 -(750 -1900); -DISPLAY 0.978723 (750 -1900); -DISPLAY INVISIBLE (750 -1900); -FORCEPROP 1 LAST HDL_POWER GND_SIGNAL -J 0 -(750 -2000); -DISPLAY 0.978723 (750 -2000); -DISPLAY INVISIBLE (750 -2000); -FORCEPROP 2 LAST CDS_LIB standard -J 0 -(750 -2050); -DISPLAY INVISIBLE (750 -2050); -FORCEADD P2V5..1 -(1500 -1550); -FORCEPROP 3 LASTPIN (1500 -1600) SIG_NAME P2V5\g -J 0 -(1510 -1590); -DISPLAY 0.659574 (1510 -1590); -PAINT MONO (1510 -1590); -DISPLAY INVISIBLE (1510 -1590); -FORCEPROP 2 LAST CDS_LIB cnpower -J 0 -(1500 -1550); -DISPLAY INVISIBLE (1500 -1550); -FORCEPROP 1 LASTPIN (1500 -1600) VHDL_INIT 1 -R 1 -J 0 -(1550 -1765); -DISPLAY 0.468085 (1550 -1765); -PAINT GREEN (1550 -1765); -DISPLAY INVISIBLE (1550 -1765); -FORCEPROP 1 LAST SIZE 1B -J 0 -(1475 -1450); -DISPLAY 0.872340 (1475 -1450); -PAINT SKYBLUE (1475 -1450); -DISPLAY INVISIBLE (1475 -1450); -FORCEPROP 1 LAST HDL_POWER P2V5 -J 0 -(1500 -1550); -DISPLAY 0.468085 (1500 -1550); -PAINT GREEN (1500 -1550); -DISPLAY INVISIBLE (1500 -1550); -FORCEPROP 1 LAST BODY_TYPE PLUMBING -J 0 -(1500 -1550); -DISPLAY 0.468085 (1500 -1550); -PAINT GREEN (1500 -1550); -DISPLAY INVISIBLE (1500 -1550); -FORCEPROP 1 LAST PATH I35 -J 0 -(1550 -1550); -DISPLAY 0.872340 (1550 -1550); -PAINT PINK (1550 -1550); -DISPLAY INVISIBLE (1550 -1550); -FORCEADD GND_SIGNAL..1 -(5550 150); -FORCEPROP 3 LASTPIN (5600 200) SIG_NAME GND_SIGNAL\g -J 0 -(5610 210); -DISPLAY 0.659574 (5610 210); -PAINT MONO (5610 210); -DISPLAY INVISIBLE (5610 210); -FORCEPROP 2 LAST PATH I37 -J 0 -(5500 250); -DISPLAY 1.021277 (5500 250); -FORCEPROP 2 LAST CDS_LIB standard -J 0 -(5550 150); -DISPLAY INVISIBLE (5550 150); -FORCEPROP 1 LAST HDL_POWER GND_SIGNAL -J 0 -(5550 200); -DISPLAY 0.978723 (5550 200); -DISPLAY INVISIBLE (5550 200); -FORCEPROP 1 LAST BODY_TYPE PLUMBING -J 0 -(5550 300); -DISPLAY 0.978723 (5550 300); -DISPLAY INVISIBLE (5550 300); -FORCEADD RSMD0603..2 -(5300 350); -FORCEPROP 2 LASTPIN (5400 350) $PN 2 -J 0 -(5410 360); -DISPLAY 0.808511 (5410 360); -FORCEPROP 2 LASTPIN (5200 350) $PN 1 -J 2 -(5190 360); -DISPLAY 0.808511 (5190 360); -FORCEPROP 1 LAST PACK_TYPE 1/10W -J 1 -(5305 290); -DISPLAY 0.617021 (5305 290); -PAINT WHITE (5305 290); -FORCEPROP 1 LAST VALUE 51 -J 1 -(5305 335); -DISPLAY 0.617021 (5305 335); -PAINT WHITE (5305 335); -FORCEPROP 1 LAST $LOCATION R9 -J 1 -(5305 387); -DISPLAY 0.723404 (5305 387); -PAINT WHITE (5305 387); -FORCEPROP 1 LAST PATH I38 -J 0 -(5355 266); -DISPLAY 0.702128 (5355 266); -PAINT WHITE (5355 266); -DISPLAY INVISIBLE (5355 266); -FORCEPROP 2 LAST CDS_SEC 1 -J 0 -(5350 450); -DISPLAY 1.021277 (5350 450); -DISPLAY INVISIBLE (5350 450); -FORCEPROP 2 LAST $SEC 1 -J 0 -(5350 450); -DISPLAY 0.680851 (5350 450); -PAINT MONO (5350 450); -DISPLAY INVISIBLE (5350 450); -FORCEPROP 1 LAST TOL 1% -J 1 -(5305 225); -DISPLAY 0.617021 (5305 225); -PAINT WHITE (5305 225); -DISPLAY INVISIBLE (5305 225); -FORCEPROP 2 LAST CDS_LIB cnpassive -J 0 -(5300 350); -DISPLAY INVISIBLE (5300 350); -FORCEPROP 1 LAST VOLTAGE RVMAX -J 0 -(5210 152); -DISPLAY 0.340426 (5210 152); -PAINT GREEN (5210 152); -DISPLAY INVISIBLE (5210 152); -FORCEPROP 1 LAST TOL_ON_OFF ON -J 0 -(5205 252); -DISPLAY 0.340426 (5205 252); -PAINT GREEN (5205 252); -DISPLAY INVISIBLE (5205 252); -FORCEPROP 1 LAST TC2 RTMPQ -J 0 -(5210 72); -DISPLAY 0.340426 (5210 72); -PAINT GREEN (5210 72); -DISPLAY INVISIBLE (5210 72); -FORCEPROP 1 LAST TC1 RTMPL -J 0 -(5210 92); -DISPLAY 0.340426 (5210 92); -PAINT GREEN (5210 92); -DISPLAY INVISIBLE (5210 92); -FORCEPROP 1 LAST SLOPE RSMAX -J 0 -(5210 132); -DISPLAY 0.340426 (5210 132); -PAINT GREEN (5210 132); -DISPLAY INVISIBLE (5210 132); -FORCEPROP 1 LAST SIZE 1B -J 0 -(5225 453); -DISPLAY 0.680851 (5225 453); -PAINT RED (5225 453); -DISPLAY INVISIBLE (5225 453); -FORCEPROP 1 LAST POWER RMAX -J 0 -(5210 172); -DISPLAY 0.340426 (5210 172); -PAINT GREEN (5210 172); -DISPLAY INVISIBLE (5210 172); -FORCEPROP 1 LAST POSTOL RTOL% -J 0 -(5205 232); -DISPLAY 0.340426 (5205 232); -PAINT GREEN (5205 232); -DISPLAY INVISIBLE (5205 232); -FORCEPROP 1 LAST NEGTOL RTOL% -J 0 -(5205 212); -DISPLAY 0.340426 (5205 212); -PAINT GREEN (5205 212); -DISPLAY INVISIBLE (5205 212); -FORCEPROP 1 LAST MAX_TEMP RTMAX -J 0 -(5210 112); -DISPLAY 0.340426 (5210 112); -PAINT GREEN (5210 112); -DISPLAY INVISIBLE (5210 112); -FORCEPROP 1 LAST DIST FLAT -J 0 -(5205 192); -DISPLAY 0.340426 (5205 192); -PAINT GREEN (5205 192); -DISPLAY INVISIBLE (5205 192); -FORCEPROP 2 LAST CDS_LOCATION R9 -J 0 -(5350 450); -DISPLAY 1.021277 (5350 450); -DISPLAY INVISIBLE (5350 450); -FORCEADD RSMD0603..2 -(5300 150); -FORCEPROP 2 LASTPIN (5400 150) $PN 2 -J 0 -(5410 160); -DISPLAY 0.808511 (5410 160); -FORCEPROP 2 LASTPIN (5200 150) $PN 1 -J 2 -(5190 160); -DISPLAY 0.808511 (5190 160); -FORCEPROP 1 LAST VALUE 51 -J 1 -(5305 135); -DISPLAY 0.617021 (5305 135); -PAINT WHITE (5305 135); -FORCEPROP 1 LAST $LOCATION R10 -J 1 -(5305 187); -DISPLAY 0.723404 (5305 187); -PAINT WHITE (5305 187); -FORCEPROP 1 LAST PACK_TYPE 1/10W -J 1 -(5305 90); -DISPLAY 0.617021 (5305 90); -PAINT WHITE (5305 90); -FORCEPROP 1 LAST PATH I39 -J 0 -(5355 66); -DISPLAY 0.702128 (5355 66); -PAINT WHITE (5355 66); -DISPLAY INVISIBLE (5355 66); -FORCEPROP 2 LAST CDS_SEC 1 -J 0 -(5350 250); -DISPLAY 1.021277 (5350 250); -DISPLAY INVISIBLE (5350 250); -FORCEPROP 2 LAST $SEC 1 -J 0 -(5350 250); -DISPLAY 0.680851 (5350 250); -PAINT MONO (5350 250); -DISPLAY INVISIBLE (5350 250); -FORCEPROP 1 LAST TOL 1% -J 1 -(5305 25); -DISPLAY 0.617021 (5305 25); -PAINT WHITE (5305 25); -DISPLAY INVISIBLE (5305 25); -FORCEPROP 2 LAST CDS_LIB cnpassive -J 0 -(5300 150); -DISPLAY INVISIBLE (5300 150); -FORCEPROP 1 LAST VOLTAGE RVMAX -J 0 -(5210 -48); -DISPLAY 0.340426 (5210 -48); -PAINT GREEN (5210 -48); -DISPLAY INVISIBLE (5210 -48); -FORCEPROP 1 LAST TOL_ON_OFF ON -J 0 -(5205 52); -DISPLAY 0.340426 (5205 52); -PAINT GREEN (5205 52); -DISPLAY INVISIBLE (5205 52); -FORCEPROP 1 LAST TC2 RTMPQ -J 0 -(5210 -128); -DISPLAY 0.340426 (5210 -128); -PAINT GREEN (5210 -128); -DISPLAY INVISIBLE (5210 -128); -FORCEPROP 1 LAST TC1 RTMPL -J 0 -(5210 -108); -DISPLAY 0.340426 (5210 -108); -PAINT GREEN (5210 -108); -DISPLAY INVISIBLE (5210 -108); -FORCEPROP 1 LAST SLOPE RSMAX -J 0 -(5210 -68); -DISPLAY 0.340426 (5210 -68); -PAINT GREEN (5210 -68); -DISPLAY INVISIBLE (5210 -68); -FORCEPROP 1 LAST SIZE 1B -J 0 -(5225 253); -DISPLAY 0.680851 (5225 253); -PAINT RED (5225 253); -DISPLAY INVISIBLE (5225 253); -FORCEPROP 1 LAST POWER RMAX -J 0 -(5210 -28); -DISPLAY 0.340426 (5210 -28); -PAINT GREEN (5210 -28); -DISPLAY INVISIBLE (5210 -28); -FORCEPROP 1 LAST POSTOL RTOL% -J 0 -(5205 32); -DISPLAY 0.340426 (5205 32); -PAINT GREEN (5205 32); -DISPLAY INVISIBLE (5205 32); -FORCEPROP 1 LAST NEGTOL RTOL% -J 0 -(5205 12); -DISPLAY 0.340426 (5205 12); -PAINT GREEN (5205 12); -DISPLAY INVISIBLE (5205 12); -FORCEPROP 1 LAST MAX_TEMP RTMAX -J 0 -(5210 -88); -DISPLAY 0.340426 (5210 -88); -PAINT GREEN (5210 -88); -DISPLAY INVISIBLE (5210 -88); -FORCEPROP 1 LAST DIST FLAT -J 0 -(5205 -8); -DISPLAY 0.340426 (5205 -8); -PAINT GREEN (5205 -8); -DISPLAY INVISIBLE (5205 -8); -FORCEPROP 2 LAST CDS_LOCATION R10 -J 0 -(5350 250); -DISPLAY 1.021277 (5350 250); -DISPLAY INVISIBLE (5350 250); -FORCEADD 74LVC1G07..1 -R 2 -(5000 750); -FORCEPROP 0 LAST POWER_GROUP VCC=P2V5;GND=GND_SIGNAL -J 0 -(4550 600); -DISPLAY 1.021277 (4550 600); -FORCEPROP 1 LAST TYPE SN74LVC1G07DCK -J 2 -(5125 858); -DISPLAY 0.851064 (5125 858); -PAINT GREEN (5125 858); -FORCEPROP 1 LAST PACK_TYPE SC70 -J 2 -(5125 925); -DISPLAY 0.723404 (5125 925); -PAINT GREEN (5125 925); -FORCEPROP 1 LAST $LOCATION IC6 -J 2 -(5125 975); -DISPLAY 0.723404 (5125 975); -PAINT GREEN (5125 975); -FORCEPROP 2 LASTPIN (4850 750) SIG_NAME UN$4$74LVC1G07$I40$Y -J 0 -(4860 760); -DISPLAY 0.659574 (4860 760); -PAINT MONO (4860 760); -DISPLAY INVISIBLE (4860 760); -FORCEPROP 1 LAST PATH I40 -J 1 -(5000 833); -DISPLAY 0.723404 (5000 833); -PAINT GREEN (5000 833); -DISPLAY INVISIBLE (5000 833); -FORCEPROP 2 LAST CDS_LIB cninterface -J 0 -(5000 750); -DISPLAY INVISIBLE (5000 750); -FORCEADD CAPCERSMDCL2..1 -(5000 350); -FORCEPROP 1 LAST VOLTAGE 16V -J 1 -(4850 450); -DISPLAY 0.723404 (4850 450); -FORCEPROP 1 LAST VALUE 100NF -J 1 -(5000 450); -DISPLAY 0.723404 (5000 450); -FORCEPROP 1 LAST $LOCATION C7 -J 0 -(4975 400); -DISPLAY 0.723404 (4975 400); -FORCEPROP 2 LASTPIN (5100 350) SIG_NAME UN$4$CAPCERSMDCL2$I41$B -J 0 -(5110 360); -DISPLAY 0.659574 (5110 360); -PAINT MONO (5110 360); -DISPLAY INVISIBLE (5110 360); -FORCEPROP 1 LAST PATH I41 -J 0 -(5025 450); -DISPLAY 0.723404 (5025 450); -DISPLAY INVISIBLE (5025 450); -FORCEPROP 1 LASTPIN (5100 350) $PN 2 -J 0 -(5100 375); -DISPLAY 0.723404 (5100 375); -DISPLAY INVISIBLE (5100 375); -FORCEPROP 1 LASTPIN (4900 350) $PN 1 -J 2 -(4900 375); -DISPLAY 0.723404 (4900 375); -DISPLAY INVISIBLE (4900 375); -FORCEPROP 2 LAST CDS_SEC 1 -J 0 -(5050 500); -DISPLAY 1.021277 (5050 500); -DISPLAY INVISIBLE (5050 500); -FORCEPROP 2 LAST $SEC 1 -J 0 -(5050 500); -DISPLAY 0.680851 (5050 500); -PAINT MONO (5050 500); -DISPLAY INVISIBLE (5050 500); -FORCEPROP 1 LAST PACK_TYPE 0603 -J 1 -(5000 150); -DISPLAY 0.723404 (5000 150); -DISPLAY INVISIBLE (5000 150); -FORCEPROP 2 LAST CDS_LIB cnpassive -J 0 -(5000 350); -DISPLAY INVISIBLE (5000 350); -FORCEPROP 1 LAST SIZE 1 -J 0 -(4980 280); -DISPLAY 0.702128 (4980 280); -PAINT WHITE (4980 280); -DISPLAY INVISIBLE (4980 280); -FORCEPROP 2 LAST CDS_LOCATION C7 -J 0 -(5050 500); -DISPLAY 1.021277 (5050 500); -DISPLAY INVISIBLE (5050 500); -FORCEADD CAPCERSMDCL2..1 -(5000 150); -FORCEPROP 1 LAST VOLTAGE 16V -J 1 -(4850 50); -DISPLAY 0.723404 (4850 50); -FORCEPROP 1 LAST VALUE 100NF -J 1 -(5000 50); -DISPLAY 0.723404 (5000 50); -FORCEPROP 1 LAST $LOCATION C12 -J 0 -(4975 0); -DISPLAY 0.723404 (4975 0); -FORCEPROP 2 LASTPIN (5100 150) SIG_NAME UN$4$CAPCERSMDCL2$I42$B -J 0 -(5110 160); -DISPLAY 0.659574 (5110 160); -PAINT MONO (5110 160); -DISPLAY INVISIBLE (5110 160); -FORCEPROP 1 LAST PATH I42 -J 0 -(5025 250); -DISPLAY 0.723404 (5025 250); -DISPLAY INVISIBLE (5025 250); -FORCEPROP 1 LASTPIN (5100 150) $PN 2 -J 0 -(5100 175); -DISPLAY 0.723404 (5100 175); -DISPLAY INVISIBLE (5100 175); -FORCEPROP 1 LASTPIN (4900 150) $PN 1 -J 2 -(4900 175); -DISPLAY 0.723404 (4900 175); -DISPLAY INVISIBLE (4900 175); -FORCEPROP 2 LAST CDS_SEC 1 -J 0 -(5050 300); -DISPLAY 1.021277 (5050 300); -DISPLAY INVISIBLE (5050 300); -FORCEPROP 2 LAST $SEC 1 -J 0 -(5050 300); -DISPLAY 0.680851 (5050 300); -PAINT MONO (5050 300); -DISPLAY INVISIBLE (5050 300); -FORCEPROP 1 LAST PACK_TYPE 0603 -J 1 -(5000 -50); -DISPLAY 0.723404 (5000 -50); -DISPLAY INVISIBLE (5000 -50); -FORCEPROP 2 LAST CDS_LIB cnpassive -J 0 -(5000 150); -DISPLAY INVISIBLE (5000 150); -FORCEPROP 1 LAST SIZE 1 -J 0 -(4980 80); -DISPLAY 0.702128 (4980 80); -PAINT WHITE (4980 80); -DISPLAY INVISIBLE (4980 80); -FORCEPROP 2 LAST CDS_LOCATION C12 -J 0 -(5050 300); -DISPLAY 1.021277 (5050 300); -DISPLAY INVISIBLE (5050 300); -FORCEADD P5V..1 -(4350 1150); -FORCEPROP 3 LASTPIN (4350 1100) SIG_NAME P5V\g -J 0 -(4360 1110); -DISPLAY 0.659574 (4360 1110); -PAINT MONO (4360 1110); -DISPLAY INVISIBLE (4360 1110); -FORCEPROP 1 LAST HDL_POWER P5V -J 0 -(4310 1215); -DISPLAY 0.617021 (4310 1215); -PAINT GREEN (4310 1215); -FORCEPROP 1 LAST PATH I43 -J 0 -(4400 1150); -DISPLAY 0.872340 (4400 1150); -PAINT PINK (4400 1150); -DISPLAY INVISIBLE (4400 1150); -FORCEPROP 1 LASTPIN (4350 1100) VHDL_INIT 1 -R 1 -J 0 -(4400 935); -DISPLAY 0.468085 (4400 935); -PAINT GREEN (4400 935); -DISPLAY INVISIBLE (4400 935); -FORCEPROP 1 LAST SIZE 1B -J 0 -(4325 1250); -DISPLAY 0.872340 (4325 1250); -PAINT SKYBLUE (4325 1250); -DISPLAY INVISIBLE (4325 1250); -FORCEPROP 1 LAST BODY_TYPE PLUMBING -J 0 -(4350 1150); -DISPLAY 0.468085 (4350 1150); -PAINT GREEN (4350 1150); -DISPLAY INVISIBLE (4350 1150); -FORCEPROP 2 LAST CDS_LIB cnpower -J 0 -(4350 1150); -DISPLAY INVISIBLE (4350 1150); -FORCEADD RSMD0603..2 -R 1 -(4350 900); -FORCEPROP 1 LAST PACK_TYPE 1/10W -R 1 -J 1 -(4410 905); -DISPLAY 0.617021 (4410 905); -PAINT WHITE (4410 905); -FORCEPROP 1 LAST VALUE 1K -R 1 -J 1 -(4365 905); -DISPLAY 0.617021 (4365 905); -PAINT WHITE (4365 905); -FORCEPROP 1 LAST $LOCATION R16 -R 1 -J 1 -(4313 905); -DISPLAY 0.723404 (4313 905); -PAINT WHITE (4313 905); -FORCEPROP 1 LAST PATH I44 -R 1 -J 0 -(4434 955); -DISPLAY 0.702128 (4434 955); -PAINT WHITE (4434 955); -DISPLAY INVISIBLE (4434 955); -FORCEPROP 1 LAST TOL 1% -R 1 -J 1 -(4475 905); -DISPLAY 0.617021 (4475 905); -PAINT WHITE (4475 905); -DISPLAY INVISIBLE (4475 905); -FORCEPROP 1 LAST DIST FLAT -R 1 -J 0 -(4508 805); -DISPLAY 0.340426 (4508 805); -PAINT GREEN (4508 805); -DISPLAY INVISIBLE (4508 805); -FORCEPROP 1 LAST MAX_TEMP RTMAX -R 1 -J 0 -(4588 810); -DISPLAY 0.340426 (4588 810); -PAINT GREEN (4588 810); -DISPLAY INVISIBLE (4588 810); -FORCEPROP 1 LAST NEGTOL RTOL% -R 1 -J 0 -(4488 805); -DISPLAY 0.340426 (4488 805); -PAINT GREEN (4488 805); -DISPLAY INVISIBLE (4488 805); -FORCEPROP 1 LAST POSTOL RTOL% -R 1 -J 0 -(4468 805); -DISPLAY 0.340426 (4468 805); -PAINT GREEN (4468 805); -DISPLAY INVISIBLE (4468 805); -FORCEPROP 1 LAST POWER RMAX -R 1 -J 0 -(4528 810); -DISPLAY 0.340426 (4528 810); -PAINT GREEN (4528 810); -DISPLAY INVISIBLE (4528 810); -FORCEPROP 1 LAST SIZE 1B -R 1 -J 0 -(4247 825); -DISPLAY 0.680851 (4247 825); -PAINT RED (4247 825); -DISPLAY INVISIBLE (4247 825); -FORCEPROP 1 LAST SLOPE RSMAX -R 1 -J 0 -(4568 810); -DISPLAY 0.340426 (4568 810); -PAINT GREEN (4568 810); -DISPLAY INVISIBLE (4568 810); -FORCEPROP 1 LAST TC1 RTMPL -R 1 -J 0 -(4608 810); -DISPLAY 0.340426 (4608 810); -PAINT GREEN (4608 810); -DISPLAY INVISIBLE (4608 810); -FORCEPROP 1 LAST TC2 RTMPQ -R 1 -J 0 -(4628 810); -DISPLAY 0.340426 (4628 810); -PAINT GREEN (4628 810); -DISPLAY INVISIBLE (4628 810); -FORCEPROP 1 LAST TOL_ON_OFF ON -R 1 -J 0 -(4448 805); -DISPLAY 0.340426 (4448 805); -PAINT GREEN (4448 805); -DISPLAY INVISIBLE (4448 805); -FORCEPROP 1 LAST VOLTAGE RVMAX -R 1 -J 0 -(4548 810); -DISPLAY 0.340426 (4548 810); -PAINT GREEN (4548 810); -DISPLAY INVISIBLE (4548 810); -FORCEPROP 2 LAST CDS_LIB cnpassive -J 0 -(4350 900); -DISPLAY INVISIBLE (4350 900); -FORCEADD TRANS MOSFET..1 -R 2 -(3950 800); -FORCEPROP 1 LAST TYPE SOT23 -J 2 -(3875 725); -DISPLAY 0.723404 (3875 725); -PAINT WHITE (3875 725); -FORCEPROP 1 LAST VALUE FDV301N -J 2 -(3875 775); -DISPLAY 0.723404 (3875 775); -PAINT WHITE (3875 775); -FORCEPROP 1 LAST $LOCATION T2 -J 2 -(3875 825); -DISPLAY 0.723404 (3875 825); -PAINT WHITE (3875 825); -FORCEPROP 1 LAST PATH I45 -J 0 -(3975 825); -DISPLAY 0.723404 (3975 825); -PAINT WHITE (3975 825); -DISPLAY INVISIBLE (3975 825); -FORCEPROP 1 LAST PACK_TYPE GSD -J 0 -(3975 875); -DISPLAY 0.723404 (3975 875); -PAINT WHITE (3975 875); -DISPLAY INVISIBLE (3975 875); -FORCEPROP 2 LAST CDS_LIB cndiscrete -J 0 -(3950 800); -DISPLAY INVISIBLE (3950 800); -FORCEADD P2V5..1 -(5050 -1550); -FORCEPROP 3 LASTPIN (5050 -1600) SIG_NAME P2V5\g -J 0 -(5060 -1590); -DISPLAY 0.659574 (5060 -1590); -PAINT MONO (5060 -1590); -DISPLAY INVISIBLE (5060 -1590); -FORCEPROP 2 LAST CDS_LIB cnpower -J 0 -(5050 -1550); -DISPLAY INVISIBLE (5050 -1550); -FORCEPROP 1 LASTPIN (5050 -1600) VHDL_INIT 1 -R 1 -J 0 -(5100 -1765); -DISPLAY 0.468085 (5100 -1765); -PAINT GREEN (5100 -1765); -DISPLAY INVISIBLE (5100 -1765); -FORCEPROP 1 LAST SIZE 1B -J 0 -(5025 -1450); -DISPLAY 0.872340 (5025 -1450); -PAINT SKYBLUE (5025 -1450); -DISPLAY INVISIBLE (5025 -1450); -FORCEPROP 1 LAST HDL_POWER P2V5 -J 0 -(5050 -1550); -DISPLAY 0.468085 (5050 -1550); -PAINT GREEN (5050 -1550); -DISPLAY INVISIBLE (5050 -1550); -FORCEPROP 1 LAST BODY_TYPE PLUMBING -J 0 -(5050 -1550); -DISPLAY 0.468085 (5050 -1550); -PAINT GREEN (5050 -1550); -DISPLAY INVISIBLE (5050 -1550); -FORCEPROP 1 LAST PATH I48 -J 0 -(5100 -1550); -DISPLAY 0.872340 (5100 -1550); -PAINT PINK (5100 -1550); -DISPLAY INVISIBLE (5100 -1550); -FORCEADD USBLC6-2..1 -(4650 -1800); -FORCEPROP 1 LAST PACK_TYPE SOT23 -J 1 -(4655 -1998); -DISPLAY 0.723404 (4655 -1998); -PAINT WHITE (4655 -1998); -FORCEPROP 1 LAST TYPE USBLC6-2SC6 -J 1 -(4655 -1637); -DISPLAY 0.723404 (4655 -1637); -PAINT WHITE (4655 -1637); -FORCEPROP 1 LAST $LOCATION D2 -J 1 -(4655 -1582); -DISPLAY 0.723404 (4655 -1582); -PAINT WHITE (4655 -1582); -FORCEPROP 2 LAST CDS_LIB cndiscrete -J 0 -(4650 -1800); -DISPLAY INVISIBLE (4650 -1800); -FORCEPROP 1 LAST PATH I49 -J 1 -(4655 -1817); -DISPLAY 0.723404 (4655 -1817); -PAINT WHITE (4655 -1817); -DISPLAY INVISIBLE (4655 -1817); -FORCEADD GND_SIGNAL..1 -(4300 -2050); -FORCEPROP 3 LASTPIN (4350 -2000) SIG_NAME GND_SIGNAL\g -J 0 -(4360 -1990); -DISPLAY 0.659574 (4360 -1990); -PAINT MONO (4360 -1990); -DISPLAY INVISIBLE (4360 -1990); -FORCEPROP 2 LAST PATH I50 -J 0 -(4300 -2150); -DISPLAY 1.021277 (4300 -2150); -FORCEPROP 2 LAST CDS_LIB standard -J 0 -(4300 -2050); -DISPLAY INVISIBLE (4300 -2050); -FORCEPROP 1 LAST HDL_POWER GND_SIGNAL -J 0 -(4300 -2000); -DISPLAY 0.978723 (4300 -2000); -DISPLAY INVISIBLE (4300 -2000); -FORCEPROP 1 LAST BODY_TYPE PLUMBING -J 0 -(4300 -1900); -DISPLAY 0.978723 (4300 -1900); -DISPLAY INVISIBLE (4300 -1900); -FORCEADD GND_SIGNAL..1 -(2600 -300); -FORCEPROP 3 LASTPIN (2650 -250) SIG_NAME GND_SIGNAL\g -J 0 -(2660 -240); -DISPLAY 0.659574 (2660 -240); -PAINT MONO (2660 -240); -DISPLAY INVISIBLE (2660 -240); -FORCEPROP 2 LAST PATH I51 -J 0 -(2550 -200); -DISPLAY 1.021277 (2550 -200); -FORCEPROP 1 LAST HDL_POWER GND_SIGNAL -J 0 -(2600 -250); -DISPLAY 0.978723 (2600 -250); -DISPLAY INVISIBLE (2600 -250); -FORCEPROP 1 LAST BODY_TYPE PLUMBING -J 0 -(2600 -150); -DISPLAY 0.978723 (2600 -150); -DISPLAY INVISIBLE (2600 -150); -FORCEPROP 2 LAST CDS_LIB standard -J 0 -(2600 -300); -DISPLAY INVISIBLE (2600 -300); -FORCEADD P3V3..1 -(350 1100); -FORCEPROP 3 LASTPIN (350 1050) SIG_NAME P3V3\g -J 0 -(360 1060); -DISPLAY 0.659574 (360 1060); -PAINT MONO (360 1060); -DISPLAY INVISIBLE (360 1060); -FORCEPROP 1 LAST HDL_POWER P3V3 -J 1 -(350 1150); -DISPLAY 0.468085 (350 1150); -PAINT GREEN (350 1150); -FORCEPROP 2 LAST CDS_LIB cnpower -J 0 -(350 1100); -DISPLAY INVISIBLE (350 1100); -FORCEPROP 1 LAST PATH I54 -J 0 -(400 1100); -DISPLAY 0.872340 (400 1100); -PAINT PINK (400 1100); -DISPLAY INVISIBLE (400 1100); -FORCEPROP 1 LASTPIN (350 1050) VHDL_INIT 1 -R 1 -J 0 -(400 885); -DISPLAY 0.468085 (400 885); -PAINT GREEN (400 885); -DISPLAY INVISIBLE (400 885); -FORCEPROP 1 LAST BODY_TYPE PLUMBING -J 0 -(350 1100); -DISPLAY 0.468085 (350 1100); -PAINT GREEN (350 1100); -DISPLAY INVISIBLE (350 1100); -FORCEPROP 1 LAST SIZE 1B -J 0 -(325 1200); -DISPLAY 0.872340 (325 1200); -PAINT SKYBLUE (325 1200); -DISPLAY INVISIBLE (325 1200); -FORCEADD P3V3..1 -(3900 1100); -FORCEPROP 3 LASTPIN (3900 1050) SIG_NAME P3V3\g -J 0 -(3910 1060); -DISPLAY 0.659574 (3910 1060); -PAINT MONO (3910 1060); -DISPLAY INVISIBLE (3910 1060); -FORCEPROP 1 LAST HDL_POWER P3V3 -J 1 -(3900 1150); -DISPLAY 0.468085 (3900 1150); -PAINT GREEN (3900 1150); -FORCEPROP 2 LAST CDS_LIB cnpower -J 0 -(3900 1100); -DISPLAY INVISIBLE (3900 1100); -FORCEPROP 1 LAST PATH I55 -J 0 -(3950 1100); -DISPLAY 0.872340 (3950 1100); -PAINT PINK (3950 1100); -DISPLAY INVISIBLE (3950 1100); -FORCEPROP 1 LASTPIN (3900 1050) VHDL_INIT 1 -R 1 -J 0 -(3950 885); -DISPLAY 0.468085 (3950 885); -PAINT GREEN (3950 885); -DISPLAY INVISIBLE (3950 885); -FORCEPROP 1 LAST BODY_TYPE PLUMBING -J 0 -(3900 1100); -DISPLAY 0.468085 (3900 1100); -PAINT GREEN (3900 1100); -DISPLAY INVISIBLE (3900 1100); -FORCEPROP 1 LAST SIZE 1B -J 0 -(3875 1200); -DISPLAY 0.872340 (3875 1200); -PAINT SKYBLUE (3875 1200); -DISPLAY INVISIBLE (3875 1200); -FORCEADD CAPCERSMDCL2..1 -(1900 1050); -FORCEPROP 1 LAST VALUE 100NF -J 1 -(2100 1150); -DISPLAY 0.723404 (2100 1150); -FORCEPROP 1 LAST VOLTAGE 16V -J 1 -(1950 1150); -DISPLAY 0.723404 (1950 1150); -FORCEPROP 1 LAST $LOCATION C1 -J 0 -(1875 1100); -DISPLAY 0.723404 (1875 1100); -FORCEPROP 1 LASTPIN (2000 1050) $PN 2 -J 0 -(2000 1075); -DISPLAY 0.723404 (2000 1075); -DISPLAY INVISIBLE (2000 1075); -FORCEPROP 1 LASTPIN (1800 1050) $PN 1 -J 2 -(1800 1075); -DISPLAY 0.723404 (1800 1075); -DISPLAY INVISIBLE (1800 1075); -FORCEPROP 2 LAST CDS_SEC 1 -J 0 -(1950 1200); -DISPLAY 1.021277 (1950 1200); -DISPLAY INVISIBLE (1950 1200); -FORCEPROP 2 LAST $SEC 1 -J 0 -(1950 1200); -DISPLAY 0.680851 (1950 1200); -PAINT MONO (1950 1200); -DISPLAY INVISIBLE (1950 1200); -FORCEPROP 1 LAST PACK_TYPE 0603 -J 1 -(1900 850); -DISPLAY 0.723404 (1900 850); -DISPLAY INVISIBLE (1900 850); -FORCEPROP 2 LAST CDS_LIB cnpassive -J 0 -(1900 1050); -DISPLAY INVISIBLE (1900 1050); -FORCEPROP 1 LAST SIZE 1 -J 0 -(1880 980); -DISPLAY 0.702128 (1880 980); -PAINT WHITE (1880 980); -DISPLAY INVISIBLE (1880 980); -FORCEPROP 1 LAST PATH I56 -J 0 -(1925 1150); -DISPLAY 0.723404 (1925 1150); -DISPLAY INVISIBLE (1925 1150); -FORCEPROP 2 LAST CDS_LOCATION C1 -J 0 -(1950 1200); -DISPLAY 1.021277 (1950 1200); -DISPLAY INVISIBLE (1950 1200); -FORCEADD GND_SIGNAL..1 -(1950 950); -FORCEPROP 3 LASTPIN (2000 1000) SIG_NAME GND_SIGNAL\g -J 0 -(2010 1010); -DISPLAY 0.659574 (2010 1010); -PAINT MONO (2010 1010); -DISPLAY INVISIBLE (2010 1010); -FORCEPROP 2 LAST PATH I57 -J 0 -(2050 1000); -DISPLAY 1.021277 (2050 1000); -FORCEPROP 1 LAST BODY_TYPE PLUMBING -J 0 -(1950 1100); -DISPLAY 0.978723 (1950 1100); -DISPLAY INVISIBLE (1950 1100); -FORCEPROP 1 LAST HDL_POWER GND_SIGNAL -J 0 -(1950 1000); -DISPLAY 0.978723 (1950 1000); -DISPLAY INVISIBLE (1950 1000); -FORCEPROP 2 LAST CDS_LIB standard -J 0 -(1950 950); -DISPLAY INVISIBLE (1950 950); -FORCEADD P2V5..1 -(1800 1150); -FORCEPROP 3 LASTPIN (1800 1100) SIG_NAME P2V5\g -J 0 -(1810 1110); -DISPLAY 0.659574 (1810 1110); -PAINT MONO (1810 1110); -DISPLAY INVISIBLE (1810 1110); -FORCEPROP 1 LASTPIN (1800 1100) VHDL_INIT 1 -R 1 -J 0 -(1850 935); -DISPLAY 0.468085 (1850 935); -PAINT GREEN (1850 935); -DISPLAY INVISIBLE (1850 935); -FORCEPROP 1 LAST SIZE 1B -J 0 -(1775 1250); -DISPLAY 0.872340 (1775 1250); -PAINT SKYBLUE (1775 1250); -DISPLAY INVISIBLE (1775 1250); -FORCEPROP 1 LAST HDL_POWER P2V5 -J 0 -(1800 1150); -DISPLAY 0.468085 (1800 1150); -PAINT GREEN (1800 1150); -DISPLAY INVISIBLE (1800 1150); -FORCEPROP 1 LAST BODY_TYPE PLUMBING -J 0 -(1800 1150); -DISPLAY 0.468085 (1800 1150); -PAINT GREEN (1800 1150); -DISPLAY INVISIBLE (1800 1150); -FORCEPROP 2 LAST CDS_LIB cnpower -J 0 -(1800 1150); -DISPLAY INVISIBLE (1800 1150); -FORCEPROP 1 LAST PATH I58 -J 0 -(1850 1150); -DISPLAY 0.872340 (1850 1150); -PAINT PINK (1850 1150); -DISPLAY INVISIBLE (1850 1150); -FORCEADD P2V5..1 -(5300 1150); -FORCEPROP 3 LASTPIN (5300 1100) SIG_NAME P2V5\g -J 0 -(5310 1110); -DISPLAY 0.659574 (5310 1110); -PAINT MONO (5310 1110); -DISPLAY INVISIBLE (5310 1110); -FORCEPROP 1 LAST PATH I59 -J 0 -(5350 1150); -DISPLAY 0.872340 (5350 1150); -PAINT PINK (5350 1150); -DISPLAY INVISIBLE (5350 1150); -FORCEPROP 2 LAST CDS_LIB cnpower -J 0 -(5300 1150); -DISPLAY INVISIBLE (5300 1150); -FORCEPROP 1 LAST BODY_TYPE PLUMBING -J 0 -(5300 1150); -DISPLAY 0.468085 (5300 1150); -PAINT GREEN (5300 1150); -DISPLAY INVISIBLE (5300 1150); -FORCEPROP 1 LAST HDL_POWER P2V5 -J 0 -(5300 1150); -DISPLAY 0.468085 (5300 1150); -PAINT GREEN (5300 1150); -DISPLAY INVISIBLE (5300 1150); -FORCEPROP 1 LAST SIZE 1B -J 0 -(5275 1250); -DISPLAY 0.872340 (5275 1250); -PAINT SKYBLUE (5275 1250); -DISPLAY INVISIBLE (5275 1250); -FORCEPROP 1 LASTPIN (5300 1100) VHDL_INIT 1 -R 1 -J 0 -(5350 935); -DISPLAY 0.468085 (5350 935); -PAINT GREEN (5350 935); -DISPLAY INVISIBLE (5350 935); -FORCEADD FRAME..1 -(-400 -3550); -FORCEPROP 3 LASTPIN (-400 -3500) SIG_NAME FRAME\g -J 0 -(-390 -3490); -DISPLAY 0.659574 (-390 -3490); -PAINT MONO (-390 -3490); -DISPLAY INVISIBLE (-390 -3490); -FORCEPROP 1 LAST HDL_POWER FRAME -J 0 -(-465 -3610); -DISPLAY 0.595745 (-465 -3610); -PAINT GREEN (-465 -3610); -FORCEPROP 1 LASTPIN (-400 -3500) VHDL_INIT 0 -R 1 -J 0 -(-375 -3335); -DISPLAY 0.468085 (-375 -3335); -PAINT GREEN (-375 -3335); -DISPLAY INVISIBLE (-375 -3335); -FORCEPROP 1 LAST SIZE 1B -J 1 -(-350 -3550); -DISPLAY 0.872340 (-350 -3550); -PAINT GREEN (-350 -3550); -DISPLAY INVISIBLE (-350 -3550); -FORCEPROP 1 LAST BODY_TYPE PLUMBING -J 0 -(-400 -3550); -DISPLAY 0.468085 (-400 -3550); -PAINT GREEN (-400 -3550); -DISPLAY INVISIBLE (-400 -3550); -FORCEPROP 1 LAST PATH I6 -J 0 -(-500 -3400); -DISPLAY 0.872340 (-500 -3400); -PAINT PINK (-500 -3400); -DISPLAY INVISIBLE (-500 -3400); -FORCEPROP 2 LAST CDS_LIB cnpower -J 0 -(-400 -3550); -DISPLAY INVISIBLE (-400 -3550); -FORCEADD CAPCERSMDCL2..1 -(5400 1050); -FORCEPROP 1 LAST VOLTAGE 16V -J 1 -(5450 1150); -DISPLAY 0.723404 (5450 1150); -FORCEPROP 1 LAST VALUE 100NF -J 1 -(5600 1150); -DISPLAY 0.723404 (5600 1150); -FORCEPROP 1 LAST $LOCATION C13 -J 0 -(5375 1100); -DISPLAY 0.723404 (5375 1100); -FORCEPROP 1 LAST PATH I60 -J 0 -(5425 1150); -DISPLAY 0.723404 (5425 1150); -DISPLAY INVISIBLE (5425 1150); -FORCEPROP 1 LAST SIZE 1 -J 0 -(5380 980); -DISPLAY 0.702128 (5380 980); -PAINT WHITE (5380 980); -DISPLAY INVISIBLE (5380 980); -FORCEPROP 2 LAST CDS_LIB cnpassive -J 0 -(5400 1050); -DISPLAY INVISIBLE (5400 1050); -FORCEPROP 1 LAST PACK_TYPE 0603 -J 1 -(5400 850); -DISPLAY 0.723404 (5400 850); -DISPLAY INVISIBLE (5400 850); -FORCEPROP 2 LAST $SEC 1 -J 0 -(5450 1200); -DISPLAY 0.680851 (5450 1200); -PAINT MONO (5450 1200); -DISPLAY INVISIBLE (5450 1200); -FORCEPROP 2 LAST CDS_SEC 1 -J 0 -(5450 1200); -DISPLAY 1.021277 (5450 1200); -DISPLAY INVISIBLE (5450 1200); -FORCEPROP 1 LASTPIN (5300 1050) $PN 1 -J 2 -(5300 1075); -DISPLAY 0.723404 (5300 1075); -DISPLAY INVISIBLE (5300 1075); -FORCEPROP 1 LASTPIN (5500 1050) $PN 2 -J 0 -(5500 1075); -DISPLAY 0.723404 (5500 1075); -DISPLAY INVISIBLE (5500 1075); -FORCEPROP 2 LAST CDS_LOCATION C13 -J 0 -(5450 1200); -DISPLAY 1.021277 (5450 1200); -DISPLAY INVISIBLE (5450 1200); -FORCEADD GND_SIGNAL..1 -(5450 950); -FORCEPROP 3 LASTPIN (5500 1000) SIG_NAME GND_SIGNAL\g -J 0 -(5510 1010); -DISPLAY 0.659574 (5510 1010); -PAINT MONO (5510 1010); -DISPLAY INVISIBLE (5510 1010); -FORCEPROP 2 LAST PATH I61 -J 0 -(5550 1000); -DISPLAY 1.021277 (5550 1000); -FORCEPROP 2 LAST CDS_LIB standard -J 0 -(5450 950); -DISPLAY INVISIBLE (5450 950); -FORCEPROP 1 LAST HDL_POWER GND_SIGNAL -J 0 -(5450 1000); -DISPLAY 0.978723 (5450 1000); -DISPLAY INVISIBLE (5450 1000); -FORCEPROP 1 LAST BODY_TYPE PLUMBING -J 0 -(5450 1100); -DISPLAY 0.978723 (5450 1100); -DISPLAY INVISIBLE (5450 1100); -FORCEADD FMC_TLU_DIODE_CLAMP..1 -(1550 -850); -FORCEPROP 2 LAST PATH I62 -J 0 -(1900 -400); -DISPLAY 1.021277 (1900 -400); -FORCEPROP 2 LAST CDS_LIB fmc_tlu_v1_lib -J 0 -(1550 -850); -DISPLAY INVISIBLE (1550 -850); -FORCEPROP 1 LASTPIN (950 -500) VHDL_MODE in -J 0 -(300 -468); -DISPLAY 0.425532 (300 -468); -PAINT MONO (300 -468); -DISPLAY INVISIBLE (300 -468); -FORCEPROP 1 LASTPIN (950 -500) VHDL_SCALAR_TYPE std_logic -J 0 -(-50 -436); -DISPLAY 0.425532 (-50 -436); -PAINT MONO (-50 -436); -DISPLAY INVISIBLE (-50 -436); -FORCEPROP 1 LASTPIN (950 -800) VHDL_MODE in -J 0 -(300 -768); -DISPLAY 0.425532 (300 -768); -PAINT MONO (300 -768); -DISPLAY INVISIBLE (300 -768); -FORCEPROP 1 LASTPIN (950 -800) VHDL_SCALAR_TYPE std_logic -J 0 -(-50 -736); -DISPLAY 0.425532 (-50 -736); -PAINT MONO (-50 -736); -DISPLAY INVISIBLE (-50 -736); -FORCEPROP 1 LASTPIN (950 -1200) VHDL_MODE in -J 0 -(300 -1168); -DISPLAY 0.425532 (300 -1168); -PAINT MONO (300 -1168); -DISPLAY INVISIBLE (300 -1168); -FORCEPROP 1 LASTPIN (950 -1200) VHDL_SCALAR_TYPE std_logic -J 0 -(-50 -1136); -DISPLAY 0.425532 (-50 -1136); -PAINT MONO (-50 -1136); -DISPLAY INVISIBLE (-50 -1136); -FORCEPROP 1 LASTPIN (950 -1100) VHDL_MODE in +FORCEADD FMC_TLU_HDMI_DUT_CONNECTOR..1 +(775 250); +FORCEPROP 2 LAST PATH I1 J 0 -(300 -1068); -DISPLAY 0.425532 (300 -1068); -PAINT MONO (300 -1068); -DISPLAY INVISIBLE (300 -1068); -FORCEPROP 1 LASTPIN (950 -1100) VHDL_SCALAR_TYPE std_logic -J 0 -(-50 -1036); -DISPLAY 0.425532 (-50 -1036); -PAINT MONO (-50 -1036); -DISPLAY INVISIBLE (-50 -1036); -FORCEPROP 1 LASTPIN (950 -1000) VHDL_MODE in -J 0 -(300 -968); -DISPLAY 0.425532 (300 -968); -PAINT MONO (300 -968); -DISPLAY INVISIBLE (300 -968); -FORCEPROP 1 LASTPIN (950 -1000) VHDL_SCALAR_TYPE std_logic -J 0 -(-50 -936); -DISPLAY 0.425532 (-50 -936); -PAINT MONO (-50 -936); -DISPLAY INVISIBLE (-50 -936); -FORCEPROP 1 LASTPIN (950 -900) VHDL_MODE in -J 0 -(300 -868); -DISPLAY 0.425532 (300 -868); -PAINT MONO (300 -868); -DISPLAY INVISIBLE (300 -868); -FORCEPROP 1 LASTPIN (950 -900) VHDL_SCALAR_TYPE std_logic -J 0 -(-50 -836); -DISPLAY 0.425532 (-50 -836); -PAINT MONO (-50 -836); -DISPLAY INVISIBLE (-50 -836); -FORCEPROP 1 LASTPIN (950 -700) VHDL_MODE in -J 0 -(300 -668); -DISPLAY 0.425532 (300 -668); -PAINT MONO (300 -668); -DISPLAY INVISIBLE (300 -668); -FORCEPROP 1 LASTPIN (950 -700) VHDL_SCALAR_TYPE std_logic -J 0 -(-50 -636); -DISPLAY 0.425532 (-50 -636); -PAINT MONO (-50 -636); -DISPLAY INVISIBLE (-50 -636); -FORCEPROP 1 LASTPIN (950 -600) VHDL_MODE in -J 0 -(300 -568); -DISPLAY 0.425532 (300 -568); -PAINT MONO (300 -568); -DISPLAY INVISIBLE (300 -568); -FORCEPROP 1 LASTPIN (950 -600) VHDL_SCALAR_TYPE std_logic -J 0 -(-50 -536); -DISPLAY 0.425532 (-50 -536); -PAINT MONO (-50 -536); -DISPLAY INVISIBLE (-50 -536); -FORCEPROP 1 LAST BLOCK TRUE -J 1 -(1525 -450); -DISPLAY 0.425532 (1525 -450); -PAINT SKYBLUE (1525 -450); -DISPLAY INVISIBLE (1525 -450); -FORCEPROP 1 LAST USE2 work.all -J 0 -(1886 -388); -DISPLAY 0.425532 (1886 -388); -PAINT SKYBLUE (1886 -388); -DISPLAY INVISIBLE (1886 -388); -FORCEPROP 1 LAST USE1 ieee.std_logic_1164.all -J 0 -(1886 -327); -DISPLAY 0.425532 (1886 -327); -PAINT SKYBLUE (1886 -327); -DISPLAY INVISIBLE (1886 -327); -FORCEPROP 1 LAST LIBRARY1 ieee -J 0 -(1886 -265); -DISPLAY 0.425532 (1886 -265); -PAINT SKYBLUE (1886 -265); -DISPLAY INVISIBLE (1886 -265); -FORCEADD FMC_TLU_DIODE_CLAMP..1 -(2050 -2850); -FORCEPROP 2 LAST PATH I63 -J 0 -(2400 -2400); -DISPLAY 1.021277 (2400 -2400); +(1425 1050); +DISPLAY 1.021277 (1425 1050); FORCEPROP 2 LAST CDS_LIB fmc_tlu_v1_lib J 0 -(2050 -2850); -DISPLAY INVISIBLE (2050 -2850); -FORCEPROP 1 LASTPIN (1450 -2500) VHDL_MODE in -J 0 -(800 -2468); -DISPLAY 0.425532 (800 -2468); -PAINT MONO (800 -2468); -DISPLAY INVISIBLE (800 -2468); -FORCEPROP 1 LASTPIN (1450 -2500) VHDL_SCALAR_TYPE std_logic -J 0 -(450 -2436); -DISPLAY 0.425532 (450 -2436); -PAINT MONO (450 -2436); -DISPLAY INVISIBLE (450 -2436); -FORCEPROP 1 LASTPIN (1450 -2800) VHDL_MODE in -J 0 -(800 -2768); -DISPLAY 0.425532 (800 -2768); -PAINT MONO (800 -2768); -DISPLAY INVISIBLE (800 -2768); -FORCEPROP 1 LASTPIN (1450 -2800) VHDL_SCALAR_TYPE std_logic -J 0 -(450 -2736); -DISPLAY 0.425532 (450 -2736); -PAINT MONO (450 -2736); -DISPLAY INVISIBLE (450 -2736); -FORCEPROP 1 LASTPIN (1450 -3200) VHDL_MODE in -J 0 -(800 -3168); -DISPLAY 0.425532 (800 -3168); -PAINT MONO (800 -3168); -DISPLAY INVISIBLE (800 -3168); -FORCEPROP 1 LASTPIN (1450 -3200) VHDL_SCALAR_TYPE std_logic -J 0 -(450 -3136); -DISPLAY 0.425532 (450 -3136); -PAINT MONO (450 -3136); -DISPLAY INVISIBLE (450 -3136); -FORCEPROP 1 LASTPIN (1450 -3100) VHDL_MODE in -J 0 -(800 -3068); -DISPLAY 0.425532 (800 -3068); -PAINT MONO (800 -3068); -DISPLAY INVISIBLE (800 -3068); -FORCEPROP 1 LASTPIN (1450 -3100) VHDL_SCALAR_TYPE std_logic -J 0 -(450 -3036); -DISPLAY 0.425532 (450 -3036); -PAINT MONO (450 -3036); -DISPLAY INVISIBLE (450 -3036); -FORCEPROP 1 LASTPIN (1450 -3000) VHDL_MODE in -J 0 -(800 -2968); -DISPLAY 0.425532 (800 -2968); -PAINT MONO (800 -2968); -DISPLAY INVISIBLE (800 -2968); -FORCEPROP 1 LASTPIN (1450 -3000) VHDL_SCALAR_TYPE std_logic -J 0 -(450 -2936); -DISPLAY 0.425532 (450 -2936); -PAINT MONO (450 -2936); -DISPLAY INVISIBLE (450 -2936); -FORCEPROP 1 LASTPIN (1450 -2900) VHDL_MODE in -J 0 -(800 -2868); -DISPLAY 0.425532 (800 -2868); -PAINT MONO (800 -2868); -DISPLAY INVISIBLE (800 -2868); -FORCEPROP 1 LASTPIN (1450 -2900) VHDL_SCALAR_TYPE std_logic -J 0 -(450 -2836); -DISPLAY 0.425532 (450 -2836); -PAINT MONO (450 -2836); -DISPLAY INVISIBLE (450 -2836); -FORCEPROP 1 LASTPIN (1450 -2700) VHDL_MODE in -J 0 -(800 -2668); -DISPLAY 0.425532 (800 -2668); -PAINT MONO (800 -2668); -DISPLAY INVISIBLE (800 -2668); -FORCEPROP 1 LASTPIN (1450 -2700) VHDL_SCALAR_TYPE std_logic -J 0 -(450 -2636); -DISPLAY 0.425532 (450 -2636); -PAINT MONO (450 -2636); -DISPLAY INVISIBLE (450 -2636); -FORCEPROP 1 LASTPIN (1450 -2600) VHDL_MODE in +(775 250); +DISPLAY INVISIBLE (775 250); +FORCEPROP 1 LAST CDS_LMAN_SYM_OUTLINE -775,775,750,-725 J 0 -(800 -2568); -DISPLAY 0.425532 (800 -2568); -PAINT MONO (800 -2568); -DISPLAY INVISIBLE (800 -2568); -FORCEPROP 1 LASTPIN (1450 -2600) VHDL_SCALAR_TYPE std_logic -J 0 -(450 -2536); -DISPLAY 0.425532 (450 -2536); -PAINT MONO (450 -2536); -DISPLAY INVISIBLE (450 -2536); -FORCEPROP 1 LAST BLOCK TRUE -J 1 -(2025 -2450); -DISPLAY 0.425532 (2025 -2450); -PAINT SKYBLUE (2025 -2450); -DISPLAY INVISIBLE (2025 -2450); -FORCEPROP 1 LAST USE2 work.all -J 0 -(2386 -2388); -DISPLAY 0.425532 (2386 -2388); -PAINT SKYBLUE (2386 -2388); -DISPLAY INVISIBLE (2386 -2388); -FORCEPROP 1 LAST USE1 ieee.std_logic_1164.all -J 0 -(2386 -2327); -DISPLAY 0.425532 (2386 -2327); -PAINT SKYBLUE (2386 -2327); -DISPLAY INVISIBLE (2386 -2327); +(775 250); +DISPLAY 0.468085 (775 250); +PAINT GREEN (775 250); +DISPLAY INVISIBLE (775 250); FORCEPROP 1 LAST LIBRARY1 ieee J 0 -(2386 -2265); -DISPLAY 0.425532 (2386 -2265); -PAINT SKYBLUE (2386 -2265); -DISPLAY INVISIBLE (2386 -2265); -FORCEADD FMC_TLU_DIODE_CLAMP..1 -(5000 -800); -FORCEPROP 2 LAST PATH I64 -J 0 -(5350 -350); -DISPLAY 1.021277 (5350 -350); -FORCEPROP 2 LAST CDS_LIB fmc_tlu_v1_lib -J 0 -(5000 -800); -DISPLAY INVISIBLE (5000 -800); -FORCEPROP 1 LASTPIN (4400 -450) VHDL_MODE in -J 0 -(3750 -418); -DISPLAY 0.425532 (3750 -418); -PAINT MONO (3750 -418); -DISPLAY INVISIBLE (3750 -418); -FORCEPROP 1 LASTPIN (4400 -450) VHDL_SCALAR_TYPE std_logic -J 0 -(3400 -386); -DISPLAY 0.425532 (3400 -386); -PAINT MONO (3400 -386); -DISPLAY INVISIBLE (3400 -386); -FORCEPROP 1 LASTPIN (4400 -750) VHDL_MODE in -J 0 -(3750 -718); -DISPLAY 0.425532 (3750 -718); -PAINT MONO (3750 -718); -DISPLAY INVISIBLE (3750 -718); -FORCEPROP 1 LASTPIN (4400 -750) VHDL_SCALAR_TYPE std_logic -J 0 -(3400 -686); -DISPLAY 0.425532 (3400 -686); -PAINT MONO (3400 -686); -DISPLAY INVISIBLE (3400 -686); -FORCEPROP 1 LASTPIN (4400 -1150) VHDL_MODE in -J 0 -(3750 -1118); -DISPLAY 0.425532 (3750 -1118); -PAINT MONO (3750 -1118); -DISPLAY INVISIBLE (3750 -1118); -FORCEPROP 1 LASTPIN (4400 -1150) VHDL_SCALAR_TYPE std_logic -J 0 -(3400 -1086); -DISPLAY 0.425532 (3400 -1086); -PAINT MONO (3400 -1086); -DISPLAY INVISIBLE (3400 -1086); -FORCEPROP 1 LASTPIN (4400 -1050) VHDL_MODE in -J 0 -(3750 -1018); -DISPLAY 0.425532 (3750 -1018); -PAINT MONO (3750 -1018); -DISPLAY INVISIBLE (3750 -1018); -FORCEPROP 1 LASTPIN (4400 -1050) VHDL_SCALAR_TYPE std_logic -J 0 -(3400 -986); -DISPLAY 0.425532 (3400 -986); -PAINT MONO (3400 -986); -DISPLAY INVISIBLE (3400 -986); -FORCEPROP 1 LASTPIN (4400 -950) VHDL_MODE in -J 0 -(3750 -918); -DISPLAY 0.425532 (3750 -918); -PAINT MONO (3750 -918); -DISPLAY INVISIBLE (3750 -918); -FORCEPROP 1 LASTPIN (4400 -950) VHDL_SCALAR_TYPE std_logic -J 0 -(3400 -886); -DISPLAY 0.425532 (3400 -886); -PAINT MONO (3400 -886); -DISPLAY INVISIBLE (3400 -886); -FORCEPROP 1 LASTPIN (4400 -850) VHDL_MODE in -J 0 -(3750 -818); -DISPLAY 0.425532 (3750 -818); -PAINT MONO (3750 -818); -DISPLAY INVISIBLE (3750 -818); -FORCEPROP 1 LASTPIN (4400 -850) VHDL_SCALAR_TYPE std_logic -J 0 -(3400 -786); -DISPLAY 0.425532 (3400 -786); -PAINT MONO (3400 -786); -DISPLAY INVISIBLE (3400 -786); -FORCEPROP 1 LASTPIN (4400 -650) VHDL_MODE in -J 0 -(3750 -618); -DISPLAY 0.425532 (3750 -618); -PAINT MONO (3750 -618); -DISPLAY INVISIBLE (3750 -618); -FORCEPROP 1 LASTPIN (4400 -650) VHDL_SCALAR_TYPE std_logic -J 0 -(3400 -586); -DISPLAY 0.425532 (3400 -586); -PAINT MONO (3400 -586); -DISPLAY INVISIBLE (3400 -586); -FORCEPROP 1 LASTPIN (4400 -550) VHDL_MODE in -J 0 -(3750 -518); -DISPLAY 0.425532 (3750 -518); -PAINT MONO (3750 -518); -DISPLAY INVISIBLE (3750 -518); -FORCEPROP 1 LASTPIN (4400 -550) VHDL_SCALAR_TYPE std_logic -J 0 -(3400 -486); -DISPLAY 0.425532 (3400 -486); -PAINT MONO (3400 -486); -DISPLAY INVISIBLE (3400 -486); -FORCEPROP 1 LAST BLOCK TRUE -J 1 -(4975 -400); -DISPLAY 0.425532 (4975 -400); -PAINT SKYBLUE (4975 -400); -DISPLAY INVISIBLE (4975 -400); -FORCEPROP 1 LAST USE2 work.all -J 0 -(5336 -338); -DISPLAY 0.425532 (5336 -338); -PAINT SKYBLUE (5336 -338); -DISPLAY INVISIBLE (5336 -338); +(2736 1210); +DISPLAY 0.404255 (2736 1210); +PAINT SKYBLUE (2736 1210); +DISPLAY INVISIBLE (2736 1210); FORCEPROP 1 LAST USE1 ieee.std_logic_1164.all J 0 -(5336 -277); -DISPLAY 0.425532 (5336 -277); -PAINT SKYBLUE (5336 -277); -DISPLAY INVISIBLE (5336 -277); -FORCEPROP 1 LAST LIBRARY1 ieee -J 0 -(5336 -215); -DISPLAY 0.425532 (5336 -215); -PAINT SKYBLUE (5336 -215); -DISPLAY INVISIBLE (5336 -215); -FORCEADD TP..1 -(700 -150); -FORCEPROP 1 LAST $LOCATION TP11 -J 0 -(750 -150); -DISPLAY 0.723404 (750 -150); -PAINT WHITE (750 -150); -FORCEPROP 1 LAST PART_NAME TP -J 0 -(750 -250); -DISPLAY 0.723404 (750 -250); -PAINT WHITE (750 -250); -DISPLAY INVISIBLE (750 -250); -FORCEPROP 1 LASTPIN (650 -150) $PN 1 -J 0 -(650 -150); -DISPLAY 0.617021 (650 -150); -DISPLAY INVISIBLE (650 -150); -FORCEPROP 1 LAST PACK_TYPE HOLE -J 0 -(750 -75); -DISPLAY INVISIBLE (750 -75); -FORCEPROP 1 LAST VALUE 0.8MM -J 0 -(750 25); -DISPLAY INVISIBLE (750 25); -FORCEPROP 2 LAST CDS_LIB cnpassive -J 0 -(700 -150); -DISPLAY INVISIBLE (700 -150); -FORCEPROP 1 LAST PATH I65 -J 0 -(680 -135); -DISPLAY 0.872340 (680 -135); -PAINT SKYBLUE (680 -135); -DISPLAY INVISIBLE (680 -135); -FORCEPROP 1 LAST SIZE 1B -J 0 -(675 -125); -DISPLAY 0.553191 (675 -125); -PAINT PINK (675 -125); -DISPLAY INVISIBLE (675 -125); -FORCEADD TP..1 -(700 -250); -FORCEPROP 1 LAST $LOCATION TP12 -J 0 -(750 -250); -DISPLAY 0.723404 (750 -250); -PAINT WHITE (750 -250); -FORCEPROP 1 LAST PART_NAME TP -J 0 -(750 -350); -DISPLAY 0.723404 (750 -350); -PAINT WHITE (750 -350); -DISPLAY INVISIBLE (750 -350); -FORCEPROP 1 LASTPIN (650 -250) $PN 1 -J 0 -(650 -250); -DISPLAY 0.617021 (650 -250); -DISPLAY INVISIBLE (650 -250); -FORCEPROP 1 LAST PACK_TYPE HOLE -J 0 -(750 -175); -DISPLAY INVISIBLE (750 -175); -FORCEPROP 1 LAST VALUE 0.8MM -J 0 -(750 -75); -DISPLAY INVISIBLE (750 -75); -FORCEPROP 2 LAST CDS_LIB cnpassive -J 0 -(700 -250); -DISPLAY INVISIBLE (700 -250); -FORCEPROP 1 LAST PATH I66 -J 0 -(680 -235); -DISPLAY 0.872340 (680 -235); -PAINT SKYBLUE (680 -235); -DISPLAY INVISIBLE (680 -235); -FORCEPROP 1 LAST SIZE 1B -J 0 -(675 -225); -DISPLAY 0.553191 (675 -225); -PAINT PINK (675 -225); -DISPLAY INVISIBLE (675 -225); -FORCEADD TP..1 -R 1 -(-750 -1050); -FORCEPROP 1 LAST $LOCATION TP4 -R 1 -J 0 -(-750 -1000); -DISPLAY 0.723404 (-750 -1000); -PAINT WHITE (-750 -1000); -FORCEPROP 1 LAST PART_NAME TP -R 1 -J 0 -(-650 -1000); -DISPLAY 0.723404 (-650 -1000); -PAINT WHITE (-650 -1000); -DISPLAY INVISIBLE (-650 -1000); -FORCEPROP 1 LASTPIN (-750 -1100) $PN 1 -R 1 -J 0 -(-750 -1100); -DISPLAY 0.617021 (-750 -1100); -DISPLAY INVISIBLE (-750 -1100); -FORCEPROP 1 LAST PACK_TYPE HOLE -R 1 -J 0 -(-825 -1000); -DISPLAY INVISIBLE (-825 -1000); -FORCEPROP 1 LAST VALUE 0.8MM -R 1 -J 0 -(-925 -1000); -DISPLAY INVISIBLE (-925 -1000); -FORCEPROP 2 LAST CDS_LIB cnpassive -R 1 -J 0 -(-750 -1050); -DISPLAY INVISIBLE (-750 -1050); -FORCEPROP 1 LAST PATH I67 -R 1 -J 0 -(-765 -1070); -DISPLAY 0.872340 (-765 -1070); -PAINT SKYBLUE (-765 -1070); -DISPLAY INVISIBLE (-765 -1070); -FORCEPROP 1 LAST SIZE 1B -R 1 -J 0 -(-775 -1075); -DISPLAY 0.553191 (-775 -1075); -PAINT PINK (-775 -1075); -DISPLAY INVISIBLE (-775 -1075); -FORCEADD TP..1 -(350 -150); -FORCEPROP 1 LAST $LOCATION TP9 -J 0 -(400 -150); -DISPLAY 0.723404 (400 -150); -PAINT WHITE (400 -150); -FORCEPROP 1 LAST PART_NAME TP -J 0 -(400 -250); -DISPLAY 0.723404 (400 -250); -PAINT WHITE (400 -250); -DISPLAY INVISIBLE (400 -250); -FORCEPROP 1 LASTPIN (300 -150) $PN 1 -J 0 -(300 -150); -DISPLAY 0.617021 (300 -150); -DISPLAY INVISIBLE (300 -150); -FORCEPROP 1 LAST PACK_TYPE HOLE -J 0 -(400 -75); -DISPLAY INVISIBLE (400 -75); -FORCEPROP 1 LAST VALUE 0.8MM -J 0 -(400 25); -DISPLAY INVISIBLE (400 25); -FORCEPROP 2 LAST CDS_LIB cnpassive -J 0 -(350 -150); -DISPLAY INVISIBLE (350 -150); -FORCEPROP 1 LAST PATH I68 -J 0 -(330 -135); -DISPLAY 0.872340 (330 -135); -PAINT SKYBLUE (330 -135); -DISPLAY INVISIBLE (330 -135); -FORCEPROP 1 LAST SIZE 1B -J 0 -(325 -125); -DISPLAY 0.553191 (325 -125); -PAINT PINK (325 -125); -DISPLAY INVISIBLE (325 -125); -FORCEADD TP..1 -(350 -250); -FORCEPROP 1 LAST $LOCATION TP10 -J 0 -(400 -250); -DISPLAY 0.723404 (400 -250); -PAINT WHITE (400 -250); -FORCEPROP 1 LAST PART_NAME TP -J 0 -(400 -350); -DISPLAY 0.723404 (400 -350); -PAINT WHITE (400 -350); -DISPLAY INVISIBLE (400 -350); -FORCEPROP 1 LASTPIN (300 -250) $PN 1 -J 0 -(300 -250); -DISPLAY 0.617021 (300 -250); -DISPLAY INVISIBLE (300 -250); -FORCEPROP 1 LAST PACK_TYPE HOLE -J 0 -(400 -175); -DISPLAY INVISIBLE (400 -175); -FORCEPROP 1 LAST VALUE 0.8MM -J 0 -(400 -75); -DISPLAY INVISIBLE (400 -75); -FORCEPROP 2 LAST CDS_LIB cnpassive -J 0 -(350 -250); -DISPLAY INVISIBLE (350 -250); -FORCEPROP 1 LAST PATH I69 -J 0 -(330 -235); -DISPLAY 0.872340 (330 -235); -PAINT SKYBLUE (330 -235); -DISPLAY INVISIBLE (330 -235); -FORCEPROP 1 LAST SIZE 1B -J 0 -(325 -225); -DISPLAY 0.553191 (325 -225); -PAINT PINK (325 -225); -DISPLAY INVISIBLE (325 -225); -FORCEADD TP..1 -R 1 -(-900 -1050); -FORCEPROP 1 LAST $LOCATION TP3 -R 1 -J 0 -(-900 -1000); -DISPLAY 0.723404 (-900 -1000); -PAINT WHITE (-900 -1000); -FORCEPROP 1 LAST PART_NAME TP -R 1 -J 0 -(-800 -1000); -DISPLAY 0.723404 (-800 -1000); -PAINT WHITE (-800 -1000); -DISPLAY INVISIBLE (-800 -1000); -FORCEPROP 1 LASTPIN (-900 -1100) $PN 1 -R 1 -J 0 -(-900 -1100); -DISPLAY 0.617021 (-900 -1100); -DISPLAY INVISIBLE (-900 -1100); -FORCEPROP 1 LAST PACK_TYPE HOLE -R 1 -J 0 -(-975 -1000); -DISPLAY INVISIBLE (-975 -1000); -FORCEPROP 1 LAST VALUE 0.8MM -R 1 -J 0 -(-1075 -1000); -DISPLAY INVISIBLE (-1075 -1000); -FORCEPROP 2 LAST CDS_LIB cnpassive -J 0 -(-900 -1050); -DISPLAY INVISIBLE (-900 -1050); -FORCEPROP 1 LAST PATH I70 -R 1 -J 0 -(-915 -1070); -DISPLAY 0.872340 (-915 -1070); -PAINT SKYBLUE (-915 -1070); -DISPLAY INVISIBLE (-915 -1070); -FORCEPROP 1 LAST SIZE 1B -R 1 -J 0 -(-925 -1075); -DISPLAY 0.553191 (-925 -1075); -PAINT PINK (-925 -1075); -DISPLAY INVISIBLE (-925 -1075); -FORCEADD TP..1 -(-250 -1250); -FORCEPROP 1 LAST $LOCATION TP7 -J 0 -(-200 -1250); -DISPLAY 0.723404 (-200 -1250); -PAINT WHITE (-200 -1250); -FORCEPROP 1 LAST PART_NAME TP -J 0 -(-200 -1350); -DISPLAY 0.723404 (-200 -1350); -PAINT WHITE (-200 -1350); -DISPLAY INVISIBLE (-200 -1350); -FORCEPROP 1 LASTPIN (-300 -1250) $PN 1 -J 0 -(-300 -1250); -DISPLAY 0.617021 (-300 -1250); -DISPLAY INVISIBLE (-300 -1250); -FORCEPROP 1 LAST PACK_TYPE HOLE -J 0 -(-200 -1175); -DISPLAY INVISIBLE (-200 -1175); -FORCEPROP 1 LAST VALUE 0.8MM -J 0 -(-200 -1075); -DISPLAY INVISIBLE (-200 -1075); -FORCEPROP 2 LAST CDS_LIB cnpassive -J 0 -(-250 -1250); -DISPLAY INVISIBLE (-250 -1250); -FORCEPROP 1 LAST PATH I71 -J 0 -(-270 -1235); -DISPLAY 0.872340 (-270 -1235); -PAINT SKYBLUE (-270 -1235); -DISPLAY INVISIBLE (-270 -1235); -FORCEPROP 1 LAST SIZE 1B -J 0 -(-275 -1225); -DISPLAY 0.553191 (-275 -1225); -PAINT PINK (-275 -1225); -DISPLAY INVISIBLE (-275 -1225); -FORCEADD TP..1 -R 2 -(-100 -1250); -FORCEPROP 1 LAST $LOCATION TP8 -J 2 -(-150 -1250); -DISPLAY 0.723404 (-150 -1250); -PAINT WHITE (-150 -1250); -FORCEPROP 1 LAST PART_NAME TP -J 2 -(-150 -1350); -DISPLAY 0.723404 (-150 -1350); -PAINT WHITE (-150 -1350); -DISPLAY INVISIBLE (-150 -1350); -FORCEPROP 1 LASTPIN (-50 -1250) $PN 1 -J 2 -(-50 -1250); -DISPLAY 0.617021 (-50 -1250); -DISPLAY INVISIBLE (-50 -1250); -FORCEPROP 1 LAST PACK_TYPE HOLE -J 2 -(-150 -1175); -DISPLAY INVISIBLE (-150 -1175); -FORCEPROP 1 LAST VALUE 0.8MM -J 2 -(-150 -1075); -DISPLAY INVISIBLE (-150 -1075); -FORCEPROP 2 LAST CDS_LIB cnpassive -J 0 -(-100 -1250); -DISPLAY INVISIBLE (-100 -1250); -FORCEPROP 1 LAST PATH I72 -J 2 -(-80 -1235); -DISPLAY 0.872340 (-80 -1235); -PAINT SKYBLUE (-80 -1235); -DISPLAY INVISIBLE (-80 -1235); -FORCEPROP 1 LAST SIZE 1B -J 2 -(-75 -1225); -DISPLAY 0.553191 (-75 -1225); -PAINT PINK (-75 -1225); -DISPLAY INVISIBLE (-75 -1225); -FORCEADD TP..1 -R 2 -(-600 -400); -FORCEPROP 1 LAST $LOCATION TP5 -J 2 -(-650 -400); -DISPLAY 0.723404 (-650 -400); -PAINT WHITE (-650 -400); -FORCEPROP 1 LAST PART_NAME TP -J 2 -(-650 -500); -DISPLAY 0.723404 (-650 -500); -PAINT WHITE (-650 -500); -DISPLAY INVISIBLE (-650 -500); -FORCEPROP 1 LASTPIN (-550 -400) $PN 1 -J 2 -(-550 -400); -DISPLAY 0.617021 (-550 -400); -DISPLAY INVISIBLE (-550 -400); -FORCEPROP 1 LAST SIZE 1B -J 2 -(-575 -375); -DISPLAY 0.553191 (-575 -375); -PAINT PINK (-575 -375); -DISPLAY INVISIBLE (-575 -375); -FORCEPROP 1 LAST PATH I73 -J 2 -(-580 -385); -DISPLAY 0.872340 (-580 -385); -PAINT SKYBLUE (-580 -385); -DISPLAY INVISIBLE (-580 -385); -FORCEPROP 2 LAST CDS_LIB cnpassive -J 0 -(-600 -400); -DISPLAY INVISIBLE (-600 -400); -FORCEPROP 1 LAST VALUE 0.8MM -J 2 -(-650 -225); -DISPLAY INVISIBLE (-650 -225); -FORCEPROP 1 LAST PACK_TYPE HOLE -J 2 -(-650 -325); -DISPLAY INVISIBLE (-650 -325); -FORCEADD TP..1 -R 2 -(-600 -500); -FORCEPROP 1 LAST $LOCATION TP6 -J 2 -(-650 -500); -DISPLAY 0.723404 (-650 -500); -PAINT WHITE (-650 -500); -FORCEPROP 1 LAST PART_NAME TP -J 2 -(-650 -600); -DISPLAY 0.723404 (-650 -600); -PAINT WHITE (-650 -600); -DISPLAY INVISIBLE (-650 -600); -FORCEPROP 1 LASTPIN (-550 -500) $PN 1 -J 2 -(-550 -500); -DISPLAY 0.617021 (-550 -500); -DISPLAY INVISIBLE (-550 -500); -FORCEPROP 1 LAST SIZE 1B -J 2 -(-575 -475); -DISPLAY 0.553191 (-575 -475); -PAINT PINK (-575 -475); -DISPLAY INVISIBLE (-575 -475); -FORCEPROP 1 LAST PATH I74 -J 2 -(-580 -485); -DISPLAY 0.872340 (-580 -485); -PAINT SKYBLUE (-580 -485); -DISPLAY INVISIBLE (-580 -485); -FORCEPROP 2 LAST CDS_LIB cnpassive -J 0 -(-600 -500); -DISPLAY INVISIBLE (-600 -500); -FORCEPROP 1 LAST VALUE 0.8MM -J 2 -(-650 -325); -DISPLAY INVISIBLE (-650 -325); -FORCEPROP 1 LAST PACK_TYPE HOLE -J 2 -(-650 -425); -DISPLAY INVISIBLE (-650 -425); -FORCEADD TP..1 -R 2 -(-950 -600); -FORCEPROP 1 LAST $LOCATION TP1 -J 2 -(-1000 -600); -DISPLAY 0.723404 (-1000 -600); -PAINT WHITE (-1000 -600); -FORCEPROP 1 LAST PART_NAME TP -J 2 -(-1000 -700); -DISPLAY 0.723404 (-1000 -700); -PAINT WHITE (-1000 -700); -DISPLAY INVISIBLE (-1000 -700); -FORCEPROP 1 LASTPIN (-900 -600) $PN 1 -J 2 -(-900 -600); -DISPLAY 0.617021 (-900 -600); -DISPLAY INVISIBLE (-900 -600); -FORCEPROP 1 LAST PACK_TYPE HOLE -J 2 -(-1000 -525); -DISPLAY INVISIBLE (-1000 -525); -FORCEPROP 1 LAST VALUE 0.8MM -J 2 -(-1000 -425); -DISPLAY INVISIBLE (-1000 -425); -FORCEPROP 2 LAST CDS_LIB cnpassive -J 0 -(-950 -600); -DISPLAY INVISIBLE (-950 -600); -FORCEPROP 1 LAST PATH I75 -J 2 -(-930 -585); -DISPLAY 0.872340 (-930 -585); -PAINT SKYBLUE (-930 -585); -DISPLAY INVISIBLE (-930 -585); -FORCEPROP 1 LAST SIZE 1B -J 2 -(-925 -575); -DISPLAY 0.553191 (-925 -575); -PAINT PINK (-925 -575); -DISPLAY INVISIBLE (-925 -575); -FORCEADD TP..1 -R 2 -(-950 -700); -FORCEPROP 1 LAST $LOCATION TP2 -J 2 -(-1000 -700); -DISPLAY 0.723404 (-1000 -700); -PAINT WHITE (-1000 -700); -FORCEPROP 1 LAST PART_NAME TP -J 2 -(-1000 -800); -DISPLAY 0.723404 (-1000 -800); -PAINT WHITE (-1000 -800); -DISPLAY INVISIBLE (-1000 -800); -FORCEPROP 1 LASTPIN (-900 -700) $PN 1 -J 2 -(-900 -700); -DISPLAY 0.617021 (-900 -700); -DISPLAY INVISIBLE (-900 -700); -FORCEPROP 1 LAST PACK_TYPE HOLE -J 2 -(-1000 -625); -DISPLAY INVISIBLE (-1000 -625); -FORCEPROP 1 LAST VALUE 0.8MM -J 2 -(-1000 -525); -DISPLAY INVISIBLE (-1000 -525); -FORCEPROP 2 LAST CDS_LIB cnpassive -J 0 -(-950 -700); -DISPLAY INVISIBLE (-950 -700); -FORCEPROP 1 LAST PATH I76 -J 2 -(-930 -685); -DISPLAY 0.872340 (-930 -685); -PAINT SKYBLUE (-930 -685); -DISPLAY INVISIBLE (-930 -685); -FORCEPROP 1 LAST SIZE 1B -J 2 -(-925 -675); -DISPLAY 0.553191 (-925 -675); -PAINT PINK (-925 -675); -DISPLAY INVISIBLE (-925 -675); -FORCEADD GND_SIGNAL..1 -(-900 -1250); -FORCEPROP 3 LASTPIN (-850 -1200) SIG_NAME GND_SIGNAL\g -J 0 -(-840 -1190); -DISPLAY 0.659574 (-840 -1190); -PAINT MONO (-840 -1190); -DISPLAY INVISIBLE (-840 -1190); -FORCEPROP 0 LAST PATH I77 -J 0 -(-950 -1150); -DISPLAY 1.021277 (-950 -1150); -DISPLAY INVISIBLE (-950 -1150); -FORCEPROP 2 LAST CDS_LIB standard -J 0 -(-900 -1250); -DISPLAY INVISIBLE (-900 -1250); -FORCEPROP 1 LAST BODY_TYPE PLUMBING -J 0 -(-900 -1100); -DISPLAY 0.978723 (-900 -1100); -DISPLAY INVISIBLE (-900 -1100); -FORCEPROP 1 LAST HDL_POWER GND_SIGNAL -J 0 -(-900 -1200); -DISPLAY 0.978723 (-900 -1200); -DISPLAY INVISIBLE (-900 -1200); -FORCEADD CON8P..1 -(150 -2800); -FORCEPROP 1 LAST $LOCATION J3 -J 1 -(170 -2540); -DISPLAY 0.723404 (170 -2540); -PAINT WHITE (170 -2540); -FORCEPROP 1 LAST TYPE 44661-1011 -J 1 -(170 -2585); -DISPLAY 0.723404 (170 -2585); -PAINT WHITE (170 -2585); -FORCEPROP 0 LAST POWER_GROUP GND=FRAME -J 0 -(200 -2450); -DISPLAY 1.021277 (200 -2450); -FORCEPROP 2 LAST CDS_SEC 1 -J 0 -(200 -2400); -DISPLAY 1.021277 (200 -2400); -DISPLAY INVISIBLE (200 -2400); -FORCEPROP 2 LAST CDS_LOCATION J3 -J 0 -(200 -2400); -DISPLAY 1.021277 (200 -2400); -DISPLAY INVISIBLE (200 -2400); -FORCEPROP 1 LAST PATH I78 -J 2 -(0 -3000); -DISPLAY 0.723404 (0 -3000); -PAINT WHITE (0 -3000); -DISPLAY INVISIBLE (0 -3000); -FORCEPROP 2 LAST CDS_LIB cnconnector -J 0 -(150 -2800); -DISPLAY INVISIBLE (150 -2800); -FORCEADD CAPCERSMDCL2..1 -(1450 150); -FORCEPROP 1 LAST VOLTAGE 16V -J 1 -(1300 50); -DISPLAY 0.723404 (1300 50); -FORCEPROP 1 LAST VALUE 100NF -J 1 -(1450 50); -DISPLAY 0.723404 (1450 50); -FORCEPROP 1 LAST $LOCATION C9 -J 0 -(1425 0); -DISPLAY 0.723404 (1425 0); -FORCEPROP 1 LAST SIZE 1 -J 0 -(1430 80); -DISPLAY 0.702128 (1430 80); -PAINT WHITE (1430 80); -DISPLAY INVISIBLE (1430 80); -FORCEPROP 2 LAST CDS_LIB cnpassive -J 0 -(1450 150); -DISPLAY INVISIBLE (1450 150); -FORCEPROP 1 LAST PACK_TYPE 0603 -J 1 -(1450 -50); -DISPLAY 0.723404 (1450 -50); -DISPLAY INVISIBLE (1450 -50); -FORCEPROP 2 LASTPIN (1550 150) SIG_NAME UN$4$CAPCERSMDCL2$I8$B -J 0 -(1560 160); -DISPLAY 0.659574 (1560 160); -PAINT MONO (1560 160); -DISPLAY INVISIBLE (1560 160); -FORCEPROP 2 LAST CDS_LOCATION C9 -J 0 -(1500 300); -DISPLAY 1.021277 (1500 300); -DISPLAY INVISIBLE (1500 300); -FORCEPROP 2 LAST $SEC 1 -J 0 -(1500 300); -DISPLAY 0.680851 (1500 300); -PAINT MONO (1500 300); -DISPLAY INVISIBLE (1500 300); -FORCEPROP 2 LAST CDS_SEC 1 -J 0 -(1500 300); -DISPLAY 1.021277 (1500 300); -DISPLAY INVISIBLE (1500 300); -FORCEPROP 1 LASTPIN (1350 150) $PN 1 -J 2 -(1350 175); -DISPLAY 0.723404 (1350 175); -DISPLAY INVISIBLE (1350 175); -FORCEPROP 1 LASTPIN (1550 150) $PN 2 -J 0 -(1550 175); -DISPLAY 0.723404 (1550 175); -DISPLAY INVISIBLE (1550 175); -FORCEPROP 1 LAST PATH I8 -J 0 -(1475 250); -DISPLAY 0.723404 (1475 250); -DISPLAY INVISIBLE (1475 250); -FORCEADD CAPCERSMDCL2..1 -(1450 350); -FORCEPROP 1 LAST VALUE 100NF -J 1 -(1450 450); -DISPLAY 0.723404 (1450 450); -FORCEPROP 1 LAST $LOCATION C8 -J 0 -(1425 400); -DISPLAY 0.723404 (1425 400); -FORCEPROP 1 LAST VOLTAGE 16V -J 1 -(1300 450); -DISPLAY 0.723404 (1300 450); -FORCEPROP 1 LAST SIZE 1 -J 0 -(1430 280); -DISPLAY 0.702128 (1430 280); -PAINT WHITE (1430 280); -DISPLAY INVISIBLE (1430 280); -FORCEPROP 2 LAST CDS_LIB cnpassive +(2736 1148); +DISPLAY 0.404255 (2736 1148); +PAINT SKYBLUE (2736 1148); +DISPLAY INVISIBLE (2736 1148); +FORCEPROP 1 LAST USE2 work.all J 0 -(1450 350); -DISPLAY INVISIBLE (1450 350); -FORCEPROP 1 LAST PACK_TYPE 0603 +(2736 1087); +DISPLAY 0.404255 (2736 1087); +PAINT SKYBLUE (2736 1087); +DISPLAY INVISIBLE (2736 1087); +FORCEPROP 1 LAST BLOCK TRUE J 1 -(1450 150); -DISPLAY 0.723404 (1450 150); -DISPLAY INVISIBLE (1450 150); -FORCEPROP 2 LASTPIN (1550 350) SIG_NAME UN$4$CAPCERSMDCL2$I9$B -J 0 -(1560 360); -DISPLAY 0.659574 (1560 360); -PAINT MONO (1560 360); -DISPLAY INVISIBLE (1560 360); -FORCEPROP 2 LAST CDS_LOCATION C8 -J 0 -(1500 500); -DISPLAY 1.021277 (1500 500); -DISPLAY INVISIBLE (1500 500); -FORCEPROP 2 LAST $SEC 1 -J 0 -(1500 500); -DISPLAY 0.680851 (1500 500); -PAINT MONO (1500 500); -DISPLAY INVISIBLE (1500 500); -FORCEPROP 2 LAST CDS_SEC 1 -J 0 -(1500 500); -DISPLAY 1.021277 (1500 500); -DISPLAY INVISIBLE (1500 500); -FORCEPROP 1 LASTPIN (1350 350) $PN 1 -J 2 -(1350 375); -DISPLAY 0.723404 (1350 375); -DISPLAY INVISIBLE (1350 375); -FORCEPROP 1 LASTPIN (1550 350) $PN 2 -J 0 -(1550 375); -DISPLAY 0.723404 (1550 375); -DISPLAY INVISIBLE (1550 375); -FORCEPROP 1 LAST PATH I9 -J 0 -(1475 450); -DISPLAY 0.723404 (1475 450); -DISPLAY INVISIBLE (1475 450); +(1450 1025); +DISPLAY 0.404255 (1450 1025); +PAINT SKYBLUE (1450 1025); +DISPLAY INVISIBLE (1450 1025); +FORCEPROP 1 LASTPIN (-50 500) VHDL_MODE out +J 2 +(-75 493); +DISPLAY 0.404255 (-75 493); +PAINT MONO (-75 493); +DISPLAY INVISIBLE (-75 493); +FORCEPROP 1 LASTPIN (-50 500) VHDL_SCALAR_TYPE std_logic +J 2 +(-75 493); +DISPLAY 0.404255 (-75 493); +PAINT MONO (-75 493); +DISPLAY INVISIBLE (-75 493); +FORCEPROP 1 LASTPIN (-50 900) VHDL_MODE out +J 2 +(-75 892); +DISPLAY 0.404255 (-75 892); +PAINT MONO (-75 892); +DISPLAY INVISIBLE (-75 892); +FORCEPROP 1 LASTPIN (-50 900) VHDL_SCALAR_TYPE std_logic +J 2 +(-75 892); +DISPLAY 0.404255 (-75 892); +PAINT MONO (-75 892); +DISPLAY INVISIBLE (-75 892); +FORCEPROP 1 LASTPIN (-50 250) VHDL_MODE in +J 0 +(-1600 283); +DISPLAY 0.404255 (-1600 283); +PAINT MONO (-1600 283); +DISPLAY INVISIBLE (-1600 283); +FORCEPROP 1 LASTPIN (-50 250) VHDL_SCALAR_TYPE std_logic +J 0 +(-1950 315); +DISPLAY 0.404255 (-1950 315); +PAINT MONO (-1950 315); +DISPLAY INVISIBLE (-1950 315); +FORCEPROP 1 LASTPIN (-50 50) VHDL_MODE in +J 0 +(-1600 83); +DISPLAY 0.404255 (-1600 83); +PAINT MONO (-1600 83); +DISPLAY INVISIBLE (-1600 83); +FORCEPROP 1 LASTPIN (-50 50) VHDL_SCALAR_TYPE std_logic +J 0 +(-1950 115); +DISPLAY 0.404255 (-1950 115); +PAINT MONO (-1950 115); +DISPLAY INVISIBLE (-1950 115); +FORCEPROP 1 LASTPIN (-50 -100) VHDL_MODE in +J 0 +(-1600 -67); +DISPLAY 0.404255 (-1600 -67); +PAINT MONO (-1600 -67); +DISPLAY INVISIBLE (-1600 -67); +FORCEPROP 1 LASTPIN (-50 -100) VHDL_SCALAR_TYPE std_logic +J 0 +(-1950 -35); +DISPLAY 0.404255 (-1950 -35); +PAINT MONO (-1950 -35); +DISPLAY INVISIBLE (-1950 -35); +FORCEPROP 1 LASTPIN (-50 -150) VHDL_MODE in +J 0 +(-1600 -117); +DISPLAY 0.404255 (-1600 -117); +PAINT MONO (-1600 -117); +DISPLAY INVISIBLE (-1600 -117); +FORCEPROP 1 LASTPIN (-50 -150) VHDL_SCALAR_TYPE std_logic +J 0 +(-1950 -85); +DISPLAY 0.404255 (-1950 -85); +PAINT MONO (-1950 -85); +DISPLAY INVISIBLE (-1950 -85); +FORCEPROP 1 LASTPIN (-50 850) VHDL_MODE in +J 2 +(-75 842); +DISPLAY 0.404255 (-75 842); +PAINT MONO (-75 842); +DISPLAY INVISIBLE (-75 842); +FORCEPROP 1 LASTPIN (-50 850) VHDL_SCALAR_TYPE std_logic +J 2 +(-75 842); +DISPLAY 0.404255 (-75 842); +PAINT MONO (-75 842); +DISPLAY INVISIBLE (-75 842); +FORCEPROP 1 LASTPIN (-50 350) VHDL_MODE in +J 0 +(-1600 383); +DISPLAY 0.404255 (-1600 383); +PAINT MONO (-1600 383); +DISPLAY INVISIBLE (-1600 383); +FORCEPROP 1 LASTPIN (-50 350) VHDL_SCALAR_TYPE std_logic +J 0 +(-1950 415); +DISPLAY 0.404255 (-1950 415); +PAINT MONO (-1950 415); +DISPLAY INVISIBLE (-1950 415); +FORCEPROP 1 LASTPIN (-50 150) VHDL_MODE in +J 0 +(-1600 182); +DISPLAY 0.404255 (-1600 182); +PAINT MONO (-1600 182); +DISPLAY INVISIBLE (-1600 182); +FORCEPROP 1 LASTPIN (-50 150) VHDL_SCALAR_TYPE std_logic +J 0 +(-1950 214); +DISPLAY 0.404255 (-1950 214); +PAINT MONO (-1950 214); +DISPLAY INVISIBLE (-1950 214); +FORCEPROP 1 LASTPIN (-50 -50) VHDL_MODE in +J 2 +(-75 -57); +DISPLAY 0.404255 (-75 -57); +PAINT MONO (-75 -57); +DISPLAY INVISIBLE (-75 -57); +FORCEPROP 1 LASTPIN (-50 -50) VHDL_SCALAR_TYPE std_logic +J 2 +(-75 -57); +DISPLAY 0.404255 (-75 -57); +PAINT MONO (-75 -57); +DISPLAY INVISIBLE (-75 -57); +FORCEPROP 1 LASTPIN (-50 950) VHDL_MODE in +J 0 +(-1600 982); +DISPLAY 0.404255 (-1600 982); +PAINT MONO (-1600 982); +DISPLAY INVISIBLE (-1600 982); +FORCEPROP 1 LASTPIN (-50 950) VHDL_SCALAR_TYPE std_logic +J 0 +(-1950 1014); +DISPLAY 0.404255 (-1950 1014); +PAINT MONO (-1950 1014); +DISPLAY INVISIBLE (-1950 1014); +FORCEPROP 1 LASTPIN (-50 750) VHDL_MODE in +J 0 +(-1600 782); +DISPLAY 0.404255 (-1600 782); +PAINT MONO (-1600 782); +DISPLAY INVISIBLE (-1600 782); +FORCEPROP 1 LASTPIN (-50 750) VHDL_SCALAR_TYPE std_logic +J 0 +(-1950 814); +DISPLAY 0.404255 (-1950 814); +PAINT MONO (-1950 814); +DISPLAY INVISIBLE (-1950 814); +FORCEPROP 1 LASTPIN (-50 550) VHDL_MODE in +J 0 +(-1600 583); +DISPLAY 0.404255 (-1600 583); +PAINT MONO (-1600 583); +DISPLAY INVISIBLE (-1600 583); +FORCEPROP 1 LASTPIN (-50 550) VHDL_SCALAR_TYPE std_logic +J 0 +(-1950 615); +DISPLAY 0.404255 (-1950 615); +PAINT MONO (-1950 615); +DISPLAY INVISIBLE (-1950 615); +FORCEPROP 1 LASTPIN (-50 -400) VHDL_MODE in +J 0 +(-1600 -367); +DISPLAY 0.404255 (-1600 -367); +PAINT MONO (-1600 -367); +DISPLAY INVISIBLE (-1600 -367); +FORCEPROP 1 LASTPIN (-50 -400) VHDL_SCALAR_TYPE std_logic +J 0 +(-1950 -335); +DISPLAY 0.404255 (-1950 -335); +PAINT MONO (-1950 -335); +DISPLAY INVISIBLE (-1950 -335); +FORCEPROP 1 LASTPIN (-50 650) VHDL_MODE in +J 0 +(-1600 681); +DISPLAY 0.404255 (-1600 681); +PAINT MONO (-1600 681); +DISPLAY INVISIBLE (-1600 681); +FORCEPROP 1 LASTPIN (-50 650) VHDL_SCALAR_TYPE std_logic +J 0 +(-1950 714); +DISPLAY 0.404255 (-1950 714); +PAINT MONO (-1950 714); +DISPLAY INVISIBLE (-1950 714); +FORCEPROP 1 LASTPIN (-50 450) VHDL_MODE in +J 0 +(-1600 482); +DISPLAY 0.404255 (-1600 482); +PAINT MONO (-1600 482); +DISPLAY INVISIBLE (-1600 482); +FORCEPROP 1 LASTPIN (-50 450) VHDL_SCALAR_TYPE std_logic +J 0 +(-1950 514); +DISPLAY 0.404255 (-1950 514); +PAINT MONO (-1950 514); +DISPLAY INVISIBLE (-1950 514); +FORCEPROP 1 LASTPIN (-50 300) VHDL_MODE out +J 2 +(-75 292); +DISPLAY 0.404255 (-75 292); +PAINT MONO (-75 292); +DISPLAY INVISIBLE (-75 292); +FORCEPROP 1 LASTPIN (-50 300) VHDL_SCALAR_TYPE std_logic +J 2 +(-75 292); +DISPLAY 0.404255 (-75 292); +PAINT MONO (-75 292); +DISPLAY INVISIBLE (-75 292); +FORCEPROP 1 LASTPIN (-50 100) VHDL_MODE out +J 2 +(-75 92); +DISPLAY 0.404255 (-75 92); +PAINT MONO (-75 92); +DISPLAY INVISIBLE (-75 92); +FORCEPROP 1 LASTPIN (-50 100) VHDL_SCALAR_TYPE std_logic +J 2 +(-75 92); +DISPLAY 0.404255 (-75 92); +PAINT MONO (-75 92); +DISPLAY INVISIBLE (-75 92); +FORCEPROP 1 LASTPIN (-50 -250) VHDL_MODE out +J 2 +(-75 -258); +DISPLAY 0.404255 (-75 -258); +PAINT MONO (-75 -258); +DISPLAY INVISIBLE (-75 -258); +FORCEPROP 1 LASTPIN (-50 -250) VHDL_SCALAR_TYPE std_logic +J 2 +(-75 -258); +DISPLAY 0.404255 (-75 -258); +PAINT MONO (-75 -258); +DISPLAY INVISIBLE (-75 -258); +FORCEPROP 1 LASTPIN (-50 -300) VHDL_MODE out +J 2 +(-75 -308); +DISPLAY 0.404255 (-75 -308); +PAINT MONO (-75 -308); +DISPLAY INVISIBLE (-75 -308); +FORCEPROP 1 LASTPIN (-50 -300) VHDL_SCALAR_TYPE std_logic +J 2 +(-75 -308); +DISPLAY 0.404255 (-75 -308); +PAINT MONO (-75 -308); +DISPLAY INVISIBLE (-75 -308); +FORCEPROP 1 LASTPIN (-50 700) VHDL_MODE out +J 2 +(-75 691); +DISPLAY 0.404255 (-75 691); +PAINT MONO (-75 691); +DISPLAY INVISIBLE (-75 691); +FORCEPROP 1 LASTPIN (-50 700) VHDL_SCALAR_TYPE std_logic +J 2 +(-75 691); +DISPLAY 0.404255 (-75 691); +PAINT MONO (-75 691); +DISPLAY INVISIBLE (-75 691); FORCEADD A3-2000..1 (1500 350); -FORCEPROP 0 LAST CDS_CON_LAST_MODIFIED Fri Apr 22 09:05:07 2016 +FORCEPROP 1 LAST CUSTOM_TXT_CDS <CON_LAST_MODIFIED> J 0 (3250 -2450); -DISPLAY INVISIBLE (3250 -2450); -FORCEPROP 1 LAST CUSTOM_TXT_CDS <CON_LAST_MODIFIED> +FORCEPROP 0 LAST CDS_CON_LAST_MODIFIED Fri May 20 14:32:56 2016 J 0 (3250 -2450); +DISPLAY INVISIBLE (3250 -2450); FORCEPROP 1 LAST CUSTOM_TXT_CDS OVERALL PAGE: <CURRENT_DESIGN_SHEET> OF <TOTAL_DESIGN_SHEETS> J 0 (4875 -3850); @@ -3607,451 +334,134 @@ J 0 DISPLAY 0.553191 (6300 -5625); PAINT AQUA (6300 -5625); DISPLAY INVISIBLE (6300 -5625); -WIRE 16 -1 (800 1000)(800 1100); -WIRE 16 -1 (850 -1800)(800 -1800); -WIRE 16 -1 (800 -1800)(800 -2000); -WIRE 16 -1 (4350 1000)(4350 1100); -WIRE 16 -1 (4400 -1800)(4350 -1800); -WIRE 16 -1 (4350 -1800)(4350 -2000); -WIRE 16 -1 (350 1050)(350 900); -WIRE 16 -1 (3900 1050)(3900 900); -WIRE 16 -1 (2000 1050)(2000 1000); -WIRE 16 -1 (1800 1050)(1800 1100); -WIRE 16 -1 (5300 1050)(5300 1100); -WIRE 16 -1 (5500 1050)(5500 1000); -WIRE 16 -1 (3550 -1700)(4400 -1700); -FORCEPROP 2 LAST SIG_NAME CTRIG2* -J 0 -(3940 -1690); -DISPLAY 1.021277 (3940 -1690); -WIRE 16 -1 (3550 -100)(3550 -1700); -WIRE 16 -1 (3500 -100)(3550 -100); -WIRE 16 -1 (3250 -100)(3200 -100); -WIRE 16 -1 (3200 -100)(3200 -1900); -WIRE 16 -1 (4400 -1900)(3200 -1900); -FORCEPROP 2 LAST SIG_NAME CTRIG2 -J 0 -(3940 -1890); -DISPLAY 1.021277 (3940 -1890); -WIRE 16 -1 (3250 200)(2900 200); -WIRE 16 -1 (2900 200)(2900 -650); -WIRE 16 -1 (2900 -650)(4400 -650); -FORCEPROP 2 LAST SIG_NAME CLK2 -J 0 -(4040 -640); -DISPLAY 1.021277 (4040 -640); -WIRE 16 -1 (3250 100)(3050 100); -WIRE 16 -1 (3050 100)(3050 -950); -WIRE 16 -1 (4400 -950)(3050 -950); -FORCEPROP 2 LAST SIG_NAME BUSY2 -J 0 -(4040 -940); -DISPLAY 1.021277 (4040 -940); -WIRE 16 -1 (3700 250)(3700 400); -WIRE 16 -1 (3700 100)(3700 250); -WIRE 16 -1 (3500 250)(3700 250); -WIRE 16 -1 (3700 400)(2650 400); -WIRE 16 -1 (2650 400)(2650 150); -WIRE 16 -1 (3500 100)(3700 100); -WIRE 16 -1 (2650 150)(3250 150); -WIRE 16 -1 (2650 150)(2650 0); -WIRE 16 -1 (2650 0)(3250 0); -WIRE 16 -1 (2650 0)(2650 -150); -WIRE 16 -1 (2650 -150)(3250 -150); -WIRE 16 -1 (2650 -150)(2650 -250); -WIRE 16 -1 (550 -3200)(1450 -3200); -FORCEPROP 2 LAST SIG_NAME DUT_CLK0* -J 0 -(890 -3190); -DISPLAY 1.021277 (890 -3190); -WIRE 16 -1 (550 -3000)(550 -3200); -WIRE 16 -1 (300 -3000)(550 -3000); -WIRE 16 -1 (650 -3100)(1450 -3100); -FORCEPROP 2 LAST SIG_NAME DUT_CLK0 -J 0 -(890 -3090); -DISPLAY 1.021277 (890 -3090); -WIRE 16 -1 (650 -2950)(650 -3100); -WIRE 16 -1 (300 -2950)(650 -2950); -WIRE 16 -1 (750 -3000)(1450 -3000); -FORCEPROP 2 LAST SIG_NAME BUSY0* -J 0 -(890 -2990); -DISPLAY 1.021277 (890 -2990); -WIRE 16 -1 (750 -2900)(750 -3000); -WIRE 16 -1 (300 -2900)(750 -2900); -WIRE 16 -1 (850 -2900)(1450 -2900); -FORCEPROP 2 LAST SIG_NAME CONT0* -J 0 -(890 -2890); -DISPLAY 1.021277 (890 -2890); -WIRE 16 -1 (850 -2850)(850 -2900); -WIRE 16 -1 (300 -2850)(850 -2850); -WIRE 16 -1 (650 -2500)(1450 -2500); -FORCEPROP 2 LAST SIG_NAME TRIG0 -J 0 -(890 -2490); -DISPLAY 1.021277 (890 -2490); -WIRE 16 -1 (650 -2650)(650 -2500); -WIRE 16 -1 (300 -2650)(650 -2650); -WIRE 16 -1 (1550 150)(1650 150); -WIRE 16 -1 (1550 350)(1650 350); -WIRE 16 -1 (1550 750)(2300 750); -FORCEPROP 2 LAST SIG_NAME HDMI_POWER_ENABLE1 -J 0 -(1740 760); -DISPLAY 1.021277 (1740 760); -WIRE 16 -1 (5100 750)(5850 750); -FORCEPROP 2 LAST SIG_NAME HDMI_POWER_ENABLE2 -J 0 -(5290 760); -DISPLAY 1.021277 (5290 760); -WIRE 16 -1 (5100 350)(5200 350); -WIRE 16 -1 (5100 150)(5200 150); -WIRE 16 -1 (4800 150)(4900 150); -WIRE 16 -1 (4800 150)(4800 350); -WIRE 16 -1 (4900 350)(4800 350); -WIRE 16 -1 (4800 350)(4150 350); -FORCEPROP 2 LAST SIG_NAME GND_HDMI2 -J 0 -(4140 360); -DISPLAY 1.021277 (4140 360); -WIRE 16 -1 (4350 800)(4350 750); -WIRE 16 -1 (4350 750)(4850 750); -WIRE 16 -1 (4000 750)(4350 750); -WIRE 16 -1 (100 -3400)(200 -3400); -WIRE 16 -1 (100 -3600)(200 -3600); -WIRE 16 -1 (1250 150)(1350 150); -WIRE 16 -1 (1250 150)(1250 350); -WIRE 16 -1 (1350 350)(1250 350); -WIRE 16 -1 (1250 350)(600 350); -FORCEPROP 2 LAST SIG_NAME GND_HDMI1 -J 0 -(590 360); -DISPLAY 1.021277 (590 360); -WIRE 16 -1 (1800 -1700)(1350 -1700); -FORCEPROP 2 LAST SIG_NAME TRIG1* -J 0 -(1540 -1690); -DISPLAY 1.021277 (1540 -1690); -WIRE 16 -1 (1350 -1900)(1800 -1900); -FORCEPROP 2 LAST SIG_NAME TRIG1 -J 0 -(1590 -1890); -DISPLAY 1.021277 (1590 -1890); -WIRE 16 -1 (4900 -1900)(5350 -1900); -FORCEPROP 2 LAST SIG_NAME TRIG2 -J 0 -(5090 -1890); -DISPLAY 1.021277 (5090 -1890); -WIRE 16 -1 (5300 -1700)(4900 -1700); -FORCEPROP 2 LAST SIG_NAME TRIG2* -J 0 -(5090 -1690); -DISPLAY 1.021277 (5090 -1690); -WIRE 16 -1 (-300 250)(-850 250); -WIRE 16 -1 (-850 250)(-850 -700); -WIRE 16 -1 (-900 -700)(-850 -700); -WIRE 16 -1 (-850 -700)(-850 -800); -WIRE 16 -1 (950 -800)(-850 -800); -FORCEPROP 2 LAST SIG_NAME CLK1* -J 0 -(590 -790); -DISPLAY 1.021277 (590 -790); -WIRE 16 -1 (-300 200)(-800 200); -WIRE 16 -1 (-800 200)(-800 -600); -WIRE 16 -1 (-800 -600)(-800 -700); -WIRE 16 -1 (-900 -600)(-800 -600); -WIRE 16 -1 (-800 -700)(950 -700); -FORCEPROP 2 LAST SIG_NAME CLK1 -J 0 -(590 -690); -DISPLAY 1.021277 (590 -690); -WIRE 16 -1 (-300 100)(-500 100); -WIRE 16 -1 (-500 100)(-500 -400); -WIRE 16 -1 (-500 -400)(-500 -1000); -WIRE 16 -1 (-550 -400)(-500 -400); -WIRE 16 -1 (950 -1000)(-500 -1000); -FORCEPROP 2 LAST SIG_NAME BUSY1 -J 0 -(590 -990); -DISPLAY 1.021277 (590 -990); -WIRE 16 -1 (-300 50)(-450 50); -WIRE 16 -1 (-450 50)(-450 -500); -WIRE 16 -1 (-450 -500)(-450 -900); -WIRE 16 -1 (-550 -500)(-450 -500); -WIRE 16 -1 (950 -900)(-450 -900); -FORCEPROP 2 LAST SIG_NAME BUSY1* -J 0 -(590 -890); -DISPLAY 1.021277 (590 -890); -WIRE 16 -1 (-300 -100)(-350 -100); -WIRE 16 -1 (-350 -100)(-350 -1250); -WIRE 16 -1 (-300 -1250)(-350 -1250); -WIRE 16 -1 (-350 -1250)(-350 -1900); -WIRE 16 -1 (-350 -1900)(850 -1900); -FORCEPROP 2 LAST SIG_NAME CTRIG1 -J 0 -(240 -1890); -DISPLAY 1.021277 (240 -1890); -WIRE 16 -1 (-50 200)(250 200); -WIRE 16 -1 (250 -150)(250 200); -WIRE 16 -1 (300 -150)(250 -150); -WIRE 16 -1 (250 -1100)(250 -150); -WIRE 16 -1 (250 -1100)(950 -1100); -FORCEPROP 2 LAST SIG_NAME CONT1 -J 0 -(490 -1090); -DISPLAY 1.021277 (490 -1090); -WIRE 16 -1 (-50 150)(200 150); -WIRE 16 -1 (200 -250)(200 150); -WIRE 16 -1 (200 -250)(300 -250); -WIRE 16 -1 (200 -1200)(200 -250); -WIRE 16 -1 (200 -1200)(950 -1200); -FORCEPROP 2 LAST SIG_NAME CONT1* -J 0 -(490 -1190); -DISPLAY 1.021277 (490 -1190); -WIRE 16 -1 (-50 50)(550 50); -WIRE 16 -1 (550 -150)(550 50); -WIRE 16 -1 (550 -150)(650 -150); -WIRE 16 -1 (550 -500)(550 -150); -WIRE 16 -1 (550 -500)(950 -500); -FORCEPROP 2 LAST SIG_NAME SPARE1 -J 0 -(590 -490); -DISPLAY 1.021277 (590 -490); -WIRE 16 -1 (-50 0)(500 0); -WIRE 16 -1 (500 0)(500 -250); -WIRE 16 -1 (650 -250)(500 -250); -WIRE 16 -1 (500 -250)(500 -600); -FORCEPROP 2 LAST SIG_NAME SPARE1* -J 0 -(590 -590); -DISPLAY 1.021277 (590 -590); -WIRE 16 -1 (500 -600)(950 -600); -WIRE 16 -1 (-50 -50)(350 -50); -WIRE 16 -1 (350 -50)(350 700); -WIRE 16 -1 (-50 -100)(0 -100); -WIRE 16 -1 (0 -1250)(0 -100); -WIRE 16 -1 (0 -1250)(0 -1700); -WIRE 16 -1 (-50 -1250)(0 -1250); -WIRE 16 -1 (850 -1700)(0 -1700); -FORCEPROP 2 LAST SIG_NAME CTRIG1* -J 0 -(240 -1690); -DISPLAY 1.021277 (240 -1690); -WIRE 16 -1 (3250 250)(2850 250); -WIRE 16 -1 (2850 250)(2850 -750); -WIRE 16 -1 (4400 -750)(2850 -750); -FORCEPROP 2 LAST SIG_NAME CLK2* -J 0 -(4040 -740); -DISPLAY 1.021277 (4040 -740); -WIRE 16 -1 (3250 50)(3100 50); -WIRE 16 -1 (3100 50)(3100 -850); -WIRE 16 -1 (4400 -850)(3100 -850); -FORCEPROP 2 LAST SIG_NAME BUSY2* -J 0 -(4040 -840); -DISPLAY 1.021277 (4040 -840); -WIRE 16 -1 (3500 200)(3800 200); -WIRE 16 -1 (3800 -1050)(3800 200); -WIRE 16 -1 (3800 -1050)(4400 -1050); -FORCEPROP 2 LAST SIG_NAME CONT2 -J 0 -(4040 -1040); -DISPLAY 1.021277 (4040 -1040); -WIRE 16 -1 (3500 150)(3750 150); -WIRE 16 -1 (3750 -1150)(3750 150); -WIRE 16 -1 (3750 -1150)(4400 -1150); -FORCEPROP 2 LAST SIG_NAME CONT2* -J 0 -(4040 -1140); -DISPLAY 1.021277 (4040 -1140); -WIRE 16 -1 (3500 50)(4000 50); -WIRE 16 -1 (4000 50)(4000 -450); -WIRE 16 -1 (4000 -450)(4400 -450); -FORCEPROP 2 LAST SIG_NAME SPARE2 -J 0 -(4040 -440); -DISPLAY 1.021277 (4040 -440); -WIRE 16 -1 (3500 0)(3950 0); -WIRE 16 -1 (3950 0)(3950 -550); -FORCEPROP 2 LAST SIG_NAME SPARE2* -J 0 -(4040 -540); -DISPLAY 1.021277 (4040 -540); -WIRE 16 -1 (3950 -550)(4400 -550); -WIRE 16 -1 (3500 -50)(3900 -50); -WIRE 16 -1 (3900 -50)(3900 700); -WIRE 16 -1 (800 750)(1300 750); -WIRE 16 -1 (800 800)(800 750); -WIRE 16 -1 (450 750)(800 750); -WIRE 16 -1 (300 -2700)(750 -2700); -WIRE 16 -1 (750 -2700)(750 -2600); -WIRE 16 -1 (750 -2600)(1450 -2600); -FORCEPROP 2 LAST SIG_NAME TRIG0* -J 0 -(890 -2590); -DISPLAY 1.021277 (890 -2590); -WIRE 16 -1 (300 -2750)(850 -2750); -WIRE 16 -1 (850 -2750)(850 -2700); -WIRE 16 -1 (850 -2700)(1450 -2700); -FORCEPROP 2 LAST SIG_NAME BUSY0 -J 0 -(890 -2690); -DISPLAY 1.021277 (890 -2690); -WIRE 16 -1 (300 -2800)(1450 -2800); -FORCEPROP 2 LAST SIG_NAME CONT0 -J 0 -(890 -2790); -DISPLAY 1.021277 (890 -2790); -WIRE 16 -1 (-750 -1200)(-750 -1100); -WIRE 16 -1 (-850 -1200)(-750 -1200); -WIRE 16 -1 (-900 -1200)(-850 -1200); -WIRE 16 -1 (-900 -1100)(-900 -1200); -WIRE 16 -1 (-200 -3600)(-200 -3400); -WIRE 16 -1 (-200 -3600)(-100 -3600); -WIRE 16 -1 (-100 -3400)(-200 -3400); -WIRE 16 -1 (-200 -3400)(-400 -3400); -WIRE 16 -1 (-400 -3400)(-400 -2850); -WIRE 16 -1 (-400 -3500)(-400 -3400); -WIRE 16 -1 (-400 -2850)(50 -2850); -WIRE 16 -1 (5050 -1800)(4900 -1800); -WIRE 16 -1 (5050 -1600)(5050 -1800); -WIRE 16 -1 (5400 350)(5500 350); -WIRE 16 -1 (5500 350)(5500 250); -WIRE 16 -1 (5500 250)(5600 250); -WIRE 16 -1 (5500 250)(5500 150); -WIRE 16 -1 (5500 150)(5400 150); -WIRE 16 -1 (5600 250)(5600 200); -WIRE 16 -1 (1500 -1800)(1350 -1800); -WIRE 16 -1 (1500 -1600)(1500 -1800); -WIRE 16 -1 (-50 100)(150 100); -WIRE 16 -1 (150 100)(150 250); -WIRE 16 -1 (150 250)(150 400); -WIRE 16 -1 (-50 250)(150 250); -WIRE 16 -1 (150 400)(-950 400); -WIRE 16 -1 (-950 400)(-950 150); -WIRE 16 -1 (-950 150)(-300 150); -WIRE 16 -1 (-950 150)(-950 0); -WIRE 16 -1 (-950 0)(-300 0); -WIRE 16 -1 (-950 0)(-950 -150); -WIRE 16 -1 (-300 -150)(-950 -150); -WIRE 16 -1 (-950 -150)(-950 -250); -WIRE 16 -1 (400 -3400)(500 -3400); -WIRE 16 -1 (500 -3400)(500 -3500); -WIRE 16 -1 (500 -3500)(600 -3500); -WIRE 16 -1 (500 -3500)(500 -3600); -WIRE 16 -1 (500 -3600)(400 -3600); -WIRE 16 -1 (600 -3500)(600 -3550); -WIRE 16 -1 (1850 350)(1950 350); -WIRE 16 -1 (1950 350)(1950 250); -WIRE 16 -1 (1950 250)(2050 250); -WIRE 16 -1 (1950 250)(1950 150); -WIRE 16 -1 (1950 150)(1850 150); -WIRE 16 -1 (2050 250)(2050 200); -DOT 1 (-450 -500); -DOT 1 (-500 -400); -DOT 1 (-950 150); -DOT 1 (-950 0); -DOT 1 (-950 -150); -DOT 1 (-800 -600); -DOT 1 (-850 -700); -DOT 1 (0 -1250); -DOT 1 (-350 -1250); -DOT 1 (500 -250); -DOT 1 (550 -150); -DOT 1 (200 -250); -DOT 1 (250 -150); -DOT 1 (-400 -3400); -DOT 1 (-200 -3400); -DOT 1 (500 -3500); -DOT 1 (2650 0); -DOT 1 (2650 -150); -DOT 1 (2650 150); -DOT 1 (3700 250); -DOT 1 (4350 750); -DOT 1 (4800 350); -DOT 1 (5500 250); -DOT 1 (1950 250); -DOT 1 (1250 350); -DOT 1 (800 750); -DOT 1 (150 250); -FORCENOTE -WARNING - -(-1350 -2225) 0; -DISPLAY LEFT (-1350 -2225); -DISPLAY 2.510638 (-1350 -2225); -FORCENOTE -FIX IN LATER DESIGNS -(-1375 -2450) 0; -DISPLAY LEFT (-1375 -2450); -DISPLAY 1.021277 (-1375 -2450); +WIRE 16 -1 (-50 950)(-1250 950); +FORCEPROP 2 LAST SIG_NAME ENABLE_CONT_FROM_FPGA<0> +J 0 +(-1275 960); +DISPLAY 1.021277 (-1275 960); +WIRE 16 -1 (-50 900)(-1250 900); +FORCEPROP 2 LAST SIG_NAME CONT_TO_FPGA<0> +J 0 +(-1275 910); +DISPLAY 1.021277 (-1275 910); +WIRE 16 -1 (-50 850)(-1250 850); +FORCEPROP 2 LAST SIG_NAME CONT_FROM_FPGA<0> +J 0 +(-1275 860); +DISPLAY 1.021277 (-1275 860); +WIRE 16 -1 (-50 750)(-1250 750); +FORCEPROP 2 LAST SIG_NAME ENABLE_SPARE_FROM_FPGA<0> +J 0 +(-1275 760); +DISPLAY 1.021277 (-1275 760); +WIRE 16 -1 (-50 650)(-1250 650); +FORCEPROP 2 LAST SIG_NAME SPARE_FROM_FPGA<0> +J 0 +(-1275 660); +DISPLAY 1.021277 (-1275 660); +WIRE 16 -1 (-50 -300)(-1250 -300); +FORCEPROP 2 LAST SIG_NAME HDMI_CLK*<0> +J 0 +(-1275 -290); +DISPLAY 1.021277 (-1275 -290); +WIRE 16 -1 (-50 -250)(-1250 -250); +FORCEPROP 2 LAST SIG_NAME HDMI_CLK<0> +J 0 +(-1275 -240); +DISPLAY 1.021277 (-1275 -240); +WIRE 16 -1 (-50 -400)(-1250 -400); +FORCEPROP 2 LAST SIG_NAME HDMI_POWER_ENABLE<0> +J 0 +(-1275 -390); +DISPLAY 1.021277 (-1275 -390); +WIRE 16 -1 (-50 -50)(-1250 -50); +FORCEPROP 2 LAST SIG_NAME ENABLE_CLK_TO_DUT<0> +J 0 +(-1275 -40); +DISPLAY 1.021277 (-1275 -40); +WIRE 16 -1 (-50 -150)(-1250 -150); +FORCEPROP 2 LAST SIG_NAME CLK_TO_DUT*<0> +J 0 +(-1275 -140); +DISPLAY 1.021277 (-1275 -140); +WIRE 16 -1 (-50 -100)(-1250 -100); +FORCEPROP 2 LAST SIG_NAME CLK_TO_DUT<0> +J 0 +(-1275 -90); +DISPLAY 1.021277 (-1275 -90); +WIRE 16 -1 (-50 700)(-1250 700); +FORCEPROP 2 LAST SIG_NAME SPARE_TO_FPGA<0> +J 0 +(-1275 710); +DISPLAY 1.021277 (-1275 710); +WIRE 16 -1 (-50 100)(-1250 100); +FORCEPROP 2 LAST SIG_NAME CLK_TO_FPGA<0> +J 0 +(-1275 110); +DISPLAY 1.021277 (-1275 110); +WIRE 16 -1 (-50 300)(-1250 300); +FORCEPROP 2 LAST SIG_NAME BUSY_TO_FPGA<0> +J 0 +(-1275 310); +DISPLAY 1.021277 (-1275 310); +WIRE 16 -1 (-50 450)(-1250 450); +FORCEPROP 2 LAST SIG_NAME TRIG_FROM_FPGA<0> +J 0 +(-1275 460); +DISPLAY 1.021277 (-1275 460); +WIRE 16 -1 (-50 550)(-1250 550); +FORCEPROP 2 LAST SIG_NAME ENABLE_TRIG_FROM_FPGA<0> +J 0 +(-1275 560); +DISPLAY 1.021277 (-1275 560); +WIRE 16 -1 (-50 150)(-1250 150); +FORCEPROP 2 LAST SIG_NAME ENABLE_CLK_FROM_FPGA<0> +J 0 +(-1275 160); +DISPLAY 1.021277 (-1275 160); +WIRE 16 -1 (-50 350)(-1250 350); +FORCEPROP 2 LAST SIG_NAME ENABLE_BUSY_FROM_FPGA<0> +J 0 +(-1275 360); +DISPLAY 1.021277 (-1275 360); +WIRE 16 -1 (-50 50)(-1250 50); +FORCEPROP 2 LAST SIG_NAME CLK_FROM_FPGA<0> +J 0 +(-1275 60); +DISPLAY 1.021277 (-1275 60); +WIRE 16 -1 (-50 250)(-1250 250); +FORCEPROP 2 LAST SIG_NAME BUSY_FROM_FPGA<0> +J 0 +(-1275 260); +DISPLAY 1.021277 (-1275 260); +WIRE 16 -1 (-50 500)(-1250 500); +FORCEPROP 2 LAST SIG_NAME TRIG_TO_FPGA<0> +J 0 +(-1275 510); +DISPLAY 1.021277 (-1275 510); FORCENOTE -ON HDMI CONNECTOR -(-1375 -2375) 0; -DISPLAY LEFT (-1375 -2375); -DISPLAY 1.021277 (-1375 -2375); -FORCENOTE -CLK- / CLK+ SWAPPED -(-1375 -2300) 0; -DISPLAY LEFT (-1375 -2300); -DISPLAY 1.021277 (-1375 -2300); -FORCENOTE -PLACE DECOUPLING FOR -(2500 1150) 0; -DISPLAY LEFT (2500 1150); -DISPLAY 1.021277 (2500 1150); -FORCENOTE -2V5 NEAR '1G07 BUFFERS -(2500 1100) 0; -DISPLAY LEFT (2500 1100); -DISPLAY 1.021277 (2500 1100); -FORCENOTE -USE RJ45 CONNECTOR SLIM ENOUGH FOR FMC -(-50 -2300) 0; -DISPLAY LEFT (-50 -2300); -DISPLAY 1.021277 (-50 -2300); +LICENSED UNDER THE TAPR OPEN HARDWARE LICENSE (WWW.TAPR.ORG/OHL) +(4100 -3600) 0; +DISPLAY LEFT (4100 -3600); +DISPLAY 0.808511 (4100 -3600); FORCENOTE 11 (6300 -2850) 0; DISPLAY LEFT (6300 -2850); DISPLAY 1.021277 (6300 -2850); FORCENOTE -FRONT PANEL CONNECTORS +FRONT PANEL DUT CONNECTORS (4950 -3250) 0; DISPLAY LEFT (4950 -3250); DISPLAY 1.276596 (4950 -3250); FORCENOTE -2 X MINI-HDMI , 1 X RJ45 -(4950 -3450) 0; -DISPLAY LEFT (4950 -3450); -DISPLAY 1.276596 (4950 -3450); -FORCENOTE -HDMI CONNECTOR -(-1450 -1650) 0; -DISPLAY LEFT (-1450 -1650); -DISPLAY 1.021277 (-1450 -1650); -FORCENOTE -PUT TEST-POINTS ON ONE -(-1450 -1600) 0; -DISPLAY LEFT (-1450 -1600); -DISPLAY 1.021277 (-1450 -1600); -FORCENOTE -LICENSED UNDER THE TAPR OPEN HARDWARE LICENSE (WWW.TAPR.ORG/OHL) -(4100 -3600) 0; -DISPLAY LEFT (4100 -3600); -DISPLAY 0.808511 (4100 -3600); -FORCENOTE -USE A BSH103 INSTEAD -(-950 850) 0; -DISPLAY LEFT (-950 850); -DISPLAY 1.021277 (-950 850); +3 X HDMI ( DUT ONLY) +(4850 -3375) 0; +DISPLAY LEFT (4850 -3375); +DISPLAY 1.276596 (4850 -3375); FORCENOTE -OF A FDV301 -(-950 800) 0; -DISPLAY LEFT (-950 800); -DISPLAY 1.021277 (-950 800); +1 X HDMI ( DUT OR UPLINK ) +(4850 -3475) 0; +DISPLAY LEFT (4850 -3475); +DISPLAY 1.276596 (4850 -3475); QUIT diff --git a/circuit_board/Cadence/worklib/fmc_tlu_toplevel_c/sch_1/page2.csb b/circuit_board/Cadence/worklib/fmc_tlu_toplevel_c/sch_1/page2.csb index 2d101d872c9e3544043b78c4effad0b69cfcc181..ee9f1373275359112983246f7d3046158638d47b 100644 Binary files a/circuit_board/Cadence/worklib/fmc_tlu_toplevel_c/sch_1/page2.csb and b/circuit_board/Cadence/worklib/fmc_tlu_toplevel_c/sch_1/page2.csb differ diff --git a/circuit_board/Cadence/worklib/fmc_tlu_toplevel_c/sch_1/page2.csv b/circuit_board/Cadence/worklib/fmc_tlu_toplevel_c/sch_1/page2.csv index 15027ea07e3fc617418041be0fcf246afcf99736..20b771fda87e6142fbe48e0186f82102296f740f 100755 --- a/circuit_board/Cadence/worklib/fmc_tlu_toplevel_c/sch_1/page2.csv +++ b/circuit_board/Cadence/worklib/fmc_tlu_toplevel_c/sch_1/page2.csv @@ -2,955 +2,97 @@ FILE_TYPE = CONNECTIVITY; {Allegro Design Entry HDL 16.6-S055 (v16-6-112EP) 8/13/2015} "PAGE_NUMBER" = 4; 0"NC"; -1"P5V\g"; -2"GND_SIGNAL\g"; -3"P5V\g"; -4"GND_SIGNAL\g"; -5"P3V3\g"; -6"P3V3\g"; -7"GND_SIGNAL\g"; -8"P2V5\g"; -9"P2V5\g"; -10"GND_SIGNAL\g"; -11"CTRIG2*"; -12"CTRIG2"; -13"CLK2"; -14"BUSY2"; -15"GND_SIGNAL\g"; -16"DUT_CLK0*"; -17"DUT_CLK0"; -18"BUSY0*"; -19"CONT0*"; -20"TRIG0"; -21"UN$4$CAPCERSMDCL2$I8$B"; -22"UN$4$CAPCERSMDCL2$I9$B"; -23"HDMI_POWER_ENABLE1"; -24"HDMI_POWER_ENABLE2"; -25"UN$4$CAPCERSMDCL2$I41$B"; -26"UN$4$CAPCERSMDCL2$I42$B"; -27"GND_HDMI2"; -28"UN$4$74LVC1G07$I40$Y"; -29"UN$4$CAPCERSMDCL2$I19$B"; -30"UN$4$CAPCERSMDCL2$I22$B"; -31"GND_HDMI1"; -32"TRIG1*"; -33"TRIG1"; -34"TRIG2"; -35"TRIG2*"; -36"CLK1*"; -37"CLK1"; -38"BUSY1"; -39"BUSY1*"; -40"CTRIG1"; -41"CONT1"; -42"CONT1*"; -43"SPARE1"; -44"SPARE1*"; -45"UN$4$CON19P$I2$A"; -46"CTRIG1*"; -47"CLK2*"; -48"BUSY2*"; -49"CONT2"; -50"CONT2*"; -51"SPARE2"; -52"SPARE2*"; -53"UN$4$CON19P$I3$A"; -54"UN$4$74LVC1G07$I28$Y"; -55"TRIG0*"; -56"BUSY0"; -57"CONT0"; -58"GND_SIGNAL\g"; -59"FRAME\g"; -60"P2V5\g"; -61"GND_SIGNAL\g"; -62"P2V5\g"; -63"GND_SIGNAL\g"; -64"GND_SIGNAL\g"; -65"GND_SIGNAL\g"; -%"RSMD0603" -"2","(1750,350)","0","cnpassive","I10"; -; -VALUE"51" -PACK_TYPE"1/10W" -$LOCATION"R11" -DIST"FLAT" -MAX_TEMP"RTMAX" -NEGTOL"RTOL%" -POSTOL"RTOL%" -POWER"RMAX" -SIZE"1B" -SLOPE"RSMAX" -TC1"RTMPL" -TC2"RTMPQ" -TOL_ON_OFF"ON" -VOLTAGE"RVMAX" -CDS_LIB"cnpassive" -TOL"1%" -CDS_LOCATION"R11" -$SEC"1" -CDS_SEC"1"; -"A <SIZE-1..0>\NAC" -$PN"1"22; -"B <SIZE-1..0>\NAC" -$PN"2"65; -%"RSMD0603" -"2","(1750,150)","0","cnpassive","I11"; -; -PACK_TYPE"1/10W" -VALUE"51" -$LOCATION"R12" -DIST"FLAT" -MAX_TEMP"RTMAX" -NEGTOL"RTOL%" -POSTOL"RTOL%" -POWER"RMAX" -SIZE"1B" -SLOPE"RSMAX" -TC1"RTMPL" -TC2"RTMPQ" -TOL_ON_OFF"ON" -VOLTAGE"RVMAX" -CDS_LIB"cnpassive" -TOL"1%" -CDS_LOCATION"R12" -$SEC"1" -CDS_SEC"1"; -"A <SIZE-1..0>\NAC" -$PN"1"21; -"B <SIZE-1..0>\NAC" -$PN"2"65; -%"GND_SIGNAL" -"1","(2000,150)","0","standard","I12"; -; -HDL_POWER"GND_SIGNAL" -BODY_TYPE"PLUMBING" -CDS_LIB"standard"; -"GND"65; -%"RSMD0603" -"2","(300,-3400)","0","cnpassive","I18"; -; -$LOCATION"R13" -VALUE"51" -PACK_TYPE"1/10W" -CDS_SEC"1" -$SEC"1" -CDS_LOCATION"R13" -DIST"FLAT" -MAX_TEMP"RTMAX" -NEGTOL"RTOL%" -POSTOL"RTOL%" -POWER"RMAX" -SIZE"1B" -SLOPE"RSMAX" -TC1"RTMPL" -TC2"RTMPQ" -TOL_ON_OFF"ON" -VOLTAGE"RVMAX" -CDS_LIB"cnpassive" -TOL"1%"; -"A <SIZE-1..0>\NAC" -$PN"1"29; -"B <SIZE-1..0>\NAC" -$PN"2"64; -%"CAPCERSMDCL2" -"1","(0,-3400)","0","cnpassive","I19"; -; -$LOCATION"C10" -VALUE"100NF" -VOLTAGE"16V" -CDS_SEC"1" -$SEC"1" -CDS_LOCATION"C10" -SIZE"1" -CDS_LIB"cnpassive" -PACK_TYPE"0603"; -"B <SIZE-1..0>\NAC" -$PN"2"29; -"A <SIZE-1..0>\NAC" -$PN"1"59; -%"CON19P" -"1","(-250,250)","0","cnconnector","I2"; -; -POWER_GROUP"GND=GND_HDMI1" -$LOCATION"J1" -TYPE"MHDMI-19-02-H-TH-L-TR" -CDS_LOCATION"J1" -CDS_SEC"1" -CDS_LMAN_SYM_OUTLINE"0,25,150,-475" -CDS_LIB"cnconnector"; -"A<17>" -$PN"18"0; -"A<15>" -$PN"16"46; -"A<13>" -$PN"14"45; -"A<11>" -$PN"12"44; -"A<9>" -$PN"10"43; -"A<7>" -$PN"8"63; -"A<5>" -$PN"6"42; -"A<3>" -$PN"4"41; -"A<1>" -$PN"2"63; -"A<18>" -$PN"19"0; -"A<16>" -$PN"17"63; -"A<14>" -$PN"15"40; -"A<12>" -$PN"13"0; -"A<10>" -$PN"11"63; -"A<8>" -$PN"9"39; -"A<6>" -$PN"7"38; -"A<4>" -$PN"5"63; -"A<2>" -$PN"3"37; -"A<0>" -$PN"1"36; -%"GND_SIGNAL" -"1","(550,-3600)","0","standard","I20"; -; -BODY_TYPE"PLUMBING" -HDL_POWER"GND_SIGNAL" -CDS_LIB"standard"; -"GND"64; -%"RSMD0603" -"2","(300,-3600)","0","cnpassive","I21"; -; -$LOCATION"R14" -VALUE"51" -PACK_TYPE"1/10W" -CDS_SEC"1" -$SEC"1" -CDS_LOCATION"R14" -DIST"FLAT" -MAX_TEMP"RTMAX" -NEGTOL"RTOL%" -POSTOL"RTOL%" -POWER"RMAX" -SIZE"1B" -SLOPE"RSMAX" -TC1"RTMPL" -TC2"RTMPQ" -TOL_ON_OFF"ON" -VOLTAGE"RVMAX" -CDS_LIB"cnpassive" -TOL"1%"; -"A <SIZE-1..0>\NAC" -$PN"1"30; -"B <SIZE-1..0>\NAC" -$PN"2"64; -%"CAPCERSMDCL2" -"1","(0,-3600)","0","cnpassive","I22"; -; -$LOCATION"C11" -VALUE"100NF" -VOLTAGE"16V" -CDS_SEC"1" -$SEC"1" -CDS_LOCATION"C11" -SIZE"1" -CDS_LIB"cnpassive" -PACK_TYPE"0603"; -"B <SIZE-1..0>\NAC" -$PN"2"30; -"A <SIZE-1..0>\NAC" -$PN"1"59; -%"GND_SIGNAL" -"1","(-1000,-300)","0","standard","I25"; -; -HDL_POWER"GND_SIGNAL" -BODY_TYPE"PLUMBING" -CDS_LIB"standard"; -"GND"63; -%"TRANS MOSFET" -"1","(400,800)","2","cndiscrete","I26"; -; -$LOCATION"T1" -TYPE"SOT23" -VALUE"FDV301N" -CDS_LIB"cndiscrete" -PACK_TYPE"GSD"; -"D\NAC"5; -"S\NAC"45; -"G\NAC"54; -%"74LVC1G07" -"1","(1450,750)","2","cninterface","I28"; -; -PACK_TYPE"SC70" -TYPE"SN74LVC1G07DCK" -$LOCATION"IC4" -POWER_GROUP"VCC=P2V5;GND=GND_SIGNAL" -CDS_LIB"cninterface"; -"Y"54; -"A"23; -%"RSMD0603" -"2","(800,900)","1","cnpassive","I29"; -; -VALUE"1K" -PACK_TYPE"1/10W" -$LOCATION"R15" -CDS_LIB"cnpassive" -VOLTAGE"RVMAX" -TOL_ON_OFF"ON" -TC2"RTMPQ" -TC1"RTMPL" -SLOPE"RSMAX" -SIZE"1B" -POWER"RMAX" -POSTOL"RTOL%" -NEGTOL"RTOL%" -MAX_TEMP"RTMAX" -DIST"FLAT" -TOL"1%"; -"A <SIZE-1..0>\NAC"54; -"B <SIZE-1..0>\NAC"1; -%"CON19P" -"1","(3300,250)","0","cnconnector","I3"; -; -POWER_GROUP"GND=GND_HDMI2" -$LOCATION"J2" -TYPE"MHDMI-19-02-H-TH-L-TR" -CDS_LOCATION"J2" -CDS_SEC"1" -CDS_LMAN_SYM_OUTLINE"0,25,150,-475" -CDS_LIB"cnconnector"; -"A<17>" -$PN"18"0; -"A<15>" -$PN"16"11; -"A<13>" -$PN"14"53; -"A<11>" -$PN"12"52; -"A<9>" -$PN"10"51; -"A<7>" -$PN"8"15; -"A<5>" -$PN"6"50; -"A<3>" -$PN"4"49; -"A<1>" -$PN"2"15; -"A<18>" -$PN"19"0; -"A<16>" -$PN"17"15; -"A<14>" -$PN"15"12; -"A<12>" -$PN"13"0; -"A<10>" -$PN"11"15; -"A<8>" -$PN"9"48; -"A<6>" -$PN"7"14; -"A<4>" -$PN"5"15; -"A<2>" -$PN"3"13; -"A<0>" -$PN"1"47; -%"P5V" -"1","(800,1150)","0","cnpower","I30"; -; -HDL_POWER"P5V" -SIZE"1B" -BODY_TYPE"PLUMBING" -CDS_LIB"cnpower"; -"A<SIZE-1..0>\NAC" -VHDL_INIT"1"1; -%"USBLC6-2" -"1","(1100,-1800)","0","cndiscrete","I33"; -; -PACK_TYPE"SOT23" -TYPE"USBLC6-2SC6" -$LOCATION"D3" -CDS_LIB"cndiscrete"; -"I/O1<1>"32; -"I/O1<0>"46; -"GND"2; -"I/O2<0>"40; -"I/O2<1>"33; -"VBUS"62; -%"GND_SIGNAL" -"1","(750,-2050)","0","standard","I34"; -; -BODY_TYPE"PLUMBING" -HDL_POWER"GND_SIGNAL" -CDS_LIB"standard"; -"GND"2; -%"P2V5" -"1","(1500,-1550)","0","cnpower","I35"; -; -CDS_LIB"cnpower" -SIZE"1B" -HDL_POWER"P2V5" -BODY_TYPE"PLUMBING"; -"A<SIZE-1..0>\NAC" -VHDL_INIT"1"62; -%"GND_SIGNAL" -"1","(5550,150)","0","standard","I37"; -; -CDS_LIB"standard" -HDL_POWER"GND_SIGNAL" -BODY_TYPE"PLUMBING"; -"GND"61; -%"RSMD0603" -"2","(5300,350)","0","cnpassive","I38"; -; -PACK_TYPE"1/10W" -VALUE"51" -$LOCATION"R9" -CDS_SEC"1" -$SEC"1" -TOL"1%" -CDS_LIB"cnpassive" -VOLTAGE"RVMAX" -TOL_ON_OFF"ON" -TC2"RTMPQ" -TC1"RTMPL" -SLOPE"RSMAX" -SIZE"1B" -POWER"RMAX" -POSTOL"RTOL%" -NEGTOL"RTOL%" -MAX_TEMP"RTMAX" -DIST"FLAT" -CDS_LOCATION"R9"; -"A <SIZE-1..0>\NAC" -$PN"1"25; -"B <SIZE-1..0>\NAC" -$PN"2"61; -%"RSMD0603" -"2","(5300,150)","0","cnpassive","I39"; -; -VALUE"51" -$LOCATION"R10" -PACK_TYPE"1/10W" -CDS_SEC"1" -$SEC"1" -TOL"1%" -CDS_LIB"cnpassive" -VOLTAGE"RVMAX" -TOL_ON_OFF"ON" -TC2"RTMPQ" -TC1"RTMPL" -SLOPE"RSMAX" -SIZE"1B" -POWER"RMAX" -POSTOL"RTOL%" -NEGTOL"RTOL%" -MAX_TEMP"RTMAX" -DIST"FLAT" -CDS_LOCATION"R10"; -"A <SIZE-1..0>\NAC" -$PN"1"26; -"B <SIZE-1..0>\NAC" -$PN"2"61; -%"74LVC1G07" -"1","(5000,750)","2","cninterface","I40"; -; -POWER_GROUP"VCC=P2V5;GND=GND_SIGNAL" -TYPE"SN74LVC1G07DCK" -PACK_TYPE"SC70" -$LOCATION"IC6" -CDS_LIB"cninterface"; -"Y"28; -"A"24; -%"CAPCERSMDCL2" -"1","(5000,350)","0","cnpassive","I41"; -; -VOLTAGE"16V" -VALUE"100NF" -$LOCATION"C7" -CDS_SEC"1" -$SEC"1" -PACK_TYPE"0603" -CDS_LIB"cnpassive" -SIZE"1" -CDS_LOCATION"C7"; -"B <SIZE-1..0>\NAC" -$PN"2"25; -"A <SIZE-1..0>\NAC" -$PN"1"27; -%"CAPCERSMDCL2" -"1","(5000,150)","0","cnpassive","I42"; -; -VOLTAGE"16V" -VALUE"100NF" -$LOCATION"C12" -CDS_SEC"1" -$SEC"1" -PACK_TYPE"0603" -CDS_LIB"cnpassive" -SIZE"1" -CDS_LOCATION"C12"; -"B <SIZE-1..0>\NAC" -$PN"2"26; -"A <SIZE-1..0>\NAC" -$PN"1"27; -%"P5V" -"1","(4350,1150)","0","cnpower","I43"; -; -HDL_POWER"P5V" -SIZE"1B" -BODY_TYPE"PLUMBING" -CDS_LIB"cnpower"; -"A<SIZE-1..0>\NAC" -VHDL_INIT"1"3; -%"RSMD0603" -"2","(4350,900)","1","cnpassive","I44"; -; -PACK_TYPE"1/10W" -VALUE"1K" -$LOCATION"R16" -TOL"1%" -DIST"FLAT" -MAX_TEMP"RTMAX" -NEGTOL"RTOL%" -POSTOL"RTOL%" -POWER"RMAX" -SIZE"1B" -SLOPE"RSMAX" -TC1"RTMPL" -TC2"RTMPQ" -TOL_ON_OFF"ON" -VOLTAGE"RVMAX" -CDS_LIB"cnpassive"; -"A <SIZE-1..0>\NAC"28; -"B <SIZE-1..0>\NAC"3; -%"TRANS MOSFET" -"1","(3950,800)","2","cndiscrete","I45"; -; -TYPE"SOT23" -VALUE"FDV301N" -$LOCATION"T2" -PACK_TYPE"GSD" -CDS_LIB"cndiscrete"; -"D\NAC"6; -"S\NAC"53; -"G\NAC"28; -%"P2V5" -"1","(5050,-1550)","0","cnpower","I48"; -; -CDS_LIB"cnpower" -SIZE"1B" -HDL_POWER"P2V5" -BODY_TYPE"PLUMBING"; -"A<SIZE-1..0>\NAC" -VHDL_INIT"1"60; -%"USBLC6-2" -"1","(4650,-1800)","0","cndiscrete","I49"; -; -PACK_TYPE"SOT23" -TYPE"USBLC6-2SC6" -$LOCATION"D2" -CDS_LIB"cndiscrete"; -"I/O1<1>"35; -"I/O1<0>"11; -"GND"4; -"I/O2<0>"12; -"I/O2<1>"34; -"VBUS"60; -%"GND_SIGNAL" -"1","(4300,-2050)","0","standard","I50"; -; -CDS_LIB"standard" -HDL_POWER"GND_SIGNAL" -BODY_TYPE"PLUMBING"; -"GND"4; -%"GND_SIGNAL" -"1","(2600,-300)","0","standard","I51"; -; -HDL_POWER"GND_SIGNAL" -BODY_TYPE"PLUMBING" -CDS_LIB"standard"; -"GND"15; -%"P3V3" -"1","(350,1100)","0","cnpower","I54"; -; -HDL_POWER"P3V3" -CDS_LIB"cnpower" -BODY_TYPE"PLUMBING" -SIZE"1B"; -"A<SIZE-1..0>\NAC" -VHDL_INIT"1"5; -%"P3V3" -"1","(3900,1100)","0","cnpower","I55"; -; -HDL_POWER"P3V3" -CDS_LIB"cnpower" -BODY_TYPE"PLUMBING" -SIZE"1B"; -"A<SIZE-1..0>\NAC" -VHDL_INIT"1"6; -%"CAPCERSMDCL2" -"1","(1900,1050)","0","cnpassive","I56"; -; -VALUE"100NF" -VOLTAGE"16V" -$LOCATION"C1" -CDS_SEC"1" -$SEC"1" -PACK_TYPE"0603" -CDS_LIB"cnpassive" -SIZE"1" -CDS_LOCATION"C1"; -"B <SIZE-1..0>\NAC" -$PN"2"7; -"A <SIZE-1..0>\NAC" -$PN"1"8; -%"GND_SIGNAL" -"1","(1950,950)","0","standard","I57"; -; -BODY_TYPE"PLUMBING" -HDL_POWER"GND_SIGNAL" -CDS_LIB"standard"; -"GND"7; -%"P2V5" -"1","(1800,1150)","0","cnpower","I58"; -; -SIZE"1B" -HDL_POWER"P2V5" -BODY_TYPE"PLUMBING" -CDS_LIB"cnpower"; -"A<SIZE-1..0>\NAC" -VHDL_INIT"1"8; -%"P2V5" -"1","(5300,1150)","0","cnpower","I59"; -; -CDS_LIB"cnpower" -BODY_TYPE"PLUMBING" -HDL_POWER"P2V5" -SIZE"1B"; -"A<SIZE-1..0>\NAC" -VHDL_INIT"1"9; -%"FRAME" -"1","(-400,-3550)","0","cnpower","I6"; -; -HDL_POWER"FRAME" -SIZE"1B" -BODY_TYPE"PLUMBING" -CDS_LIB"cnpower"; -"A<SIZE-1..0>\NAC" -VHDL_INIT"0"59; -%"CAPCERSMDCL2" -"1","(5400,1050)","0","cnpassive","I60"; -; -VOLTAGE"16V" -VALUE"100NF" -$LOCATION"C13" -SIZE"1" -CDS_LIB"cnpassive" -PACK_TYPE"0603" -$SEC"1" -CDS_SEC"1" -CDS_LOCATION"C13"; -"B <SIZE-1..0>\NAC" -$PN"2"10; -"A <SIZE-1..0>\NAC" -$PN"1"9; -%"GND_SIGNAL" -"1","(5450,950)","0","standard","I61"; -; -CDS_LIB"standard" -HDL_POWER"GND_SIGNAL" -BODY_TYPE"PLUMBING"; -"GND"10; -%"FMC_TLU_DIODE_CLAMP" -"1","(1550,-850)","0","fmc_tlu_v1_lib","I62"; +1"ENABLE_CONT_FROM_FPGA<0>"; +2"CONT_TO_FPGA<0>"; +3"CONT_FROM_FPGA<0>"; +4"ENABLE_SPARE_FROM_FPGA<0>"; +5"SPARE_FROM_FPGA<0>"; +6"HDMI_CLK*<0>"; +7"HDMI_CLK<0>"; +8"HDMI_POWER_ENABLE<0>"; +9"ENABLE_CLK_TO_DUT<0>"; +10"CLK_TO_DUT*<0>"; +11"CLK_TO_DUT<0>"; +12"SPARE_TO_FPGA<0>"; +13"CLK_TO_FPGA<0>"; +14"BUSY_TO_FPGA<0>"; +15"TRIG_FROM_FPGA<0>"; +16"ENABLE_TRIG_FROM_FPGA<0>"; +17"ENABLE_CLK_FROM_FPGA<0>"; +18"ENABLE_BUSY_FROM_FPGA<0>"; +19"CLK_FROM_FPGA<0>"; +20"BUSY_FROM_FPGA<0>"; +21"TRIG_TO_FPGA<0>"; +%"FMC_TLU_HDMI_DUT_CONNECTOR" +"1","(775,250)","0","fmc_tlu_v1_lib","I1"; ; CDS_LIB"fmc_tlu_v1_lib" -BLOCK"TRUE" -USE2"work.all" +CDS_LMAN_SYM_OUTLINE"-775,775,750,-725" +LIBRARY1"ieee" USE1"ieee.std_logic_1164.all" -LIBRARY1"ieee"; -"SIG1" -VHDL_MODE"in" -VHDL_SCALAR_TYPE"std_logic"44; -"SIG2" -VHDL_MODE"in" -VHDL_SCALAR_TYPE"std_logic"37; -"SIG4" -VHDL_MODE"in" -VHDL_SCALAR_TYPE"std_logic"39; -"SIG5" -VHDL_MODE"in" -VHDL_SCALAR_TYPE"std_logic"38; -"SIG6" -VHDL_MODE"in" -VHDL_SCALAR_TYPE"std_logic"41; -"SIG7" -VHDL_MODE"in" -VHDL_SCALAR_TYPE"std_logic"42; -"SIG3" -VHDL_MODE"in" -VHDL_SCALAR_TYPE"std_logic"36; -"SIG0" -VHDL_MODE"in" -VHDL_SCALAR_TYPE"std_logic"43; -%"FMC_TLU_DIODE_CLAMP" -"1","(2050,-2850)","0","fmc_tlu_v1_lib","I63"; -; -CDS_LIB"fmc_tlu_v1_lib" -BLOCK"TRUE" USE2"work.all" -USE1"ieee.std_logic_1164.all" -LIBRARY1"ieee"; -"SIG1" -VHDL_MODE"in" -VHDL_SCALAR_TYPE"std_logic"55; -"SIG2" -VHDL_MODE"in" -VHDL_SCALAR_TYPE"std_logic"56; -"SIG4" +BLOCK"TRUE"; +"SPARE_TO_FPGA" +VHDL_MODE"out" +VHDL_SCALAR_TYPE"std_logic"12; +"HDMI_CLK* \B" +VHDL_MODE"out" +VHDL_SCALAR_TYPE"std_logic"6; +"HDMI_CLK" +VHDL_MODE"out" +VHDL_SCALAR_TYPE"std_logic"7; +"CLK_TO_FPGA" +VHDL_MODE"out" +VHDL_SCALAR_TYPE"std_logic"13; +"BUSY_TO_FPGA" +VHDL_MODE"out" +VHDL_SCALAR_TYPE"std_logic"14; +"TRIG_FROM_FPGA" VHDL_MODE"in" -VHDL_SCALAR_TYPE"std_logic"19; -"SIG5" +VHDL_SCALAR_TYPE"std_logic"15; +"SPARE_FROM_FPGA" VHDL_MODE"in" -VHDL_SCALAR_TYPE"std_logic"18; -"SIG6" +VHDL_SCALAR_TYPE"std_logic"5; +"HDMI_POWER_ENABLE" VHDL_MODE"in" -VHDL_SCALAR_TYPE"std_logic"17; -"SIG7" +VHDL_SCALAR_TYPE"std_logic"8; +"ENABLE_TRIG_FROM_FPGA" VHDL_MODE"in" VHDL_SCALAR_TYPE"std_logic"16; -"SIG3" +"ENABLE_SPARE_FROM_FPGA" VHDL_MODE"in" -VHDL_SCALAR_TYPE"std_logic"57; -"SIG0" +VHDL_SCALAR_TYPE"std_logic"4; +"ENABLE_CONT_FROM_FPGA" VHDL_MODE"in" -VHDL_SCALAR_TYPE"std_logic"20; -%"FMC_TLU_DIODE_CLAMP" -"1","(5000,-800)","0","fmc_tlu_v1_lib","I64"; -; -CDS_LIB"fmc_tlu_v1_lib" -BLOCK"TRUE" -USE2"work.all" -USE1"ieee.std_logic_1164.all" -LIBRARY1"ieee"; -"SIG1" +VHDL_SCALAR_TYPE"std_logic"1; +"ENABLE_CLK_TO_DUT" VHDL_MODE"in" -VHDL_SCALAR_TYPE"std_logic"52; -"SIG2" +VHDL_SCALAR_TYPE"std_logic"9; +"ENABLE_CLK_FROM_FPGA" VHDL_MODE"in" -VHDL_SCALAR_TYPE"std_logic"13; -"SIG4" +VHDL_SCALAR_TYPE"std_logic"17; +"ENABLE_BUSY_FROM_FPGA" VHDL_MODE"in" -VHDL_SCALAR_TYPE"std_logic"48; -"SIG5" +VHDL_SCALAR_TYPE"std_logic"18; +"CONT_FROM_FPGA" VHDL_MODE"in" -VHDL_SCALAR_TYPE"std_logic"14; -"SIG6" +VHDL_SCALAR_TYPE"std_logic"3; +"CLK_TO_DUT* \B" VHDL_MODE"in" -VHDL_SCALAR_TYPE"std_logic"49; -"SIG7" +VHDL_SCALAR_TYPE"std_logic"10; +"CLK_TO_DUT" VHDL_MODE"in" -VHDL_SCALAR_TYPE"std_logic"50; -"SIG3" +VHDL_SCALAR_TYPE"std_logic"11; +"CLK_FROM_FPGA" VHDL_MODE"in" -VHDL_SCALAR_TYPE"std_logic"47; -"SIG0" +VHDL_SCALAR_TYPE"std_logic"19; +"BUSY_FROM_FPGA" VHDL_MODE"in" -VHDL_SCALAR_TYPE"std_logic"51; -%"TP" -"1","(700,-150)","0","cnpassive","I65"; -; -$LOCATION"TP11" -PART_NAME"TP" -PACK_TYPE"HOLE" -VALUE"0.8MM" -CDS_LIB"cnpassive" -SIZE"1B"; -"A <SIZE-1..0>\NAC" -$PN"1"43; -%"TP" -"1","(700,-250)","0","cnpassive","I66"; -; -$LOCATION"TP12" -PART_NAME"TP" -PACK_TYPE"HOLE" -VALUE"0.8MM" -CDS_LIB"cnpassive" -SIZE"1B"; -"A <SIZE-1..0>\NAC" -$PN"1"44; -%"TP" -"1","(-750,-1050)","1","cnpassive","I67"; -; -$LOCATION"TP4" -PART_NAME"TP" -PACK_TYPE"HOLE" -VALUE"0.8MM" -CDS_LIB"cnpassive" -SIZE"1B"; -"A <SIZE-1..0>\NAC" -$PN"1"58; -%"TP" -"1","(350,-150)","0","cnpassive","I68"; -; -$LOCATION"TP9" -PART_NAME"TP" -PACK_TYPE"HOLE" -VALUE"0.8MM" -CDS_LIB"cnpassive" -SIZE"1B"; -"A <SIZE-1..0>\NAC" -$PN"1"41; -%"TP" -"1","(350,-250)","0","cnpassive","I69"; -; -$LOCATION"TP10" -PART_NAME"TP" -PACK_TYPE"HOLE" -VALUE"0.8MM" -CDS_LIB"cnpassive" -SIZE"1B"; -"A <SIZE-1..0>\NAC" -$PN"1"42; -%"TP" -"1","(-900,-1050)","1","cnpassive","I70"; -; -$LOCATION"TP3" -PART_NAME"TP" -PACK_TYPE"HOLE" -VALUE"0.8MM" -CDS_LIB"cnpassive" -SIZE"1B"; -"A <SIZE-1..0>\NAC" -$PN"1"58; -%"TP" -"1","(-250,-1250)","0","cnpassive","I71"; -; -$LOCATION"TP7" -PART_NAME"TP" -PACK_TYPE"HOLE" -VALUE"0.8MM" -CDS_LIB"cnpassive" -SIZE"1B"; -"A <SIZE-1..0>\NAC" -$PN"1"40; -%"TP" -"1","(-100,-1250)","2","cnpassive","I72"; -; -$LOCATION"TP8" -PART_NAME"TP" -PACK_TYPE"HOLE" -VALUE"0.8MM" -CDS_LIB"cnpassive" -SIZE"1B"; -"A <SIZE-1..0>\NAC" -$PN"1"46; -%"TP" -"1","(-600,-400)","2","cnpassive","I73"; -; -$LOCATION"TP5" -PART_NAME"TP" -SIZE"1B" -CDS_LIB"cnpassive" -VALUE"0.8MM" -PACK_TYPE"HOLE"; -"A <SIZE-1..0>\NAC" -$PN"1"38; -%"TP" -"1","(-600,-500)","2","cnpassive","I74"; -; -$LOCATION"TP6" -PART_NAME"TP" -SIZE"1B" -CDS_LIB"cnpassive" -VALUE"0.8MM" -PACK_TYPE"HOLE"; -"A <SIZE-1..0>\NAC" -$PN"1"39; -%"TP" -"1","(-950,-600)","2","cnpassive","I75"; -; -$LOCATION"TP1" -PART_NAME"TP" -PACK_TYPE"HOLE" -VALUE"0.8MM" -CDS_LIB"cnpassive" -SIZE"1B"; -"A <SIZE-1..0>\NAC" -$PN"1"37; -%"TP" -"1","(-950,-700)","2","cnpassive","I76"; -; -$LOCATION"TP2" -PART_NAME"TP" -PACK_TYPE"HOLE" -VALUE"0.8MM" -CDS_LIB"cnpassive" -SIZE"1B"; -"A <SIZE-1..0>\NAC" -$PN"1"36; -%"GND_SIGNAL" -"1","(-900,-1250)","0","standard","I77"; -; -CDS_LIB"standard" -BODY_TYPE"PLUMBING" -HDL_POWER"GND_SIGNAL"; -"GND"58; -%"CON8P" -"1","(150,-2800)","0","cnconnector","I78"; -; -$LOCATION"J3" -TYPE"44661-1011" -POWER_GROUP"GND=FRAME" -CDS_SEC"1" -CDS_LOCATION"J3" -CDS_LIB"cnconnector"; -"A<6>\NAC"55; -"A<5>\NAC"56; -"A<4>\NAC"57; -"A<3>\NAC"19; -"A<2>\NAC"18; -"A<1>\NAC"17; -"A<0>\NAC"16; -"A<7>\NAC"20; -%"CAPCERSMDCL2" -"1","(1450,150)","0","cnpassive","I8"; -; -VOLTAGE"16V" -VALUE"100NF" -$LOCATION"C9" -SIZE"1" -CDS_LIB"cnpassive" -PACK_TYPE"0603" -CDS_LOCATION"C9" -$SEC"1" -CDS_SEC"1"; -"B <SIZE-1..0>\NAC" -$PN"2"21; -"A <SIZE-1..0>\NAC" -$PN"1"31; -%"CAPCERSMDCL2" -"1","(1450,350)","0","cnpassive","I9"; -; -VALUE"100NF" -$LOCATION"C8" -VOLTAGE"16V" -SIZE"1" -CDS_LIB"cnpassive" -PACK_TYPE"0603" -CDS_LOCATION"C8" -$SEC"1" -CDS_SEC"1"; -"B <SIZE-1..0>\NAC" -$PN"2"22; -"A <SIZE-1..0>\NAC" -$PN"1"31; +VHDL_SCALAR_TYPE"std_logic"20; +"CONT_TO_FPGA" +VHDL_MODE"out" +VHDL_SCALAR_TYPE"std_logic"2; +"TRIG_TO_FPGA" +VHDL_MODE"out" +VHDL_SCALAR_TYPE"std_logic"21; END. diff --git a/circuit_board/Cadence/worklib/fmc_tlu_toplevel_c/sch_1/pc.db b/circuit_board/Cadence/worklib/fmc_tlu_toplevel_c/sch_1/pc.db index 71a7c4df457d60bcc9835ec2906c42c81099f5d4..0d9d1f46934dd04fa03feb603e1faf4cb50680a6 100644 --- a/circuit_board/Cadence/worklib/fmc_tlu_toplevel_c/sch_1/pc.db +++ b/circuit_board/Cadence/worklib/fmc_tlu_toplevel_c/sch_1/pc.db @@ -1,19 +1,55 @@ --- pcdb file, Rev:1.0 written by Allegro Design Entry HDL 16.6-S055 (v16-6-112EN) 8/10/2015 on Thu Apr 21 14:46:21 2016 +-- pcdb file, Rev:1.0 written by Allegro Design Entry HDL 16.6-S055 (v16-6-112EP) 8/13/2015 on Fri May 20 14:40:51 2016 #ISCELL bris_cds_standard a3-2000 * * #ISCELL standard gnd_signal * page1_i10 +#ISCELL + standard tap * + page1_i100 +#ISCELL + standard tap * + page1_i104 +#ISCELL + standard tap * + page1_i108 #CELL cnpassive capcersmdcl2 * page1_i11 +#ISCELL + standard tap * + page1_i112 +#ISCELL + standard tap * + page1_i116 #CELL cnpassive rsmd0603 * page1_i12 +#ISCELL + standard tap * + page1_i120 +#ISCELL + standard tap * + page1_i125 +#ISCELL + standard tap * + page1_i126 +#ISCELL + standard tap * + page1_i127 +#ISCELL + standard tap * + page1_i128 +#ISCELL + standard tap * + page1_i129 #CELL cnpassive rsmd0603 * page1_i13 +#ISCELL + cnpower p3v3 * + page1_i130 #CELL cnpassive rsmd0603 * page1_i14 @@ -35,30 +71,9 @@ #CELL fmc_tlu_v1_lib pc036a_fmc_lpc_connector * page1_i2 -#ISCELL - standard tap * - page1_i20 -#ISCELL - standard tap * - page1_i21 -#ISCELL - standard tap * - page1_i22 -#ISCELL - standard tap * - page1_i24 -#ISCELL - standard tap * - page1_i28 #ISCELL standard gnd_signal * page1_i3 -#ISCELL - standard tap * - page1_i31 -#ISCELL - standard tap * - page1_i35 #ISCELL standard tap * page1_i36 @@ -74,30 +89,9 @@ #ISCELL standard tap * page1_i40 -#ISCELL - standard tap * - page1_i41 -#ISCELL - standard tap * - page1_i42 -#ISCELL - standard tap * - page1_i43 -#ISCELL - standard tap * - page1_i44 -#ISCELL - standard tap * - page1_i45 -#ISCELL - standard tap * - page1_i46 #ISCELL standard tap * page1_i47 -#ISCELL - standard tap * - page1_i48 #ISCELL standard tap * page1_i49 @@ -116,30 +110,9 @@ #ISCELL standard tap * page1_i55 -#ISCELL - standard tap * - page1_i56 #ISCELL standard tap * page1_i57 -#ISCELL - standard tap * - page1_i58 -#ISCELL - standard tap * - page1_i59 -#ISCELL - standard tap * - page1_i60 -#ISCELL - standard tap * - page1_i61 -#ISCELL - standard tap * - page1_i62 -#ISCELL - standard tap * - page1_i63 #ISCELL standard tap * page1_i64 @@ -155,42 +128,12 @@ #CELL cnconnector plemo2ci * page1_i7 -#ISCELL - standard tap * - page1_i70 -#ISCELL - standard tap * - page1_i73 -#ISCELL - standard tap * - page1_i76 -#ISCELL - standard tap * - page1_i79 #CELL cnmemory 24aa025e48 * page1_i8 -#ISCELL - standard tap * - page1_i81 #ISCELL standard tap * page1_i82 -#ISCELL - standard tap * - page1_i83 -#ISCELL - standard tap * - page1_i84 -#ISCELL - standard tap * - page1_i85 -#ISCELL - standard tap * - page1_i86 -#ISCELL - cnpower p2v5 * - page1_i88 #ISCELL standard gnd_signal * page1_i89 @@ -231,182 +174,8 @@ bris_cds_standard a3-2000 * * #CELL - cnpassive rsmd0603 * - page4_i10 -#CELL - cnpassive rsmd0603 * - page4_i11 -#ISCELL - standard gnd_signal * - page4_i12 -#CELL - cnpassive rsmd0603 * - page4_i18 -#CELL - cnpassive capcersmdcl2 * - page4_i19 -#CELL - cnconnector con19p * - page4_i2 -#ISCELL - standard gnd_signal * - page4_i20 -#CELL - cnpassive rsmd0603 * - page4_i21 -#CELL - cnpassive capcersmdcl2 * - page4_i22 -#ISCELL - standard gnd_signal * - page4_i25 -#CELL - cndiscrete trans#20mosfet * - page4_i26 -#CELL - cninterface 74lvc1g07 * - page4_i28 -#CELL - cnpassive rsmd0603 * - page4_i29 -#CELL - cnconnector con19p * - page4_i3 -#ISCELL - cnpower p5v * - page4_i30 -#CELL - cndiscrete usblc6-2 * - page4_i33 -#ISCELL - standard gnd_signal * - page4_i34 -#ISCELL - cnpower p2v5 * - page4_i35 -#ISCELL - standard gnd_signal * - page4_i37 -#CELL - cnpassive rsmd0603 * - page4_i38 -#CELL - cnpassive rsmd0603 * - page4_i39 -#CELL - cninterface 74lvc1g07 * - page4_i40 -#CELL - cnpassive capcersmdcl2 * - page4_i41 -#CELL - cnpassive capcersmdcl2 * - page4_i42 -#ISCELL - cnpower p5v * - page4_i43 -#CELL - cnpassive rsmd0603 * - page4_i44 -#CELL - cndiscrete trans#20mosfet * - page4_i45 -#ISCELL - cnpower p2v5 * - page4_i48 -#CELL - cndiscrete usblc6-2 * - page4_i49 -#ISCELL - standard gnd_signal * - page4_i50 -#ISCELL - standard gnd_signal * - page4_i51 -#ISCELL - cnpower p3v3 * - page4_i54 -#ISCELL - cnpower p3v3 * - page4_i55 -#CELL - cnpassive capcersmdcl2 * - page4_i56 -#ISCELL - standard gnd_signal * - page4_i57 -#ISCELL - cnpower p2v5 * - page4_i58 -#ISCELL - cnpower p2v5 * - page4_i59 -#ISCELL - cnpower frame * - page4_i6 -#CELL - cnpassive capcersmdcl2 * - page4_i60 -#ISCELL - standard gnd_signal * - page4_i61 -#CELL - fmc_tlu_v1_lib fmc_tlu_diode_clamp * - page4_i62 -#CELL - fmc_tlu_v1_lib fmc_tlu_diode_clamp * - page4_i63 -#CELL - fmc_tlu_v1_lib fmc_tlu_diode_clamp * - page4_i64 -#CELL - cnpassive tp * - page4_i65 -#CELL - cnpassive tp * - page4_i66 -#CELL - cnpassive tp * - page4_i67 -#CELL - cnpassive tp * - page4_i68 -#CELL - cnpassive tp * - page4_i69 -#CELL - cnpassive tp * - page4_i70 -#CELL - cnpassive tp * - page4_i71 -#CELL - cnpassive tp * - page4_i72 -#CELL - cnpassive tp * - page4_i73 -#CELL - cnpassive tp * - page4_i74 -#CELL - cnpassive tp * - page4_i75 -#CELL - cnpassive tp * - page4_i76 -#ISCELL - standard gnd_signal * - page4_i77 -#CELL - cnconnector con8p * - page4_i78 -#CELL - cnpassive capcersmdcl2 * - page4_i8 -#CELL - cnpassive capcersmdcl2 * - page4_i9 + fmc_tlu_v1_lib fmc_tlu_hdmi_dut_connector * + page4_i1 #ISCELL bris_cds_standard a3-2000-ohl * * diff --git a/documents/figs/coincidence_logic-crop.pdf b/documents/figs/coincidence_logic-crop.pdf new file mode 100644 index 0000000000000000000000000000000000000000..94374321014068ce1fbfa018932c9275070e7508 Binary files /dev/null and b/documents/figs/coincidence_logic-crop.pdf differ diff --git a/documents/figs/coincidence_logic.dia b/documents/figs/coincidence_logic.dia new file mode 100644 index 0000000000000000000000000000000000000000..b29380aebfb09b98edc891bec582504eab738481 Binary files /dev/null and b/documents/figs/coincidence_logic.dia differ diff --git a/documents/figs/coincidence_logic.dia~ b/documents/figs/coincidence_logic.dia~ new file mode 100644 index 0000000000000000000000000000000000000000..ac218e5b547ad8e38747efb98d937695662f1129 Binary files /dev/null and b/documents/figs/coincidence_logic.dia~ differ diff --git a/documents/figs/coincidence_logic.eps b/documents/figs/coincidence_logic.eps new file mode 100644 index 0000000000000000000000000000000000000000..0f1a370d6b548313c10e272042a7176a3876ceb8 --- /dev/null +++ b/documents/figs/coincidence_logic.eps @@ -0,0 +1,558 @@ +%!PS-Adobe-2.0 EPSF-2.0 +%%Title: /projects/HEP_Instrumentation/cad/designs/fmc-mtlu/trunk/documents/figs/coincidence_logic.dia +%%Creator: Dia v0.97.2 +%%CreationDate: Fri Nov 21 10:17:09 2014 +%%For: phdgc +%%Orientation: Portrait +%%Magnification: 1.0000 +%%BoundingBox: 0 0 1112 655 +%%BeginSetup +%%EndSetup +%%EndComments +%%BeginProlog +[ /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef +/.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef +/.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef +/.notdef /.notdef /space /exclam /quotedbl /numbersign /dollar /percent /ampersand /quoteright +/parenleft /parenright /asterisk /plus /comma /hyphen /period /slash /zero /one +/two /three /four /five /six /seven /eight /nine /colon /semicolon +/less /equal /greater /question /at /A /B /C /D /E +/F /G /H /I /J /K /L /M /N /O +/P /Q /R /S /T /U /V /W /X /Y +/Z /bracketleft /backslash /bracketright /asciicircum /underscore /quoteleft /a /b /c +/d /e /f /g /h /i /j /k /l /m +/n /o /p /q /r /s /t /u /v /w +/x /y /z /braceleft /bar /braceright /asciitilde /.notdef /.notdef /.notdef +/.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef +/.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef +/.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef +/space /exclamdown /cent /sterling /currency /yen /brokenbar /section /dieresis /copyright +/ordfeminine /guillemotleft /logicalnot /hyphen /registered /macron /degree /plusminus /twosuperior /threesuperior +/acute /mu /paragraph /periodcentered /cedilla /onesuperior /ordmasculine /guillemotright /onequarter /onehalf +/threequarters /questiondown /Agrave /Aacute /Acircumflex /Atilde /Adieresis /Aring /AE /Ccedilla +/Egrave /Eacute /Ecircumflex /Edieresis /Igrave /Iacute /Icircumflex /Idieresis /Eth /Ntilde +/Ograve /Oacute /Ocircumflex /Otilde /Odieresis /multiply /Oslash /Ugrave /Uacute /Ucircumflex +/Udieresis /Yacute /Thorn /germandbls /agrave /aacute /acircumflex /atilde /adieresis /aring +/ae /ccedilla /egrave /eacute /ecircumflex /edieresis /igrave /iacute /icircumflex /idieresis +/eth /ntilde /ograve /oacute /ocircumflex /otilde /odieresis /divide /oslash /ugrave +/uacute /ucircumflex /udieresis /yacute /thorn /ydieresis] /isolatin1encoding exch def +/cp {closepath} bind def +/c {curveto} bind def +/f {fill} bind def +/a {arc} bind def +/ef {eofill} bind def +/ex {exch} bind def +/gr {grestore} bind def +/gs {gsave} bind def +/sa {save} bind def +/rs {restore} bind def +/l {lineto} bind def +/m {moveto} bind def +/rm {rmoveto} bind def +/n {newpath} bind def +/s {stroke} bind def +/sh {show} bind def +/slc {setlinecap} bind def +/slj {setlinejoin} bind def +/slw {setlinewidth} bind def +/srgb {setrgbcolor} bind def +/rot {rotate} bind def +/sc {scale} bind def +/sd {setdash} bind def +/ff {findfont} bind def +/sf {setfont} bind def +/scf {scalefont} bind def +/sw {stringwidth pop} bind def +/tr {translate} bind def + +/ellipsedict 8 dict def +ellipsedict /mtrx matrix put +/ellipse +{ ellipsedict begin + /endangle exch def + /startangle exch def + /yrad exch def + /xrad exch def + /y exch def + /x exch def /savematrix mtrx currentmatrix def + x y tr xrad yrad sc + 0 0 1 startangle endangle arc + savematrix setmatrix + end +} def + +/mergeprocs { +dup length +3 -1 roll +dup +length +dup +5 1 roll +3 -1 roll +add +array cvx +dup +3 -1 roll +0 exch +putinterval +dup +4 2 roll +putinterval +} bind def +/Times-Roman-latin1 + /Times-Roman findfont + dup length dict begin + {1 index /FID ne {def} {pop pop} ifelse} forall + /Encoding isolatin1encoding def + currentdict end +definefont pop +/Times-Italic-latin1 + /Times-Italic findfont + dup length dict begin + {1 index /FID ne {def} {pop pop} ifelse} forall + /Encoding isolatin1encoding def + currentdict end +definefont pop +/Times-Bold-latin1 + /Times-Bold findfont + dup length dict begin + {1 index /FID ne {def} {pop pop} ifelse} forall + /Encoding isolatin1encoding def + currentdict end +definefont pop +/Times-BoldItalic-latin1 + /Times-BoldItalic findfont + dup length dict begin + {1 index /FID ne {def} {pop pop} ifelse} forall + /Encoding isolatin1encoding def + currentdict end +definefont pop +/AvantGarde-Gothic-latin1 + /AvantGarde-Gothic findfont + dup length dict begin + {1 index /FID ne {def} {pop pop} ifelse} forall + /Encoding isolatin1encoding def + currentdict end +definefont pop +/AvantGarde-BookOblique-latin1 + /AvantGarde-BookOblique findfont + dup length dict begin + {1 index /FID ne {def} {pop pop} ifelse} forall + /Encoding isolatin1encoding def + currentdict end +definefont pop +/AvantGarde-Demi-latin1 + /AvantGarde-Demi findfont + dup length dict begin + {1 index /FID ne {def} {pop pop} ifelse} forall + /Encoding isolatin1encoding def + currentdict end +definefont pop +/AvantGarde-DemiOblique-latin1 + /AvantGarde-DemiOblique findfont + dup length dict begin + {1 index /FID ne {def} {pop pop} ifelse} forall + /Encoding isolatin1encoding def + currentdict end +definefont pop +/Bookman-Light-latin1 + /Bookman-Light findfont + dup length dict begin + {1 index /FID ne {def} {pop pop} ifelse} forall + /Encoding isolatin1encoding def + currentdict end +definefont pop +/Bookman-LightItalic-latin1 + /Bookman-LightItalic findfont + dup length dict begin + {1 index /FID ne {def} {pop pop} ifelse} forall + /Encoding isolatin1encoding def + currentdict end +definefont pop +/Bookman-Demi-latin1 + /Bookman-Demi findfont + dup length dict begin + {1 index /FID ne {def} {pop pop} ifelse} forall + /Encoding isolatin1encoding def + currentdict end +definefont pop +/Bookman-DemiItalic-latin1 + /Bookman-DemiItalic findfont + dup length dict begin + {1 index /FID ne {def} {pop pop} ifelse} forall + /Encoding isolatin1encoding def + currentdict end +definefont pop +/Courier-latin1 + /Courier findfont + dup length dict begin + {1 index /FID ne {def} {pop pop} ifelse} forall + /Encoding isolatin1encoding def + currentdict end +definefont pop +/Courier-Oblique-latin1 + /Courier-Oblique findfont + dup length dict begin + {1 index /FID ne {def} {pop pop} ifelse} forall + /Encoding isolatin1encoding def + currentdict end +definefont pop +/Courier-Bold-latin1 + /Courier-Bold findfont + dup length dict begin + {1 index /FID ne {def} {pop pop} ifelse} forall + /Encoding isolatin1encoding def + currentdict end +definefont pop +/Courier-BoldOblique-latin1 + /Courier-BoldOblique findfont + dup length dict begin + {1 index /FID ne {def} {pop pop} ifelse} forall + /Encoding isolatin1encoding def + currentdict end +definefont pop +/Helvetica-latin1 + /Helvetica findfont + dup length dict begin + {1 index /FID ne {def} {pop pop} ifelse} forall + /Encoding isolatin1encoding def + currentdict end +definefont pop +/Helvetica-Oblique-latin1 + /Helvetica-Oblique findfont + dup length dict begin + {1 index /FID ne {def} {pop pop} ifelse} forall + /Encoding isolatin1encoding def + currentdict end +definefont pop +/Helvetica-Bold-latin1 + /Helvetica-Bold findfont + dup length dict begin + {1 index /FID ne {def} {pop pop} ifelse} forall + /Encoding isolatin1encoding def + currentdict end +definefont pop +/Helvetica-BoldOblique-latin1 + /Helvetica-BoldOblique findfont + dup length dict begin + {1 index /FID ne {def} {pop pop} ifelse} forall + /Encoding isolatin1encoding def + currentdict end +definefont pop +/Helvetica-Narrow-latin1 + /Helvetica-Narrow findfont + dup length dict begin + {1 index /FID ne {def} {pop pop} ifelse} forall + /Encoding isolatin1encoding def + currentdict end +definefont pop +/Helvetica-Narrow-Oblique-latin1 + /Helvetica-Narrow-Oblique findfont + dup length dict begin + {1 index /FID ne {def} {pop pop} ifelse} forall + /Encoding isolatin1encoding def + currentdict end +definefont pop +/Helvetica-Narrow-Bold-latin1 + /Helvetica-Narrow-Bold findfont + dup length dict begin + {1 index /FID ne {def} {pop pop} ifelse} forall + /Encoding isolatin1encoding def + currentdict end +definefont pop +/Helvetica-Narrow-BoldOblique-latin1 + /Helvetica-Narrow-BoldOblique findfont + dup length dict begin + {1 index /FID ne {def} {pop pop} ifelse} forall + /Encoding isolatin1encoding def + currentdict end +definefont pop +/NewCenturySchlbk-Roman-latin1 + /NewCenturySchlbk-Roman findfont + dup length dict begin + {1 index /FID ne {def} {pop pop} ifelse} forall + /Encoding isolatin1encoding def + currentdict end +definefont pop +/NewCenturySchlbk-Italic-latin1 + /NewCenturySchlbk-Italic findfont + dup length dict begin + {1 index /FID ne {def} {pop pop} ifelse} forall + /Encoding isolatin1encoding def + currentdict end +definefont pop +/NewCenturySchlbk-Bold-latin1 + /NewCenturySchlbk-Bold findfont + dup length dict begin + {1 index /FID ne {def} {pop pop} ifelse} forall + /Encoding isolatin1encoding def + currentdict end +definefont pop +/NewCenturySchlbk-BoldItalic-latin1 + /NewCenturySchlbk-BoldItalic findfont + dup length dict begin + {1 index /FID ne {def} {pop pop} ifelse} forall + /Encoding isolatin1encoding def + currentdict end +definefont pop +/Palatino-Roman-latin1 + /Palatino-Roman findfont + dup length dict begin + {1 index /FID ne {def} {pop pop} ifelse} forall + /Encoding isolatin1encoding def + currentdict end +definefont pop +/Palatino-Italic-latin1 + /Palatino-Italic findfont + dup length dict begin + {1 index /FID ne {def} {pop pop} ifelse} forall + /Encoding isolatin1encoding def + currentdict end +definefont pop +/Palatino-Bold-latin1 + /Palatino-Bold findfont + dup length dict begin + {1 index /FID ne {def} {pop pop} ifelse} forall + /Encoding isolatin1encoding def + currentdict end +definefont pop +/Palatino-BoldItalic-latin1 + /Palatino-BoldItalic findfont + dup length dict begin + {1 index /FID ne {def} {pop pop} ifelse} forall + /Encoding isolatin1encoding def + currentdict end +definefont pop +/Symbol-latin1 + /Symbol findfont +definefont pop +/ZapfChancery-MediumItalic-latin1 + /ZapfChancery-MediumItalic findfont + dup length dict begin + {1 index /FID ne {def} {pop pop} ifelse} forall + /Encoding isolatin1encoding def + currentdict end +definefont pop +/ZapfDingbats-latin1 + /ZapfDingbats findfont + dup length dict begin + {1 index /FID ne {def} {pop pop} ifelse} forall + /Encoding isolatin1encoding def + currentdict end +definefont pop +28.346000 -28.346000 scale +-0.850000 -24.050000 translate +%%EndProlog + + +0.100000 slw +[] 0 sd +[] 0 sd +0 slj +0.000000 1.000000 0.000000 srgb +n 3.000000 2.000000 m 3.000000 4.200000 l 9.800000 4.200000 l 9.800000 2.000000 l f +0.000000 0.000000 0.000000 srgb +n 3.000000 2.000000 m 3.000000 4.200000 l 9.800000 4.200000 l 9.800000 2.000000 l cp s +/Helvetica-latin1 ff 0.560000 scf sf +(Input delay) dup sw 2 div 6.400000 ex sub 2.772500 m + gs 1 -1 sc sh gr +(and stretch) dup sw 2 div 6.400000 ex sub 3.572500 m + gs 1 -1 sc sh gr +0.100000 slw +[] 0 sd +[] 0 sd +0 slj +0.000000 1.000000 0.000000 srgb +n 3.000000 6.000000 m 3.000000 8.200000 l 9.800000 8.200000 l 9.800000 6.000000 l f +0.000000 0.000000 0.000000 srgb +n 3.000000 6.000000 m 3.000000 8.200000 l 9.800000 8.200000 l 9.800000 6.000000 l cp s +(Input delay) dup sw 2 div 6.400000 ex sub 6.772500 m + gs 1 -1 sc sh gr +(and stretch) dup sw 2 div 6.400000 ex sub 7.572500 m + gs 1 -1 sc sh gr +0.100000 slw +[] 0 sd +[] 0 sd +0 slj +0.000000 1.000000 0.000000 srgb +n 3.000000 10.000000 m 3.000000 12.200000 l 9.800000 12.200000 l 9.800000 10.000000 l f +0.000000 0.000000 0.000000 srgb +n 3.000000 10.000000 m 3.000000 12.200000 l 9.800000 12.200000 l 9.800000 10.000000 l cp s +(Input delay) dup sw 2 div 6.400000 ex sub 10.772500 m + gs 1 -1 sc sh gr +(and stretch) dup sw 2 div 6.400000 ex sub 11.572500 m + gs 1 -1 sc sh gr +0.100000 slw +[] 0 sd +[] 0 sd +0 slj +0.000000 1.000000 0.000000 srgb +n 3.000000 14.000000 m 3.000000 16.200000 l 9.800000 16.200000 l 9.800000 14.000000 l f +0.000000 0.000000 0.000000 srgb +n 3.000000 14.000000 m 3.000000 16.200000 l 9.800000 16.200000 l 9.800000 14.000000 l cp s +(Input delay) dup sw 2 div 6.400000 ex sub 14.772500 m + gs 1 -1 sc sh gr +(and stretch) dup sw 2 div 6.400000 ex sub 15.572500 m + gs 1 -1 sc sh gr +0.100000 slw +[] 0 sd +[] 0 sd +0 slj +1.000000 0.000000 0.000000 srgb +n 13.000000 7.000000 m 13.000000 11.000000 l 18.000000 11.000000 l 18.000000 7.000000 l f +0.000000 0.000000 0.000000 srgb +n 13.000000 7.000000 m 13.000000 11.000000 l 18.000000 11.000000 l 18.000000 7.000000 l cp s +(CFGLUT5) dup sw 2 div 15.500000 ex sub 9.072500 m + gs 1 -1 sc sh gr +0.100000 slw +[] 0 sd +[] 0 sd +0 slc +n 13.000000 8.000000 m 13.000000 10.000000 l s +0.100000 slw +[] 0 sd +[] 0 sd +0 slj +0 slc +n 9.800000 3.100000 m 11.400000 3.100000 l 11.400000 8.000000 l 13.000000 8.000000 l s +0.100000 slw +[] 0 sd +[] 0 sd +0 slj +0 slc +n 9.800000 7.100000 m 11.000000 7.100000 l 11.000000 8.666667 l 13.000000 8.666667 l s +0.100000 slw +[] 0 sd +[] 0 sd +0 slj +0 slc +n 9.800000 11.100000 m 11.400000 11.100000 l 11.400000 9.333333 l 13.000000 9.333333 l s +0.100000 slw +[] 0 sd +[] 0 sd +0 slj +0 slc +n 9.800000 15.100000 m 12.000000 15.100000 l 12.000000 10.000000 l 13.000000 10.000000 l s +0.100000 slw +[] 0 sd +[] 0 sd +0 slj +0.000000 1.000000 0.000000 srgb +n 20.000000 7.000000 m 20.000000 11.000000 l 24.000000 11.000000 l 24.000000 7.000000 l f +0.000000 0.000000 0.000000 srgb +n 20.000000 7.000000 m 20.000000 11.000000 l 24.000000 11.000000 l 24.000000 7.000000 l cp s +(Rising ) dup sw 2 div 22.000000 ex sub 8.272500 m + gs 1 -1 sc sh gr +(Edge) dup sw 2 div 22.000000 ex sub 9.072500 m + gs 1 -1 sc sh gr +(Detection) dup sw 2 div 22.000000 ex sub 9.872500 m + gs 1 -1 sc sh gr +0.100000 slw +[] 0 sd +[] 0 sd +0 slj +0.000000 1.000000 0.000000 srgb +n 28.000000 7.000000 m 28.000000 11.000000 l 33.000000 11.000000 l 33.000000 7.000000 l f +0.000000 0.000000 0.000000 srgb +n 28.000000 7.000000 m 28.000000 11.000000 l 33.000000 11.000000 l 33.000000 7.000000 l cp s +(Clock domain) dup sw 2 div 30.500000 ex sub 8.272500 m + gs 1 -1 sc sh gr +(crossing) dup sw 2 div 30.500000 ex sub 9.072500 m + gs 1 -1 sc sh gr +(pulse shaping) dup sw 2 div 30.500000 ex sub 9.872500 m + gs 1 -1 sc sh gr +0.100000 slw +[] 0 sd +[] 0 sd +0 slj +0 slc +n 18.000000 9.000000 m 18.050000 9.000000 l 19.950000 9.000000 l 20.000000 9.000000 l s +0.100000 slw +[] 0 sd +[] 0 sd +0 slj +0 slc +n 24.000000 9.000000 m 24.050000 9.000000 l 27.950000 9.000000 l 28.000000 9.000000 l s +0.100000 slw +[] 0 sd +[] 0 sd +0 slj +0 slc +n 33.000000 9.000000 m 33.050000 9.000000 l 36.950000 9.000000 l 37.000000 9.000000 l s +0.100000 slw +[] 0 sd +[] 0 sd +0 slj +0 slc +n 25.000000 3.000000 m 26.000000 3.000000 l 26.000000 1.000000 l 27.000000 1.000000 l 27.000000 3.000000 l 28.000000 3.000000 l s +0.100000 slw +[] 0 sd +[] 0 sd +0 slj +0 slc +n 34.000000 15.000000 m 35.000000 15.000000 l 35.000000 13.000000 l 39.000000 13.000000 l 39.000000 15.000000 l 40.000000 15.000000 l s +(single cycle) 25.000000 3.850000 m + gs 1 -1 sc sh gr +(of 4x-clk) 25.000000 4.650000 m + gs 1 -1 sc sh gr +(single cycle) 36.000000 15.850000 m + gs 1 -1 sc sh gr +(of 1x-clk) 36.000000 16.650000 m + gs 1 -1 sc sh gr +0.100000 slw +[] 0 sd +[] 0 sd +0 slj +0.000000 1.000000 0.000000 srgb +n 5.000000 20.000000 m 5.000000 24.000000 l 9.000000 24.000000 l 9.000000 20.000000 l f +0.000000 0.000000 0.000000 srgb +n 5.000000 20.000000 m 5.000000 24.000000 l 9.000000 24.000000 l 9.000000 20.000000 l cp s +(Capture) dup sw 2 div 7.000000 ex sub 21.272500 m + gs 1 -1 sc sh gr +(Trigger) dup sw 2 div 7.000000 ex sub 22.072500 m + gs 1 -1 sc sh gr +(Times) dup sw 2 div 7.000000 ex sub 22.872500 m + gs 1 -1 sc sh gr +0.300000 slw +[] 0 sd +[] 0 sd +0 slc +n 1.000000 22.000000 m 5.000000 22.000000 l s +0.300000 slw +[] 0 sd +[] 0 sd +0 slc +n 9.000000 22.000000 m 17.000000 22.000000 l s +0.100000 slw +[] 0 sd +[] 0 sd +0 slc +n 27.000000 5.000000 m 26.070711 7.787868 l s +0.100000 slw +[] 0 sd +0 slj +0 slc +n 25.956298 7.340535 m 26.035355 7.893934 l 26.430640 7.498649 l s +0.100000 slw +[] 0 sd +[] 0 sd +0 slc +n 37.000000 12.000000 m 36.100000 10.200000 l s +0.100000 slw +[] 0 sd +0 slj +0 slc +n 36.497214 10.435410 m 36.050000 10.100000 l 36.050000 10.659017 l s +0.100000 slw +[] 0 sd +[] 0 sd +0 slj +0 slc +n 26.000000 9.000000 m 26.000000 18.000000 l 7.000000 18.000000 l 7.000000 19.951172 l s +(Trigger) 37.000000 8.850000 m + gs 1 -1 sc sh gr +(Trigger) 18.000000 21.850000 m + gs 1 -1 sc sh gr +(Times) 18.000000 22.650000 m + gs 1 -1 sc sh gr +showpage diff --git a/documents/figs/coincidence_logic.pdf b/documents/figs/coincidence_logic.pdf new file mode 100644 index 0000000000000000000000000000000000000000..599cd7c1144c34f75abee1bc85170aff524c2210 Binary files /dev/null and b/documents/figs/coincidence_logic.pdf differ diff --git a/documents/figs/coincidence_logic.ps b/documents/figs/coincidence_logic.ps new file mode 100644 index 0000000000000000000000000000000000000000..861c13a992faac21a096860c18a859f5bcf64d83 --- /dev/null +++ b/documents/figs/coincidence_logic.ps @@ -0,0 +1,5088 @@ +%!PS-Adobe-2.0 +%%Title: (NULL) +%%Creator: Dia v0.97.2 +%%CreationDate: Fri Nov 21 10:19:26 2014 +%%For: phdgc +%%Orientation: Landscape +%%DocumentPaperSizes: A2 +%%BeginSetup +%%EndSetup +%%EndComments +%%BeginProlog +[ /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef +/.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef +/.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef +/.notdef /.notdef /space /exclam /quotedbl /numbersign /dollar /percent /ampersand /quoteright +/parenleft /parenright /asterisk /plus /comma /hyphen /period /slash /zero /one +/two /three /four /five /six /seven /eight /nine /colon /semicolon +/less /equal /greater /question /at /A /B /C /D /E +/F /G /H /I /J /K /L /M /N /O +/P /Q /R /S /T /U /V /W /X /Y +/Z /bracketleft /backslash /bracketright /asciicircum /underscore /quoteleft /a /b /c +/d /e /f /g /h /i /j /k /l /m +/n /o /p /q /r /s /t /u /v /w +/x /y /z /braceleft /bar /braceright /asciitilde /.notdef /.notdef /.notdef +/.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef +/.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef +/.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef +/space /exclamdown /cent /sterling /currency /yen /brokenbar /section /dieresis /copyright +/ordfeminine /guillemotleft /logicalnot /hyphen /registered /macron /degree /plusminus /twosuperior /threesuperior +/acute /mu /paragraph /periodcentered /cedilla /onesuperior /ordmasculine /guillemotright /onequarter /onehalf +/threequarters /questiondown /Agrave /Aacute /Acircumflex /Atilde /Adieresis /Aring /AE /Ccedilla +/Egrave /Eacute /Ecircumflex /Edieresis /Igrave /Iacute /Icircumflex /Idieresis /Eth /Ntilde +/Ograve /Oacute /Ocircumflex /Otilde /Odieresis /multiply /Oslash /Ugrave /Uacute /Ucircumflex +/Udieresis /Yacute /Thorn /germandbls /agrave /aacute /acircumflex /atilde /adieresis /aring +/ae /ccedilla /egrave /eacute /ecircumflex /edieresis /igrave /iacute /icircumflex /idieresis +/eth /ntilde /ograve /oacute /ocircumflex /otilde /odieresis /divide /oslash /ugrave +/uacute /ucircumflex /udieresis /yacute /thorn /ydieresis] /isolatin1encoding exch def +/cp {closepath} bind def +/c {curveto} bind def +/f {fill} bind def +/a {arc} bind def +/ef {eofill} bind def +/ex {exch} bind def +/gr {grestore} bind def +/gs {gsave} bind def +/sa {save} bind def +/rs {restore} bind def +/l {lineto} bind def +/m {moveto} bind def +/rm {rmoveto} bind def +/n {newpath} bind def +/s {stroke} bind def +/sh {show} bind def +/slc {setlinecap} bind def +/slj {setlinejoin} bind def +/slw {setlinewidth} bind def +/srgb {setrgbcolor} bind def +/rot {rotate} bind def +/sc {scale} bind def +/sd {setdash} bind def +/ff {findfont} bind def +/sf {setfont} bind def +/scf {scalefont} bind def +/sw {stringwidth pop} bind def +/tr {translate} bind def + +/ellipsedict 8 dict def +ellipsedict /mtrx matrix put +/ellipse +{ ellipsedict begin + /endangle exch def + /startangle exch def + /yrad exch def + /xrad exch def + /y exch def + /x exch def /savematrix mtrx currentmatrix def + x y tr xrad yrad sc + 0 0 1 startangle endangle arc + savematrix setmatrix + end +} def + +/mergeprocs { +dup length +3 -1 roll +dup +length +dup +5 1 roll +3 -1 roll +add +array cvx +dup +3 -1 roll +0 exch +putinterval +dup +4 2 roll +putinterval +} bind def +/dpi_x 300 def +/dpi_y 300 def +/conicto { + /to_y exch def + /to_x exch def + /conic_cntrl_y exch def + /conic_cntrl_x exch def + currentpoint + /p0_y exch def + /p0_x exch def + /p1_x p0_x conic_cntrl_x p0_x sub 2 3 div mul add def + /p1_y p0_y conic_cntrl_y p0_y sub 2 3 div mul add def + /p2_x p1_x to_x p0_x sub 1 3 div mul add def + /p2_y p1_y to_y p0_y sub 1 3 div mul add def + p1_x p1_y p2_x p2_y to_x to_y curveto +} bind def +/start_ol { gsave 1.1 dpi_x div dup scale} bind def +/end_ol { closepath fill grestore } bind def +%%EndProlog + + +%%Page: 0 0 +gs +90 rotate +28.346457 -28.346457 scale +2.822200 2.822200 translate +n 0.000000 0.000000 m 53.755600 0.000000 l 53.755600 36.355598 l 0.000000 36.355598 l 0.000000 0.000000 l clip n +%!PS-Adobe-2.0 +%%Title: (NULL) +%%Creator: Dia v0.97.2 +%%CreationDate: Fri Nov 21 10:19:26 2014 +%%For: phdgc +%%Orientation: Landscape +%%DocumentPaperSizes: A2 +%%BeginSetup +%%EndSetup +%%EndComments +%%BeginProlog +[ /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef +/.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef +/.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef +/.notdef /.notdef /space /exclam /quotedbl /numbersign /dollar /percent /ampersand /quoteright +/parenleft /parenright /asterisk /plus /comma /hyphen /period /slash /zero /one +/two /three /four /five /six /seven /eight /nine /colon /semicolon +/less /equal /greater /question /at /A /B /C /D /E +/F /G /H /I /J /K /L /M /N /O +/P /Q /R /S /T /U /V /W /X /Y +/Z /bracketleft /backslash /bracketright /asciicircum /underscore /quoteleft /a /b /c +/d /e /f /g /h /i /j /k /l /m +/n /o /p /q /r /s /t /u /v /w +/x /y /z /braceleft /bar /braceright /asciitilde /.notdef /.notdef /.notdef +/.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef +/.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef +/.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef +/space /exclamdown /cent /sterling /currency /yen /brokenbar /section /dieresis /copyright +/ordfeminine /guillemotleft /logicalnot /hyphen /registered /macron /degree /plusminus /twosuperior /threesuperior +/acute /mu /paragraph /periodcentered /cedilla /onesuperior /ordmasculine /guillemotright /onequarter /onehalf +/threequarters /questiondown /Agrave /Aacute /Acircumflex /Atilde /Adieresis /Aring /AE /Ccedilla +/Egrave /Eacute /Ecircumflex /Edieresis /Igrave /Iacute /Icircumflex /Idieresis /Eth /Ntilde +/Ograve /Oacute /Ocircumflex /Otilde /Odieresis /multiply /Oslash /Ugrave /Uacute /Ucircumflex +/Udieresis /Yacute /Thorn /germandbls /agrave /aacute /acircumflex /atilde /adieresis /aring +/ae /ccedilla /egrave /eacute /ecircumflex /edieresis /igrave /iacute /icircumflex /idieresis +/eth /ntilde /ograve /oacute /ocircumflex /otilde /odieresis /divide /oslash /ugrave +/uacute /ucircumflex /udieresis /yacute /thorn /ydieresis] /isolatin1encoding exch def +/cp {closepath} bind def +/c {curveto} bind def +/f {fill} bind def +/a {arc} bind def +/ef {eofill} bind def +/ex {exch} bind def +/gr {grestore} bind def +/gs {gsave} bind def +/sa {save} bind def +/rs {restore} bind def +/l {lineto} bind def +/m {moveto} bind def +/rm {rmoveto} bind def +/n {newpath} bind def +/s {stroke} bind def +/sh {show} bind def +/slc {setlinecap} bind def +/slj {setlinejoin} bind def +/slw {setlinewidth} bind def +/srgb {setrgbcolor} bind def +/rot {rotate} bind def +/sc {scale} bind def +/sd {setdash} bind def +/ff {findfont} bind def +/sf {setfont} bind def +/scf {scalefont} bind def +/sw {stringwidth pop} bind def +/tr {translate} bind def + +/ellipsedict 8 dict def +ellipsedict /mtrx matrix put +/ellipse +{ ellipsedict begin + /endangle exch def + /startangle exch def + /yrad exch def + /xrad exch def + /y exch def + /x exch def /savematrix mtrx currentmatrix def + x y tr xrad yrad sc + 0 0 1 startangle endangle arc + savematrix setmatrix + end +} def + +/mergeprocs { +dup length +3 -1 roll +dup +length +dup +5 1 roll +3 -1 roll +add +array cvx +dup +3 -1 roll +0 exch +putinterval +dup +4 2 roll +putinterval +} bind def +/dpi_x 300 def +/dpi_y 300 def +/conicto { + /to_y exch def + /to_x exch def + /conic_cntrl_y exch def + /conic_cntrl_x exch def + currentpoint + /p0_y exch def + /p0_x exch def + /p1_x p0_x conic_cntrl_x p0_x sub 2 3 div mul add def + /p1_y p0_y conic_cntrl_y p0_y sub 2 3 div mul add def + /p2_x p1_x to_x p0_x sub 1 3 div mul add def + /p2_y p1_y to_y p0_y sub 1 3 div mul add def + p1_x p1_y p2_x p2_y to_x to_y curveto +} bind def +/start_ol { gsave 1.1 dpi_x div dup scale} bind def +/end_ol { closepath fill grestore } bind def +%%EndProlog + + +0.100000 slw +[] 0 sd +[] 0 sd +0 slj +0.000000 1.000000 0.000000 srgb +n 3.000000 2.000000 m 3.000000 4.200000 l 9.800000 4.200000 l 9.800000 2.000000 l f +0.000000 0.000000 0.000000 srgb +n 3.000000 2.000000 m 3.000000 4.200000 l 9.800000 4.200000 l 9.800000 2.000000 l cp s +gsave 4.593750 2.922500 translate 0.035278 -0.035278 scale +start_ol +448 3520 moveto +960 3520 lineto +960 0 lineto +448 0 lineto +448 3520 lineto +end_ol grestore +gsave 4.783572 2.922500 translate 0.035278 -0.035278 scale +start_ol +2688 1646 moveto +2688 0 lineto +2240 0 lineto +2240 1632 lineto +2240 2001 2093 2184 conicto +1947 2368 1654 2368 conicto +1302 2368 1099 2146 conicto +896 1925 896 1542 conicto +896 0 lineto +448 0 lineto +448 2688 lineto +896 2688 lineto +896 2304 lineto +1053 2529 1266 2640 conicto +1479 2752 1757 2752 conicto +2217 2752 2452 2471 conicto +2688 2191 2688 1646 conicto +end_ol grestore +gsave 5.188186 2.922500 translate 0.035278 -0.035278 scale +start_ol +896 384 moveto +896 -1024 lineto +448 -1024 lineto +448 2688 lineto +896 2688 lineto +896 2304 lineto +1032 2531 1240 2641 conicto +1448 2752 1737 2752 conicto +2217 2752 2516 2364 conicto +2816 1976 2816 1344 conicto +2816 712 2516 324 conicto +2217 -64 1737 -64 conicto +1448 -64 1240 46 conicto +1032 157 896 384 conicto +2368 1344 moveto +2368 1823 2171 2095 conicto +1975 2368 1632 2368 conicto +1289 2368 1092 2095 conicto +896 1823 896 1344 conicto +896 865 1092 592 conicto +1289 320 1632 320 conicto +1975 320 2171 592 conicto +2368 865 2368 1344 conicto +end_ol grestore +gsave 5.595305 2.922500 translate 0.035278 -0.035278 scale +start_ol +448 1040 moveto +448 2688 lineto +896 2688 lineto +896 1057 lineto +896 689 1042 504 conicto +1188 320 1481 320 conicto +1832 320 2036 541 conicto +2240 763 2240 1145 conicto +2240 2688 lineto +2688 2688 lineto +2688 0 lineto +2240 0 lineto +2240 384 lineto +2081 157 1870 46 conicto +1660 -64 1382 -64 conicto +923 -64 685 217 conicto +448 499 448 1040 conicto +1554 2752 moveto +1554 2752 lineto +end_ol grestore +gsave 5.999919 2.922500 translate 0.035278 -0.035278 scale +start_ol +896 3456 moveto +896 2688 lineto +1792 2688 lineto +1792 2368 lineto +896 2368 lineto +896 902 lineto +896 572 984 478 conicto +1073 384 1345 384 conicto +1792 384 lineto +1792 0 lineto +1345 0 lineto +836 0 642 194 conicto +448 389 448 902 conicto +448 2368 lineto +128 2368 lineto +128 2688 lineto +448 2688 lineto +448 3456 lineto +896 3456 lineto +end_ol grestore +gsave 6.249686 2.922500 translate 0.035278 -0.035278 scale +start_ol +end_ol grestore +gsave 6.451993 2.922500 translate 0.035278 -0.035278 scale +start_ol +2176 2304 moveto +2176 3712 lineto +2624 3712 lineto +2624 0 lineto +2176 0 lineto +2176 384 lineto +2040 157 1832 46 conicto +1624 -64 1332 -64 conicto +855 -64 555 324 conicto +256 712 256 1344 conicto +256 1976 555 2364 conicto +855 2752 1332 2752 conicto +1624 2752 1832 2641 conicto +2040 2531 2176 2304 conicto +704 1344 moveto +704 865 900 592 conicto +1096 320 1439 320 conicto +1782 320 1979 592 conicto +2176 865 2176 1344 conicto +2176 1823 1979 2095 conicto +1782 2368 1439 2368 conicto +1096 2368 900 2095 conicto +704 1823 704 1344 conicto +end_ol grestore +gsave 6.859113 2.922500 translate 0.035278 -0.035278 scale +start_ol +2752 1480 moveto +2752 1280 lineto +704 1280 lineto +733 811 978 565 conicto +1223 320 1660 320 conicto +1914 320 2152 384 conicto +2390 448 2624 576 conicto +2624 192 lineto +2388 67 2140 1 conicto +1893 -64 1639 -64 conicto +1001 -64 628 309 conicto +256 683 256 1320 conicto +256 1979 613 2365 conicto +970 2752 1576 2752 conicto +2120 2752 2436 2410 conicto +2752 2068 2752 1480 conicto +2304 1600 moveto +2299 1950 2099 2159 conicto +1900 2368 1572 2368 conicto +1200 2368 976 2166 conicto +753 1964 719 1597 conicto +2304 1600 lineto +end_ol grestore +gsave 7.253739 2.922500 translate 0.035278 -0.035278 scale +start_ol +448 3712 moveto +896 3712 lineto +896 0 lineto +448 0 lineto +448 3712 lineto +end_ol grestore +gsave 7.431067 2.922500 translate 0.035278 -0.035278 scale +start_ol +1622 1344 moveto +1104 1344 904 1225 conicto +704 1106 704 818 conicto +704 589 854 454 conicto +1004 320 1262 320 conicto +1618 320 1833 572 conicto +2048 825 2048 1244 conicto +2048 1344 lineto +1622 1344 lineto +2496 1513 moveto +2496 0 lineto +2048 0 lineto +2048 384 lineto +1900 154 1679 45 conicto +1458 -64 1138 -64 conicto +733 -64 494 162 conicto +256 389 256 769 conicto +256 1213 555 1438 conicto +854 1664 1448 1664 conicto +2048 1664 lineto +2048 1712 lineto +2048 2025 1851 2196 conicto +1655 2368 1300 2368 conicto +1074 2368 860 2320 conicto +646 2272 448 2176 conicto +448 2560 lineto +687 2656 912 2704 conicto +1138 2752 1351 2752 conicto +1927 2752 2211 2444 conicto +2496 2137 2496 1513 conicto +end_ol grestore +gsave 7.823196 2.922500 translate 0.035278 -0.035278 scale +start_ol +1542 -238 moveto +1360 -726 1187 -875 conicto +1015 -1024 726 -1024 conicto +384 -1024 lineto +384 -640 lineto +636 -640 lineto +813 -640 910 -555 conicto +1008 -470 1127 -155 conicto +1204 46 lineto +128 2688 lineto +603 2688 lineto +1418 586 lineto +2234 2688 lineto +2688 2688 lineto +1542 -238 lineto +end_ol grestore +gsave 4.580000 3.722500 translate 0.035278 -0.035278 scale +start_ol +1622 1344 moveto +1104 1344 904 1225 conicto +704 1106 704 818 conicto +704 589 854 454 conicto +1004 320 1262 320 conicto +1618 320 1833 572 conicto +2048 825 2048 1244 conicto +2048 1344 lineto +1622 1344 lineto +2496 1513 moveto +2496 0 lineto +2048 0 lineto +2048 384 lineto +1900 154 1679 45 conicto +1458 -64 1138 -64 conicto +733 -64 494 162 conicto +256 389 256 769 conicto +256 1213 555 1438 conicto +854 1664 1448 1664 conicto +2048 1664 lineto +2048 1712 lineto +2048 2025 1851 2196 conicto +1655 2368 1300 2368 conicto +1074 2368 860 2320 conicto +646 2272 448 2176 conicto +448 2560 lineto +687 2656 912 2704 conicto +1138 2752 1351 2752 conicto +1927 2752 2211 2444 conicto +2496 2137 2496 1513 conicto +end_ol grestore +gsave 4.972129 3.722500 translate 0.035278 -0.035278 scale +start_ol +2688 1646 moveto +2688 0 lineto +2240 0 lineto +2240 1632 lineto +2240 2001 2093 2184 conicto +1947 2368 1654 2368 conicto +1302 2368 1099 2146 conicto +896 1925 896 1542 conicto +896 0 lineto +448 0 lineto +448 2688 lineto +896 2688 lineto +896 2304 lineto +1053 2529 1266 2640 conicto +1479 2752 1757 2752 conicto +2217 2752 2452 2471 conicto +2688 2191 2688 1646 conicto +end_ol grestore +gsave 5.376743 3.722500 translate 0.035278 -0.035278 scale +start_ol +2176 2304 moveto +2176 3712 lineto +2624 3712 lineto +2624 0 lineto +2176 0 lineto +2176 384 lineto +2040 157 1832 46 conicto +1624 -64 1332 -64 conicto +855 -64 555 324 conicto +256 712 256 1344 conicto +256 1976 555 2364 conicto +855 2752 1332 2752 conicto +1624 2752 1832 2641 conicto +2040 2531 2176 2304 conicto +704 1344 moveto +704 865 900 592 conicto +1096 320 1439 320 conicto +1782 320 1979 592 conicto +2176 865 2176 1344 conicto +2176 1823 1979 2095 conicto +1782 2368 1439 2368 conicto +1096 2368 900 2095 conicto +704 1823 704 1344 conicto +end_ol grestore +gsave 5.783862 3.722500 translate 0.035278 -0.035278 scale +start_ol +end_ol grestore +gsave 5.986169 3.722500 translate 0.035278 -0.035278 scale +start_ol +2112 2560 moveto +2112 2176 lineto +1932 2272 1738 2320 conicto +1544 2368 1336 2368 conicto +1020 2368 862 2269 conicto +704 2170 704 1972 conicto +704 1821 814 1735 conicto +925 1649 1260 1571 conicto +1403 1538 lineto +1857 1438 2048 1255 conicto +2240 1072 2240 744 conicto +2240 371 1954 153 conicto +1668 -64 1167 -64 conicto +958 -64 732 -16 conicto +506 32 256 128 conicto +256 576 lineto +491 448 719 384 conicto +947 320 1170 320 conicto +1470 320 1631 425 conicto +1792 531 1792 722 conicto +1792 900 1678 994 conicto +1564 1089 1177 1177 conicto +1032 1212 lineto +621 1298 438 1476 conicto +256 1654 256 1964 conicto +256 2341 520 2546 conicto +784 2752 1269 2752 conicto +1509 2752 1721 2704 conicto +1933 2656 2112 2560 conicto +end_ol grestore +gsave 6.318354 3.722500 translate 0.035278 -0.035278 scale +start_ol +896 3456 moveto +896 2688 lineto +1792 2688 lineto +1792 2368 lineto +896 2368 lineto +896 902 lineto +896 572 984 478 conicto +1073 384 1345 384 conicto +1792 384 lineto +1792 0 lineto +1345 0 lineto +836 0 642 194 conicto +448 389 448 902 conicto +448 2368 lineto +128 2368 lineto +128 2688 lineto +448 2688 lineto +448 3456 lineto +896 3456 lineto +end_ol grestore +gsave 6.568121 3.722500 translate 0.035278 -0.035278 scale +start_ol +1984 2304 moveto +1912 2337 1828 2352 conicto +1744 2368 1642 2368 conicto +1282 2368 1089 2127 conicto +896 1887 896 1437 conicto +896 0 lineto +448 0 lineto +448 2688 lineto +896 2688 lineto +896 2304 lineto +1030 2531 1245 2641 conicto +1460 2752 1767 2752 conicto +1811 2752 1864 2752 conicto +1917 2752 1982 2752 conicto +1984 2304 lineto +end_ol grestore +gsave 6.815382 3.722500 translate 0.035278 -0.035278 scale +start_ol +2752 1480 moveto +2752 1280 lineto +704 1280 lineto +733 811 978 565 conicto +1223 320 1660 320 conicto +1914 320 2152 384 conicto +2390 448 2624 576 conicto +2624 192 lineto +2388 67 2140 1 conicto +1893 -64 1639 -64 conicto +1001 -64 628 309 conicto +256 683 256 1320 conicto +256 1979 613 2365 conicto +970 2752 1576 2752 conicto +2120 2752 2436 2410 conicto +2752 2068 2752 1480 conicto +2304 1600 moveto +2299 1950 2099 2159 conicto +1900 2368 1572 2368 conicto +1200 2368 976 2166 conicto +753 1964 719 1597 conicto +2304 1600 lineto +end_ol grestore +gsave 7.210008 3.722500 translate 0.035278 -0.035278 scale +start_ol +896 3456 moveto +896 2688 lineto +1792 2688 lineto +1792 2368 lineto +896 2368 lineto +896 902 lineto +896 572 984 478 conicto +1073 384 1345 384 conicto +1792 384 lineto +1792 0 lineto +1345 0 lineto +836 0 642 194 conicto +448 389 448 902 conicto +448 2368 lineto +128 2368 lineto +128 2688 lineto +448 2688 lineto +448 3456 lineto +896 3456 lineto +end_ol grestore +gsave 7.459775 3.722500 translate 0.035278 -0.035278 scale +start_ol +2368 2560 moveto +2368 2176 lineto +2180 2272 1991 2320 conicto +1803 2368 1611 2368 conicto +1180 2368 942 2099 conicto +704 1830 704 1344 conicto +704 858 942 589 conicto +1180 320 1611 320 conicto +1803 320 1991 368 conicto +2180 416 2368 512 conicto +2368 128 lineto +2184 32 1987 -16 conicto +1791 -64 1569 -64 conicto +966 -64 611 316 conicto +256 697 256 1344 conicto +256 2000 615 2376 conicto +974 2752 1598 2752 conicto +1801 2752 1994 2704 conicto +2187 2656 2368 2560 conicto +end_ol grestore +gsave 7.811943 3.722500 translate 0.035278 -0.035278 scale +start_ol +2688 1646 moveto +2688 0 lineto +2240 0 lineto +2240 1632 lineto +2240 2001 2093 2184 conicto +1947 2368 1654 2368 conicto +1302 2368 1099 2146 conicto +896 1925 896 1542 conicto +896 0 lineto +448 0 lineto +448 3712 lineto +896 3712 lineto +896 2304 lineto +1053 2529 1266 2640 conicto +1479 2752 1757 2752 conicto +2217 2752 2452 2471 conicto +2688 2191 2688 1646 conicto +end_ol grestore +0.100000 slw +[] 0 sd +[] 0 sd +0 slj +0.000000 1.000000 0.000000 srgb +n 3.000000 6.000000 m 3.000000 8.200000 l 9.800000 8.200000 l 9.800000 6.000000 l f +0.000000 0.000000 0.000000 srgb +n 3.000000 6.000000 m 3.000000 8.200000 l 9.800000 8.200000 l 9.800000 6.000000 l cp s +gsave 4.593750 6.922500 translate 0.035278 -0.035278 scale +start_ol +448 3520 moveto +960 3520 lineto +960 0 lineto +448 0 lineto +448 3520 lineto +end_ol grestore +gsave 4.783572 6.922500 translate 0.035278 -0.035278 scale +start_ol +2688 1646 moveto +2688 0 lineto +2240 0 lineto +2240 1632 lineto +2240 2001 2093 2184 conicto +1947 2368 1654 2368 conicto +1302 2368 1099 2146 conicto +896 1925 896 1542 conicto +896 0 lineto +448 0 lineto +448 2688 lineto +896 2688 lineto +896 2304 lineto +1053 2529 1266 2640 conicto +1479 2752 1757 2752 conicto +2217 2752 2452 2471 conicto +2688 2191 2688 1646 conicto +end_ol grestore +gsave 5.188186 6.922500 translate 0.035278 -0.035278 scale +start_ol +896 384 moveto +896 -1024 lineto +448 -1024 lineto +448 2688 lineto +896 2688 lineto +896 2304 lineto +1032 2531 1240 2641 conicto +1448 2752 1737 2752 conicto +2217 2752 2516 2364 conicto +2816 1976 2816 1344 conicto +2816 712 2516 324 conicto +2217 -64 1737 -64 conicto +1448 -64 1240 46 conicto +1032 157 896 384 conicto +2368 1344 moveto +2368 1823 2171 2095 conicto +1975 2368 1632 2368 conicto +1289 2368 1092 2095 conicto +896 1823 896 1344 conicto +896 865 1092 592 conicto +1289 320 1632 320 conicto +1975 320 2171 592 conicto +2368 865 2368 1344 conicto +end_ol grestore +gsave 5.595305 6.922500 translate 0.035278 -0.035278 scale +start_ol +448 1040 moveto +448 2688 lineto +896 2688 lineto +896 1057 lineto +896 689 1042 504 conicto +1188 320 1481 320 conicto +1832 320 2036 541 conicto +2240 763 2240 1145 conicto +2240 2688 lineto +2688 2688 lineto +2688 0 lineto +2240 0 lineto +2240 384 lineto +2081 157 1870 46 conicto +1660 -64 1382 -64 conicto +923 -64 685 217 conicto +448 499 448 1040 conicto +1554 2752 moveto +1554 2752 lineto +end_ol grestore +gsave 5.999919 6.922500 translate 0.035278 -0.035278 scale +start_ol +896 3456 moveto +896 2688 lineto +1792 2688 lineto +1792 2368 lineto +896 2368 lineto +896 902 lineto +896 572 984 478 conicto +1073 384 1345 384 conicto +1792 384 lineto +1792 0 lineto +1345 0 lineto +836 0 642 194 conicto +448 389 448 902 conicto +448 2368 lineto +128 2368 lineto +128 2688 lineto +448 2688 lineto +448 3456 lineto +896 3456 lineto +end_ol grestore +gsave 6.249686 6.922500 translate 0.035278 -0.035278 scale +start_ol +end_ol grestore +gsave 6.451993 6.922500 translate 0.035278 -0.035278 scale +start_ol +2176 2304 moveto +2176 3712 lineto +2624 3712 lineto +2624 0 lineto +2176 0 lineto +2176 384 lineto +2040 157 1832 46 conicto +1624 -64 1332 -64 conicto +855 -64 555 324 conicto +256 712 256 1344 conicto +256 1976 555 2364 conicto +855 2752 1332 2752 conicto +1624 2752 1832 2641 conicto +2040 2531 2176 2304 conicto +704 1344 moveto +704 865 900 592 conicto +1096 320 1439 320 conicto +1782 320 1979 592 conicto +2176 865 2176 1344 conicto +2176 1823 1979 2095 conicto +1782 2368 1439 2368 conicto +1096 2368 900 2095 conicto +704 1823 704 1344 conicto +end_ol grestore +gsave 6.859113 6.922500 translate 0.035278 -0.035278 scale +start_ol +2752 1480 moveto +2752 1280 lineto +704 1280 lineto +733 811 978 565 conicto +1223 320 1660 320 conicto +1914 320 2152 384 conicto +2390 448 2624 576 conicto +2624 192 lineto +2388 67 2140 1 conicto +1893 -64 1639 -64 conicto +1001 -64 628 309 conicto +256 683 256 1320 conicto +256 1979 613 2365 conicto +970 2752 1576 2752 conicto +2120 2752 2436 2410 conicto +2752 2068 2752 1480 conicto +2304 1600 moveto +2299 1950 2099 2159 conicto +1900 2368 1572 2368 conicto +1200 2368 976 2166 conicto +753 1964 719 1597 conicto +2304 1600 lineto +end_ol grestore +gsave 7.253739 6.922500 translate 0.035278 -0.035278 scale +start_ol +448 3712 moveto +896 3712 lineto +896 0 lineto +448 0 lineto +448 3712 lineto +end_ol grestore +gsave 7.431067 6.922500 translate 0.035278 -0.035278 scale +start_ol +1622 1344 moveto +1104 1344 904 1225 conicto +704 1106 704 818 conicto +704 589 854 454 conicto +1004 320 1262 320 conicto +1618 320 1833 572 conicto +2048 825 2048 1244 conicto +2048 1344 lineto +1622 1344 lineto +2496 1513 moveto +2496 0 lineto +2048 0 lineto +2048 384 lineto +1900 154 1679 45 conicto +1458 -64 1138 -64 conicto +733 -64 494 162 conicto +256 389 256 769 conicto +256 1213 555 1438 conicto +854 1664 1448 1664 conicto +2048 1664 lineto +2048 1712 lineto +2048 2025 1851 2196 conicto +1655 2368 1300 2368 conicto +1074 2368 860 2320 conicto +646 2272 448 2176 conicto +448 2560 lineto +687 2656 912 2704 conicto +1138 2752 1351 2752 conicto +1927 2752 2211 2444 conicto +2496 2137 2496 1513 conicto +end_ol grestore +gsave 7.823196 6.922500 translate 0.035278 -0.035278 scale +start_ol +1542 -238 moveto +1360 -726 1187 -875 conicto +1015 -1024 726 -1024 conicto +384 -1024 lineto +384 -640 lineto +636 -640 lineto +813 -640 910 -555 conicto +1008 -470 1127 -155 conicto +1204 46 lineto +128 2688 lineto +603 2688 lineto +1418 586 lineto +2234 2688 lineto +2688 2688 lineto +1542 -238 lineto +end_ol grestore +gsave 4.580000 7.722500 translate 0.035278 -0.035278 scale +start_ol +1622 1344 moveto +1104 1344 904 1225 conicto +704 1106 704 818 conicto +704 589 854 454 conicto +1004 320 1262 320 conicto +1618 320 1833 572 conicto +2048 825 2048 1244 conicto +2048 1344 lineto +1622 1344 lineto +2496 1513 moveto +2496 0 lineto +2048 0 lineto +2048 384 lineto +1900 154 1679 45 conicto +1458 -64 1138 -64 conicto +733 -64 494 162 conicto +256 389 256 769 conicto +256 1213 555 1438 conicto +854 1664 1448 1664 conicto +2048 1664 lineto +2048 1712 lineto +2048 2025 1851 2196 conicto +1655 2368 1300 2368 conicto +1074 2368 860 2320 conicto +646 2272 448 2176 conicto +448 2560 lineto +687 2656 912 2704 conicto +1138 2752 1351 2752 conicto +1927 2752 2211 2444 conicto +2496 2137 2496 1513 conicto +end_ol grestore +gsave 4.972129 7.722500 translate 0.035278 -0.035278 scale +start_ol +2688 1646 moveto +2688 0 lineto +2240 0 lineto +2240 1632 lineto +2240 2001 2093 2184 conicto +1947 2368 1654 2368 conicto +1302 2368 1099 2146 conicto +896 1925 896 1542 conicto +896 0 lineto +448 0 lineto +448 2688 lineto +896 2688 lineto +896 2304 lineto +1053 2529 1266 2640 conicto +1479 2752 1757 2752 conicto +2217 2752 2452 2471 conicto +2688 2191 2688 1646 conicto +end_ol grestore +gsave 5.376743 7.722500 translate 0.035278 -0.035278 scale +start_ol +2176 2304 moveto +2176 3712 lineto +2624 3712 lineto +2624 0 lineto +2176 0 lineto +2176 384 lineto +2040 157 1832 46 conicto +1624 -64 1332 -64 conicto +855 -64 555 324 conicto +256 712 256 1344 conicto +256 1976 555 2364 conicto +855 2752 1332 2752 conicto +1624 2752 1832 2641 conicto +2040 2531 2176 2304 conicto +704 1344 moveto +704 865 900 592 conicto +1096 320 1439 320 conicto +1782 320 1979 592 conicto +2176 865 2176 1344 conicto +2176 1823 1979 2095 conicto +1782 2368 1439 2368 conicto +1096 2368 900 2095 conicto +704 1823 704 1344 conicto +end_ol grestore +gsave 5.783862 7.722500 translate 0.035278 -0.035278 scale +start_ol +end_ol grestore +gsave 5.986169 7.722500 translate 0.035278 -0.035278 scale +start_ol +2112 2560 moveto +2112 2176 lineto +1932 2272 1738 2320 conicto +1544 2368 1336 2368 conicto +1020 2368 862 2269 conicto +704 2170 704 1972 conicto +704 1821 814 1735 conicto +925 1649 1260 1571 conicto +1403 1538 lineto +1857 1438 2048 1255 conicto +2240 1072 2240 744 conicto +2240 371 1954 153 conicto +1668 -64 1167 -64 conicto +958 -64 732 -16 conicto +506 32 256 128 conicto +256 576 lineto +491 448 719 384 conicto +947 320 1170 320 conicto +1470 320 1631 425 conicto +1792 531 1792 722 conicto +1792 900 1678 994 conicto +1564 1089 1177 1177 conicto +1032 1212 lineto +621 1298 438 1476 conicto +256 1654 256 1964 conicto +256 2341 520 2546 conicto +784 2752 1269 2752 conicto +1509 2752 1721 2704 conicto +1933 2656 2112 2560 conicto +end_ol grestore +gsave 6.318354 7.722500 translate 0.035278 -0.035278 scale +start_ol +896 3456 moveto +896 2688 lineto +1792 2688 lineto +1792 2368 lineto +896 2368 lineto +896 902 lineto +896 572 984 478 conicto +1073 384 1345 384 conicto +1792 384 lineto +1792 0 lineto +1345 0 lineto +836 0 642 194 conicto +448 389 448 902 conicto +448 2368 lineto +128 2368 lineto +128 2688 lineto +448 2688 lineto +448 3456 lineto +896 3456 lineto +end_ol grestore +gsave 6.568121 7.722500 translate 0.035278 -0.035278 scale +start_ol +1984 2304 moveto +1912 2337 1828 2352 conicto +1744 2368 1642 2368 conicto +1282 2368 1089 2127 conicto +896 1887 896 1437 conicto +896 0 lineto +448 0 lineto +448 2688 lineto +896 2688 lineto +896 2304 lineto +1030 2531 1245 2641 conicto +1460 2752 1767 2752 conicto +1811 2752 1864 2752 conicto +1917 2752 1982 2752 conicto +1984 2304 lineto +end_ol grestore +gsave 6.815382 7.722500 translate 0.035278 -0.035278 scale +start_ol +2752 1480 moveto +2752 1280 lineto +704 1280 lineto +733 811 978 565 conicto +1223 320 1660 320 conicto +1914 320 2152 384 conicto +2390 448 2624 576 conicto +2624 192 lineto +2388 67 2140 1 conicto +1893 -64 1639 -64 conicto +1001 -64 628 309 conicto +256 683 256 1320 conicto +256 1979 613 2365 conicto +970 2752 1576 2752 conicto +2120 2752 2436 2410 conicto +2752 2068 2752 1480 conicto +2304 1600 moveto +2299 1950 2099 2159 conicto +1900 2368 1572 2368 conicto +1200 2368 976 2166 conicto +753 1964 719 1597 conicto +2304 1600 lineto +end_ol grestore +gsave 7.210008 7.722500 translate 0.035278 -0.035278 scale +start_ol +896 3456 moveto +896 2688 lineto +1792 2688 lineto +1792 2368 lineto +896 2368 lineto +896 902 lineto +896 572 984 478 conicto +1073 384 1345 384 conicto +1792 384 lineto +1792 0 lineto +1345 0 lineto +836 0 642 194 conicto +448 389 448 902 conicto +448 2368 lineto +128 2368 lineto +128 2688 lineto +448 2688 lineto +448 3456 lineto +896 3456 lineto +end_ol grestore +gsave 7.459775 7.722500 translate 0.035278 -0.035278 scale +start_ol +2368 2560 moveto +2368 2176 lineto +2180 2272 1991 2320 conicto +1803 2368 1611 2368 conicto +1180 2368 942 2099 conicto +704 1830 704 1344 conicto +704 858 942 589 conicto +1180 320 1611 320 conicto +1803 320 1991 368 conicto +2180 416 2368 512 conicto +2368 128 lineto +2184 32 1987 -16 conicto +1791 -64 1569 -64 conicto +966 -64 611 316 conicto +256 697 256 1344 conicto +256 2000 615 2376 conicto +974 2752 1598 2752 conicto +1801 2752 1994 2704 conicto +2187 2656 2368 2560 conicto +end_ol grestore +gsave 7.811943 7.722500 translate 0.035278 -0.035278 scale +start_ol +2688 1646 moveto +2688 0 lineto +2240 0 lineto +2240 1632 lineto +2240 2001 2093 2184 conicto +1947 2368 1654 2368 conicto +1302 2368 1099 2146 conicto +896 1925 896 1542 conicto +896 0 lineto +448 0 lineto +448 3712 lineto +896 3712 lineto +896 2304 lineto +1053 2529 1266 2640 conicto +1479 2752 1757 2752 conicto +2217 2752 2452 2471 conicto +2688 2191 2688 1646 conicto +end_ol grestore +0.100000 slw +[] 0 sd +[] 0 sd +0 slj +0.000000 1.000000 0.000000 srgb +n 3.000000 10.000000 m 3.000000 12.200000 l 9.800000 12.200000 l 9.800000 10.000000 l f +0.000000 0.000000 0.000000 srgb +n 3.000000 10.000000 m 3.000000 12.200000 l 9.800000 12.200000 l 9.800000 10.000000 l cp s +gsave 4.593750 10.922500 translate 0.035278 -0.035278 scale +start_ol +448 3520 moveto +960 3520 lineto +960 0 lineto +448 0 lineto +448 3520 lineto +end_ol grestore +gsave 4.783572 10.922500 translate 0.035278 -0.035278 scale +start_ol +2688 1646 moveto +2688 0 lineto +2240 0 lineto +2240 1632 lineto +2240 2001 2093 2184 conicto +1947 2368 1654 2368 conicto +1302 2368 1099 2146 conicto +896 1925 896 1542 conicto +896 0 lineto +448 0 lineto +448 2688 lineto +896 2688 lineto +896 2304 lineto +1053 2529 1266 2640 conicto +1479 2752 1757 2752 conicto +2217 2752 2452 2471 conicto +2688 2191 2688 1646 conicto +end_ol grestore +gsave 5.188186 10.922500 translate 0.035278 -0.035278 scale +start_ol +896 384 moveto +896 -1024 lineto +448 -1024 lineto +448 2688 lineto +896 2688 lineto +896 2304 lineto +1032 2531 1240 2641 conicto +1448 2752 1737 2752 conicto +2217 2752 2516 2364 conicto +2816 1976 2816 1344 conicto +2816 712 2516 324 conicto +2217 -64 1737 -64 conicto +1448 -64 1240 46 conicto +1032 157 896 384 conicto +2368 1344 moveto +2368 1823 2171 2095 conicto +1975 2368 1632 2368 conicto +1289 2368 1092 2095 conicto +896 1823 896 1344 conicto +896 865 1092 592 conicto +1289 320 1632 320 conicto +1975 320 2171 592 conicto +2368 865 2368 1344 conicto +end_ol grestore +gsave 5.595305 10.922500 translate 0.035278 -0.035278 scale +start_ol +448 1040 moveto +448 2688 lineto +896 2688 lineto +896 1057 lineto +896 689 1042 504 conicto +1188 320 1481 320 conicto +1832 320 2036 541 conicto +2240 763 2240 1145 conicto +2240 2688 lineto +2688 2688 lineto +2688 0 lineto +2240 0 lineto +2240 384 lineto +2081 157 1870 46 conicto +1660 -64 1382 -64 conicto +923 -64 685 217 conicto +448 499 448 1040 conicto +1554 2752 moveto +1554 2752 lineto +end_ol grestore +gsave 5.999919 10.922500 translate 0.035278 -0.035278 scale +start_ol +896 3456 moveto +896 2688 lineto +1792 2688 lineto +1792 2368 lineto +896 2368 lineto +896 902 lineto +896 572 984 478 conicto +1073 384 1345 384 conicto +1792 384 lineto +1792 0 lineto +1345 0 lineto +836 0 642 194 conicto +448 389 448 902 conicto +448 2368 lineto +128 2368 lineto +128 2688 lineto +448 2688 lineto +448 3456 lineto +896 3456 lineto +end_ol grestore +gsave 6.249686 10.922500 translate 0.035278 -0.035278 scale +start_ol +end_ol grestore +gsave 6.451993 10.922500 translate 0.035278 -0.035278 scale +start_ol +2176 2304 moveto +2176 3712 lineto +2624 3712 lineto +2624 0 lineto +2176 0 lineto +2176 384 lineto +2040 157 1832 46 conicto +1624 -64 1332 -64 conicto +855 -64 555 324 conicto +256 712 256 1344 conicto +256 1976 555 2364 conicto +855 2752 1332 2752 conicto +1624 2752 1832 2641 conicto +2040 2531 2176 2304 conicto +704 1344 moveto +704 865 900 592 conicto +1096 320 1439 320 conicto +1782 320 1979 592 conicto +2176 865 2176 1344 conicto +2176 1823 1979 2095 conicto +1782 2368 1439 2368 conicto +1096 2368 900 2095 conicto +704 1823 704 1344 conicto +end_ol grestore +gsave 6.859113 10.922500 translate 0.035278 -0.035278 scale +start_ol +2752 1480 moveto +2752 1280 lineto +704 1280 lineto +733 811 978 565 conicto +1223 320 1660 320 conicto +1914 320 2152 384 conicto +2390 448 2624 576 conicto +2624 192 lineto +2388 67 2140 1 conicto +1893 -64 1639 -64 conicto +1001 -64 628 309 conicto +256 683 256 1320 conicto +256 1979 613 2365 conicto +970 2752 1576 2752 conicto +2120 2752 2436 2410 conicto +2752 2068 2752 1480 conicto +2304 1600 moveto +2299 1950 2099 2159 conicto +1900 2368 1572 2368 conicto +1200 2368 976 2166 conicto +753 1964 719 1597 conicto +2304 1600 lineto +end_ol grestore +gsave 7.253739 10.922500 translate 0.035278 -0.035278 scale +start_ol +448 3712 moveto +896 3712 lineto +896 0 lineto +448 0 lineto +448 3712 lineto +end_ol grestore +gsave 7.431067 10.922500 translate 0.035278 -0.035278 scale +start_ol +1622 1344 moveto +1104 1344 904 1225 conicto +704 1106 704 818 conicto +704 589 854 454 conicto +1004 320 1262 320 conicto +1618 320 1833 572 conicto +2048 825 2048 1244 conicto +2048 1344 lineto +1622 1344 lineto +2496 1513 moveto +2496 0 lineto +2048 0 lineto +2048 384 lineto +1900 154 1679 45 conicto +1458 -64 1138 -64 conicto +733 -64 494 162 conicto +256 389 256 769 conicto +256 1213 555 1438 conicto +854 1664 1448 1664 conicto +2048 1664 lineto +2048 1712 lineto +2048 2025 1851 2196 conicto +1655 2368 1300 2368 conicto +1074 2368 860 2320 conicto +646 2272 448 2176 conicto +448 2560 lineto +687 2656 912 2704 conicto +1138 2752 1351 2752 conicto +1927 2752 2211 2444 conicto +2496 2137 2496 1513 conicto +end_ol grestore +gsave 7.823196 10.922500 translate 0.035278 -0.035278 scale +start_ol +1542 -238 moveto +1360 -726 1187 -875 conicto +1015 -1024 726 -1024 conicto +384 -1024 lineto +384 -640 lineto +636 -640 lineto +813 -640 910 -555 conicto +1008 -470 1127 -155 conicto +1204 46 lineto +128 2688 lineto +603 2688 lineto +1418 586 lineto +2234 2688 lineto +2688 2688 lineto +1542 -238 lineto +end_ol grestore +gsave 4.580000 11.722500 translate 0.035278 -0.035278 scale +start_ol +1622 1344 moveto +1104 1344 904 1225 conicto +704 1106 704 818 conicto +704 589 854 454 conicto +1004 320 1262 320 conicto +1618 320 1833 572 conicto +2048 825 2048 1244 conicto +2048 1344 lineto +1622 1344 lineto +2496 1513 moveto +2496 0 lineto +2048 0 lineto +2048 384 lineto +1900 154 1679 45 conicto +1458 -64 1138 -64 conicto +733 -64 494 162 conicto +256 389 256 769 conicto +256 1213 555 1438 conicto +854 1664 1448 1664 conicto +2048 1664 lineto +2048 1712 lineto +2048 2025 1851 2196 conicto +1655 2368 1300 2368 conicto +1074 2368 860 2320 conicto +646 2272 448 2176 conicto +448 2560 lineto +687 2656 912 2704 conicto +1138 2752 1351 2752 conicto +1927 2752 2211 2444 conicto +2496 2137 2496 1513 conicto +end_ol grestore +gsave 4.972129 11.722500 translate 0.035278 -0.035278 scale +start_ol +2688 1646 moveto +2688 0 lineto +2240 0 lineto +2240 1632 lineto +2240 2001 2093 2184 conicto +1947 2368 1654 2368 conicto +1302 2368 1099 2146 conicto +896 1925 896 1542 conicto +896 0 lineto +448 0 lineto +448 2688 lineto +896 2688 lineto +896 2304 lineto +1053 2529 1266 2640 conicto +1479 2752 1757 2752 conicto +2217 2752 2452 2471 conicto +2688 2191 2688 1646 conicto +end_ol grestore +gsave 5.376743 11.722500 translate 0.035278 -0.035278 scale +start_ol +2176 2304 moveto +2176 3712 lineto +2624 3712 lineto +2624 0 lineto +2176 0 lineto +2176 384 lineto +2040 157 1832 46 conicto +1624 -64 1332 -64 conicto +855 -64 555 324 conicto +256 712 256 1344 conicto +256 1976 555 2364 conicto +855 2752 1332 2752 conicto +1624 2752 1832 2641 conicto +2040 2531 2176 2304 conicto +704 1344 moveto +704 865 900 592 conicto +1096 320 1439 320 conicto +1782 320 1979 592 conicto +2176 865 2176 1344 conicto +2176 1823 1979 2095 conicto +1782 2368 1439 2368 conicto +1096 2368 900 2095 conicto +704 1823 704 1344 conicto +end_ol grestore +gsave 5.783862 11.722500 translate 0.035278 -0.035278 scale +start_ol +end_ol grestore +gsave 5.986169 11.722500 translate 0.035278 -0.035278 scale +start_ol +2112 2560 moveto +2112 2176 lineto +1932 2272 1738 2320 conicto +1544 2368 1336 2368 conicto +1020 2368 862 2269 conicto +704 2170 704 1972 conicto +704 1821 814 1735 conicto +925 1649 1260 1571 conicto +1403 1538 lineto +1857 1438 2048 1255 conicto +2240 1072 2240 744 conicto +2240 371 1954 153 conicto +1668 -64 1167 -64 conicto +958 -64 732 -16 conicto +506 32 256 128 conicto +256 576 lineto +491 448 719 384 conicto +947 320 1170 320 conicto +1470 320 1631 425 conicto +1792 531 1792 722 conicto +1792 900 1678 994 conicto +1564 1089 1177 1177 conicto +1032 1212 lineto +621 1298 438 1476 conicto +256 1654 256 1964 conicto +256 2341 520 2546 conicto +784 2752 1269 2752 conicto +1509 2752 1721 2704 conicto +1933 2656 2112 2560 conicto +end_ol grestore +gsave 6.318354 11.722500 translate 0.035278 -0.035278 scale +start_ol +896 3456 moveto +896 2688 lineto +1792 2688 lineto +1792 2368 lineto +896 2368 lineto +896 902 lineto +896 572 984 478 conicto +1073 384 1345 384 conicto +1792 384 lineto +1792 0 lineto +1345 0 lineto +836 0 642 194 conicto +448 389 448 902 conicto +448 2368 lineto +128 2368 lineto +128 2688 lineto +448 2688 lineto +448 3456 lineto +896 3456 lineto +end_ol grestore +gsave 6.568121 11.722500 translate 0.035278 -0.035278 scale +start_ol +1984 2304 moveto +1912 2337 1828 2352 conicto +1744 2368 1642 2368 conicto +1282 2368 1089 2127 conicto +896 1887 896 1437 conicto +896 0 lineto +448 0 lineto +448 2688 lineto +896 2688 lineto +896 2304 lineto +1030 2531 1245 2641 conicto +1460 2752 1767 2752 conicto +1811 2752 1864 2752 conicto +1917 2752 1982 2752 conicto +1984 2304 lineto +end_ol grestore +gsave 6.815382 11.722500 translate 0.035278 -0.035278 scale +start_ol +2752 1480 moveto +2752 1280 lineto +704 1280 lineto +733 811 978 565 conicto +1223 320 1660 320 conicto +1914 320 2152 384 conicto +2390 448 2624 576 conicto +2624 192 lineto +2388 67 2140 1 conicto +1893 -64 1639 -64 conicto +1001 -64 628 309 conicto +256 683 256 1320 conicto +256 1979 613 2365 conicto +970 2752 1576 2752 conicto +2120 2752 2436 2410 conicto +2752 2068 2752 1480 conicto +2304 1600 moveto +2299 1950 2099 2159 conicto +1900 2368 1572 2368 conicto +1200 2368 976 2166 conicto +753 1964 719 1597 conicto +2304 1600 lineto +end_ol grestore +gsave 7.210008 11.722500 translate 0.035278 -0.035278 scale +start_ol +896 3456 moveto +896 2688 lineto +1792 2688 lineto +1792 2368 lineto +896 2368 lineto +896 902 lineto +896 572 984 478 conicto +1073 384 1345 384 conicto +1792 384 lineto +1792 0 lineto +1345 0 lineto +836 0 642 194 conicto +448 389 448 902 conicto +448 2368 lineto +128 2368 lineto +128 2688 lineto +448 2688 lineto +448 3456 lineto +896 3456 lineto +end_ol grestore +gsave 7.459775 11.722500 translate 0.035278 -0.035278 scale +start_ol +2368 2560 moveto +2368 2176 lineto +2180 2272 1991 2320 conicto +1803 2368 1611 2368 conicto +1180 2368 942 2099 conicto +704 1830 704 1344 conicto +704 858 942 589 conicto +1180 320 1611 320 conicto +1803 320 1991 368 conicto +2180 416 2368 512 conicto +2368 128 lineto +2184 32 1987 -16 conicto +1791 -64 1569 -64 conicto +966 -64 611 316 conicto +256 697 256 1344 conicto +256 2000 615 2376 conicto +974 2752 1598 2752 conicto +1801 2752 1994 2704 conicto +2187 2656 2368 2560 conicto +end_ol grestore +gsave 7.811943 11.722500 translate 0.035278 -0.035278 scale +start_ol +2688 1646 moveto +2688 0 lineto +2240 0 lineto +2240 1632 lineto +2240 2001 2093 2184 conicto +1947 2368 1654 2368 conicto +1302 2368 1099 2146 conicto +896 1925 896 1542 conicto +896 0 lineto +448 0 lineto +448 3712 lineto +896 3712 lineto +896 2304 lineto +1053 2529 1266 2640 conicto +1479 2752 1757 2752 conicto +2217 2752 2452 2471 conicto +2688 2191 2688 1646 conicto +end_ol grestore +0.100000 slw +[] 0 sd +[] 0 sd +0 slj +0.000000 1.000000 0.000000 srgb +n 3.000000 14.000000 m 3.000000 16.200000 l 9.800000 16.200000 l 9.800000 14.000000 l f +0.000000 0.000000 0.000000 srgb +n 3.000000 14.000000 m 3.000000 16.200000 l 9.800000 16.200000 l 9.800000 14.000000 l cp s +gsave 4.593750 14.922500 translate 0.035278 -0.035278 scale +start_ol +448 3520 moveto +960 3520 lineto +960 0 lineto +448 0 lineto +448 3520 lineto +end_ol grestore +gsave 4.783572 14.922500 translate 0.035278 -0.035278 scale +start_ol +2688 1646 moveto +2688 0 lineto +2240 0 lineto +2240 1632 lineto +2240 2001 2093 2184 conicto +1947 2368 1654 2368 conicto +1302 2368 1099 2146 conicto +896 1925 896 1542 conicto +896 0 lineto +448 0 lineto +448 2688 lineto +896 2688 lineto +896 2304 lineto +1053 2529 1266 2640 conicto +1479 2752 1757 2752 conicto +2217 2752 2452 2471 conicto +2688 2191 2688 1646 conicto +end_ol grestore +gsave 5.188186 14.922500 translate 0.035278 -0.035278 scale +start_ol +896 384 moveto +896 -1024 lineto +448 -1024 lineto +448 2688 lineto +896 2688 lineto +896 2304 lineto +1032 2531 1240 2641 conicto +1448 2752 1737 2752 conicto +2217 2752 2516 2364 conicto +2816 1976 2816 1344 conicto +2816 712 2516 324 conicto +2217 -64 1737 -64 conicto +1448 -64 1240 46 conicto +1032 157 896 384 conicto +2368 1344 moveto +2368 1823 2171 2095 conicto +1975 2368 1632 2368 conicto +1289 2368 1092 2095 conicto +896 1823 896 1344 conicto +896 865 1092 592 conicto +1289 320 1632 320 conicto +1975 320 2171 592 conicto +2368 865 2368 1344 conicto +end_ol grestore +gsave 5.595305 14.922500 translate 0.035278 -0.035278 scale +start_ol +448 1040 moveto +448 2688 lineto +896 2688 lineto +896 1057 lineto +896 689 1042 504 conicto +1188 320 1481 320 conicto +1832 320 2036 541 conicto +2240 763 2240 1145 conicto +2240 2688 lineto +2688 2688 lineto +2688 0 lineto +2240 0 lineto +2240 384 lineto +2081 157 1870 46 conicto +1660 -64 1382 -64 conicto +923 -64 685 217 conicto +448 499 448 1040 conicto +1554 2752 moveto +1554 2752 lineto +end_ol grestore +gsave 5.999919 14.922500 translate 0.035278 -0.035278 scale +start_ol +896 3456 moveto +896 2688 lineto +1792 2688 lineto +1792 2368 lineto +896 2368 lineto +896 902 lineto +896 572 984 478 conicto +1073 384 1345 384 conicto +1792 384 lineto +1792 0 lineto +1345 0 lineto +836 0 642 194 conicto +448 389 448 902 conicto +448 2368 lineto +128 2368 lineto +128 2688 lineto +448 2688 lineto +448 3456 lineto +896 3456 lineto +end_ol grestore +gsave 6.249686 14.922500 translate 0.035278 -0.035278 scale +start_ol +end_ol grestore +gsave 6.451993 14.922500 translate 0.035278 -0.035278 scale +start_ol +2176 2304 moveto +2176 3712 lineto +2624 3712 lineto +2624 0 lineto +2176 0 lineto +2176 384 lineto +2040 157 1832 46 conicto +1624 -64 1332 -64 conicto +855 -64 555 324 conicto +256 712 256 1344 conicto +256 1976 555 2364 conicto +855 2752 1332 2752 conicto +1624 2752 1832 2641 conicto +2040 2531 2176 2304 conicto +704 1344 moveto +704 865 900 592 conicto +1096 320 1439 320 conicto +1782 320 1979 592 conicto +2176 865 2176 1344 conicto +2176 1823 1979 2095 conicto +1782 2368 1439 2368 conicto +1096 2368 900 2095 conicto +704 1823 704 1344 conicto +end_ol grestore +gsave 6.859113 14.922500 translate 0.035278 -0.035278 scale +start_ol +2752 1480 moveto +2752 1280 lineto +704 1280 lineto +733 811 978 565 conicto +1223 320 1660 320 conicto +1914 320 2152 384 conicto +2390 448 2624 576 conicto +2624 192 lineto +2388 67 2140 1 conicto +1893 -64 1639 -64 conicto +1001 -64 628 309 conicto +256 683 256 1320 conicto +256 1979 613 2365 conicto +970 2752 1576 2752 conicto +2120 2752 2436 2410 conicto +2752 2068 2752 1480 conicto +2304 1600 moveto +2299 1950 2099 2159 conicto +1900 2368 1572 2368 conicto +1200 2368 976 2166 conicto +753 1964 719 1597 conicto +2304 1600 lineto +end_ol grestore +gsave 7.253739 14.922500 translate 0.035278 -0.035278 scale +start_ol +448 3712 moveto +896 3712 lineto +896 0 lineto +448 0 lineto +448 3712 lineto +end_ol grestore +gsave 7.431067 14.922500 translate 0.035278 -0.035278 scale +start_ol +1622 1344 moveto +1104 1344 904 1225 conicto +704 1106 704 818 conicto +704 589 854 454 conicto +1004 320 1262 320 conicto +1618 320 1833 572 conicto +2048 825 2048 1244 conicto +2048 1344 lineto +1622 1344 lineto +2496 1513 moveto +2496 0 lineto +2048 0 lineto +2048 384 lineto +1900 154 1679 45 conicto +1458 -64 1138 -64 conicto +733 -64 494 162 conicto +256 389 256 769 conicto +256 1213 555 1438 conicto +854 1664 1448 1664 conicto +2048 1664 lineto +2048 1712 lineto +2048 2025 1851 2196 conicto +1655 2368 1300 2368 conicto +1074 2368 860 2320 conicto +646 2272 448 2176 conicto +448 2560 lineto +687 2656 912 2704 conicto +1138 2752 1351 2752 conicto +1927 2752 2211 2444 conicto +2496 2137 2496 1513 conicto +end_ol grestore +gsave 7.823196 14.922500 translate 0.035278 -0.035278 scale +start_ol +1542 -238 moveto +1360 -726 1187 -875 conicto +1015 -1024 726 -1024 conicto +384 -1024 lineto +384 -640 lineto +636 -640 lineto +813 -640 910 -555 conicto +1008 -470 1127 -155 conicto +1204 46 lineto +128 2688 lineto +603 2688 lineto +1418 586 lineto +2234 2688 lineto +2688 2688 lineto +1542 -238 lineto +end_ol grestore +gsave 4.580000 15.722500 translate 0.035278 -0.035278 scale +start_ol +1622 1344 moveto +1104 1344 904 1225 conicto +704 1106 704 818 conicto +704 589 854 454 conicto +1004 320 1262 320 conicto +1618 320 1833 572 conicto +2048 825 2048 1244 conicto +2048 1344 lineto +1622 1344 lineto +2496 1513 moveto +2496 0 lineto +2048 0 lineto +2048 384 lineto +1900 154 1679 45 conicto +1458 -64 1138 -64 conicto +733 -64 494 162 conicto +256 389 256 769 conicto +256 1213 555 1438 conicto +854 1664 1448 1664 conicto +2048 1664 lineto +2048 1712 lineto +2048 2025 1851 2196 conicto +1655 2368 1300 2368 conicto +1074 2368 860 2320 conicto +646 2272 448 2176 conicto +448 2560 lineto +687 2656 912 2704 conicto +1138 2752 1351 2752 conicto +1927 2752 2211 2444 conicto +2496 2137 2496 1513 conicto +end_ol grestore +gsave 4.972129 15.722500 translate 0.035278 -0.035278 scale +start_ol +2688 1646 moveto +2688 0 lineto +2240 0 lineto +2240 1632 lineto +2240 2001 2093 2184 conicto +1947 2368 1654 2368 conicto +1302 2368 1099 2146 conicto +896 1925 896 1542 conicto +896 0 lineto +448 0 lineto +448 2688 lineto +896 2688 lineto +896 2304 lineto +1053 2529 1266 2640 conicto +1479 2752 1757 2752 conicto +2217 2752 2452 2471 conicto +2688 2191 2688 1646 conicto +end_ol grestore +gsave 5.376743 15.722500 translate 0.035278 -0.035278 scale +start_ol +2176 2304 moveto +2176 3712 lineto +2624 3712 lineto +2624 0 lineto +2176 0 lineto +2176 384 lineto +2040 157 1832 46 conicto +1624 -64 1332 -64 conicto +855 -64 555 324 conicto +256 712 256 1344 conicto +256 1976 555 2364 conicto +855 2752 1332 2752 conicto +1624 2752 1832 2641 conicto +2040 2531 2176 2304 conicto +704 1344 moveto +704 865 900 592 conicto +1096 320 1439 320 conicto +1782 320 1979 592 conicto +2176 865 2176 1344 conicto +2176 1823 1979 2095 conicto +1782 2368 1439 2368 conicto +1096 2368 900 2095 conicto +704 1823 704 1344 conicto +end_ol grestore +gsave 5.783862 15.722500 translate 0.035278 -0.035278 scale +start_ol +end_ol grestore +gsave 5.986169 15.722500 translate 0.035278 -0.035278 scale +start_ol +2112 2560 moveto +2112 2176 lineto +1932 2272 1738 2320 conicto +1544 2368 1336 2368 conicto +1020 2368 862 2269 conicto +704 2170 704 1972 conicto +704 1821 814 1735 conicto +925 1649 1260 1571 conicto +1403 1538 lineto +1857 1438 2048 1255 conicto +2240 1072 2240 744 conicto +2240 371 1954 153 conicto +1668 -64 1167 -64 conicto +958 -64 732 -16 conicto +506 32 256 128 conicto +256 576 lineto +491 448 719 384 conicto +947 320 1170 320 conicto +1470 320 1631 425 conicto +1792 531 1792 722 conicto +1792 900 1678 994 conicto +1564 1089 1177 1177 conicto +1032 1212 lineto +621 1298 438 1476 conicto +256 1654 256 1964 conicto +256 2341 520 2546 conicto +784 2752 1269 2752 conicto +1509 2752 1721 2704 conicto +1933 2656 2112 2560 conicto +end_ol grestore +gsave 6.318354 15.722500 translate 0.035278 -0.035278 scale +start_ol +896 3456 moveto +896 2688 lineto +1792 2688 lineto +1792 2368 lineto +896 2368 lineto +896 902 lineto +896 572 984 478 conicto +1073 384 1345 384 conicto +1792 384 lineto +1792 0 lineto +1345 0 lineto +836 0 642 194 conicto +448 389 448 902 conicto +448 2368 lineto +128 2368 lineto +128 2688 lineto +448 2688 lineto +448 3456 lineto +896 3456 lineto +end_ol grestore +gsave 6.568121 15.722500 translate 0.035278 -0.035278 scale +start_ol +1984 2304 moveto +1912 2337 1828 2352 conicto +1744 2368 1642 2368 conicto +1282 2368 1089 2127 conicto +896 1887 896 1437 conicto +896 0 lineto +448 0 lineto +448 2688 lineto +896 2688 lineto +896 2304 lineto +1030 2531 1245 2641 conicto +1460 2752 1767 2752 conicto +1811 2752 1864 2752 conicto +1917 2752 1982 2752 conicto +1984 2304 lineto +end_ol grestore +gsave 6.815382 15.722500 translate 0.035278 -0.035278 scale +start_ol +2752 1480 moveto +2752 1280 lineto +704 1280 lineto +733 811 978 565 conicto +1223 320 1660 320 conicto +1914 320 2152 384 conicto +2390 448 2624 576 conicto +2624 192 lineto +2388 67 2140 1 conicto +1893 -64 1639 -64 conicto +1001 -64 628 309 conicto +256 683 256 1320 conicto +256 1979 613 2365 conicto +970 2752 1576 2752 conicto +2120 2752 2436 2410 conicto +2752 2068 2752 1480 conicto +2304 1600 moveto +2299 1950 2099 2159 conicto +1900 2368 1572 2368 conicto +1200 2368 976 2166 conicto +753 1964 719 1597 conicto +2304 1600 lineto +end_ol grestore +gsave 7.210008 15.722500 translate 0.035278 -0.035278 scale +start_ol +896 3456 moveto +896 2688 lineto +1792 2688 lineto +1792 2368 lineto +896 2368 lineto +896 902 lineto +896 572 984 478 conicto +1073 384 1345 384 conicto +1792 384 lineto +1792 0 lineto +1345 0 lineto +836 0 642 194 conicto +448 389 448 902 conicto +448 2368 lineto +128 2368 lineto +128 2688 lineto +448 2688 lineto +448 3456 lineto +896 3456 lineto +end_ol grestore +gsave 7.459775 15.722500 translate 0.035278 -0.035278 scale +start_ol +2368 2560 moveto +2368 2176 lineto +2180 2272 1991 2320 conicto +1803 2368 1611 2368 conicto +1180 2368 942 2099 conicto +704 1830 704 1344 conicto +704 858 942 589 conicto +1180 320 1611 320 conicto +1803 320 1991 368 conicto +2180 416 2368 512 conicto +2368 128 lineto +2184 32 1987 -16 conicto +1791 -64 1569 -64 conicto +966 -64 611 316 conicto +256 697 256 1344 conicto +256 2000 615 2376 conicto +974 2752 1598 2752 conicto +1801 2752 1994 2704 conicto +2187 2656 2368 2560 conicto +end_ol grestore +gsave 7.811943 15.722500 translate 0.035278 -0.035278 scale +start_ol +2688 1646 moveto +2688 0 lineto +2240 0 lineto +2240 1632 lineto +2240 2001 2093 2184 conicto +1947 2368 1654 2368 conicto +1302 2368 1099 2146 conicto +896 1925 896 1542 conicto +896 0 lineto +448 0 lineto +448 3712 lineto +896 3712 lineto +896 2304 lineto +1053 2529 1266 2640 conicto +1479 2752 1757 2752 conicto +2217 2752 2452 2471 conicto +2688 2191 2688 1646 conicto +end_ol grestore +0.100000 slw +[] 0 sd +[] 0 sd +0 slj +1.000000 0.000000 0.000000 srgb +n 13.000000 7.000000 m 13.000000 11.000000 l 18.000000 11.000000 l 18.000000 7.000000 l f +0.000000 0.000000 0.000000 srgb +n 13.000000 7.000000 m 13.000000 11.000000 l 18.000000 11.000000 l 18.000000 7.000000 l cp s +gsave 14.050000 9.222500 translate 0.035278 -0.035278 scale +start_ol +3136 3264 moveto +3136 2752 lineto +2892 2977 2616 3088 conicto +2340 3200 2030 3200 conicto +1418 3200 1093 2829 conicto +768 2459 768 1759 conicto +768 1061 1093 690 conicto +1418 320 2030 320 conicto +2340 320 2616 431 conicto +2892 543 3136 768 conicto +3136 256 lineto +2882 96 2599 16 conicto +2316 -64 2000 -64 conicto +1189 -64 722 424 conicto +256 913 256 1759 conicto +256 2607 722 3095 conicto +1189 3584 2000 3584 conicto +2320 3584 2603 3504 conicto +2887 3424 3136 3264 conicto +end_ol grestore +gsave 14.497080 9.222500 translate 0.035278 -0.035278 scale +start_ol +448 3520 moveto +2496 3520 lineto +2496 3136 lineto +960 3136 lineto +960 2112 lineto +2368 2112 lineto +2368 1728 lineto +960 1728 lineto +960 0 lineto +448 0 lineto +448 3520 lineto +end_ol grestore +gsave 14.864230 9.222500 translate 0.035278 -0.035278 scale +start_ol +2816 504 moveto +2816 1472 lineto +2048 1472 lineto +2048 1856 lineto +3328 1856 lineto +3328 325 lineto +3052 133 2720 34 conicto +2388 -64 2011 -64 conicto +1187 -64 721 417 conicto +256 899 256 1759 conicto +256 2621 725 3102 conicto +1195 3584 2028 3584 conicto +2375 3584 2687 3503 conicto +3000 3422 3264 3264 conicto +3264 2752 lineto +2998 2975 2699 3087 conicto +2400 3200 2071 3200 conicto +1420 3200 1094 2838 conicto +768 2476 768 1759 conicto +768 1044 1084 682 conicto +1400 320 2030 320 conicto +2276 320 2469 365 conicto +2662 410 2816 504 conicto +end_ol grestore +gsave 15.358761 9.222500 translate 0.035278 -0.035278 scale +start_ol +448 3520 moveto +960 3520 lineto +960 384 lineto +2688 384 lineto +2688 0 lineto +448 0 lineto +448 3520 lineto +end_ol grestore +gsave 15.683455 9.222500 translate 0.035278 -0.035278 scale +start_ol +448 3520 moveto +960 3520 lineto +960 1383 lineto +960 817 1156 568 conicto +1353 320 1793 320 conicto +2231 320 2427 568 conicto +2624 817 2624 1383 conicto +2624 3520 lineto +3136 3520 lineto +3136 1324 lineto +3136 637 2796 286 conicto +2456 -64 1793 -64 conicto +1128 -64 788 286 conicto +448 637 448 1324 conicto +448 3520 lineto +end_ol grestore +gsave 16.150511 9.222500 translate 0.035278 -0.035278 scale +start_ol +-64 3520 moveto +3008 3520 lineto +3008 3136 lineto +1728 3136 lineto +1728 0 lineto +1216 0 lineto +1216 3136 lineto +-64 3136 lineto +-64 3520 lineto +end_ol grestore +gsave 16.540143 9.222500 translate 0.035278 -0.035278 scale +start_ol +512 3520 moveto +2432 3520 lineto +2432 3136 lineto +1024 3136 lineto +1024 2300 lineto +1126 2334 1228 2351 conicto +1330 2368 1432 2368 conicto +2011 2368 2349 2040 conicto +2688 1712 2688 1152 conicto +2688 575 2330 255 conicto +1972 -64 1321 -64 conicto +1097 -64 864 -32 conicto +632 0 384 64 conicto +384 576 lineto +595 447 820 383 conicto +1045 320 1296 320 conicto +1702 320 1939 544 conicto +2176 768 2176 1152 conicto +2176 1536 1936 1760 conicto +1697 1984 1286 1984 conicto +1094 1984 902 1937 conicto +711 1891 512 1792 conicto +512 3520 lineto +end_ol grestore +0.100000 slw +[] 0 sd +[] 0 sd +0 slc +n 13.000000 8.000000 m 13.000000 10.000000 l s +0.100000 slw +[] 0 sd +[] 0 sd +0 slj +0 slc +n 9.800000 3.100000 m 11.400000 3.100000 l 11.400000 8.000000 l 13.000000 8.000000 l s +0.100000 slw +[] 0 sd +[] 0 sd +0 slj +0 slc +n 9.800000 7.100000 m 11.000000 7.100000 l 11.000000 8.666667 l 13.000000 8.666667 l s +0.100000 slw +[] 0 sd +[] 0 sd +0 slj +0 slc +n 9.800000 11.100000 m 11.400000 11.100000 l 11.400000 9.333333 l 13.000000 9.333333 l s +0.100000 slw +[] 0 sd +[] 0 sd +0 slj +0 slc +n 9.800000 15.100000 m 12.000000 15.100000 l 12.000000 10.000000 l 13.000000 10.000000 l s +0.100000 slw +[] 0 sd +[] 0 sd +0 slj +0.000000 1.000000 0.000000 srgb +n 20.000000 7.000000 m 20.000000 11.000000 l 24.000000 11.000000 l 24.000000 7.000000 l f +0.000000 0.000000 0.000000 srgb +n 20.000000 7.000000 m 20.000000 11.000000 l 24.000000 11.000000 l 24.000000 7.000000 l cp s +gsave 20.926250 8.422500 translate 0.035278 -0.035278 scale +start_ol +2140 1632 moveto +2295 1581 2442 1413 conicto +2590 1245 2738 952 conicto +3200 0 lineto +2709 0 lineto +2250 893 lineto +2077 1243 1915 1357 conicto +1753 1472 1473 1472 conicto +960 1472 lineto +960 0 lineto +448 0 lineto +448 3520 lineto +1552 3520 lineto +2157 3520 2454 3265 conicto +2752 3010 2752 2496 conicto +2752 2161 2595 1939 conicto +2439 1718 2140 1632 conicto +960 3136 moveto +960 1856 lineto +1552 1856 lineto +1893 1856 2066 2019 conicto +2240 2182 2240 2498 conicto +2240 2815 2066 2975 conicto +1893 3136 1552 3136 conicto +960 3136 lineto +end_ol grestore +gsave 21.370833 8.422500 translate 0.035278 -0.035278 scale +start_ol +448 2688 moveto +896 2688 lineto +896 0 lineto +448 0 lineto +448 2688 lineto +448 3712 moveto +896 3712 lineto +896 3136 lineto +448 3136 lineto +448 3712 lineto +end_ol grestore +gsave 21.548161 8.422500 translate 0.035278 -0.035278 scale +start_ol +2112 2560 moveto +2112 2176 lineto +1932 2272 1738 2320 conicto +1544 2368 1336 2368 conicto +1020 2368 862 2269 conicto +704 2170 704 1972 conicto +704 1821 814 1735 conicto +925 1649 1260 1571 conicto +1403 1538 lineto +1857 1438 2048 1255 conicto +2240 1072 2240 744 conicto +2240 371 1954 153 conicto +1668 -64 1167 -64 conicto +958 -64 732 -16 conicto +506 32 256 128 conicto +256 576 lineto +491 448 719 384 conicto +947 320 1170 320 conicto +1470 320 1631 425 conicto +1792 531 1792 722 conicto +1792 900 1678 994 conicto +1564 1089 1177 1177 conicto +1032 1212 lineto +621 1298 438 1476 conicto +256 1654 256 1964 conicto +256 2341 520 2546 conicto +784 2752 1269 2752 conicto +1509 2752 1721 2704 conicto +1933 2656 2112 2560 conicto +end_ol grestore +gsave 21.880346 8.422500 translate 0.035278 -0.035278 scale +start_ol +448 2688 moveto +896 2688 lineto +896 0 lineto +448 0 lineto +448 2688 lineto +448 3712 moveto +896 3712 lineto +896 3136 lineto +448 3136 lineto +448 3712 lineto +end_ol grestore +gsave 22.057674 8.422500 translate 0.035278 -0.035278 scale +start_ol +2688 1646 moveto +2688 0 lineto +2240 0 lineto +2240 1632 lineto +2240 2001 2093 2184 conicto +1947 2368 1654 2368 conicto +1302 2368 1099 2146 conicto +896 1925 896 1542 conicto +896 0 lineto +448 0 lineto +448 2688 lineto +896 2688 lineto +896 2304 lineto +1053 2529 1266 2640 conicto +1479 2752 1757 2752 conicto +2217 2752 2452 2471 conicto +2688 2191 2688 1646 conicto +end_ol grestore +gsave 22.462289 8.422500 translate 0.035278 -0.035278 scale +start_ol +2176 1375 moveto +2176 1848 1982 2108 conicto +1789 2368 1439 2368 conicto +1091 2368 897 2108 conicto +704 1848 704 1375 conicto +704 904 897 644 conicto +1091 384 1439 384 conicto +1789 384 1982 644 conicto +2176 904 2176 1375 conicto +2624 347 moveto +2624 -347 2323 -685 conicto +2023 -1024 1404 -1024 conicto +1174 -1024 971 -992 conicto +768 -961 576 -896 conicto +576 -448 lineto +766 -546 951 -593 conicto +1137 -640 1329 -640 conicto +1754 -640 1965 -415 conicto +2176 -190 2176 264 conicto +2176 448 lineto +2042 223 1833 111 conicto +1624 0 1332 0 conicto +848 0 552 376 conicto +256 753 256 1375 conicto +256 1999 552 2375 conicto +848 2752 1332 2752 conicto +1624 2752 1833 2640 conicto +2042 2529 2176 2304 conicto +2176 2688 lineto +2624 2688 lineto +2624 347 lineto +end_ol grestore +gsave 22.869408 8.422500 translate 0.035278 -0.035278 scale +start_ol +end_ol grestore +gsave 21.192500 9.222500 translate 0.035278 -0.035278 scale +start_ol +448 3520 moveto +2688 3520 lineto +2688 3136 lineto +960 3136 lineto +960 2112 lineto +2624 2112 lineto +2624 1728 lineto +960 1728 lineto +960 384 lineto +2752 384 lineto +2752 0 lineto +448 0 lineto +448 3520 lineto +end_ol grestore +gsave 21.597114 9.222500 translate 0.035278 -0.035278 scale +start_ol +2176 2304 moveto +2176 3712 lineto +2624 3712 lineto +2624 0 lineto +2176 0 lineto +2176 384 lineto +2040 157 1832 46 conicto +1624 -64 1332 -64 conicto +855 -64 555 324 conicto +256 712 256 1344 conicto +256 1976 555 2364 conicto +855 2752 1332 2752 conicto +1624 2752 1832 2641 conicto +2040 2531 2176 2304 conicto +704 1344 moveto +704 865 900 592 conicto +1096 320 1439 320 conicto +1782 320 1979 592 conicto +2176 865 2176 1344 conicto +2176 1823 1979 2095 conicto +1782 2368 1439 2368 conicto +1096 2368 900 2095 conicto +704 1823 704 1344 conicto +end_ol grestore +gsave 22.004233 9.222500 translate 0.035278 -0.035278 scale +start_ol +2176 1375 moveto +2176 1848 1982 2108 conicto +1789 2368 1439 2368 conicto +1091 2368 897 2108 conicto +704 1848 704 1375 conicto +704 904 897 644 conicto +1091 384 1439 384 conicto +1789 384 1982 644 conicto +2176 904 2176 1375 conicto +2624 347 moveto +2624 -347 2323 -685 conicto +2023 -1024 1404 -1024 conicto +1174 -1024 971 -992 conicto +768 -961 576 -896 conicto +576 -448 lineto +766 -546 951 -593 conicto +1137 -640 1329 -640 conicto +1754 -640 1965 -415 conicto +2176 -190 2176 264 conicto +2176 448 lineto +2042 223 1833 111 conicto +1624 0 1332 0 conicto +848 0 552 376 conicto +256 753 256 1375 conicto +256 1999 552 2375 conicto +848 2752 1332 2752 conicto +1624 2752 1833 2640 conicto +2042 2529 2176 2304 conicto +2176 2688 lineto +2624 2688 lineto +2624 347 lineto +end_ol grestore +gsave 22.411353 9.222500 translate 0.035278 -0.035278 scale +start_ol +2752 1480 moveto +2752 1280 lineto +704 1280 lineto +733 811 978 565 conicto +1223 320 1660 320 conicto +1914 320 2152 384 conicto +2390 448 2624 576 conicto +2624 192 lineto +2388 67 2140 1 conicto +1893 -64 1639 -64 conicto +1001 -64 628 309 conicto +256 683 256 1320 conicto +256 1979 613 2365 conicto +970 2752 1576 2752 conicto +2120 2752 2436 2410 conicto +2752 2068 2752 1480 conicto +2304 1600 moveto +2299 1950 2099 2159 conicto +1900 2368 1572 2368 conicto +1200 2368 976 2166 conicto +753 1964 719 1597 conicto +2304 1600 lineto +end_ol grestore +gsave 20.445000 10.022500 translate 0.035278 -0.035278 scale +start_ol +960 3136 moveto +960 384 lineto +1536 384 lineto +2266 384 2605 716 conicto +2944 1048 2944 1764 conicto +2944 2475 2605 2805 conicto +2266 3136 1536 3136 conicto +960 3136 lineto +448 3520 moveto +1463 3520 lineto +2493 3520 2974 3094 conicto +3456 2669 3456 1764 conicto +3456 853 2972 426 conicto +2488 0 1463 0 conicto +448 0 lineto +448 3520 lineto +end_ol grestore +gsave 20.937034 10.022500 translate 0.035278 -0.035278 scale +start_ol +2752 1480 moveto +2752 1280 lineto +704 1280 lineto +733 811 978 565 conicto +1223 320 1660 320 conicto +1914 320 2152 384 conicto +2390 448 2624 576 conicto +2624 192 lineto +2388 67 2140 1 conicto +1893 -64 1639 -64 conicto +1001 -64 628 309 conicto +256 683 256 1320 conicto +256 1979 613 2365 conicto +970 2752 1576 2752 conicto +2120 2752 2436 2410 conicto +2752 2068 2752 1480 conicto +2304 1600 moveto +2299 1950 2099 2159 conicto +1900 2368 1572 2368 conicto +1200 2368 976 2166 conicto +753 1964 719 1597 conicto +2304 1600 lineto +end_ol grestore +gsave 21.331660 10.022500 translate 0.035278 -0.035278 scale +start_ol +896 3456 moveto +896 2688 lineto +1792 2688 lineto +1792 2368 lineto +896 2368 lineto +896 902 lineto +896 572 984 478 conicto +1073 384 1345 384 conicto +1792 384 lineto +1792 0 lineto +1345 0 lineto +836 0 642 194 conicto +448 389 448 902 conicto +448 2368 lineto +128 2368 lineto +128 2688 lineto +448 2688 lineto +448 3456 lineto +896 3456 lineto +end_ol grestore +gsave 21.581427 10.022500 translate 0.035278 -0.035278 scale +start_ol +2752 1480 moveto +2752 1280 lineto +704 1280 lineto +733 811 978 565 conicto +1223 320 1660 320 conicto +1914 320 2152 384 conicto +2390 448 2624 576 conicto +2624 192 lineto +2388 67 2140 1 conicto +1893 -64 1639 -64 conicto +1001 -64 628 309 conicto +256 683 256 1320 conicto +256 1979 613 2365 conicto +970 2752 1576 2752 conicto +2120 2752 2436 2410 conicto +2752 2068 2752 1480 conicto +2304 1600 moveto +2299 1950 2099 2159 conicto +1900 2368 1572 2368 conicto +1200 2368 976 2166 conicto +753 1964 719 1597 conicto +2304 1600 lineto +end_ol grestore +gsave 21.976053 10.022500 translate 0.035278 -0.035278 scale +start_ol +2368 2560 moveto +2368 2176 lineto +2180 2272 1991 2320 conicto +1803 2368 1611 2368 conicto +1180 2368 942 2099 conicto +704 1830 704 1344 conicto +704 858 942 589 conicto +1180 320 1611 320 conicto +1803 320 1991 368 conicto +2180 416 2368 512 conicto +2368 128 lineto +2184 32 1987 -16 conicto +1791 -64 1569 -64 conicto +966 -64 611 316 conicto +256 697 256 1344 conicto +256 2000 615 2376 conicto +974 2752 1598 2752 conicto +1801 2752 1994 2704 conicto +2187 2656 2368 2560 conicto +end_ol grestore +gsave 22.328221 10.022500 translate 0.035278 -0.035278 scale +start_ol +896 3456 moveto +896 2688 lineto +1792 2688 lineto +1792 2368 lineto +896 2368 lineto +896 902 lineto +896 572 984 478 conicto +1073 384 1345 384 conicto +1792 384 lineto +1792 0 lineto +1345 0 lineto +836 0 642 194 conicto +448 389 448 902 conicto +448 2368 lineto +128 2368 lineto +128 2688 lineto +448 2688 lineto +448 3456 lineto +896 3456 lineto +end_ol grestore +gsave 22.577988 10.022500 translate 0.035278 -0.035278 scale +start_ol +448 2688 moveto +896 2688 lineto +896 0 lineto +448 0 lineto +448 2688 lineto +448 3712 moveto +896 3712 lineto +896 3136 lineto +448 3136 lineto +448 3712 lineto +end_ol grestore +gsave 22.755317 10.022500 translate 0.035278 -0.035278 scale +start_ol +1473 2368 moveto +1117 2368 910 2094 conicto +704 1820 704 1344 conicto +704 868 909 594 conicto +1115 320 1473 320 conicto +1827 320 2033 595 conicto +2240 870 2240 1344 conicto +2240 1816 2033 2092 conicto +1827 2368 1473 2368 conicto +1472 2752 moveto +2040 2752 2364 2378 conicto +2688 2005 2688 1344 conicto +2688 685 2364 310 conicto +2040 -64 1472 -64 conicto +902 -64 579 310 conicto +256 685 256 1344 conicto +256 2005 579 2378 conicto +902 2752 1472 2752 conicto +end_ol grestore +gsave 23.147446 10.022500 translate 0.035278 -0.035278 scale +start_ol +2688 1646 moveto +2688 0 lineto +2240 0 lineto +2240 1632 lineto +2240 2001 2093 2184 conicto +1947 2368 1654 2368 conicto +1302 2368 1099 2146 conicto +896 1925 896 1542 conicto +896 0 lineto +448 0 lineto +448 2688 lineto +896 2688 lineto +896 2304 lineto +1053 2529 1266 2640 conicto +1479 2752 1757 2752 conicto +2217 2752 2452 2471 conicto +2688 2191 2688 1646 conicto +end_ol grestore +0.100000 slw +[] 0 sd +[] 0 sd +0 slj +0.000000 1.000000 0.000000 srgb +n 28.000000 7.000000 m 28.000000 11.000000 l 33.000000 11.000000 l 33.000000 7.000000 l f +0.000000 0.000000 0.000000 srgb +n 28.000000 7.000000 m 28.000000 11.000000 l 33.000000 11.000000 l 33.000000 7.000000 l cp s +gsave 28.330000 8.422500 translate 0.035278 -0.035278 scale +start_ol +3136 3264 moveto +3136 2752 lineto +2892 2977 2616 3088 conicto +2340 3200 2030 3200 conicto +1418 3200 1093 2829 conicto +768 2459 768 1759 conicto +768 1061 1093 690 conicto +1418 320 2030 320 conicto +2340 320 2616 431 conicto +2892 543 3136 768 conicto +3136 256 lineto +2882 96 2599 16 conicto +2316 -64 2000 -64 conicto +1189 -64 722 424 conicto +256 913 256 1759 conicto +256 2607 722 3095 conicto +1189 3584 2000 3584 conicto +2320 3584 2603 3504 conicto +2887 3424 3136 3264 conicto +end_ol grestore +gsave 28.777080 8.422500 translate 0.035278 -0.035278 scale +start_ol +448 3712 moveto +896 3712 lineto +896 0 lineto +448 0 lineto +448 3712 lineto +end_ol grestore +gsave 28.954408 8.422500 translate 0.035278 -0.035278 scale +start_ol +1473 2368 moveto +1117 2368 910 2094 conicto +704 1820 704 1344 conicto +704 868 909 594 conicto +1115 320 1473 320 conicto +1827 320 2033 595 conicto +2240 870 2240 1344 conicto +2240 1816 2033 2092 conicto +1827 2368 1473 2368 conicto +1472 2752 moveto +2040 2752 2364 2378 conicto +2688 2005 2688 1344 conicto +2688 685 2364 310 conicto +2040 -64 1472 -64 conicto +902 -64 579 310 conicto +256 685 256 1344 conicto +256 2005 579 2378 conicto +902 2752 1472 2752 conicto +end_ol grestore +gsave 29.346537 8.422500 translate 0.035278 -0.035278 scale +start_ol +2368 2560 moveto +2368 2176 lineto +2180 2272 1991 2320 conicto +1803 2368 1611 2368 conicto +1180 2368 942 2099 conicto +704 1830 704 1344 conicto +704 858 942 589 conicto +1180 320 1611 320 conicto +1803 320 1991 368 conicto +2180 416 2368 512 conicto +2368 128 lineto +2184 32 1987 -16 conicto +1791 -64 1569 -64 conicto +966 -64 611 316 conicto +256 697 256 1344 conicto +256 2000 615 2376 conicto +974 2752 1598 2752 conicto +1801 2752 1994 2704 conicto +2187 2656 2368 2560 conicto +end_ol grestore +gsave 29.698706 8.422500 translate 0.035278 -0.035278 scale +start_ol +448 3712 moveto +896 3712 lineto +896 1529 lineto +2196 2688 lineto +2752 2688 lineto +1346 1430 lineto +2816 0 lineto +2246 0 lineto +896 1313 lineto +896 0 lineto +448 0 lineto +448 3712 lineto +end_ol grestore +gsave 30.068354 8.422500 translate 0.035278 -0.035278 scale +start_ol +end_ol grestore +gsave 30.270661 8.422500 translate 0.035278 -0.035278 scale +start_ol +2176 2304 moveto +2176 3712 lineto +2624 3712 lineto +2624 0 lineto +2176 0 lineto +2176 384 lineto +2040 157 1832 46 conicto +1624 -64 1332 -64 conicto +855 -64 555 324 conicto +256 712 256 1344 conicto +256 1976 555 2364 conicto +855 2752 1332 2752 conicto +1624 2752 1832 2641 conicto +2040 2531 2176 2304 conicto +704 1344 moveto +704 865 900 592 conicto +1096 320 1439 320 conicto +1782 320 1979 592 conicto +2176 865 2176 1344 conicto +2176 1823 1979 2095 conicto +1782 2368 1439 2368 conicto +1096 2368 900 2095 conicto +704 1823 704 1344 conicto +end_ol grestore +gsave 30.677780 8.422500 translate 0.035278 -0.035278 scale +start_ol +1473 2368 moveto +1117 2368 910 2094 conicto +704 1820 704 1344 conicto +704 868 909 594 conicto +1115 320 1473 320 conicto +1827 320 2033 595 conicto +2240 870 2240 1344 conicto +2240 1816 2033 2092 conicto +1827 2368 1473 2368 conicto +1472 2752 moveto +2040 2752 2364 2378 conicto +2688 2005 2688 1344 conicto +2688 685 2364 310 conicto +2040 -64 1472 -64 conicto +902 -64 579 310 conicto +256 685 256 1344 conicto +256 2005 579 2378 conicto +902 2752 1472 2752 conicto +end_ol grestore +gsave 31.069909 8.422500 translate 0.035278 -0.035278 scale +start_ol +2549 2204 moveto +2714 2485 2942 2618 conicto +3171 2752 3482 2752 conicto +3899 2752 4125 2464 conicto +4352 2177 4352 1646 conicto +4352 0 lineto +3904 0 lineto +3904 1632 lineto +3904 2006 3769 2187 conicto +3634 2368 3356 2368 conicto +3017 2368 2820 2146 conicto +2624 1925 2624 1542 conicto +2624 0 lineto +2176 0 lineto +2176 1632 lineto +2176 2008 2041 2188 conicto +1906 2368 1624 2368 conicto +1289 2368 1092 2145 conicto +896 1922 896 1542 conicto +896 0 lineto +448 0 lineto +448 2688 lineto +896 2688 lineto +896 2304 lineto +1046 2534 1255 2643 conicto +1465 2752 1753 2752 conicto +2044 2752 2247 2611 conicto +2451 2471 2549 2204 conicto +end_ol grestore +gsave 31.691821 8.422500 translate 0.035278 -0.035278 scale +start_ol +1622 1344 moveto +1104 1344 904 1225 conicto +704 1106 704 818 conicto +704 589 854 454 conicto +1004 320 1262 320 conicto +1618 320 1833 572 conicto +2048 825 2048 1244 conicto +2048 1344 lineto +1622 1344 lineto +2496 1513 moveto +2496 0 lineto +2048 0 lineto +2048 384 lineto +1900 154 1679 45 conicto +1458 -64 1138 -64 conicto +733 -64 494 162 conicto +256 389 256 769 conicto +256 1213 555 1438 conicto +854 1664 1448 1664 conicto +2048 1664 lineto +2048 1712 lineto +2048 2025 1851 2196 conicto +1655 2368 1300 2368 conicto +1074 2368 860 2320 conicto +646 2272 448 2176 conicto +448 2560 lineto +687 2656 912 2704 conicto +1138 2752 1351 2752 conicto +1927 2752 2211 2444 conicto +2496 2137 2496 1513 conicto +end_ol grestore +gsave 32.083950 8.422500 translate 0.035278 -0.035278 scale +start_ol +448 2688 moveto +896 2688 lineto +896 0 lineto +448 0 lineto +448 2688 lineto +448 3712 moveto +896 3712 lineto +896 3136 lineto +448 3136 lineto +448 3712 lineto +end_ol grestore +gsave 32.261278 8.422500 translate 0.035278 -0.035278 scale +start_ol +2688 1646 moveto +2688 0 lineto +2240 0 lineto +2240 1632 lineto +2240 2001 2093 2184 conicto +1947 2368 1654 2368 conicto +1302 2368 1099 2146 conicto +896 1925 896 1542 conicto +896 0 lineto +448 0 lineto +448 2688 lineto +896 2688 lineto +896 2304 lineto +1053 2529 1266 2640 conicto +1479 2752 1757 2752 conicto +2217 2752 2452 2471 conicto +2688 2191 2688 1646 conicto +end_ol grestore +gsave 29.176250 9.222500 translate 0.035278 -0.035278 scale +start_ol +2368 2560 moveto +2368 2176 lineto +2180 2272 1991 2320 conicto +1803 2368 1611 2368 conicto +1180 2368 942 2099 conicto +704 1830 704 1344 conicto +704 858 942 589 conicto +1180 320 1611 320 conicto +1803 320 1991 368 conicto +2180 416 2368 512 conicto +2368 128 lineto +2184 32 1987 -16 conicto +1791 -64 1569 -64 conicto +966 -64 611 316 conicto +256 697 256 1344 conicto +256 2000 615 2376 conicto +974 2752 1598 2752 conicto +1801 2752 1994 2704 conicto +2187 2656 2368 2560 conicto +end_ol grestore +gsave 29.528419 9.222500 translate 0.035278 -0.035278 scale +start_ol +1984 2304 moveto +1912 2337 1828 2352 conicto +1744 2368 1642 2368 conicto +1282 2368 1089 2127 conicto +896 1887 896 1437 conicto +896 0 lineto +448 0 lineto +448 2688 lineto +896 2688 lineto +896 2304 lineto +1030 2531 1245 2641 conicto +1460 2752 1767 2752 conicto +1811 2752 1864 2752 conicto +1917 2752 1982 2752 conicto +1984 2304 lineto +end_ol grestore +gsave 29.775680 9.222500 translate 0.035278 -0.035278 scale +start_ol +1473 2368 moveto +1117 2368 910 2094 conicto +704 1820 704 1344 conicto +704 868 909 594 conicto +1115 320 1473 320 conicto +1827 320 2033 595 conicto +2240 870 2240 1344 conicto +2240 1816 2033 2092 conicto +1827 2368 1473 2368 conicto +1472 2752 moveto +2040 2752 2364 2378 conicto +2688 2005 2688 1344 conicto +2688 685 2364 310 conicto +2040 -64 1472 -64 conicto +902 -64 579 310 conicto +256 685 256 1344 conicto +256 2005 579 2378 conicto +902 2752 1472 2752 conicto +end_ol grestore +gsave 30.167809 9.222500 translate 0.035278 -0.035278 scale +start_ol +2112 2560 moveto +2112 2176 lineto +1932 2272 1738 2320 conicto +1544 2368 1336 2368 conicto +1020 2368 862 2269 conicto +704 2170 704 1972 conicto +704 1821 814 1735 conicto +925 1649 1260 1571 conicto +1403 1538 lineto +1857 1438 2048 1255 conicto +2240 1072 2240 744 conicto +2240 371 1954 153 conicto +1668 -64 1167 -64 conicto +958 -64 732 -16 conicto +506 32 256 128 conicto +256 576 lineto +491 448 719 384 conicto +947 320 1170 320 conicto +1470 320 1631 425 conicto +1792 531 1792 722 conicto +1792 900 1678 994 conicto +1564 1089 1177 1177 conicto +1032 1212 lineto +621 1298 438 1476 conicto +256 1654 256 1964 conicto +256 2341 520 2546 conicto +784 2752 1269 2752 conicto +1509 2752 1721 2704 conicto +1933 2656 2112 2560 conicto +end_ol grestore +gsave 30.499993 9.222500 translate 0.035278 -0.035278 scale +start_ol +2112 2560 moveto +2112 2176 lineto +1932 2272 1738 2320 conicto +1544 2368 1336 2368 conicto +1020 2368 862 2269 conicto +704 2170 704 1972 conicto +704 1821 814 1735 conicto +925 1649 1260 1571 conicto +1403 1538 lineto +1857 1438 2048 1255 conicto +2240 1072 2240 744 conicto +2240 371 1954 153 conicto +1668 -64 1167 -64 conicto +958 -64 732 -16 conicto +506 32 256 128 conicto +256 576 lineto +491 448 719 384 conicto +947 320 1170 320 conicto +1470 320 1631 425 conicto +1792 531 1792 722 conicto +1792 900 1678 994 conicto +1564 1089 1177 1177 conicto +1032 1212 lineto +621 1298 438 1476 conicto +256 1654 256 1964 conicto +256 2341 520 2546 conicto +784 2752 1269 2752 conicto +1509 2752 1721 2704 conicto +1933 2656 2112 2560 conicto +end_ol grestore +gsave 30.832178 9.222500 translate 0.035278 -0.035278 scale +start_ol +448 2688 moveto +896 2688 lineto +896 0 lineto +448 0 lineto +448 2688 lineto +448 3712 moveto +896 3712 lineto +896 3136 lineto +448 3136 lineto +448 3712 lineto +end_ol grestore +gsave 31.009507 9.222500 translate 0.035278 -0.035278 scale +start_ol +2688 1646 moveto +2688 0 lineto +2240 0 lineto +2240 1632 lineto +2240 2001 2093 2184 conicto +1947 2368 1654 2368 conicto +1302 2368 1099 2146 conicto +896 1925 896 1542 conicto +896 0 lineto +448 0 lineto +448 2688 lineto +896 2688 lineto +896 2304 lineto +1053 2529 1266 2640 conicto +1479 2752 1757 2752 conicto +2217 2752 2452 2471 conicto +2688 2191 2688 1646 conicto +end_ol grestore +gsave 31.414121 9.222500 translate 0.035278 -0.035278 scale +start_ol +2176 1375 moveto +2176 1848 1982 2108 conicto +1789 2368 1439 2368 conicto +1091 2368 897 2108 conicto +704 1848 704 1375 conicto +704 904 897 644 conicto +1091 384 1439 384 conicto +1789 384 1982 644 conicto +2176 904 2176 1375 conicto +2624 347 moveto +2624 -347 2323 -685 conicto +2023 -1024 1404 -1024 conicto +1174 -1024 971 -992 conicto +768 -961 576 -896 conicto +576 -448 lineto +766 -546 951 -593 conicto +1137 -640 1329 -640 conicto +1754 -640 1965 -415 conicto +2176 -190 2176 264 conicto +2176 448 lineto +2042 223 1833 111 conicto +1624 0 1332 0 conicto +848 0 552 376 conicto +256 753 256 1375 conicto +256 1999 552 2375 conicto +848 2752 1332 2752 conicto +1624 2752 1833 2640 conicto +2042 2529 2176 2304 conicto +2176 2688 lineto +2624 2688 lineto +2624 347 lineto +end_ol grestore +gsave 28.276250 10.022500 translate 0.035278 -0.035278 scale +start_ol +896 384 moveto +896 -1024 lineto +448 -1024 lineto +448 2688 lineto +896 2688 lineto +896 2304 lineto +1032 2531 1240 2641 conicto +1448 2752 1737 2752 conicto +2217 2752 2516 2364 conicto +2816 1976 2816 1344 conicto +2816 712 2516 324 conicto +2217 -64 1737 -64 conicto +1448 -64 1240 46 conicto +1032 157 896 384 conicto +2368 1344 moveto +2368 1823 2171 2095 conicto +1975 2368 1632 2368 conicto +1289 2368 1092 2095 conicto +896 1823 896 1344 conicto +896 865 1092 592 conicto +1289 320 1632 320 conicto +1975 320 2171 592 conicto +2368 865 2368 1344 conicto +end_ol grestore +gsave 28.683369 10.022500 translate 0.035278 -0.035278 scale +start_ol +448 1040 moveto +448 2688 lineto +896 2688 lineto +896 1057 lineto +896 689 1042 504 conicto +1188 320 1481 320 conicto +1832 320 2036 541 conicto +2240 763 2240 1145 conicto +2240 2688 lineto +2688 2688 lineto +2688 0 lineto +2240 0 lineto +2240 384 lineto +2081 157 1870 46 conicto +1660 -64 1382 -64 conicto +923 -64 685 217 conicto +448 499 448 1040 conicto +1554 2752 moveto +1554 2752 lineto +end_ol grestore +gsave 29.087983 10.022500 translate 0.035278 -0.035278 scale +start_ol +448 3712 moveto +896 3712 lineto +896 0 lineto +448 0 lineto +448 3712 lineto +end_ol grestore +gsave 29.265312 10.022500 translate 0.035278 -0.035278 scale +start_ol +2112 2560 moveto +2112 2176 lineto +1932 2272 1738 2320 conicto +1544 2368 1336 2368 conicto +1020 2368 862 2269 conicto +704 2170 704 1972 conicto +704 1821 814 1735 conicto +925 1649 1260 1571 conicto +1403 1538 lineto +1857 1438 2048 1255 conicto +2240 1072 2240 744 conicto +2240 371 1954 153 conicto +1668 -64 1167 -64 conicto +958 -64 732 -16 conicto +506 32 256 128 conicto +256 576 lineto +491 448 719 384 conicto +947 320 1170 320 conicto +1470 320 1631 425 conicto +1792 531 1792 722 conicto +1792 900 1678 994 conicto +1564 1089 1177 1177 conicto +1032 1212 lineto +621 1298 438 1476 conicto +256 1654 256 1964 conicto +256 2341 520 2546 conicto +784 2752 1269 2752 conicto +1509 2752 1721 2704 conicto +1933 2656 2112 2560 conicto +end_ol grestore +gsave 29.597496 10.022500 translate 0.035278 -0.035278 scale +start_ol +2752 1480 moveto +2752 1280 lineto +704 1280 lineto +733 811 978 565 conicto +1223 320 1660 320 conicto +1914 320 2152 384 conicto +2390 448 2624 576 conicto +2624 192 lineto +2388 67 2140 1 conicto +1893 -64 1639 -64 conicto +1001 -64 628 309 conicto +256 683 256 1320 conicto +256 1979 613 2365 conicto +970 2752 1576 2752 conicto +2120 2752 2436 2410 conicto +2752 2068 2752 1480 conicto +2304 1600 moveto +2299 1950 2099 2159 conicto +1900 2368 1572 2368 conicto +1200 2368 976 2166 conicto +753 1964 719 1597 conicto +2304 1600 lineto +end_ol grestore +gsave 29.992123 10.022500 translate 0.035278 -0.035278 scale +start_ol +end_ol grestore +gsave 30.194430 10.022500 translate 0.035278 -0.035278 scale +start_ol +2112 2560 moveto +2112 2176 lineto +1932 2272 1738 2320 conicto +1544 2368 1336 2368 conicto +1020 2368 862 2269 conicto +704 2170 704 1972 conicto +704 1821 814 1735 conicto +925 1649 1260 1571 conicto +1403 1538 lineto +1857 1438 2048 1255 conicto +2240 1072 2240 744 conicto +2240 371 1954 153 conicto +1668 -64 1167 -64 conicto +958 -64 732 -16 conicto +506 32 256 128 conicto +256 576 lineto +491 448 719 384 conicto +947 320 1170 320 conicto +1470 320 1631 425 conicto +1792 531 1792 722 conicto +1792 900 1678 994 conicto +1564 1089 1177 1177 conicto +1032 1212 lineto +621 1298 438 1476 conicto +256 1654 256 1964 conicto +256 2341 520 2546 conicto +784 2752 1269 2752 conicto +1509 2752 1721 2704 conicto +1933 2656 2112 2560 conicto +end_ol grestore +gsave 30.526614 10.022500 translate 0.035278 -0.035278 scale +start_ol +2688 1646 moveto +2688 0 lineto +2240 0 lineto +2240 1632 lineto +2240 2001 2093 2184 conicto +1947 2368 1654 2368 conicto +1302 2368 1099 2146 conicto +896 1925 896 1542 conicto +896 0 lineto +448 0 lineto +448 3712 lineto +896 3712 lineto +896 2304 lineto +1053 2529 1266 2640 conicto +1479 2752 1757 2752 conicto +2217 2752 2452 2471 conicto +2688 2191 2688 1646 conicto +end_ol grestore +gsave 30.931228 10.022500 translate 0.035278 -0.035278 scale +start_ol +1622 1344 moveto +1104 1344 904 1225 conicto +704 1106 704 818 conicto +704 589 854 454 conicto +1004 320 1262 320 conicto +1618 320 1833 572 conicto +2048 825 2048 1244 conicto +2048 1344 lineto +1622 1344 lineto +2496 1513 moveto +2496 0 lineto +2048 0 lineto +2048 384 lineto +1900 154 1679 45 conicto +1458 -64 1138 -64 conicto +733 -64 494 162 conicto +256 389 256 769 conicto +256 1213 555 1438 conicto +854 1664 1448 1664 conicto +2048 1664 lineto +2048 1712 lineto +2048 2025 1851 2196 conicto +1655 2368 1300 2368 conicto +1074 2368 860 2320 conicto +646 2272 448 2176 conicto +448 2560 lineto +687 2656 912 2704 conicto +1138 2752 1351 2752 conicto +1927 2752 2211 2444 conicto +2496 2137 2496 1513 conicto +end_ol grestore +gsave 31.323357 10.022500 translate 0.035278 -0.035278 scale +start_ol +896 384 moveto +896 -1024 lineto +448 -1024 lineto +448 2688 lineto +896 2688 lineto +896 2304 lineto +1032 2531 1240 2641 conicto +1448 2752 1737 2752 conicto +2217 2752 2516 2364 conicto +2816 1976 2816 1344 conicto +2816 712 2516 324 conicto +2217 -64 1737 -64 conicto +1448 -64 1240 46 conicto +1032 157 896 384 conicto +2368 1344 moveto +2368 1823 2171 2095 conicto +1975 2368 1632 2368 conicto +1289 2368 1092 2095 conicto +896 1823 896 1344 conicto +896 865 1092 592 conicto +1289 320 1632 320 conicto +1975 320 2171 592 conicto +2368 865 2368 1344 conicto +end_ol grestore +gsave 31.730476 10.022500 translate 0.035278 -0.035278 scale +start_ol +448 2688 moveto +896 2688 lineto +896 0 lineto +448 0 lineto +448 2688 lineto +448 3712 moveto +896 3712 lineto +896 3136 lineto +448 3136 lineto +448 3712 lineto +end_ol grestore +gsave 31.907805 10.022500 translate 0.035278 -0.035278 scale +start_ol +2688 1646 moveto +2688 0 lineto +2240 0 lineto +2240 1632 lineto +2240 2001 2093 2184 conicto +1947 2368 1654 2368 conicto +1302 2368 1099 2146 conicto +896 1925 896 1542 conicto +896 0 lineto +448 0 lineto +448 2688 lineto +896 2688 lineto +896 2304 lineto +1053 2529 1266 2640 conicto +1479 2752 1757 2752 conicto +2217 2752 2452 2471 conicto +2688 2191 2688 1646 conicto +end_ol grestore +gsave 32.312419 10.022500 translate 0.035278 -0.035278 scale +start_ol +2176 1375 moveto +2176 1848 1982 2108 conicto +1789 2368 1439 2368 conicto +1091 2368 897 2108 conicto +704 1848 704 1375 conicto +704 904 897 644 conicto +1091 384 1439 384 conicto +1789 384 1982 644 conicto +2176 904 2176 1375 conicto +2624 347 moveto +2624 -347 2323 -685 conicto +2023 -1024 1404 -1024 conicto +1174 -1024 971 -992 conicto +768 -961 576 -896 conicto +576 -448 lineto +766 -546 951 -593 conicto +1137 -640 1329 -640 conicto +1754 -640 1965 -415 conicto +2176 -190 2176 264 conicto +2176 448 lineto +2042 223 1833 111 conicto +1624 0 1332 0 conicto +848 0 552 376 conicto +256 753 256 1375 conicto +256 1999 552 2375 conicto +848 2752 1332 2752 conicto +1624 2752 1833 2640 conicto +2042 2529 2176 2304 conicto +2176 2688 lineto +2624 2688 lineto +2624 347 lineto +end_ol grestore +0.100000 slw +[] 0 sd +[] 0 sd +0 slj +0 slc +n 18.000000 9.000000 m 18.050000 9.000000 l 19.950000 9.000000 l 20.000000 9.000000 l s +0.100000 slw +[] 0 sd +[] 0 sd +0 slj +0 slc +n 24.000000 9.000000 m 24.050000 9.000000 l 27.950000 9.000000 l 28.000000 9.000000 l s +0.100000 slw +[] 0 sd +[] 0 sd +0 slj +0 slc +n 33.000000 9.000000 m 33.050000 9.000000 l 36.950000 9.000000 l 37.000000 9.000000 l s +0.100000 slw +[] 0 sd +[] 0 sd +0 slj +0 slc +n 25.000000 3.000000 m 26.000000 3.000000 l 26.000000 1.000000 l 27.000000 1.000000 l 27.000000 3.000000 l 28.000000 3.000000 l s +0.100000 slw +[] 0 sd +[] 0 sd +0 slj +0 slc +n 34.000000 15.000000 m 35.000000 15.000000 l 35.000000 13.000000 l 39.000000 13.000000 l 39.000000 15.000000 l 40.000000 15.000000 l s +gsave 25.000000 4.000000 translate 0.035278 -0.035278 scale +start_ol +2112 2560 moveto +2112 2176 lineto +1932 2272 1738 2320 conicto +1544 2368 1336 2368 conicto +1020 2368 862 2269 conicto +704 2170 704 1972 conicto +704 1821 814 1735 conicto +925 1649 1260 1571 conicto +1403 1538 lineto +1857 1438 2048 1255 conicto +2240 1072 2240 744 conicto +2240 371 1954 153 conicto +1668 -64 1167 -64 conicto +958 -64 732 -16 conicto +506 32 256 128 conicto +256 576 lineto +491 448 719 384 conicto +947 320 1170 320 conicto +1470 320 1631 425 conicto +1792 531 1792 722 conicto +1792 900 1678 994 conicto +1564 1089 1177 1177 conicto +1032 1212 lineto +621 1298 438 1476 conicto +256 1654 256 1964 conicto +256 2341 520 2546 conicto +784 2752 1269 2752 conicto +1509 2752 1721 2704 conicto +1933 2656 2112 2560 conicto +end_ol grestore +gsave 25.332184 4.000000 translate 0.035278 -0.035278 scale +start_ol +448 2688 moveto +896 2688 lineto +896 0 lineto +448 0 lineto +448 2688 lineto +448 3712 moveto +896 3712 lineto +896 3136 lineto +448 3136 lineto +448 3712 lineto +end_ol grestore +gsave 25.509513 4.000000 translate 0.035278 -0.035278 scale +start_ol +2688 1646 moveto +2688 0 lineto +2240 0 lineto +2240 1632 lineto +2240 2001 2093 2184 conicto +1947 2368 1654 2368 conicto +1302 2368 1099 2146 conicto +896 1925 896 1542 conicto +896 0 lineto +448 0 lineto +448 2688 lineto +896 2688 lineto +896 2304 lineto +1053 2529 1266 2640 conicto +1479 2752 1757 2752 conicto +2217 2752 2452 2471 conicto +2688 2191 2688 1646 conicto +end_ol grestore +gsave 25.914127 4.000000 translate 0.035278 -0.035278 scale +start_ol +2176 1375 moveto +2176 1848 1982 2108 conicto +1789 2368 1439 2368 conicto +1091 2368 897 2108 conicto +704 1848 704 1375 conicto +704 904 897 644 conicto +1091 384 1439 384 conicto +1789 384 1982 644 conicto +2176 904 2176 1375 conicto +2624 347 moveto +2624 -347 2323 -685 conicto +2023 -1024 1404 -1024 conicto +1174 -1024 971 -992 conicto +768 -961 576 -896 conicto +576 -448 lineto +766 -546 951 -593 conicto +1137 -640 1329 -640 conicto +1754 -640 1965 -415 conicto +2176 -190 2176 264 conicto +2176 448 lineto +2042 223 1833 111 conicto +1624 0 1332 0 conicto +848 0 552 376 conicto +256 753 256 1375 conicto +256 1999 552 2375 conicto +848 2752 1332 2752 conicto +1624 2752 1833 2640 conicto +2042 2529 2176 2304 conicto +2176 2688 lineto +2624 2688 lineto +2624 347 lineto +end_ol grestore +gsave 26.321246 4.000000 translate 0.035278 -0.035278 scale +start_ol +448 3712 moveto +896 3712 lineto +896 0 lineto +448 0 lineto +448 3712 lineto +end_ol grestore +gsave 26.498575 4.000000 translate 0.035278 -0.035278 scale +start_ol +2752 1480 moveto +2752 1280 lineto +704 1280 lineto +733 811 978 565 conicto +1223 320 1660 320 conicto +1914 320 2152 384 conicto +2390 448 2624 576 conicto +2624 192 lineto +2388 67 2140 1 conicto +1893 -64 1639 -64 conicto +1001 -64 628 309 conicto +256 683 256 1320 conicto +256 1979 613 2365 conicto +970 2752 1576 2752 conicto +2120 2752 2436 2410 conicto +2752 2068 2752 1480 conicto +2304 1600 moveto +2299 1950 2099 2159 conicto +1900 2368 1572 2368 conicto +1200 2368 976 2166 conicto +753 1964 719 1597 conicto +2304 1600 lineto +end_ol grestore +gsave 26.893201 4.000000 translate 0.035278 -0.035278 scale +start_ol +end_ol grestore +gsave 27.095508 4.000000 translate 0.035278 -0.035278 scale +start_ol +2368 2560 moveto +2368 2176 lineto +2180 2272 1991 2320 conicto +1803 2368 1611 2368 conicto +1180 2368 942 2099 conicto +704 1830 704 1344 conicto +704 858 942 589 conicto +1180 320 1611 320 conicto +1803 320 1991 368 conicto +2180 416 2368 512 conicto +2368 128 lineto +2184 32 1987 -16 conicto +1791 -64 1569 -64 conicto +966 -64 611 316 conicto +256 697 256 1344 conicto +256 2000 615 2376 conicto +974 2752 1598 2752 conicto +1801 2752 1994 2704 conicto +2187 2656 2368 2560 conicto +end_ol grestore +gsave 27.447677 4.000000 translate 0.035278 -0.035278 scale +start_ol +1542 -238 moveto +1360 -726 1187 -875 conicto +1015 -1024 726 -1024 conicto +384 -1024 lineto +384 -640 lineto +636 -640 lineto +813 -640 910 -555 conicto +1008 -470 1127 -155 conicto +1204 46 lineto +128 2688 lineto +603 2688 lineto +1418 586 lineto +2234 2688 lineto +2688 2688 lineto +1542 -238 lineto +end_ol grestore +gsave 27.827321 4.000000 translate 0.035278 -0.035278 scale +start_ol +2368 2560 moveto +2368 2176 lineto +2180 2272 1991 2320 conicto +1803 2368 1611 2368 conicto +1180 2368 942 2099 conicto +704 1830 704 1344 conicto +704 858 942 589 conicto +1180 320 1611 320 conicto +1803 320 1991 368 conicto +2180 416 2368 512 conicto +2368 128 lineto +2184 32 1987 -16 conicto +1791 -64 1569 -64 conicto +966 -64 611 316 conicto +256 697 256 1344 conicto +256 2000 615 2376 conicto +974 2752 1598 2752 conicto +1801 2752 1994 2704 conicto +2187 2656 2368 2560 conicto +end_ol grestore +gsave 28.179490 4.000000 translate 0.035278 -0.035278 scale +start_ol +448 3712 moveto +896 3712 lineto +896 0 lineto +448 0 lineto +448 3712 lineto +end_ol grestore +gsave 28.356818 4.000000 translate 0.035278 -0.035278 scale +start_ol +2752 1480 moveto +2752 1280 lineto +704 1280 lineto +733 811 978 565 conicto +1223 320 1660 320 conicto +1914 320 2152 384 conicto +2390 448 2624 576 conicto +2624 192 lineto +2388 67 2140 1 conicto +1893 -64 1639 -64 conicto +1001 -64 628 309 conicto +256 683 256 1320 conicto +256 1979 613 2365 conicto +970 2752 1576 2752 conicto +2120 2752 2436 2410 conicto +2752 2068 2752 1480 conicto +2304 1600 moveto +2299 1950 2099 2159 conicto +1900 2368 1572 2368 conicto +1200 2368 976 2166 conicto +753 1964 719 1597 conicto +2304 1600 lineto +end_ol grestore +gsave 25.000000 4.800000 translate 0.035278 -0.035278 scale +start_ol +1473 2368 moveto +1117 2368 910 2094 conicto +704 1820 704 1344 conicto +704 868 909 594 conicto +1115 320 1473 320 conicto +1827 320 2033 595 conicto +2240 870 2240 1344 conicto +2240 1816 2033 2092 conicto +1827 2368 1473 2368 conicto +1472 2752 moveto +2040 2752 2364 2378 conicto +2688 2005 2688 1344 conicto +2688 685 2364 310 conicto +2040 -64 1472 -64 conicto +902 -64 579 310 conicto +256 685 256 1344 conicto +256 2005 579 2378 conicto +902 2752 1472 2752 conicto +end_ol grestore +gsave 25.392129 4.800000 translate 0.035278 -0.035278 scale +start_ol +1792 3712 moveto +1792 3328 lineto +1369 3328 lineto +1139 3328 1049 3237 conicto +960 3147 960 2912 conicto +960 2688 lineto +1664 2688 lineto +1664 2368 lineto +960 2368 lineto +960 0 lineto +512 0 lineto +512 2368 lineto +64 2368 lineto +64 2688 lineto +512 2688 lineto +512 2864 lineto +512 3307 718 3509 conicto +925 3712 1374 3712 conicto +1792 3712 lineto +end_ol grestore +gsave 25.616917 4.800000 translate 0.035278 -0.035278 scale +start_ol +end_ol grestore +gsave 25.819224 4.800000 translate 0.035278 -0.035278 scale +start_ol +1792 3103 moveto +630 1216 lineto +1792 1216 lineto +1792 3103 lineto +1709 3520 moveto +2304 3520 lineto +2304 1216 lineto +2816 1216 lineto +2816 832 lineto +2304 832 lineto +2304 0 lineto +1792 0 lineto +1792 832 lineto +256 832 lineto +256 1291 lineto +1709 3520 lineto +end_ol grestore +gsave 26.226344 4.800000 translate 0.035278 -0.035278 scale +start_ol +2624 2688 moveto +1666 1380 lineto +2688 0 lineto +2169 0 lineto +1389 1056 lineto +632 0 lineto +128 0 lineto +1134 1406 lineto +192 2688 lineto +705 2688 lineto +1408 1730 lineto +2111 2688 lineto +2624 2688 lineto +end_ol grestore +gsave 26.605988 4.800000 translate 0.035278 -0.035278 scale +start_ol +256 1536 moveto +1536 1536 lineto +1536 1152 lineto +256 1152 lineto +256 1536 lineto +end_ol grestore +gsave 26.835770 4.800000 translate 0.035278 -0.035278 scale +start_ol +2368 2560 moveto +2368 2176 lineto +2180 2272 1991 2320 conicto +1803 2368 1611 2368 conicto +1180 2368 942 2099 conicto +704 1830 704 1344 conicto +704 858 942 589 conicto +1180 320 1611 320 conicto +1803 320 1991 368 conicto +2180 416 2368 512 conicto +2368 128 lineto +2184 32 1987 -16 conicto +1791 -64 1569 -64 conicto +966 -64 611 316 conicto +256 697 256 1344 conicto +256 2000 615 2376 conicto +974 2752 1598 2752 conicto +1801 2752 1994 2704 conicto +2187 2656 2368 2560 conicto +end_ol grestore +gsave 27.187939 4.800000 translate 0.035278 -0.035278 scale +start_ol +448 3712 moveto +896 3712 lineto +896 0 lineto +448 0 lineto +448 3712 lineto +end_ol grestore +gsave 27.365268 4.800000 translate 0.035278 -0.035278 scale +start_ol +448 3712 moveto +896 3712 lineto +896 1529 lineto +2196 2688 lineto +2752 2688 lineto +1346 1430 lineto +2816 0 lineto +2246 0 lineto +896 1313 lineto +896 0 lineto +448 0 lineto +448 3712 lineto +end_ol grestore +gsave 36.000000 16.000000 translate 0.035278 -0.035278 scale +start_ol +2112 2560 moveto +2112 2176 lineto +1932 2272 1738 2320 conicto +1544 2368 1336 2368 conicto +1020 2368 862 2269 conicto +704 2170 704 1972 conicto +704 1821 814 1735 conicto +925 1649 1260 1571 conicto +1403 1538 lineto +1857 1438 2048 1255 conicto +2240 1072 2240 744 conicto +2240 371 1954 153 conicto +1668 -64 1167 -64 conicto +958 -64 732 -16 conicto +506 32 256 128 conicto +256 576 lineto +491 448 719 384 conicto +947 320 1170 320 conicto +1470 320 1631 425 conicto +1792 531 1792 722 conicto +1792 900 1678 994 conicto +1564 1089 1177 1177 conicto +1032 1212 lineto +621 1298 438 1476 conicto +256 1654 256 1964 conicto +256 2341 520 2546 conicto +784 2752 1269 2752 conicto +1509 2752 1721 2704 conicto +1933 2656 2112 2560 conicto +end_ol grestore +gsave 36.332184 16.000000 translate 0.035278 -0.035278 scale +start_ol +448 2688 moveto +896 2688 lineto +896 0 lineto +448 0 lineto +448 2688 lineto +448 3712 moveto +896 3712 lineto +896 3136 lineto +448 3136 lineto +448 3712 lineto +end_ol grestore +gsave 36.509513 16.000000 translate 0.035278 -0.035278 scale +start_ol +2688 1646 moveto +2688 0 lineto +2240 0 lineto +2240 1632 lineto +2240 2001 2093 2184 conicto +1947 2368 1654 2368 conicto +1302 2368 1099 2146 conicto +896 1925 896 1542 conicto +896 0 lineto +448 0 lineto +448 2688 lineto +896 2688 lineto +896 2304 lineto +1053 2529 1266 2640 conicto +1479 2752 1757 2752 conicto +2217 2752 2452 2471 conicto +2688 2191 2688 1646 conicto +end_ol grestore +gsave 36.914127 16.000000 translate 0.035278 -0.035278 scale +start_ol +2176 1375 moveto +2176 1848 1982 2108 conicto +1789 2368 1439 2368 conicto +1091 2368 897 2108 conicto +704 1848 704 1375 conicto +704 904 897 644 conicto +1091 384 1439 384 conicto +1789 384 1982 644 conicto +2176 904 2176 1375 conicto +2624 347 moveto +2624 -347 2323 -685 conicto +2023 -1024 1404 -1024 conicto +1174 -1024 971 -992 conicto +768 -961 576 -896 conicto +576 -448 lineto +766 -546 951 -593 conicto +1137 -640 1329 -640 conicto +1754 -640 1965 -415 conicto +2176 -190 2176 264 conicto +2176 448 lineto +2042 223 1833 111 conicto +1624 0 1332 0 conicto +848 0 552 376 conicto +256 753 256 1375 conicto +256 1999 552 2375 conicto +848 2752 1332 2752 conicto +1624 2752 1833 2640 conicto +2042 2529 2176 2304 conicto +2176 2688 lineto +2624 2688 lineto +2624 347 lineto +end_ol grestore +gsave 37.321246 16.000000 translate 0.035278 -0.035278 scale +start_ol +448 3712 moveto +896 3712 lineto +896 0 lineto +448 0 lineto +448 3712 lineto +end_ol grestore +gsave 37.498575 16.000000 translate 0.035278 -0.035278 scale +start_ol +2752 1480 moveto +2752 1280 lineto +704 1280 lineto +733 811 978 565 conicto +1223 320 1660 320 conicto +1914 320 2152 384 conicto +2390 448 2624 576 conicto +2624 192 lineto +2388 67 2140 1 conicto +1893 -64 1639 -64 conicto +1001 -64 628 309 conicto +256 683 256 1320 conicto +256 1979 613 2365 conicto +970 2752 1576 2752 conicto +2120 2752 2436 2410 conicto +2752 2068 2752 1480 conicto +2304 1600 moveto +2299 1950 2099 2159 conicto +1900 2368 1572 2368 conicto +1200 2368 976 2166 conicto +753 1964 719 1597 conicto +2304 1600 lineto +end_ol grestore +gsave 37.893201 16.000000 translate 0.035278 -0.035278 scale +start_ol +end_ol grestore +gsave 38.095508 16.000000 translate 0.035278 -0.035278 scale +start_ol +2368 2560 moveto +2368 2176 lineto +2180 2272 1991 2320 conicto +1803 2368 1611 2368 conicto +1180 2368 942 2099 conicto +704 1830 704 1344 conicto +704 858 942 589 conicto +1180 320 1611 320 conicto +1803 320 1991 368 conicto +2180 416 2368 512 conicto +2368 128 lineto +2184 32 1987 -16 conicto +1791 -64 1569 -64 conicto +966 -64 611 316 conicto +256 697 256 1344 conicto +256 2000 615 2376 conicto +974 2752 1598 2752 conicto +1801 2752 1994 2704 conicto +2187 2656 2368 2560 conicto +end_ol grestore +gsave 38.447677 16.000000 translate 0.035278 -0.035278 scale +start_ol +1542 -238 moveto +1360 -726 1187 -875 conicto +1015 -1024 726 -1024 conicto +384 -1024 lineto +384 -640 lineto +636 -640 lineto +813 -640 910 -555 conicto +1008 -470 1127 -155 conicto +1204 46 lineto +128 2688 lineto +603 2688 lineto +1418 586 lineto +2234 2688 lineto +2688 2688 lineto +1542 -238 lineto +end_ol grestore +gsave 38.827321 16.000000 translate 0.035278 -0.035278 scale +start_ol +2368 2560 moveto +2368 2176 lineto +2180 2272 1991 2320 conicto +1803 2368 1611 2368 conicto +1180 2368 942 2099 conicto +704 1830 704 1344 conicto +704 858 942 589 conicto +1180 320 1611 320 conicto +1803 320 1991 368 conicto +2180 416 2368 512 conicto +2368 128 lineto +2184 32 1987 -16 conicto +1791 -64 1569 -64 conicto +966 -64 611 316 conicto +256 697 256 1344 conicto +256 2000 615 2376 conicto +974 2752 1598 2752 conicto +1801 2752 1994 2704 conicto +2187 2656 2368 2560 conicto +end_ol grestore +gsave 39.179490 16.000000 translate 0.035278 -0.035278 scale +start_ol +448 3712 moveto +896 3712 lineto +896 0 lineto +448 0 lineto +448 3712 lineto +end_ol grestore +gsave 39.356818 16.000000 translate 0.035278 -0.035278 scale +start_ol +2752 1480 moveto +2752 1280 lineto +704 1280 lineto +733 811 978 565 conicto +1223 320 1660 320 conicto +1914 320 2152 384 conicto +2390 448 2624 576 conicto +2624 192 lineto +2388 67 2140 1 conicto +1893 -64 1639 -64 conicto +1001 -64 628 309 conicto +256 683 256 1320 conicto +256 1979 613 2365 conicto +970 2752 1576 2752 conicto +2120 2752 2436 2410 conicto +2752 2068 2752 1480 conicto +2304 1600 moveto +2299 1950 2099 2159 conicto +1900 2368 1572 2368 conicto +1200 2368 976 2166 conicto +753 1964 719 1597 conicto +2304 1600 lineto +end_ol grestore +gsave 36.000000 16.800000 translate 0.035278 -0.035278 scale +start_ol +1473 2368 moveto +1117 2368 910 2094 conicto +704 1820 704 1344 conicto +704 868 909 594 conicto +1115 320 1473 320 conicto +1827 320 2033 595 conicto +2240 870 2240 1344 conicto +2240 1816 2033 2092 conicto +1827 2368 1473 2368 conicto +1472 2752 moveto +2040 2752 2364 2378 conicto +2688 2005 2688 1344 conicto +2688 685 2364 310 conicto +2040 -64 1472 -64 conicto +902 -64 579 310 conicto +256 685 256 1344 conicto +256 2005 579 2378 conicto +902 2752 1472 2752 conicto +end_ol grestore +gsave 36.392129 16.800000 translate 0.035278 -0.035278 scale +start_ol +1792 3712 moveto +1792 3328 lineto +1369 3328 lineto +1139 3328 1049 3237 conicto +960 3147 960 2912 conicto +960 2688 lineto +1664 2688 lineto +1664 2368 lineto +960 2368 lineto +960 0 lineto +512 0 lineto +512 2368 lineto +64 2368 lineto +64 2688 lineto +512 2688 lineto +512 2864 lineto +512 3307 718 3509 conicto +925 3712 1374 3712 conicto +1792 3712 lineto +end_ol grestore +gsave 36.616917 16.800000 translate 0.035278 -0.035278 scale +start_ol +end_ol grestore +gsave 36.819224 16.800000 translate 0.035278 -0.035278 scale +start_ol +640 384 moveto +1408 384 lineto +1408 3136 lineto +576 2944 lineto +576 3328 lineto +1434 3520 lineto +1920 3520 lineto +1920 384 lineto +2688 384 lineto +2688 0 lineto +640 0 lineto +640 384 lineto +end_ol grestore +gsave 37.226344 16.800000 translate 0.035278 -0.035278 scale +start_ol +2624 2688 moveto +1666 1380 lineto +2688 0 lineto +2169 0 lineto +1389 1056 lineto +632 0 lineto +128 0 lineto +1134 1406 lineto +192 2688 lineto +705 2688 lineto +1408 1730 lineto +2111 2688 lineto +2624 2688 lineto +end_ol grestore +gsave 37.605988 16.800000 translate 0.035278 -0.035278 scale +start_ol +256 1536 moveto +1536 1536 lineto +1536 1152 lineto +256 1152 lineto +256 1536 lineto +end_ol grestore +gsave 37.835770 16.800000 translate 0.035278 -0.035278 scale +start_ol +2368 2560 moveto +2368 2176 lineto +2180 2272 1991 2320 conicto +1803 2368 1611 2368 conicto +1180 2368 942 2099 conicto +704 1830 704 1344 conicto +704 858 942 589 conicto +1180 320 1611 320 conicto +1803 320 1991 368 conicto +2180 416 2368 512 conicto +2368 128 lineto +2184 32 1987 -16 conicto +1791 -64 1569 -64 conicto +966 -64 611 316 conicto +256 697 256 1344 conicto +256 2000 615 2376 conicto +974 2752 1598 2752 conicto +1801 2752 1994 2704 conicto +2187 2656 2368 2560 conicto +end_ol grestore +gsave 38.187939 16.800000 translate 0.035278 -0.035278 scale +start_ol +448 3712 moveto +896 3712 lineto +896 0 lineto +448 0 lineto +448 3712 lineto +end_ol grestore +gsave 38.365268 16.800000 translate 0.035278 -0.035278 scale +start_ol +448 3712 moveto +896 3712 lineto +896 1529 lineto +2196 2688 lineto +2752 2688 lineto +1346 1430 lineto +2816 0 lineto +2246 0 lineto +896 1313 lineto +896 0 lineto +448 0 lineto +448 3712 lineto +end_ol grestore +0.100000 slw +[] 0 sd +[] 0 sd +0 slj +0.000000 1.000000 0.000000 srgb +n 5.000000 20.000000 m 5.000000 24.000000 l 9.000000 24.000000 l 9.000000 20.000000 l f +0.000000 0.000000 0.000000 srgb +n 5.000000 20.000000 m 5.000000 24.000000 l 9.000000 24.000000 l 9.000000 20.000000 l cp s +gsave 5.727500 21.422500 translate 0.035278 -0.035278 scale +start_ol +3136 3264 moveto +3136 2752 lineto +2892 2977 2616 3088 conicto +2340 3200 2030 3200 conicto +1418 3200 1093 2829 conicto +768 2459 768 1759 conicto +768 1061 1093 690 conicto +1418 320 2030 320 conicto +2340 320 2616 431 conicto +2892 543 3136 768 conicto +3136 256 lineto +2882 96 2599 16 conicto +2316 -64 2000 -64 conicto +1189 -64 722 424 conicto +256 913 256 1759 conicto +256 2607 722 3095 conicto +1189 3584 2000 3584 conicto +2320 3584 2603 3504 conicto +2887 3424 3136 3264 conicto +end_ol grestore +gsave 6.174580 21.422500 translate 0.035278 -0.035278 scale +start_ol +1622 1344 moveto +1104 1344 904 1225 conicto +704 1106 704 818 conicto +704 589 854 454 conicto +1004 320 1262 320 conicto +1618 320 1833 572 conicto +2048 825 2048 1244 conicto +2048 1344 lineto +1622 1344 lineto +2496 1513 moveto +2496 0 lineto +2048 0 lineto +2048 384 lineto +1900 154 1679 45 conicto +1458 -64 1138 -64 conicto +733 -64 494 162 conicto +256 389 256 769 conicto +256 1213 555 1438 conicto +854 1664 1448 1664 conicto +2048 1664 lineto +2048 1712 lineto +2048 2025 1851 2196 conicto +1655 2368 1300 2368 conicto +1074 2368 860 2320 conicto +646 2272 448 2176 conicto +448 2560 lineto +687 2656 912 2704 conicto +1138 2752 1351 2752 conicto +1927 2752 2211 2444 conicto +2496 2137 2496 1513 conicto +end_ol grestore +gsave 6.566709 21.422500 translate 0.035278 -0.035278 scale +start_ol +896 384 moveto +896 -1024 lineto +448 -1024 lineto +448 2688 lineto +896 2688 lineto +896 2304 lineto +1032 2531 1240 2641 conicto +1448 2752 1737 2752 conicto +2217 2752 2516 2364 conicto +2816 1976 2816 1344 conicto +2816 712 2516 324 conicto +2217 -64 1737 -64 conicto +1448 -64 1240 46 conicto +1032 157 896 384 conicto +2368 1344 moveto +2368 1823 2171 2095 conicto +1975 2368 1632 2368 conicto +1289 2368 1092 2095 conicto +896 1823 896 1344 conicto +896 865 1092 592 conicto +1289 320 1632 320 conicto +1975 320 2171 592 conicto +2368 865 2368 1344 conicto +end_ol grestore +gsave 6.973828 21.422500 translate 0.035278 -0.035278 scale +start_ol +896 3456 moveto +896 2688 lineto +1792 2688 lineto +1792 2368 lineto +896 2368 lineto +896 902 lineto +896 572 984 478 conicto +1073 384 1345 384 conicto +1792 384 lineto +1792 0 lineto +1345 0 lineto +836 0 642 194 conicto +448 389 448 902 conicto +448 2368 lineto +128 2368 lineto +128 2688 lineto +448 2688 lineto +448 3456 lineto +896 3456 lineto +end_ol grestore +gsave 7.223595 21.422500 translate 0.035278 -0.035278 scale +start_ol +448 1040 moveto +448 2688 lineto +896 2688 lineto +896 1057 lineto +896 689 1042 504 conicto +1188 320 1481 320 conicto +1832 320 2036 541 conicto +2240 763 2240 1145 conicto +2240 2688 lineto +2688 2688 lineto +2688 0 lineto +2240 0 lineto +2240 384 lineto +2081 157 1870 46 conicto +1660 -64 1382 -64 conicto +923 -64 685 217 conicto +448 499 448 1040 conicto +1554 2752 moveto +1554 2752 lineto +end_ol grestore +gsave 7.628209 21.422500 translate 0.035278 -0.035278 scale +start_ol +1984 2304 moveto +1912 2337 1828 2352 conicto +1744 2368 1642 2368 conicto +1282 2368 1089 2127 conicto +896 1887 896 1437 conicto +896 0 lineto +448 0 lineto +448 2688 lineto +896 2688 lineto +896 2304 lineto +1030 2531 1245 2641 conicto +1460 2752 1767 2752 conicto +1811 2752 1864 2752 conicto +1917 2752 1982 2752 conicto +1984 2304 lineto +end_ol grestore +gsave 7.875470 21.422500 translate 0.035278 -0.035278 scale +start_ol +2752 1480 moveto +2752 1280 lineto +704 1280 lineto +733 811 978 565 conicto +1223 320 1660 320 conicto +1914 320 2152 384 conicto +2390 448 2624 576 conicto +2624 192 lineto +2388 67 2140 1 conicto +1893 -64 1639 -64 conicto +1001 -64 628 309 conicto +256 683 256 1320 conicto +256 1979 613 2365 conicto +970 2752 1576 2752 conicto +2120 2752 2436 2410 conicto +2752 2068 2752 1480 conicto +2304 1600 moveto +2299 1950 2099 2159 conicto +1900 2368 1572 2368 conicto +1200 2368 976 2166 conicto +753 1964 719 1597 conicto +2304 1600 lineto +end_ol grestore +gsave 5.896250 22.222500 translate 0.035278 -0.035278 scale +start_ol +-64 3520 moveto +3008 3520 lineto +3008 3136 lineto +1728 3136 lineto +1728 0 lineto +1216 0 lineto +1216 3136 lineto +-64 3136 lineto +-64 3520 lineto +end_ol grestore +gsave 6.190971 22.222500 translate 0.035278 -0.035278 scale +start_ol +1984 2304 moveto +1912 2337 1828 2352 conicto +1744 2368 1642 2368 conicto +1282 2368 1089 2127 conicto +896 1887 896 1437 conicto +896 0 lineto +448 0 lineto +448 2688 lineto +896 2688 lineto +896 2304 lineto +1030 2531 1245 2641 conicto +1460 2752 1767 2752 conicto +1811 2752 1864 2752 conicto +1917 2752 1982 2752 conicto +1984 2304 lineto +end_ol grestore +gsave 6.453223 22.222500 translate 0.035278 -0.035278 scale +start_ol +448 2688 moveto +896 2688 lineto +896 0 lineto +448 0 lineto +448 2688 lineto +448 3712 moveto +896 3712 lineto +896 3136 lineto +448 3136 lineto +448 3712 lineto +end_ol grestore +gsave 6.630551 22.222500 translate 0.035278 -0.035278 scale +start_ol +2176 1375 moveto +2176 1848 1982 2108 conicto +1789 2368 1439 2368 conicto +1091 2368 897 2108 conicto +704 1848 704 1375 conicto +704 904 897 644 conicto +1091 384 1439 384 conicto +1789 384 1982 644 conicto +2176 904 2176 1375 conicto +2624 347 moveto +2624 -347 2323 -685 conicto +2023 -1024 1404 -1024 conicto +1174 -1024 971 -992 conicto +768 -961 576 -896 conicto +576 -448 lineto +766 -546 951 -593 conicto +1137 -640 1329 -640 conicto +1754 -640 1965 -415 conicto +2176 -190 2176 264 conicto +2176 448 lineto +2042 223 1833 111 conicto +1624 0 1332 0 conicto +848 0 552 376 conicto +256 753 256 1375 conicto +256 1999 552 2375 conicto +848 2752 1332 2752 conicto +1624 2752 1833 2640 conicto +2042 2529 2176 2304 conicto +2176 2688 lineto +2624 2688 lineto +2624 347 lineto +end_ol grestore +gsave 7.037671 22.222500 translate 0.035278 -0.035278 scale +start_ol +2176 1375 moveto +2176 1848 1982 2108 conicto +1789 2368 1439 2368 conicto +1091 2368 897 2108 conicto +704 1848 704 1375 conicto +704 904 897 644 conicto +1091 384 1439 384 conicto +1789 384 1982 644 conicto +2176 904 2176 1375 conicto +2624 347 moveto +2624 -347 2323 -685 conicto +2023 -1024 1404 -1024 conicto +1174 -1024 971 -992 conicto +768 -961 576 -896 conicto +576 -448 lineto +766 -546 951 -593 conicto +1137 -640 1329 -640 conicto +1754 -640 1965 -415 conicto +2176 -190 2176 264 conicto +2176 448 lineto +2042 223 1833 111 conicto +1624 0 1332 0 conicto +848 0 552 376 conicto +256 753 256 1375 conicto +256 1999 552 2375 conicto +848 2752 1332 2752 conicto +1624 2752 1833 2640 conicto +2042 2529 2176 2304 conicto +2176 2688 lineto +2624 2688 lineto +2624 347 lineto +end_ol grestore +gsave 7.444790 22.222500 translate 0.035278 -0.035278 scale +start_ol +2752 1480 moveto +2752 1280 lineto +704 1280 lineto +733 811 978 565 conicto +1223 320 1660 320 conicto +1914 320 2152 384 conicto +2390 448 2624 576 conicto +2624 192 lineto +2388 67 2140 1 conicto +1893 -64 1639 -64 conicto +1001 -64 628 309 conicto +256 683 256 1320 conicto +256 1979 613 2365 conicto +970 2752 1576 2752 conicto +2120 2752 2436 2410 conicto +2752 2068 2752 1480 conicto +2304 1600 moveto +2299 1950 2099 2159 conicto +1900 2368 1572 2368 conicto +1200 2368 976 2166 conicto +753 1964 719 1597 conicto +2304 1600 lineto +end_ol grestore +gsave 7.839416 22.222500 translate 0.035278 -0.035278 scale +start_ol +1984 2304 moveto +1912 2337 1828 2352 conicto +1744 2368 1642 2368 conicto +1282 2368 1089 2127 conicto +896 1887 896 1437 conicto +896 0 lineto +448 0 lineto +448 2688 lineto +896 2688 lineto +896 2304 lineto +1030 2531 1245 2641 conicto +1460 2752 1767 2752 conicto +1811 2752 1864 2752 conicto +1917 2752 1982 2752 conicto +1984 2304 lineto +end_ol grestore +gsave 6.051250 23.022500 translate 0.035278 -0.035278 scale +start_ol +-64 3520 moveto +3008 3520 lineto +3008 3136 lineto +1728 3136 lineto +1728 0 lineto +1216 0 lineto +1216 3136 lineto +-64 3136 lineto +-64 3520 lineto +end_ol grestore +gsave 6.420898 23.022500 translate 0.035278 -0.035278 scale +start_ol +448 2688 moveto +896 2688 lineto +896 0 lineto +448 0 lineto +448 2688 lineto +448 3712 moveto +896 3712 lineto +896 3136 lineto +448 3136 lineto +448 3712 lineto +end_ol grestore +gsave 6.598226 23.022500 translate 0.035278 -0.035278 scale +start_ol +2549 2204 moveto +2714 2485 2942 2618 conicto +3171 2752 3482 2752 conicto +3899 2752 4125 2464 conicto +4352 2177 4352 1646 conicto +4352 0 lineto +3904 0 lineto +3904 1632 lineto +3904 2006 3769 2187 conicto +3634 2368 3356 2368 conicto +3017 2368 2820 2146 conicto +2624 1925 2624 1542 conicto +2624 0 lineto +2176 0 lineto +2176 1632 lineto +2176 2008 2041 2188 conicto +1906 2368 1624 2368 conicto +1289 2368 1092 2145 conicto +896 1922 896 1542 conicto +896 0 lineto +448 0 lineto +448 2688 lineto +896 2688 lineto +896 2304 lineto +1046 2534 1255 2643 conicto +1465 2752 1753 2752 conicto +2044 2752 2247 2611 conicto +2451 2471 2549 2204 conicto +end_ol grestore +gsave 7.220138 23.022500 translate 0.035278 -0.035278 scale +start_ol +2752 1480 moveto +2752 1280 lineto +704 1280 lineto +733 811 978 565 conicto +1223 320 1660 320 conicto +1914 320 2152 384 conicto +2390 448 2624 576 conicto +2624 192 lineto +2388 67 2140 1 conicto +1893 -64 1639 -64 conicto +1001 -64 628 309 conicto +256 683 256 1320 conicto +256 1979 613 2365 conicto +970 2752 1576 2752 conicto +2120 2752 2436 2410 conicto +2752 2068 2752 1480 conicto +2304 1600 moveto +2299 1950 2099 2159 conicto +1900 2368 1572 2368 conicto +1200 2368 976 2166 conicto +753 1964 719 1597 conicto +2304 1600 lineto +end_ol grestore +gsave 7.614764 23.022500 translate 0.035278 -0.035278 scale +start_ol +2112 2560 moveto +2112 2176 lineto +1932 2272 1738 2320 conicto +1544 2368 1336 2368 conicto +1020 2368 862 2269 conicto +704 2170 704 1972 conicto +704 1821 814 1735 conicto +925 1649 1260 1571 conicto +1403 1538 lineto +1857 1438 2048 1255 conicto +2240 1072 2240 744 conicto +2240 371 1954 153 conicto +1668 -64 1167 -64 conicto +958 -64 732 -16 conicto +506 32 256 128 conicto +256 576 lineto +491 448 719 384 conicto +947 320 1170 320 conicto +1470 320 1631 425 conicto +1792 531 1792 722 conicto +1792 900 1678 994 conicto +1564 1089 1177 1177 conicto +1032 1212 lineto +621 1298 438 1476 conicto +256 1654 256 1964 conicto +256 2341 520 2546 conicto +784 2752 1269 2752 conicto +1509 2752 1721 2704 conicto +1933 2656 2112 2560 conicto +end_ol grestore +0.300000 slw +[] 0 sd +[] 0 sd +0 slc +n 1.000000 22.000000 m 5.000000 22.000000 l s +0.300000 slw +[] 0 sd +[] 0 sd +0 slc +n 9.000000 22.000000 m 17.000000 22.000000 l s +0.100000 slw +[] 0 sd +[] 0 sd +0 slc +n 27.000000 5.000000 m 26.070711 7.787868 l s +0.100000 slw +[] 0 sd +0 slj +0 slc +n 25.956298 7.340535 m 26.035355 7.893934 l 26.430640 7.498649 l s +0.100000 slw +[] 0 sd +[] 0 sd +0 slc +n 37.000000 12.000000 m 36.100000 10.200000 l s +0.100000 slw +[] 0 sd +0 slj +0 slc +n 36.497214 10.435410 m 36.050000 10.100000 l 36.050000 10.659017 l s +0.100000 slw +[] 0 sd +[] 0 sd +0 slj +0 slc +n 26.000000 9.000000 m 26.000000 18.000000 l 7.000000 18.000000 l 7.000000 19.951172 l s +gsave 37.000000 9.000000 translate 0.035278 -0.035278 scale +start_ol +-64 3520 moveto +3008 3520 lineto +3008 3136 lineto +1728 3136 lineto +1728 0 lineto +1216 0 lineto +1216 3136 lineto +-64 3136 lineto +-64 3520 lineto +end_ol grestore +gsave 37.294721 9.000000 translate 0.035278 -0.035278 scale +start_ol +1984 2304 moveto +1912 2337 1828 2352 conicto +1744 2368 1642 2368 conicto +1282 2368 1089 2127 conicto +896 1887 896 1437 conicto +896 0 lineto +448 0 lineto +448 2688 lineto +896 2688 lineto +896 2304 lineto +1030 2531 1245 2641 conicto +1460 2752 1767 2752 conicto +1811 2752 1864 2752 conicto +1917 2752 1982 2752 conicto +1984 2304 lineto +end_ol grestore +gsave 37.556973 9.000000 translate 0.035278 -0.035278 scale +start_ol +448 2688 moveto +896 2688 lineto +896 0 lineto +448 0 lineto +448 2688 lineto +448 3712 moveto +896 3712 lineto +896 3136 lineto +448 3136 lineto +448 3712 lineto +end_ol grestore +gsave 37.734301 9.000000 translate 0.035278 -0.035278 scale +start_ol +2176 1375 moveto +2176 1848 1982 2108 conicto +1789 2368 1439 2368 conicto +1091 2368 897 2108 conicto +704 1848 704 1375 conicto +704 904 897 644 conicto +1091 384 1439 384 conicto +1789 384 1982 644 conicto +2176 904 2176 1375 conicto +2624 347 moveto +2624 -347 2323 -685 conicto +2023 -1024 1404 -1024 conicto +1174 -1024 971 -992 conicto +768 -961 576 -896 conicto +576 -448 lineto +766 -546 951 -593 conicto +1137 -640 1329 -640 conicto +1754 -640 1965 -415 conicto +2176 -190 2176 264 conicto +2176 448 lineto +2042 223 1833 111 conicto +1624 0 1332 0 conicto +848 0 552 376 conicto +256 753 256 1375 conicto +256 1999 552 2375 conicto +848 2752 1332 2752 conicto +1624 2752 1833 2640 conicto +2042 2529 2176 2304 conicto +2176 2688 lineto +2624 2688 lineto +2624 347 lineto +end_ol grestore +gsave 38.141421 9.000000 translate 0.035278 -0.035278 scale +start_ol +2176 1375 moveto +2176 1848 1982 2108 conicto +1789 2368 1439 2368 conicto +1091 2368 897 2108 conicto +704 1848 704 1375 conicto +704 904 897 644 conicto +1091 384 1439 384 conicto +1789 384 1982 644 conicto +2176 904 2176 1375 conicto +2624 347 moveto +2624 -347 2323 -685 conicto +2023 -1024 1404 -1024 conicto +1174 -1024 971 -992 conicto +768 -961 576 -896 conicto +576 -448 lineto +766 -546 951 -593 conicto +1137 -640 1329 -640 conicto +1754 -640 1965 -415 conicto +2176 -190 2176 264 conicto +2176 448 lineto +2042 223 1833 111 conicto +1624 0 1332 0 conicto +848 0 552 376 conicto +256 753 256 1375 conicto +256 1999 552 2375 conicto +848 2752 1332 2752 conicto +1624 2752 1833 2640 conicto +2042 2529 2176 2304 conicto +2176 2688 lineto +2624 2688 lineto +2624 347 lineto +end_ol grestore +gsave 38.548540 9.000000 translate 0.035278 -0.035278 scale +start_ol +2752 1480 moveto +2752 1280 lineto +704 1280 lineto +733 811 978 565 conicto +1223 320 1660 320 conicto +1914 320 2152 384 conicto +2390 448 2624 576 conicto +2624 192 lineto +2388 67 2140 1 conicto +1893 -64 1639 -64 conicto +1001 -64 628 309 conicto +256 683 256 1320 conicto +256 1979 613 2365 conicto +970 2752 1576 2752 conicto +2120 2752 2436 2410 conicto +2752 2068 2752 1480 conicto +2304 1600 moveto +2299 1950 2099 2159 conicto +1900 2368 1572 2368 conicto +1200 2368 976 2166 conicto +753 1964 719 1597 conicto +2304 1600 lineto +end_ol grestore +gsave 38.943166 9.000000 translate 0.035278 -0.035278 scale +start_ol +1984 2304 moveto +1912 2337 1828 2352 conicto +1744 2368 1642 2368 conicto +1282 2368 1089 2127 conicto +896 1887 896 1437 conicto +896 0 lineto +448 0 lineto +448 2688 lineto +896 2688 lineto +896 2304 lineto +1030 2531 1245 2641 conicto +1460 2752 1767 2752 conicto +1811 2752 1864 2752 conicto +1917 2752 1982 2752 conicto +1984 2304 lineto +end_ol grestore +gsave 18.000000 22.000000 translate 0.035278 -0.035278 scale +start_ol +-64 3520 moveto +3008 3520 lineto +3008 3136 lineto +1728 3136 lineto +1728 0 lineto +1216 0 lineto +1216 3136 lineto +-64 3136 lineto +-64 3520 lineto +end_ol grestore +gsave 18.294721 22.000000 translate 0.035278 -0.035278 scale +start_ol +1984 2304 moveto +1912 2337 1828 2352 conicto +1744 2368 1642 2368 conicto +1282 2368 1089 2127 conicto +896 1887 896 1437 conicto +896 0 lineto +448 0 lineto +448 2688 lineto +896 2688 lineto +896 2304 lineto +1030 2531 1245 2641 conicto +1460 2752 1767 2752 conicto +1811 2752 1864 2752 conicto +1917 2752 1982 2752 conicto +1984 2304 lineto +end_ol grestore +gsave 18.556973 22.000000 translate 0.035278 -0.035278 scale +start_ol +448 2688 moveto +896 2688 lineto +896 0 lineto +448 0 lineto +448 2688 lineto +448 3712 moveto +896 3712 lineto +896 3136 lineto +448 3136 lineto +448 3712 lineto +end_ol grestore +gsave 18.734301 22.000000 translate 0.035278 -0.035278 scale +start_ol +2176 1375 moveto +2176 1848 1982 2108 conicto +1789 2368 1439 2368 conicto +1091 2368 897 2108 conicto +704 1848 704 1375 conicto +704 904 897 644 conicto +1091 384 1439 384 conicto +1789 384 1982 644 conicto +2176 904 2176 1375 conicto +2624 347 moveto +2624 -347 2323 -685 conicto +2023 -1024 1404 -1024 conicto +1174 -1024 971 -992 conicto +768 -961 576 -896 conicto +576 -448 lineto +766 -546 951 -593 conicto +1137 -640 1329 -640 conicto +1754 -640 1965 -415 conicto +2176 -190 2176 264 conicto +2176 448 lineto +2042 223 1833 111 conicto +1624 0 1332 0 conicto +848 0 552 376 conicto +256 753 256 1375 conicto +256 1999 552 2375 conicto +848 2752 1332 2752 conicto +1624 2752 1833 2640 conicto +2042 2529 2176 2304 conicto +2176 2688 lineto +2624 2688 lineto +2624 347 lineto +end_ol grestore +gsave 19.141421 22.000000 translate 0.035278 -0.035278 scale +start_ol +2176 1375 moveto +2176 1848 1982 2108 conicto +1789 2368 1439 2368 conicto +1091 2368 897 2108 conicto +704 1848 704 1375 conicto +704 904 897 644 conicto +1091 384 1439 384 conicto +1789 384 1982 644 conicto +2176 904 2176 1375 conicto +2624 347 moveto +2624 -347 2323 -685 conicto +2023 -1024 1404 -1024 conicto +1174 -1024 971 -992 conicto +768 -961 576 -896 conicto +576 -448 lineto +766 -546 951 -593 conicto +1137 -640 1329 -640 conicto +1754 -640 1965 -415 conicto +2176 -190 2176 264 conicto +2176 448 lineto +2042 223 1833 111 conicto +1624 0 1332 0 conicto +848 0 552 376 conicto +256 753 256 1375 conicto +256 1999 552 2375 conicto +848 2752 1332 2752 conicto +1624 2752 1833 2640 conicto +2042 2529 2176 2304 conicto +2176 2688 lineto +2624 2688 lineto +2624 347 lineto +end_ol grestore +gsave 19.548540 22.000000 translate 0.035278 -0.035278 scale +start_ol +2752 1480 moveto +2752 1280 lineto +704 1280 lineto +733 811 978 565 conicto +1223 320 1660 320 conicto +1914 320 2152 384 conicto +2390 448 2624 576 conicto +2624 192 lineto +2388 67 2140 1 conicto +1893 -64 1639 -64 conicto +1001 -64 628 309 conicto +256 683 256 1320 conicto +256 1979 613 2365 conicto +970 2752 1576 2752 conicto +2120 2752 2436 2410 conicto +2752 2068 2752 1480 conicto +2304 1600 moveto +2299 1950 2099 2159 conicto +1900 2368 1572 2368 conicto +1200 2368 976 2166 conicto +753 1964 719 1597 conicto +2304 1600 lineto +end_ol grestore +gsave 19.943166 22.000000 translate 0.035278 -0.035278 scale +start_ol +1984 2304 moveto +1912 2337 1828 2352 conicto +1744 2368 1642 2368 conicto +1282 2368 1089 2127 conicto +896 1887 896 1437 conicto +896 0 lineto +448 0 lineto +448 2688 lineto +896 2688 lineto +896 2304 lineto +1030 2531 1245 2641 conicto +1460 2752 1767 2752 conicto +1811 2752 1864 2752 conicto +1917 2752 1982 2752 conicto +1984 2304 lineto +end_ol grestore +gsave 18.000000 22.800000 translate 0.035278 -0.035278 scale +start_ol +-64 3520 moveto +3008 3520 lineto +3008 3136 lineto +1728 3136 lineto +1728 0 lineto +1216 0 lineto +1216 3136 lineto +-64 3136 lineto +-64 3520 lineto +end_ol grestore +gsave 18.369648 22.800000 translate 0.035278 -0.035278 scale +start_ol +448 2688 moveto +896 2688 lineto +896 0 lineto +448 0 lineto +448 2688 lineto +448 3712 moveto +896 3712 lineto +896 3136 lineto +448 3136 lineto +448 3712 lineto +end_ol grestore +gsave 18.546976 22.800000 translate 0.035278 -0.035278 scale +start_ol +2549 2204 moveto +2714 2485 2942 2618 conicto +3171 2752 3482 2752 conicto +3899 2752 4125 2464 conicto +4352 2177 4352 1646 conicto +4352 0 lineto +3904 0 lineto +3904 1632 lineto +3904 2006 3769 2187 conicto +3634 2368 3356 2368 conicto +3017 2368 2820 2146 conicto +2624 1925 2624 1542 conicto +2624 0 lineto +2176 0 lineto +2176 1632 lineto +2176 2008 2041 2188 conicto +1906 2368 1624 2368 conicto +1289 2368 1092 2145 conicto +896 1922 896 1542 conicto +896 0 lineto +448 0 lineto +448 2688 lineto +896 2688 lineto +896 2304 lineto +1046 2534 1255 2643 conicto +1465 2752 1753 2752 conicto +2044 2752 2247 2611 conicto +2451 2471 2549 2204 conicto +end_ol grestore +gsave 19.168888 22.800000 translate 0.035278 -0.035278 scale +start_ol +2752 1480 moveto +2752 1280 lineto +704 1280 lineto +733 811 978 565 conicto +1223 320 1660 320 conicto +1914 320 2152 384 conicto +2390 448 2624 576 conicto +2624 192 lineto +2388 67 2140 1 conicto +1893 -64 1639 -64 conicto +1001 -64 628 309 conicto +256 683 256 1320 conicto +256 1979 613 2365 conicto +970 2752 1576 2752 conicto +2120 2752 2436 2410 conicto +2752 2068 2752 1480 conicto +2304 1600 moveto +2299 1950 2099 2159 conicto +1900 2368 1572 2368 conicto +1200 2368 976 2166 conicto +753 1964 719 1597 conicto +2304 1600 lineto +end_ol grestore +gsave 19.563514 22.800000 translate 0.035278 -0.035278 scale +start_ol +2112 2560 moveto +2112 2176 lineto +1932 2272 1738 2320 conicto +1544 2368 1336 2368 conicto +1020 2368 862 2269 conicto +704 2170 704 1972 conicto +704 1821 814 1735 conicto +925 1649 1260 1571 conicto +1403 1538 lineto +1857 1438 2048 1255 conicto +2240 1072 2240 744 conicto +2240 371 1954 153 conicto +1668 -64 1167 -64 conicto +958 -64 732 -16 conicto +506 32 256 128 conicto +256 576 lineto +491 448 719 384 conicto +947 320 1170 320 conicto +1470 320 1631 425 conicto +1792 531 1792 722 conicto +1792 900 1678 994 conicto +1564 1089 1177 1177 conicto +1032 1212 lineto +621 1298 438 1476 conicto +256 1654 256 1964 conicto +256 2341 520 2546 conicto +784 2752 1269 2752 conicto +1509 2752 1721 2704 conicto +1933 2656 2112 2560 conicto +end_ol grestore +gr +showpage + diff --git a/firmware/simulation/scripts/README b/firmware/simulation/scripts/README new file mode 100644 index 0000000000000000000000000000000000000000..0a4c8cca2e54aa262e908cfb3cf5b45b90e6f0d8 --- /dev/null +++ b/firmware/simulation/scripts/README @@ -0,0 +1,6 @@ + +add_files.tcl - Works, but crude + +addfiles_sim.tcl - Dave N's script. ( used as basis for add_files.tcl ) + +setup.sh - Dave N's script to build Modelsim FLI MAC hardware. Doesn't work yet diff --git a/firmware/simulation/scripts/add_files.tcl b/firmware/simulation/scripts/add_files.tcl new file mode 100644 index 0000000000000000000000000000000000000000..86382b5e6b9181e740a8d869c8995dfaf443d014 --- /dev/null +++ b/firmware/simulation/scripts/add_files.tcl @@ -0,0 +1,86 @@ +set xlib_vhdl $::env(ISE_VHDL_MTI) +set xlib_vlog $::env(ISE_VLOG_MTI) + +project new ./ fmc_tlu_sim +vmap unisim $xlib_vhdl/unisim +vmap unimacro $xlib_vhdl/unimacro +vmap secureip $xlib_vlog/secureip +vmap xilinxcorelib $xlib_vhdl/xilinxcorelib + +project addfile $::env(FW_WORKSPACE)/workspace/ipbus/firmware/ipbus_core/hdl/ipbus_trans_decl.vhd +project addfile $::env(FW_WORKSPACE)/workspace/ipbus/firmware/ipbus_core/hdl/ipbus_package.vhd +project addfile $::env(FW_WORKSPACE)/workspace/ipbus/firmware/ipbus_core/hdl/udp_tx_mux.vhd +project addfile $::env(FW_WORKSPACE)/workspace/ipbus/firmware/ipbus_core/hdl/udp_txtransactor_if_simple.vhd +project addfile $::env(FW_WORKSPACE)/workspace/ipbus/firmware/ipbus_core/hdl/udp_status_buffer.vhd +project addfile $::env(FW_WORKSPACE)/workspace/ipbus/firmware/ipbus_core/hdl/udp_rxtransactor_if_simple.vhd +project addfile $::env(FW_WORKSPACE)/workspace/ipbus/firmware/ipbus_core/hdl/udp_rxram_shim.vhd +project addfile $::env(FW_WORKSPACE)/workspace/ipbus/firmware/ipbus_core/hdl/udp_rxram_mux.vhd +project addfile $::env(FW_WORKSPACE)/workspace/ipbus/firmware/ipbus_core/hdl/udp_rarp_block.vhd +project addfile $::env(FW_WORKSPACE)/workspace/ipbus/firmware/ipbus_core/hdl/udp_packet_parser.vhd +project addfile $::env(FW_WORKSPACE)/workspace/ipbus/firmware/ipbus_core/hdl/udp_ipaddr_block.vhd +project addfile $::env(FW_WORKSPACE)/workspace/ipbus/firmware/ipbus_core/hdl/udp_dualportram_tx.vhd +project addfile $::env(FW_WORKSPACE)/workspace/ipbus/firmware/ipbus_core/hdl/udp_dualportram_rx.vhd +project addfile $::env(FW_WORKSPACE)/workspace/ipbus/firmware/ipbus_core/hdl/udp_dualportram.vhd +project addfile $::env(FW_WORKSPACE)/workspace/ipbus/firmware/ipbus_core/hdl/udp_do_rx_reset.vhd +project addfile $::env(FW_WORKSPACE)/workspace/ipbus/firmware/ipbus_core/hdl/udp_clock_crossing_if.vhd +project addfile $::env(FW_WORKSPACE)/workspace/ipbus/firmware/ipbus_core/hdl/udp_byte_sum.vhd +project addfile $::env(FW_WORKSPACE)/workspace/ipbus/firmware/ipbus_core/hdl/udp_build_status.vhd +project addfile $::env(FW_WORKSPACE)/workspace/ipbus/firmware/ipbus_core/hdl/udp_build_resend.vhd +project addfile $::env(FW_WORKSPACE)/workspace/ipbus/firmware/ipbus_core/hdl/udp_build_ping.vhd +project addfile $::env(FW_WORKSPACE)/workspace/ipbus/firmware/ipbus_core/hdl/udp_build_payload.vhd +project addfile $::env(FW_WORKSPACE)/workspace/ipbus/firmware/ipbus_core/hdl/udp_build_arp.vhd +project addfile $::env(FW_WORKSPACE)/workspace/ipbus/firmware/ipbus_core/hdl/udp_buffer_selector.vhd +project addfile $::env(FW_WORKSPACE)/workspace/ipbus/firmware/ipbus_core/hdl/transactor_sm.vhd +project addfile $::env(FW_WORKSPACE)/workspace/ipbus/firmware/ipbus_core/hdl/transactor_if.vhd +project addfile $::env(FW_WORKSPACE)/workspace/ipbus/firmware/ipbus_core/hdl/transactor_cfg.vhd +project addfile $::env(FW_WORKSPACE)/workspace/ipbus/firmware/example_designs/hdl/clock_div.vhd +project addfile $::env(FW_WORKSPACE)/workspace/ipcore_dir/tri_mode_eth_mac_v5_4.vhd +project addfile $::env(FW_WORKSPACE)/workspace/ipcore_dir/mac_fifo_axi4.vhd +project addfile $::env(FW_WORKSPACE)/workspace/ipbus/firmware/ipbus_core/hdl/udp_if_flat.vhd +project addfile $::env(FW_WORKSPACE)/workspace/ipbus/firmware/ipbus_core/hdl/trans_arb.vhd +project addfile $::env(FW_WORKSPACE)/workspace/ipbus/firmware/ipbus_core/hdl/transactor.vhd +project addfile $::env(FW_WORKSPACE)/workspace/ipbus/firmware/ipbus_core/hdl/stretcher.vhd +project addfile $::env(FW_WORKSPACE)/workspace/ipbus/firmware/ethernet/hdl/emac_hostbus_decl.vhd +project addfile $::env(FW_WORKSPACE)/workspace/fmc-mtlu/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/fmcTLU_pkg.vhd +project addfile $::env(FW_WORKSPACE)/workspace/fmc-mtlu/firmware/hdl/common/ipbus_addr_decode.vhd +project addfile $::env(FW_WORKSPACE)/workspace/external/opencores_i2c/i2c_master_registers.vhd +project addfile $::env(FW_WORKSPACE)/workspace/external/opencores_i2c/i2c_master_byte_ctrl.vhd +project addfile $::env(FW_WORKSPACE)/workspace/external/opencores_i2c/i2c_master_bit_ctrl.vhd +project addfile $::env(FW_WORKSPACE)/workspace/ipbus/firmware/slaves/hdl/syncreg_w.vhd +project addfile $::env(FW_WORKSPACE)/workspace/ipbus/firmware/slaves/hdl/syncreg_r.vhd +project addfile $::env(FW_WORKSPACE)/workspace/ipbus/firmware/slaves/hdl/ipbus_reg_types.vhd +project addfile $::env(FW_WORKSPACE)/workspace/fmc-mtlu/firmware/hdl/common/counterWithReset_rtl.vhd +project addfile $::env(FW_WORKSPACE)/workspace/ipcore_dir/tlu_event_fifo.vhd +project addfile $::env(FW_WORKSPACE)/workspace/ipcore_dir/internalTriggerGenerator.vhd +project addfile $::env(FW_WORKSPACE)/workspace/ipcore_dir/FIFO.vhd +project addfile $::env(FW_WORKSPACE)/workspace/ipbus/firmware/ipbus_core/hdl/ipbus_fabric.vhd +project addfile $::env(FW_WORKSPACE)/workspace/ipbus/firmware/ipbus_core/hdl/ipbus_ctrl.vhd +project addfile $::env(FW_WORKSPACE)/workspace/ipbus/firmware/ethernet/hdl/eth_s6_gmii.vhd +project addfile $::env(FW_WORKSPACE)/workspace/fmc-mtlu/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/fmcTLU_pkg_body.vhd +project addfile $::env(FW_WORKSPACE)/workspace/fmc-mtlu/firmware/hdl/common/registerCounter_rtl.vhd +project addfile $::env(FW_WORKSPACE)/workspace/fmc-mtlu/firmware/hdl/common/ipbus_ver.vhd +project addfile $::env(FW_WORKSPACE)/workspace/fmc-mtlu/firmware/hdl/common/dualSERDES_1to4_rtl.vhd +project addfile $::env(FW_WORKSPACE)/workspace/fmc-mtlu/firmware/hdl/common/clocks_s6_extphy.vhd +project addfile $::env(FW_WORKSPACE)/workspace/fmc-mtlu/firmware/hdl/common/arrivalTimeLUT_rtl.vhd +project addfile $::env(FW_WORKSPACE)/workspace/external/opencores_i2c/i2c_master_top.vhd +project addfile $::env(FW_WORKSPACE)/workspace/ipbus/firmware/slaves/hdl/ipbus_syncreg_v.vhd +project addfile $::env(FW_WORKSPACE)/workspace/fmc-mtlu/firmware/hdl/common/triggerLogic_rtl.vhd +project addfile $::env(FW_WORKSPACE)/workspace/fmc-mtlu/firmware/hdl/common/triggerInputs_rtl.vhd +project addfile $::env(FW_WORKSPACE)/workspace/fmc-mtlu/firmware/hdl/common/logic_clocks_rtl.vhd +project addfile $::env(FW_WORKSPACE)/workspace/fmc-mtlu/firmware/hdl/common/IPBusInterface_rtl.vhd +project addfile $::env(FW_WORKSPACE)/workspace/fmc-mtlu/firmware/hdl/common/i2c_master_rtl.vhd +project addfile $::env(FW_WORKSPACE)/workspace/fmc-mtlu/firmware/hdl/common/eventFormatter_rtl.vhd +project addfile $::env(FW_WORKSPACE)/workspace/fmc-mtlu/firmware/hdl/common/eventBuffer_rtl.vhd +project addfile $::env(FW_WORKSPACE)/workspace/fmc-mtlu/firmware/hdl/common/DUTInterfaces_rtl.vhd +project addfile $::env(FW_WORKSPACE)/workspace/fmc-mtlu/firmware/hdl/common/synchronizeRegisters_rtl.vhd +project addfile $::env(FW_WORKSPACE)/workspace/fmc-mtlu/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/top_extphy_struct.vhd +project addfile $::env(FW_WORKSPACE)/workspace/fmc-mtlu/firmware/hdl/test/clock_divider_s6.v +project addfile $::env(FW_WORKSPACE)/workspace/ipbus/firmware/slaves/hdl/ipbus_syncreg_v.vhd +project addfile $::env(FW_WORKSPACE)/workspace/ipbus/firmware/slaves/hdl/ipbus_ctrlreg_v.vhd +project addfile $::env(FW_WORKSPACE)/workspace/fmc-mtlu/firmware/simulation_src/fmc-tlu_v0-1_test-bench.vhd +project addfile $::env(FW_WORKSPACE)/workspace/fmc-mtlu/firmware/simulation_src/pmtPulseGenerator_rtl.vhd + +project calculateorder +project close +quit + diff --git a/firmware/simulation/scripts/file_list.sav b/firmware/simulation/scripts/file_list.sav new file mode 100644 index 0000000000000000000000000000000000000000..a071b6366ac4724d1e3e7ffed59b5affb768cad9 --- /dev/null +++ b/firmware/simulation/scripts/file_list.sav @@ -0,0 +1,7 @@ +hdl ipbus/firmware/sim/hdl/top_sim.vhd +hdl ipbus/firmware/sim/hdl/clock_sim.vhd +hdl ipbus/firmware/ethernet/sim/eth_mac_sim.vhd +hdl ipbus/firmware/ethernet/hdl/emac_hostbus_decl.vhd +include ipbus/firmware/ipbus_core/cfg/file_list +include ipbus/firmware/example_designs/cfg/file_list + diff --git a/firmware/simulation/scripts/files.txt b/firmware/simulation/scripts/files.txt new file mode 100644 index 0000000000000000000000000000000000000000..2c0379cd357643ef7cfe9b20debc02646c273efc --- /dev/null +++ b/firmware/simulation/scripts/files.txt @@ -0,0 +1,68 @@ +$FW_WORKSPACE/workspace/ipbus/firmware/ipbus_core/hdl/ipbus_trans_decl.vhd +$FW_WORKSPACE/workspace/ipbus/firmware/ipbus_core/hdl/ipbus_package.vhd +$FW_WORKSPACE/workspace/ipbus/firmware/ipbus_core/hdl/udp_tx_mux.vhd +$FW_WORKSPACE/workspace/ipbus/firmware/ipbus_core/hdl/udp_txtransactor_if_simple.vhd +$FW_WORKSPACE/workspace/ipbus/firmware/ipbus_core/hdl/udp_status_buffer.vhd +$FW_WORKSPACE/workspace/ipbus/firmware/ipbus_core/hdl/udp_rxtransactor_if_simple.vhd +$FW_WORKSPACE/workspace/ipbus/firmware/ipbus_core/hdl/udp_rxram_shim.vhd +$FW_WORKSPACE/workspace/ipbus/firmware/ipbus_core/hdl/udp_rxram_mux.vhd +$FW_WORKSPACE/workspace/ipbus/firmware/ipbus_core/hdl/udp_rarp_block.vhd +$FW_WORKSPACE/workspace/ipbus/firmware/ipbus_core/hdl/udp_packet_parser.vhd +$FW_WORKSPACE/workspace/ipbus/firmware/ipbus_core/hdl/udp_ipaddr_block.vhd +$FW_WORKSPACE/workspace/ipbus/firmware/ipbus_core/hdl/udp_dualportram_tx.vhd +$FW_WORKSPACE/workspace/ipbus/firmware/ipbus_core/hdl/udp_dualportram_rx.vhd +$FW_WORKSPACE/workspace/ipbus/firmware/ipbus_core/hdl/udp_dualportram.vhd +$FW_WORKSPACE/workspace/ipbus/firmware/ipbus_core/hdl/udp_do_rx_reset.vhd +$FW_WORKSPACE/workspace/ipbus/firmware/ipbus_core/hdl/udp_clock_crossing_if.vhd +$FW_WORKSPACE/workspace/ipbus/firmware/ipbus_core/hdl/udp_byte_sum.vhd +$FW_WORKSPACE/workspace/ipbus/firmware/ipbus_core/hdl/udp_build_status.vhd +$FW_WORKSPACE/workspace/ipbus/firmware/ipbus_core/hdl/udp_build_resend.vhd +$FW_WORKSPACE/workspace/ipbus/firmware/ipbus_core/hdl/udp_build_ping.vhd +$FW_WORKSPACE/workspace/ipbus/firmware/ipbus_core/hdl/udp_build_payload.vhd +$FW_WORKSPACE/workspace/ipbus/firmware/ipbus_core/hdl/udp_build_arp.vhd +$FW_WORKSPACE/workspace/ipbus/firmware/ipbus_core/hdl/udp_buffer_selector.vhd +$FW_WORKSPACE/workspace/ipbus/firmware/ipbus_core/hdl/transactor_sm.vhd +$FW_WORKSPACE/workspace/ipbus/firmware/ipbus_core/hdl/transactor_if.vhd +$FW_WORKSPACE/workspace/ipbus/firmware/ipbus_core/hdl/transactor_cfg.vhd +$FW_WORKSPACE/workspace/ipbus/firmware/example_designs/hdl/clock_div.vhd +$FW_WORKSPACE/workspace/ipcore_dir/tri_mode_eth_mac_v5_4.vhd +$FW_WORKSPACE/workspace/ipcore_dir/mac_fifo_axi4.vhd +$FW_WORKSPACE/workspace/ipbus/firmware/ipbus_core/hdl/udp_if_flat.vhd +$FW_WORKSPACE/workspace/ipbus/firmware/ipbus_core/hdl/trans_arb.vhd +$FW_WORKSPACE/workspace/ipbus/firmware/ipbus_core/hdl/transactor.vhd +$FW_WORKSPACE/workspace/ipbus/firmware/ipbus_core/hdl/stretcher.vhd +$FW_WORKSPACE/workspace/ipbus/firmware/ethernet/hdl/emac_hostbus_decl.vhd +$FW_WORKSPACE/workspace/fmc-mtlu/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/fmcTLU_pkg.vhd +$FW_WORKSPACE/workspace/fmc-mtlu/firmware/hdl/common/ipbus_addr_decode.vhd +$FW_WORKSPACE/workspace/external/opencores_i2c/i2c_master_registers.vhd +$FW_WORKSPACE/workspace/external/opencores_i2c/i2c_master_byte_ctrl.vhd +$FW_WORKSPACE/workspace/external/opencores_i2c/i2c_master_bit_ctrl.vhd +$FW_WORKSPACE/workspace/ipbus/firmware/slaves/hdl/syncreg_w.vhd +$FW_WORKSPACE/workspace/ipbus/firmware/slaves/hdl/syncreg_r.vhd +$FW_WORKSPACE/workspace/ipbus/firmware/slaves/hdl/ipbus_reg_types.vhd +$FW_WORKSPACE/workspace/fmc-mtlu/firmware/hdl/common/counterWithReset_rtl.vhd +$FW_WORKSPACE/workspace/ipcore_dir/tlu_event_fifo.vhd +$FW_WORKSPACE/workspace/ipcore_dir/internalTriggerGenerator.vhd +$FW_WORKSPACE/workspace/ipcore_dir/FIFO.vhd +$FW_WORKSPACE/workspace/ipbus/firmware/ipbus_core/hdl/ipbus_fabric.vhd +$FW_WORKSPACE/workspace/ipbus/firmware/ipbus_core/hdl/ipbus_ctrl.vhd +$FW_WORKSPACE/workspace/ipbus/firmware/ethernet/hdl/eth_s6_gmii.vhd +$FW_WORKSPACE/workspace/fmc-mtlu/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/fmcTLU_pkg_body.vhd +$FW_WORKSPACE/workspace/fmc-mtlu/firmware/hdl/common/registerCounter_rtl.vhd +$FW_WORKSPACE/workspace/fmc-mtlu/firmware/hdl/common/ipbus_ver.vhd +$FW_WORKSPACE/workspace/fmc-mtlu/firmware/hdl/common/dualSERDES_1to4_rtl.vhd +$FW_WORKSPACE/workspace/fmc-mtlu/firmware/hdl/common/clocks_s6_extphy.vhd +$FW_WORKSPACE/workspace/fmc-mtlu/firmware/hdl/common/arrivalTimeLUT_rtl.vhd +$FW_WORKSPACE/workspace/external/opencores_i2c/i2c_master_top.vhd +$FW_WORKSPACE/workspace/ipbus/firmware/slaves/hdl/ipbus_syncreg_v.vhd +$FW_WORKSPACE/workspace/fmc-mtlu/firmware/hdl/common/triggerLogic_rtl.vhd +$FW_WORKSPACE/workspace/fmc-mtlu/firmware/hdl/common/triggerInputs_rtl.vhd +$FW_WORKSPACE/workspace/fmc-mtlu/firmware/hdl/common/logic_clocks_rtl.vhd +$FW_WORKSPACE/workspace/fmc-mtlu/firmware/hdl/common/IPBusInterface_rtl.vhd +$FW_WORKSPACE/workspace/fmc-mtlu/firmware/hdl/common/i2c_master_rtl.vhd +$FW_WORKSPACE/workspace/fmc-mtlu/firmware/hdl/common/eventFormatter_rtl.vhd +$FW_WORKSPACE/workspace/fmc-mtlu/firmware/hdl/common/eventBuffer_rtl.vhd +$FW_WORKSPACE/workspace/fmc-mtlu/firmware/hdl/common/DUTInterfaces_rtl.vhd +$FW_WORKSPACE/workspace/fmc-mtlu/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/top_extphy_struct.vhd +$FW_WORKSPACE/workspace/fmc-mtlu/firmware/hdl/test/clock_divider_s6.v + diff --git a/firmware/simulation/scripts/fmc_tlu_sim.cr.mti b/firmware/simulation/scripts/fmc_tlu_sim.cr.mti new file mode 100644 index 0000000000000000000000000000000000000000..8f30acbb98015bac29cc9e11348d3ab9ae4db291 --- /dev/null +++ b/firmware/simulation/scripts/fmc_tlu_sim.cr.mti @@ -0,0 +1,717 @@ +/automount/users/phdgc/IPBus_stuff/fmc_tlu_test/external/opencores_i2c/i2c_master_top.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/external/opencores_i2c/i2c_master_top.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Loading package std_logic_arith +-- Loading package STD_LOGIC_UNSIGNED +-- Compiling entity i2c_master_top +-- Compiling architecture arch of i2c_master_top + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/triggerInputs_rtl.vhd {0 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/triggerInputs_rtl.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Loading package NUMERIC_STD +-- Loading package ipbus +-- Loading package fmcTLU +-- Loading package VCOMPONENTS +-- Compiling entity triggerInputs +-- Compiling architecture rtl of triggerInputs +-- Loading entity dualSERDES_1to4 +-- Loading entity arrivalTimeLUT +** Error: /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/triggerInputs_rtl.vhd(174): (vcom-1436) Actual expression (infix expression) of formal "deserialized_data_i" is not globally static. +** Error: /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/triggerInputs_rtl.vhd(207): (vcom-1436) Actual expression (infix expression) of formal "deserialized_data_i" is not globally static. +** Error: /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/triggerInputs_rtl.vhd(228): VHDL Compiler exiting + +} {14.0 17.0} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/top_extphy_struct.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/top_extphy_struct.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Loading package NUMERIC_STD +-- Compiling entity top_extphy +-- Loading package ipbus +-- Loading package emac_hostbus_decl +-- Loading package fmcTLU +-- Loading package VCOMPONENTS +-- Compiling architecture struct of top_extphy +-- Loading entity DUTInterfaces +-- Loading entity IPBusInterface +-- Loading entity eventBuffer +-- Loading entity eventFormatter +-- Loading entity i2c_master +-- Loading entity logic_clocks +-- Loading entity triggerInputs +-- Loading entity triggerLogic + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/i2c_master_rtl.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/i2c_master_rtl.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Loading package NUMERIC_STD +-- Loading package ipbus +-- Compiling entity i2c_master +-- Compiling architecture rtl of i2c_master +-- Loading package std_logic_arith +-- Loading package STD_LOGIC_UNSIGNED +-- Loading entity i2c_master_top + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/DUTInterfaces_rtl.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/DUTInterfaces_rtl.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Loading package NUMERIC_STD +-- Loading package ipbus +-- Loading package VCOMPONENTS +-- Compiling entity DUTInterfaces +-- Compiling architecture rtl of DUTInterfaces + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/external/opencores_i2c/i2c_master_byte_ctrl.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/external/opencores_i2c/i2c_master_byte_ctrl.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Loading package std_logic_arith +-- Loading package STD_LOGIC_UNSIGNED +-- Compiling entity i2c_master_byte_ctrl +-- Compiling architecture arch of i2c_master_byte_ctrl + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/synchronizeRegisters_rtl.vhd {0 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/synchronizeRegisters_rtl.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +** Error: (vcom-7) Failed to open design unit file "/automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/synchronizeRegisters_rtl.vhd" in read mode. +No such file or directory. (errno = ENOENT) + +} {3.0 4.0} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/slaves/hdl/syncreg_w.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/slaves/hdl/syncreg_w.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Compiling entity syncreg_w +-- Compiling architecture rtl of syncreg_w + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/external/opencores_i2c/i2c_master_bit_ctrl.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/external/opencores_i2c/i2c_master_bit_ctrl.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Loading package std_logic_arith +-- Loading package STD_LOGIC_UNSIGNED +-- Compiling entity i2c_master_bit_ctrl +-- Compiling architecture arch of i2c_master_bit_ctrl + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_dualportram_tx.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_dualportram_tx.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Loading package NUMERIC_STD +-- Compiling entity udp_DualPortRAM_tx +-- Compiling architecture v3 of udp_DualPortRAM_tx + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ethernet/hdl/emac_hostbus_decl.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ethernet/hdl/emac_hostbus_decl.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Compiling package emac_hostbus_decl + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/ipbus_trans_decl.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/ipbus_trans_decl.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Compiling package ipbus_trans_decl + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_build_payload.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_build_payload.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Loading package NUMERIC_STD +-- Compiling entity udp_build_payload +-- Compiling architecture rtl of udp_build_payload + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_rxtransactor_if_simple.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_rxtransactor_if_simple.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Loading package NUMERIC_STD +-- Compiling entity udp_rxtransactor_if +-- Compiling architecture simple of udp_rxtransactor_if + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_buffer_selector.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_buffer_selector.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Loading package NUMERIC_STD +-- Compiling entity udp_buffer_selector +-- Compiling architecture simple of udp_buffer_selector + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_rxram_mux.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_rxram_mux.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Loading package NUMERIC_STD +-- Compiling entity udp_rxram_mux +-- Compiling architecture rtl of udp_rxram_mux + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/external/opencores_i2c/i2c_master_registers.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/external/opencores_i2c/i2c_master_registers.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Loading package std_logic_arith +-- Loading package STD_LOGIC_UNSIGNED +-- Compiling entity i2c_master_registers +-- Compiling architecture arch of i2c_master_registers + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_status_buffer.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_status_buffer.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Loading package NUMERIC_STD +-- Compiling entity udp_status_buffer +-- Compiling architecture rtl of udp_status_buffer + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_do_rx_reset.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_do_rx_reset.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Loading package NUMERIC_STD +-- Compiling entity udp_do_rx_reset +-- Compiling architecture rtl of udp_do_rx_reset + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_rarp_block.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_rarp_block.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Loading package NUMERIC_STD +-- Compiling entity udp_rarp_block +-- Compiling architecture rtl of udp_rarp_block + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/transactor_if.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/transactor_if.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Loading package NUMERIC_STD +-- Loading package ipbus_trans_decl +-- Compiling entity transactor_if +-- Compiling architecture rtl of transactor_if + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_build_resend.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_build_resend.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Loading package NUMERIC_STD +-- Compiling entity udp_build_resend +-- Compiling architecture rtl of udp_build_resend + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/transactor_sm.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/transactor_sm.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Loading package NUMERIC_STD +-- Loading package ipbus +-- Compiling entity transactor_sm +-- Compiling architecture rtl of transactor_sm + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/fmcTLU_pkg_body.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/fmcTLU_pkg_body.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Compiling package body fmcTLU +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Loading package fmcTLU + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/triggerLogic_rtl.vhd {0 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/triggerLogic_rtl.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Loading package NUMERIC_STD +-- Loading package ipbus +-- Compiling entity triggerLogic +-- Compiling architecture rtl of triggerLogic +** Error: (vcom-11) Could not find work.sync_reg. +** Error: /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/triggerLogic_rtl.vhd(142): (vcom-1195) Cannot find expanded name "work.sync_reg". +** Error: /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/triggerLogic_rtl.vhd(142): Unknown expanded name. +** Error: (vcom-11) Could not find work.internaltriggergenerator. +** Error: /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/triggerLogic_rtl.vhd(227): (vcom-1195) Cannot find expanded name "work.internalTriggerGenerator". +** Error: /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/triggerLogic_rtl.vhd(227): Unknown expanded name. +** Error: (vcom-11) Could not find work.sync_reg. +** Error: /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/triggerLogic_rtl.vhd(260): (vcom-1195) Cannot find expanded name "work.sync_reg". +** Error: /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/triggerLogic_rtl.vhd(260): Unknown expanded name. +** Error: (vcom-11) Could not find work.sync_reg. +** Error: /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/triggerLogic_rtl.vhd(268): (vcom-1195) Cannot find expanded name "work.sync_reg". +** Error: /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/triggerLogic_rtl.vhd(268): Unknown expanded name. +** Error: /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/triggerLogic_rtl.vhd(301): VHDL Compiler exiting + +} {10.0 23.0} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_clock_crossing_if.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_clock_crossing_if.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Loading package NUMERIC_STD +-- Compiling entity udp_clock_crossing_if +-- Compiling architecture rtl of udp_clock_crossing_if + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/eventBuffer_rtl.vhd {0 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/eventBuffer_rtl.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Loading package NUMERIC_STD +-- Loading package ipbus +-- Compiling entity eventBuffer +-- Compiling architecture rtl of eventBuffer +** Error: (vcom-11) Could not find work.tlu_event_fifo. +** Error: /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/eventBuffer_rtl.vhd(133): (vcom-1195) Cannot find expanded name "work.tlu_event_fifo". +** Error: /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/eventBuffer_rtl.vhd(133): Unknown expanded name. +-- Loading entity registerCounter +** Error: /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/eventBuffer_rtl.vhd(173): VHDL Compiler exiting + +} {10.0 13.0 14.0 15.0} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/logic_clocks_rtl.vhd {0 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/logic_clocks_rtl.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Loading package NUMERIC_STD +-- Loading package ipbus +-- Loading package VCOMPONENTS +-- Compiling entity logic_clocks +-- Compiling architecture rtl of logic_clocks +** Error: /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/logic_clocks_rtl.vhd(300): (vcom-1436) Actual expression (infix expression) of formal "RST" is not globally static. +** Error: /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/logic_clocks_rtl.vhd(380): VHDL Compiler exiting + +} {11.0 13.0} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_dualportram.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_dualportram.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Loading package NUMERIC_STD +-- Compiling entity udp_DualPortRAM +-- Compiling architecture initial of udp_DualPortRAM + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_build_status.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_build_status.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Loading package NUMERIC_STD +-- Compiling entity udp_build_status +-- Compiling architecture rtl of udp_build_status + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/example_designs/hdl/clock_div.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/example_designs/hdl/clock_div.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Loading package std_logic_arith +-- Loading package STD_LOGIC_UNSIGNED +-- Loading package VCOMPONENTS +-- Compiling entity clock_div +-- Compiling architecture rtl of clock_div + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/arrivalTimeLUT_rtl.vhd {0 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/arrivalTimeLUT_rtl.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Loading package NUMERIC_STD +-- Compiling entity arrivalTimeLUT +-- Compiling architecture rtl of arrivalTimeLUT +** Error: (vcom-11) Could not find work.counterup. +** Error: /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/arrivalTimeLUT_rtl.vhd(178): (vcom-1195) Cannot find expanded name "work.CounterUp". +** Error: /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/arrivalTimeLUT_rtl.vhd(178): Unknown expanded name. +** Error: /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/arrivalTimeLUT_rtl.vhd(187): VHDL Compiler exiting + +} {9.0 13.0} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/trans_arb.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/trans_arb.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Loading package NUMERIC_STD +-- Loading package ipbus_trans_decl +-- Compiling entity trans_arb +-- Compiling architecture rtl of trans_arb + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/workspace/ipcore_dir/tlu_event_fifo.vhd {0 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/workspace/ipcore_dir/tlu_event_fifo.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +** Error: (vcom-7) Failed to open design unit file "/automount/users/phdgc/IPBus_stuff/fmc_tlu_test/workspace/ipcore_dir/tlu_event_fifo.vhd" in read mode. +No such file or directory. (errno = ENOENT) + +} {3.0 4.0} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_tx_mux.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_tx_mux.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Loading package NUMERIC_STD +-- Compiling entity udp_tx_mux +-- Compiling architecture rtl of udp_tx_mux + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_build_ping.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_build_ping.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Loading package NUMERIC_STD +-- Compiling entity udp_build_ping +-- Compiling architecture rtl of udp_build_ping + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_packet_parser.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_packet_parser.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Compiling entity udp_packet_parser +-- Compiling architecture v3 of udp_packet_parser + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_txtransactor_if_simple.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_txtransactor_if_simple.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Loading package NUMERIC_STD +-- Compiling entity udp_txtransactor_if +-- Compiling architecture simple of udp_txtransactor_if + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/ipbus_addr_decode.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/ipbus_addr_decode.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Loading package NUMERIC_STD +-- Loading package ipbus +-- Compiling package ipbus_addr_decode +-- Compiling package body ipbus_addr_decode +-- Loading package ipbus_addr_decode + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/slaves/hdl/syncreg_r.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/slaves/hdl/syncreg_r.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Compiling entity syncreg_r +-- Compiling architecture rtl of syncreg_r + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/ipbus_ctrl.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/ipbus_ctrl.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Loading package ipbus +-- Loading package ipbus_trans_decl +-- Compiling entity ipbus_ctrl +-- Compiling architecture rtl of ipbus_ctrl +-- Loading package NUMERIC_STD +-- Loading entity UDP_if +-- Loading entity trans_arb +-- Loading entity transactor +-- Loading entity stretcher + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/simulation_src/fmc-tlu_v0-1_test-bench.vhd {0 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/simulation_src/fmc-tlu_v0-1_test-bench.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +** Error: (vcom-7) Failed to open design unit file "/automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/simulation_src/fmc-tlu_v0-1_test-bench.vhd" in read mode. +No such file or directory. (errno = ENOENT) + +} {3.0 4.0} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/transactor.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/transactor.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Loading package NUMERIC_STD +-- Loading package ipbus +-- Loading package ipbus_trans_decl +-- Compiling entity transactor +-- Compiling architecture rtl of transactor +-- Loading entity transactor_if +-- Loading entity transactor_sm +-- Loading entity transactor_cfg + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/ipbus_fabric.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/ipbus_fabric.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Loading package ipbus +-- Loading package NUMERIC_STD +-- Loading package ipbus_addr_decode +-- Compiling entity ipbus_fabric +-- Compiling architecture rtl of ipbus_fabric + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_build_arp.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_build_arp.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Loading package NUMERIC_STD +-- Compiling entity udp_build_arp +-- Compiling architecture rtl of udp_build_arp + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/IPBusInterface_rtl.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/IPBusInterface_rtl.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Loading package NUMERIC_STD +-- Loading package ipbus +-- Loading package emac_hostbus_decl +-- Compiling entity IPBusInterface +-- Compiling architecture rtl of IPBusInterface +-- Loading package VCOMPONENTS +-- Loading entity clocks_s6_extphy +-- Loading entity eth_s6_gmii +-- Loading package ipbus_trans_decl +-- Loading entity ipbus_ctrl +-- Loading package ipbus_addr_decode +-- Loading entity ipbus_fabric +-- Loading entity ipbus_ver + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/simulation_src/pmtPulseGenerator_rtl.vhd {0 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/simulation_src/pmtPulseGenerator_rtl.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +** Error: (vcom-7) Failed to open design unit file "/automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/simulation_src/pmtPulseGenerator_rtl.vhd" in read mode. +No such file or directory. (errno = ENOENT) + +} {3.0 4.0} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/registerCounter_rtl.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/registerCounter_rtl.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Compiling entity registerCounter +-- Compiling architecture rtl of registerCounter + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/slaves/hdl/ipbus_syncreg_v.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/slaves/hdl/ipbus_syncreg_v.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Loading package NUMERIC_STD +-- Loading package ipbus +-- Loading package ipbus_reg_types +-- Compiling entity ipbus_syncreg_v +-- Compiling architecture rtl of ipbus_syncreg_v +-- Loading entity syncreg_w +-- Loading entity syncreg_r + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/clocks_s6_extphy.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/clocks_s6_extphy.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Loading package VCOMPONENTS +-- Compiling entity clocks_s6_extphy +-- Compiling architecture rtl of clocks_s6_extphy + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/counterWithReset_rtl.vhd {0 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/counterWithReset_rtl.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +** Error: (vcom-7) Failed to open design unit file "/automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/counterWithReset_rtl.vhd" in read mode. +No such file or directory. (errno = ENOENT) + +} {3.0 4.0} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/dualSERDES_1to4_rtl.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/dualSERDES_1to4_rtl.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Loading package NUMERIC_STD +-- Loading package VCOMPONENTS +-- Compiling entity dualSERDES_1to4 +-- Compiling architecture rtl of dualSERDES_1to4 + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/stretcher.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/stretcher.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Compiling entity stretcher +-- Compiling architecture rtl of stretcher +-- Loading package std_logic_arith +-- Loading package STD_LOGIC_UNSIGNED +-- Loading package VCOMPONENTS +-- Loading entity clock_div + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/workspace/ipcore_dir/tri_mode_eth_mac_v5_4.vhd {0 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/workspace/ipcore_dir/tri_mode_eth_mac_v5_4.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +** Error: (vcom-7) Failed to open design unit file "/automount/users/phdgc/IPBus_stuff/fmc_tlu_test/workspace/ipcore_dir/tri_mode_eth_mac_v5_4.vhd" in read mode. +No such file or directory. (errno = ENOENT) + +} {3.0 4.0} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/workspace/ipcore_dir/internalTriggerGenerator.vhd {0 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/workspace/ipcore_dir/internalTriggerGenerator.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +** Error: (vcom-7) Failed to open design unit file "/automount/users/phdgc/IPBus_stuff/fmc_tlu_test/workspace/ipcore_dir/internalTriggerGenerator.vhd" in read mode. +No such file or directory. (errno = ENOENT) + +} {3.0 4.0} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/ipbus_ver.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/ipbus_ver.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Loading package ipbus +-- Compiling entity ipbus_ver +-- Compiling architecture rtl of ipbus_ver + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_if_flat.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_if_flat.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Loading package NUMERIC_STD +-- Compiling entity UDP_if +-- Compiling architecture flat of UDP_if +-- Loading entity udp_ipaddr_block +-- Loading entity udp_rarp_block +-- Loading entity udp_build_arp +-- Loading entity udp_build_payload +-- Loading entity udp_build_ping +-- Loading entity udp_build_resend +-- Loading entity udp_build_status +-- Loading entity udp_status_buffer +-- Loading entity udp_byte_sum +-- Loading entity udp_do_rx_reset +-- Loading entity udp_packet_parser +-- Loading entity udp_rxram_mux +-- Loading entity udp_DualPortRAM +-- Loading entity udp_buffer_selector +-- Loading entity udp_rxram_shim +-- Loading entity udp_DualPortRAM_rx +-- Loading entity udp_DualPortRAM_tx +-- Loading entity udp_rxtransactor_if +-- Loading entity udp_tx_mux +-- Loading entity udp_txtransactor_if +-- Loading entity udp_clock_crossing_if + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/slaves/hdl/ipbus_ctrlreg_v.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/slaves/hdl/ipbus_ctrlreg_v.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Loading package NUMERIC_STD +-- Loading package ipbus +-- Loading package ipbus_reg_types +-- Compiling entity ipbus_ctrlreg_v +-- Compiling architecture rtl of ipbus_ctrlreg_v + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/workspace/ipcore_dir/mac_fifo_axi4.vhd {0 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/workspace/ipcore_dir/mac_fifo_axi4.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +** Error: (vcom-7) Failed to open design unit file "/automount/users/phdgc/IPBus_stuff/fmc_tlu_test/workspace/ipcore_dir/mac_fifo_axi4.vhd" in read mode. +No such file or directory. (errno = ENOENT) + +} {3.0 4.0} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/eventFormatter_rtl.vhd {0 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/eventFormatter_rtl.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Loading package NUMERIC_STD +-- Loading package fmcTLU +-- Loading package ipbus +-- Compiling entity eventFormatter +-- Compiling architecture rtl of eventFormatter +** Warning: /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/eventFormatter_rtl.vhd(135): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association. +** Warning: /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/eventFormatter_rtl.vhd(151): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association. +** Error: (vcom-11) Could not find work.fifo. +** Error: /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/eventFormatter_rtl.vhd(312): (vcom-1195) Cannot find expanded name "work.FIFO". +** Error: /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/eventFormatter_rtl.vhd(312): Unknown expanded name. +** Error: /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/eventFormatter_rtl.vhd(410): VHDL Compiler exiting + +} {13.0 17.0} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_ipaddr_block.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_ipaddr_block.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Loading package NUMERIC_STD +-- Compiling entity udp_ipaddr_block +-- Compiling architecture rtl of udp_ipaddr_block + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ethernet/hdl/eth_s6_gmii.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ethernet/hdl/eth_s6_gmii.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Loading package VCOMPONENTS +-- Loading package emac_hostbus_decl +-- Compiling entity eth_s6_gmii +-- Compiling architecture rtl of eth_s6_gmii + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_rxram_shim.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_rxram_shim.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Loading package NUMERIC_STD +-- Compiling entity udp_rxram_shim +-- Compiling architecture simple of udp_rxram_shim + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/test/clock_divider_s6.v {1 {vlog -work work -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/test/clock_divider_s6.v +QuestaSim vlog 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Compiling module clock_divider_s6 + +Top level modules: + clock_divider_s6 + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_dualportram_rx.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_dualportram_rx.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Loading package NUMERIC_STD +-- Compiling entity udp_DualPortRAM_rx +-- Compiling architecture striped of udp_DualPortRAM_rx + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/ipbus_package.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/ipbus_package.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Compiling package ipbus + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/slaves/hdl/ipbus_reg_types.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/slaves/hdl/ipbus_reg_types.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Compiling package ipbus_reg_types +-- Compiling package body ipbus_reg_types +-- Loading package ipbus_reg_types + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/transactor_cfg.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/transactor_cfg.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Loading package NUMERIC_STD +-- Compiling entity transactor_cfg +-- Compiling architecture rtl of transactor_cfg + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_byte_sum.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_byte_sum.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Loading package NUMERIC_STD +-- Compiling entity udp_byte_sum +-- Compiling architecture rtl of udp_byte_sum + +} {} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/workspace/ipcore_dir/FIFO.vhd {0 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/workspace/ipcore_dir/FIFO.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +** Error: (vcom-7) Failed to open design unit file "/automount/users/phdgc/IPBus_stuff/fmc_tlu_test/workspace/ipcore_dir/FIFO.vhd" in read mode. +No such file or directory. (errno = ENOENT) + +} {3.0 4.0} {}} /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/fmcTLU_pkg.vhd {1 {vcom -work work -2002 -explicit -vopt /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/fmcTLU_pkg.vhd +QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013 +-- Loading package STANDARD +-- Loading package TEXTIO +-- Loading package std_logic_1164 +-- Compiling package fmcTLU + +} {} {}} diff --git a/firmware/simulation/scripts/fmc_tlu_sim.mpf b/firmware/simulation/scripts/fmc_tlu_sim.mpf new file mode 100644 index 0000000000000000000000000000000000000000..0319b813f71627c859037dff11ba7e873cc6177e --- /dev/null +++ b/firmware/simulation/scripts/fmc_tlu_sim.mpf @@ -0,0 +1,2067 @@ +; Copyright 1991-2013 Mentor Graphics Corporation +; +; All Rights Reserved. +; +; THIS WORK CONTAINS TRADE SECRET AND PROPRIETARY INFORMATION WHICH IS THE PROPERTY OF +; MENTOR GRAPHICS CORPORATION OR ITS LICENSORS AND IS SUBJECT TO LICENSE TERMS. +; + +[Library] +others = modelsim.ini +; +; VITAL concerns: +; +; The library ieee contains (among other packages) the packages of the +; VITAL 2000 standard. When a design uses VITAL 2000 exclusively, it should use +; the physical library ieee (recommended), or use the physical library +; vital2000, but not both. The design can use logical library ieee and/or +; vital2000 as long as each of these maps to the same physical library, either +; ieee or vital2000. +; +; A design using the 1995 version of the VITAL packages, whether or not +; it also uses the 2000 version of the VITAL packages, must have logical library +; name ieee mapped to physical library vital1995. (A design cannot use library +; vital1995 directly because some packages in this library use logical name ieee +; when referring to the other packages in the library.) The design source +; should use logical name ieee when referring to any packages there except the +; VITAL 2000 packages. Any VITAL 2000 present in the design must use logical +; name vital2000 (mapped to physical library vital2000) to refer to those +; packages. +; ieee = $MODEL_TECH/../vital1995 +; +; For compatiblity with previous releases, logical library name vital2000 maps +; to library vital2000 (a different library than library ieee, containing the +; same packages). +; A design should not reference VITAL from both the ieee library and the +; vital2000 library because the vital packages are effectively different. +; A design that references both the ieee and vital2000 libraries must have +; both logical names ieee and vital2000 mapped to the same library, either of +; these: +; $MODEL_TECH/../ieee +; $MODEL_TECH/../vital2000 +; +;vhdl_psl_checkers = $MODEL_TECH/../vhdl_psl_checkers // Source files only for this release +;verilog_psl_checkers = $MODEL_TECH/../verilog_psl_checkers // Source files only for this release +;mvc_lib = $MODEL_TECH/../mvc_lib + + + +work = work +unisim = /software/CAD/Xilinx/14.7_64b/14.7/ISE_DS/ISE/vhdl/questasim/10.2c_5/lin64//unisim +unimacro = /software/CAD/Xilinx/14.7_64b/14.7/ISE_DS/ISE/vhdl/questasim/10.2c_5/lin64//unimacro +secureip = /software/CAD/Xilinx/14.7_64b/14.7/ISE_DS/ISE/verilog/questasim/10.2c_5/lin64//secureip +xilinxcorelib = /software/CAD/Xilinx/14.7_64b/14.7/ISE_DS/ISE/vhdl/questasim/10.2c_5/lin64//xilinxcorelib +[DefineOptionset] +; Define optionset entries for the various compilers, vmake, and vsim. +; These option sets can be used with the "-optionset <optionsetname>" syntax. +; i.e. +; vlog -optionset COMPILEDEBUG top.sv +; vsim -optionset UVMDEBUG my_top +; +; Following are some useful examples. + +; define a vsim optionset for uvm debugging +UVMDEBUG = -uvmcontrol=all -msgmode both -displaymsgmode both -classdebug -onfinish stop + +; define a vopt optionset for debugging +VOPTDEBUG = +acc -debugdb + + +[vcom] +; VHDL93 variable selects language version as the default. +; Default is VHDL-2002. +; Value of 0 or 1987 for VHDL-1987. +; Value of 1 or 1993 for VHDL-1993. +; Default or value of 2 or 2002 for VHDL-2002. +; Value of 3 or 2008 for VHDL-2008 +VHDL93 = 2002 + +; Ignore VHDL-2008 declaration of REAL_VECTOR in package STANDARD. Default is off. +; ignoreStandardRealVector = 1 + +; Show source line containing error. Default is off. +; Show_source = 1 + +; Turn off unbound-component warnings. Default is on. +; Show_Warning1 = 0 + +; Turn off process-without-a-wait-statement warnings. Default is on. +; Show_Warning2 = 0 + +; Turn off null-range warnings. Default is on. +; Show_Warning3 = 0 + +; Turn off no-space-in-time-literal warnings. Default is on. +; Show_Warning4 = 0 + +; Turn off multiple-drivers-on-unresolved-signal warnings. Default is on. +; Show_Warning5 = 0 + +; Turn off optimization for IEEE std_logic_1164 package. Default is on. +; Optimize_1164 = 0 + +; Turn on resolving of ambiguous function overloading in favor of the +; "explicit" function declaration (not the one automatically created by +; the compiler for each type declaration). Default is off. +; The .ini file has Explicit enabled so that std_logic_signed/unsigned +; will match the behavior of synthesis tools. +Explicit = 1 + +; Turn off acceleration of the VITAL packages. Default is to accelerate. +; NoVital = 1 + +; Turn off VITAL compliance checking. Default is checking on. +; NoVitalCheck = 1 + +; Ignore VITAL compliance checking errors. Default is to not ignore. +; IgnoreVitalErrors = 1 + +; Turn off VITAL compliance checking warnings. Default is to show warnings. +; Show_VitalChecksWarnings = 0 + +; Turn off PSL assertion warning messages. Default is to show warnings. +; Show_PslChecksWarnings = 0 + +; Enable parsing of embedded PSL assertions. Default is enabled. +; EmbeddedPsl = 0 + +; Keep silent about case statement static warnings. +; Default is to give a warning. +; NoCaseStaticError = 1 + +; Keep silent about warnings caused by aggregates that are not locally static. +; Default is to give a warning. +; NoOthersStaticError = 1 + +; Treat as errors: +; case statement static warnings +; warnings caused by aggregates that are not locally static +; Overrides NoCaseStaticError, NoOthersStaticError settings. +; PedanticErrors = 1 + +; Turn off inclusion of debugging info within design units. +; Default is to include debugging info. +; NoDebug = 1 + +; Turn off "Loading..." messages. Default is messages on. +; Quiet = 1 + +; Turn on some limited synthesis rule compliance checking. Checks only: +; -- signals used (read) by a process must be in the sensitivity list +; CheckSynthesis = 1 + +; Activate optimizations on expressions that do not involve signals, +; waits, or function/procedure/task invocations. Default is off. +; ScalarOpts = 1 + +; Turns on lint-style checking. +; Show_Lint = 1 + +; Require the user to specify a configuration for all bindings, +; and do not generate a compile time default binding for the +; component. This will result in an elaboration error of +; 'component not bound' if the user fails to do so. Avoids the rare +; issue of a false dependency upon the unused default binding. +; RequireConfigForAllDefaultBinding = 1 + +; Perform default binding at compile time. +; Default is to do default binding at load time. +; BindAtCompile = 1; + +; Inhibit range checking on subscripts of arrays. Range checking on +; scalars defined with subtypes is inhibited by default. +; NoIndexCheck = 1 + +; Inhibit range checks on all (implicit and explicit) assignments to +; scalar objects defined with subtypes. +; NoRangeCheck = 1 + +; Set the prefix to be honored for synthesis/coverage pragma recognition. +; Default is "". +; AddPragmaPrefix = "" + +; Ignore synthesis and coverage pragmas with this prefix. +; Default is "". +; IgnorePragmaPrefix = "" + +; Turn on code coverage in VHDL design units. Default is off. +; Coverage = sbceft + +; Turn off code coverage in VHDL subprograms. Default is on. +; CoverSub = 0 + +; Automatically exclude VHDL case statement OTHERS choice branches. +; This includes OTHERS choices in selected signal assigment statements. +; Default is to not exclude. +; CoverExcludeDefault = 1 + +; Control compiler and VOPT optimizations that are allowed when +; code coverage is on. Refer to the comment for this in the [vlog] area. +; CoverOpt = 3 + +; Turn on or off clkOpt optimization for code coverage. Default is on. +; CoverClkOpt = 1 + +; Turn on or off clkOpt optimization builtins for code coverage. Default is on. +; CoverClkOptBuiltins = 0 + +; Inform code coverage optimizations to respect VHDL 'H' and 'L' +; values on signals in conditions and expressions, and to not automatically +; convert them to '1' and '0'. Default is to not convert. +; CoverRespectHandL = 0 + +; Increase or decrease the maximum number of rows allowed in a UDP table +; implementing a VHDL condition coverage or expression coverage expression. +; More rows leads to a longer compile time, but more expressions covered. +; CoverMaxUDPRows = 192 + +; Increase or decrease the maximum number of input patterns that are present +; in FEC table. This leads to a longer compile time with more expressions +; covered with FEC metric. +; CoverMaxFECRows = 192 + +; Increase or decrease the limit on the size of expressions and conditions +; considered for expression and condition coverages. Higher FecUdpEffort leads +; to higher compile, optimize and simulation time, but more expressions and +; conditions are considered for coverage in the design. FecUdpEffort can +; be set to a number ranging from 1 (low) to 3 (high), defined as: +; 1 - (low) Only small expressions and conditions considered for coverage. +; 2 - (medium) Bigger expressions and conditions considered for coverage. +; 3 - (high) Very large expressions and conditions considered for coverage. +; The default setting is 1 (low). +; FecUdpEffort = 1 + +; Enable or disable Focused Expression Coverage analysis for conditions and +; expressions. Focused Expression Coverage data is provided by default when +; expression and/or condition coverage is active. +; CoverFEC = 0 + +; Enable or disable UDP Coverage analysis for conditions and expressions. +; UDP Coverage data is disabled by default when expression and/or condition +; coverage is active. +; CoverUDP = 1 + +; Enable or disable bit-blasting multi-bit operands of reduction prefix expressions +; for expression/condition coverage. +; CoverExpandReductionPrefix = 1 + +; Enable or disable short circuit evaluation of conditions and expressions when +; condition or expression coverage is active. Short circuit evaluation is enabled +; by default. +; CoverShortCircuit = 0 + +; Enable code coverage reporting of code that has been optimized away. +; The default is not to report. +; CoverReportCancelled = 1 + +; Enable deglitching of code coverage in combinatorial, non-clocked, processes. +; Default is no deglitching. +; CoverDeglitchOn = 1 + +; Control the code coverage deglitching period. A period of 0, eliminates delta +; cycle glitches. The value of CoverDeglitchPeriod needs to be either be 0 or a +; time string that includes time units. Examples: 0 or 10.0ps or "10.0 ps". +; CoverDeglitchPeriod = 0 + +; Use this directory for compiler temporary files instead of "work/_temp" +; CompilerTempDir = /tmp + +; Set this to cause the compilers to force data to be committed to disk +; when the files are closed. +; SyncCompilerFiles = 1 + +; Add VHDL-AMS declarations to package STANDARD +; Default is not to add +; AmsStandard = 1 + +; Range and length checking will be performed on array indices and discrete +; ranges, and when violations are found within subprograms, errors will be +; reported. Default is to issue warnings for violations, because subprograms +; may not be invoked. +; NoDeferSubpgmCheck = 0 + +; Turn ON detection of FSMs having single bit current state variable. +; FsmSingle = 1 + +; Turn off reset state transitions in FSM. +; FsmResetTrans = 0 + +; Turn ON detection of FSM Implicit Transitions. +; FsmImplicitTrans = 1 + +; Controls whether or not to show immediate assertions with constant expressions +; in GUI/report/UCDB etc. By default, immediate assertions with constant +; expressions are shown in GUI/report/UCDB etc. This does not affect +; evaluation of immediate assertions. +; ShowConstantImmediateAsserts = 0 + +; Controls how VHDL basic identifiers are stored with the design unit. +; Does not make the language case-sensitive, affects only how declarations +; declared with basic identifiers have their names stored and printed +; (in the GUI, examine, etc.). +; Default is to preserve the case as originally depicted in the VHDL source. +; Value of 0 indicates to change all basic identifiers to lower case. +; PreserveCase = 0 + +; For Configuration Declarations, controls the effect that USE clauses have +; on visibility inside the configuration items being configured. If 1 +; (the default), then use pre-10.0 behavior. If 0, then for stricter LRM-compliance, +; extend the visibility of objects made visible through USE clauses into nested +; component configurations. +; OldVHDLConfigurationVisibility = 0 + +; Allows VHDL configuration declarations to be in a different library from +; the corresponding configured entity. Default is to not allow this for +; stricter LRM-compliance. +; SeparateConfigLibrary = 1; + +; Determine how mode OUT subprogram parameters of type array and record are treated. +; If 0 (the default), then only VHDL 2008 will do this initialization. +; If 1, always initialize the mode OUT parameter to its default value. +; If 2, do not initialize the mode OUT out parameter. +; Note that prior to release 10.1, all language versions did not initialize mode +; OUT array and record type parameters, unless overridden here via this mechanism. +; In release 10.1 and later, only files compiled with VHDL 2008 will cause this +; initialization, unless overridden here. +; InitOutCompositeParam = 0 + +; Generate symbols debugging database in only some special cases to save on +; the number of files in the library. For other design-units, this database is +; generated on-demand in vsim. +; Default is to to generate debugging database for all design-units. +; SmartDbgSym = 1 + +[vlog] +; Turn off inclusion of debugging info within design units. +; Default is to include debugging info. +; NoDebug = 1 + +; Turn on `protect compiler directive processing. +; Default is to ignore `protect directives. +; Protect = 1 + +; Turn off "Loading..." messages. Default is messages on. +; Quiet = 1 + +; Turn on Verilog hazard checking (order-dependent accessing of global vars). +; Default is off. +; Hazard = 1 + +; Turn on converting regular Verilog identifiers to uppercase. Allows case +; insensitivity for module names. Default is no conversion. +; UpCase = 1 + +; Activate optimizations on expressions that do not involve signals, +; waits, or function/procedure/task invocations. Default is off. +; ScalarOpts = 1 + +; Turns on lint-style checking. +; Show_Lint = 1 + +; Show source line containing error. Default is off. +; Show_source = 1 + +; Turn on bad option warning. Default is off. +; Show_BadOptionWarning = 1 + +; Revert back to IEEE 1364-1995 syntax, default is 0 (off). +; vlog95compat = 1 + +; Turn off PSL warning messages. Default is to show warnings. +; Show_PslChecksWarnings = 0 + +; Enable parsing of embedded PSL assertions. Default is enabled. +; EmbeddedPsl = 0 + +; Set the threshold for automatically identifying sparse Verilog memories. +; A memory with depth equal to or more than the sparse memory threshold gets +; marked as sparse automatically, unless specified otherwise in source code +; or by +nosparse commandline option of vlog or vopt. +; The default is 1M. (i.e. memories with depth equal +; to or greater than 1M are marked as sparse) +; SparseMemThreshold = 1048576 + +; Set the prefix to be honored for synthesis and coverage pragma recognition. +; Default is "". +; AddPragmaPrefix = "" + +; Ignore synthesis and coverage pragmas with this prefix. +; Default is "". +; IgnorePragmaPrefix = "" + +; Set the option to treat all files specified in a vlog invocation as a +; single compilation unit. The default value is set to 0 which will treat +; each file as a separate compilation unit as specified in the P1800 draft standard. +; MultiFileCompilationUnit = 1 + +; Turn on code coverage in Verilog design units. Default is off. +; Coverage = sbceft + +; Automatically exclude Verilog case statement default branches. +; Default is to not automatically exclude defaults. +; CoverExcludeDefault = 1 + +; Increase or decrease the maximum number of rows allowed in a UDP table +; implementing a VHDL condition coverage or expression coverage expression. +; More rows leads to a longer compile time, but more expressions covered. +; CoverMaxUDPRows = 192 + +; Increase or decrease the maximum number of input patterns that are present +; in FEC table. This leads to a longer compile time with more expressions +; covered with FEC metric. +; CoverMaxFECRows = 192 + +; Increase or decrease the limit on the size of expressions and conditions +; considered for expression and condition coverages. Higher FecUdpEffort leads +; to higher compile, optimize and simulation time, but more expressions and +; conditions are considered for coverage in the design. FecUdpEffort can +; be set to a number ranging from 1 (low) to 3 (high), defined as: +; 1 - (low) Only small expressions and conditions considered for coverage. +; 2 - (medium) Bigger expressions and conditions considered for coverage. +; 3 - (high) Very large expressions and conditions considered for coverage. +; The default setting is 1 (low). +; FecUdpEffort = 1 + +; Enable or disable Focused Expression Coverage analysis for conditions and +; expressions. Focused Expression Coverage data is provided by default when +; expression and/or condition coverage is active. +; CoverFEC = 0 + +; Enable or disable UDP Coverage analysis for conditions and expressions. +; UDP Coverage data is disabled by default when expression and/or condition +; coverage is active. +; CoverUDP = 1 + +; Enable or disable bit-blasting multi-bit operands of reduction prefix expressions +; for expression/condition coverage. +; CoverExpandReductionPrefix = 1 + +; Enable or disable short circuit evaluation of conditions and expressions when +; condition or expression coverage is active. Short circuit evaluation is enabled +; by default. +; CoverShortCircuit = 0 + +; Enable deglitching of code coverage in combinatorial, non-clocked, processes. +; Default is no deglitching. +; CoverDeglitchOn = 1 + +; Control the code coverage deglitching period. A period of 0, eliminates delta +; cycle glitches. The value of CoverDeglitchPeriod needs to be either be 0 or a +; time string that includes time units. Examples: 0 or 10.0ps or "10.0 ps". +; CoverDeglitchPeriod = 0 + +; Turn on code coverage in VLOG `celldefine modules, modules containing +; specify blocks, and modules included using vlog -v and -y. Default is off. +; CoverCells = 1 + +; Enable code coverage reporting of code that has been optimized away. +; The default is not to report. +; CoverReportCancelled = 1 + +; Control compiler and VOPT optimizations that are allowed when +; code coverage is on. This is a number from 0 to 5, with the following +; meanings (the default is 3): +; 5 -- All allowable optimizations are on. +; 4 -- Turn off removing unreferenced code. +; 3 -- Turn off process, always block and if statement merging. +; 2 -- Turn off expression optimization, converting primitives +; to continuous assignments, VHDL subprogram inlining. +; and VHDL clkOpt (converting FF's to builtins). +; 1 -- Turn off continuous assignment optimizations and clock suppression. +; 0 -- Turn off Verilog module inlining and VHDL arch inlining. +; HOWEVER, if fsm coverage is turned on, optimizations will be forced to +; level 3, with also turning off converting primitives to continuous assigns. +; CoverOpt = 3 + +; Specify the override for the default value of "cross_num_print_missing" +; option for the Cross in Covergroups. If not specified then LRM default +; value of 0 (zero) is used. This is a compile time option. +; SVCrossNumPrintMissingDefault = 0 + +; Setting following to 1 would cause creation of variables which +; would represent the value of Coverpoint expressions. This is used +; in conjunction with "SVCoverpointExprVariablePrefix" option +; in the modelsim.ini +; EnableSVCoverpointExprVariable = 0 + +; Specify the override for the prefix used in forming the variable names +; which represent the Coverpoint expressions. This is used in conjunction with +; "EnableSVCoverpointExprVariable" option of the modelsim.ini +; The default prefix is "expr". +; The variable name is +; variable name => <prefix>_<coverpoint name> +; SVCoverpointExprVariablePrefix = expr + +; Override for the default value of the SystemVerilog covergroup, +; coverpoint, and cross option.goal (defined to be 100 in the LRM). +; NOTE: It does not override specific assignments in SystemVerilog +; source code. NOTE: The modelsim.ini variable "SVCovergroupGoal" +; in the [vsim] section can override this value. +; SVCovergroupGoalDefault = 100 + +; Override for the default value of the SystemVerilog covergroup, +; coverpoint, and cross type_option.goal (defined to be 100 in the LRM) +; NOTE: It does not override specific assignments in SystemVerilog +; source code. NOTE: The modelsim.ini variable "SVCovergroupTypeGoal" +; in the [vsim] section can override this value. +; SVCovergroupTypeGoalDefault = 100 + +; Specify the override for the default value of "strobe" option for the +; Covergroup Type. This is a compile time option which forces "strobe" to +; a user specified default value and supersedes SystemVerilog specified +; default value of '0'(zero). NOTE: This can be overriden by a runtime +; modelsim.ini variable "SVCovergroupStrobe" in the [vsim] section. +; SVCovergroupStrobeDefault = 0 + +; Specify the override for the default value of "per_instance" option for the +; Covergroup variables. This is a compile time option which forces "per_instance" +; to a user specified default value and supersedes SystemVerilog specified +; default value of '0'(zero). +; SVCovergroupPerInstanceDefault = 0 + +; Specify the override for the default value of "get_inst_coverage" option for the +; Covergroup variables. This is a compile time option which forces +; "get_inst_coverage" to a user specified default value and supersedes +; SystemVerilog specified default value of '0'(zero). +; SVCovergroupGetInstCoverageDefault = 0 + +; +; A space separated list of resource libraries that contain precompiled +; packages. The behavior is identical to using the "-L" switch. +; +; LibrarySearchPath = <path/lib> [<path/lib> ...] +LibrarySearchPath = mtiAvm mtiOvm mtiUvm mtiUPF + +; The behavior is identical to the "-mixedansiports" switch. Default is off. +; MixedAnsiPorts = 1 + +; Enable SystemVerilog 3.1a $typeof() function. Default is off. +; EnableTypeOf = 1 + +; Only allow lower case pragmas. Default is disabled. +; AcceptLowerCasePragmaOnly = 1 + +; Set the maximum depth permitted for a recursive include file nesting. +; IncludeRecursionDepthMax = 5 + +; Turn ON detection of FSMs having single bit current state variable. +; FsmSingle = 1 + +; Turn off reset state transitions in FSM. +; FsmResetTrans = 0 + +; Turn off detections of FSMs having x-assignment. +; FsmXAssign = 0 + +; Turn ON detection of FSM Implicit Transitions. +; FsmImplicitTrans = 1 + +; List of file suffixes which will be read as SystemVerilog. White space +; in extensions can be specified with a back-slash: "\ ". Back-slashes +; can be specified with two consecutive back-slashes: "\\"; +; SVFileExtensions = sv svp svh + +; This setting is the same as the vlog -sv command line switch. +; Enables SystemVerilog features and keywords when true (1). +; When false (0), the rules of IEEE Std 1364-2001 are followed and +; SystemVerilog keywords are ignored. +; Svlog = 0 + +; Prints attribute placed upon SV packages during package import +; when true (1). The attribute will be ignored when this +; entry is false (0). The attribute name is "package_load_message". +; The value of this attribute is a string literal. +; Default is true (1). +; PrintSVPackageLoadingAttribute = 1 + +; Do not show immediate assertions with constant expressions in +; GUI/reports/UCDB etc. By default immediate assertions with constant +; expressions are shown in GUI/reports/UCDB etc. This does not affect +; evaluation of immediate assertions. +; ShowConstantImmediateAsserts = 0 + +; Controls if untyped parameters that are initialized with values greater +; than 2147483647 are mapped to generics of type INTEGER or ignored. +; If mapped to VHDL Integers, values greater than 2147483647 +; are mapped to negative values. +; Default is to map these parameter to generic of type INTEGER +; ForceUnsignedToVHDLInteger = 1 + +; Enable AMS wreal (wired real) extensions. Default is 0. +; WrealType = 1 + +; Controls SystemVerilog Language Extensions. These options enable +; some non-LRM compliant behavior. Valid extensions are "feci", +; "pae", "uslt", "spsl", "sccts", "iddp" and "atpi". +; SVExtensions = uslt,spsl,sccts + +; Generate symbols debugging database in only some special cases to save on +; the number of files in the library. For other design-units, this database is +; generated on-demand in vsim. +; Default is to to generate debugging database for all design-units. +; SmartDbgSym = 1 + +[sccom] +; Enable use of SCV include files and library. Default is off. +; UseScv = 1 + +; Add C++ compiler options to the sccom command line by using this variable. +; CppOptions = -g + +; Use custom C++ compiler located at this path rather than the default path. +; The path should point directly at a compiler executable. +; CppPath = /usr/bin/g++ + +; Enable verbose messages from sccom. Default is off. +; SccomVerbose = 1 + +; sccom logfile. Default is no logfile. +; SccomLogfile = sccom.log + +; Enable use of SC_MS include files and library. Default is off. +; UseScMs = 1 + +[vopt] +; Turn on code coverage in vopt. Default is off. +; Coverage = sbceft + +; Control compiler optimizations that are allowed when +; code coverage is on. Refer to the comment for this in the [vlog] area. +; CoverOpt = 3 + +; Increase or decrease the maximum number of rows allowed in a UDP table +; implementing a VHDL condition coverage or expression coverage expression. +; More rows leads to a longer compile time, but more expressions covered. +; CoverMaxUDPRows = 192 + +; Increase or decrease the maximum number of input patterns that are present +; in FEC table. This leads to a longer compile time with more expressions +; covered with FEC metric. +; CoverMaxFECRows = 192 + +; Increase or decrease the limit on the size of expressions and conditions +; considered for expression and condition coverages. Higher FecUdpEffort leads +; to higher compile, optimize and simulation time, but more expressions and +; conditions are considered for coverage in the design. FecUdpEffort can +; be set to a number ranging from 1 (low) to 3 (high), defined as: +; 1 - (low) Only small expressions and conditions considered for coverage. +; 2 - (medium) Bigger expressions and conditions considered for coverage. +; 3 - (high) Very large expressions and conditions considered for coverage. +; The default setting is 1 (low). +; FecUdpEffort = 1 + +; Enable code coverage reporting of code that has been optimized away. +; The default is not to report. +; CoverReportCancelled = 1 + +; Enable deglitching of code coverage in combinatorial, non-clocked, processes. +; Default is no deglitching. +; CoverDeglitchOn = 1 + +; Control the code coverage deglitching period. A period of 0, eliminates delta +; cycle glitches. The value of CoverDeglitchPeriod needs to be either be 0 or a +; time string that includes time units. Examples: 0 or 10.0ps or "10.0 ps". +; CoverDeglitchPeriod = 0 + +; Do not show immediate assertions with constant expressions in +; GUI/reports/UCDB etc. By default immediate assertions with constant +; expressions are shown in GUI/reports/UCDB etc. This does not affect +; evaluation of immediate assertions. +; ShowConstantImmediateAsserts = 0 + +; Set the maximum number of iterations permitted for a generate loop. +; Restricting this permits the implementation to recognize infinite +; generate loops. +; GenerateLoopIterationMax = 100000 + +; Set the maximum depth permitted for a recursive generate instantiation. +; Restricting this permits the implementation to recognize infinite +; recursions. +; GenerateRecursionDepthMax = 200 + +; Set the number of processes created during the code generation phase. +; By default a heuristic is used to set this value. This may be set to 0 +; to disable this feature completely. +; ParallelJobs = 0 + +; Controls SystemVerilog Language Extensions. These options enable +; some non-LRM compliant behavior. Valid extensions are "feci", +; "pae", "uslt", "spsl" and "sccts". +; SVExtensions = uslt,spsl,sccts + +[vsim] +; vopt flow +; Set to turn on automatic optimization of a design. +; Default is on +VoptFlow = 1 + +; Simulator resolution +; Set to fs, ps, ns, us, ms, or sec with optional prefix of 1, 10, or 100. +Resolution = ns + +; Disable certain code coverage exclusions automatically. +; Assertions and FSM are exluded from the code coverage by default +; Set AutoExclusionsDisable = fsm to enable code coverage for fsm +; Set AutoExclusionsDisable = assertions to enable code coverage for assertions +; Set AutoExclusionsDisable = all to enable code coverage for all the automatic exclusions +; Or specify comma or space separated list +;AutoExclusionsDisable = fsm,assertions + +; User time unit for run commands +; Set to default, fs, ps, ns, us, ms, or sec. The default is to use the +; unit specified for Resolution. For example, if Resolution is 100ps, +; then UserTimeUnit defaults to ps. +; Should generally be set to default. +UserTimeUnit = default + +; Default run length +RunLength = 100 ns + +; Maximum iterations that can be run without advancing simulation time +IterationLimit = 20000 + +; Set XPROP assertion fail limit. Default is 5. +; Any positive integer, -1 for infinity. +; XpropAssertionLimit = 5 + +; Control PSL and Verilog Assume directives during simulation +; Set SimulateAssumeDirectives = 0 to disable assume being simulated as asserts +; Set SimulateAssumeDirectives = 1 to enable assume simulation as asserts +; SimulateAssumeDirectives = 1 + +; Control the simulation of PSL and SVA +; These switches can be overridden by the vsim command line switches: +; -psl, -nopsl, -sva, -nosva. +; Set SimulatePSL = 0 to disable PSL simulation +; Set SimulatePSL = 1 to enable PSL simulation (default) +; SimulatePSL = 1 +; Set SimulateSVA = 0 to disable SVA simulation +; Set SimulateSVA = 1 to enable concurrent SVA simulation (default) +; SimulateSVA = 1 + +; Control SVA and VHDL immediate assertion directives during simulation +; Set SimulateImmedAsserts = 0 to disable simulation of immediate asserts +; Set SimulateImmedAsserts = 1 to enable simulation of immediate asserts +; SimulateImmedAsserts = 1 + +; Directives to license manager can be set either as single value or as +; space separated multi-values: +; vhdl Immediately reserve a VHDL license +; vlog Immediately reserve a Verilog license +; plus Immediately reserve a VHDL and Verilog license +; noqueue Do not wait in the license queue when a license is not available +; viewsim Try for viewer license but accept simulator license(s) instead +; of queuing for viewer license (PE ONLY) +; noviewer Disable checkout of msimviewer and vsim-viewer license +; features (PE ONLY) +; noslvhdl Disable checkout of qhsimvh and vsim license features +; noslvlog Disable checkout of qhsimvl and vsimvlog license features +; nomix Disable checkout of msimhdlmix and hdlmix license features +; nolnl Disable checkout of msimhdlsim and hdlsim license features +; mixedonly Disable checkout of qhsimvh,qhsimvl,vsim,vsimvlog license +; features +; lnlonly Disable checkout of qhsimvh,qhsimvl,vsim,vsimvlog,msimhdlmix, +; hdlmix license features +; Single value: +; License = plus +; Multi-value: +; License = noqueue plus + +; Severity level of a VHDL assertion message or of a SystemVerilog immediate assertion +; which will cause a running simulation to stop. +; VHDL assertions and SystemVerilog immediate assertions that occur with the +; given severity or higher will cause a running simulation to stop. +; This value is ignored during elaboration. +; 0 = Note 1 = Warning 2 = Error 3 = Failure 4 = Fatal +BreakOnAssertion = 3 + +; The class debug feature enables more visibility and tracking of class instances +; during simulation. By default this feature is 0 (disabled). To enable this +; feature set ClassDebug to 1. +; ClassDebug = 1 + +; Message Format conversion specifications: +; %S - Severity Level of message/assertion +; %R - Text of message +; %T - Time of message +; %D - Delta value (iteration number) of Time +; %K - Kind of path: Instance/Region/Signal/Process/Foreign Process/Unknown/Protected +; %i - Instance/Region/Signal pathname with Process name (if available) +; %I - shorthand for one of these: +; " %K: %i" +; " %K: %i File: %F" (when path is not Process or Signal) +; except that the %i in this case does not report the Process name +; %O - Process name +; %P - Instance/Region path without leaf process +; %F - File name +; %L - Line number; if assertion message, then line number of assertion or, if +; assertion is in a subprogram, line from which the call is made +; %u - Design unit name in form library.primary +; %U - Design unit name in form library.primary(secondary) +; %% - The '%' character itself +; +; If specific format for Severity Level is defined, use that format. +; Else, for a message that occurs during elaboration: +; -- Failure/Fatal message in VHDL region that is not a Process, and in +; certain non-VHDL regions, uses MessageFormatBreakLine; +; -- Failure/Fatal message otherwise uses MessageFormatBreak; +; -- Note/Warning/Error message uses MessageFormat. +; Else, for a message that occurs during runtime and triggers a breakpoint because +; of the BreakOnAssertion setting: +; -- if in a VHDL region that is not a Process, uses MessageFormatBreakLine; +; -- otherwise uses MessageFormatBreak. +; Else (a runtime message that does not trigger a breakpoint) uses MessageFormat. +; +; MessageFormatNote = "** %S: %R\n Time: %T Iteration: %D%I\n" +; MessageFormatWarning = "** %S: %R\n Time: %T Iteration: %D%I\n" +; MessageFormatError = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F\n" +; MessageFormatFail = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F\n" +; MessageFormatFatal = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F\n" +; MessageFormatBreakLine = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F Line: %L\n" +; MessageFormatBreak = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F\n" +; MessageFormat = "** %S: %R\n Time: %T Iteration: %D%I\n" + +; Error File - alternate file for storing error messages +; ErrorFile = error.log + +; Simulation Breakpoint messages +; This flag controls the display of function names when reporting the location +; where the simulator stops because of a breakpoint or fatal error. +; Example with function name: # Break in Process ctr at counter.vhd line 44 +; Example without function name: # Break at counter.vhd line 44 +; Default value is 1. +ShowFunctions = 1 + +; Default radix for all windows and commands. +; Radix may be one of: symbolic, ascii, binary, octal, decimal, hex, unsigned +; Flags may be one of: enumnumeric, showbase +DefaultRadix = hexadecimal +DefaultRadixFlags = showbase +; Set to 1 for make the signal_force VHDL and Verilog functions use the +; default radix when processing the force value. Prior to 10.2 signal_force +; used the default radix, now it always uses symbolic unless value explicitly indicates base +;SignalForceFunctionUseDefaultRadix = 0 + +; VSIM Startup command +; Startup = do startup.do + +; VSIM Shutdown file +; Filename to save u/i formats and configurations. +; ShutdownFile = restart.do +; To explicitly disable auto save: +; ShutdownFile = --disable-auto-save + +; File for saving command transcript +TranscriptFile = transcript + +; File for saving command history +; CommandHistory = cmdhist.log + +; Specify whether paths in simulator commands should be described +; in VHDL or Verilog format. +; For VHDL, PathSeparator = / +; For Verilog, PathSeparator = . +; Must not be the same character as DatasetSeparator. +PathSeparator = / + +; Specify the dataset separator for fully rooted contexts. +; The default is ':'. For example: sim:/top +; Must not be the same character as PathSeparator. +DatasetSeparator = : + +; Specify a unique path separator for the Signal Spy set of functions. +; The default will be to use the PathSeparator variable. +; Must not be the same character as DatasetSeparator. +; SignalSpyPathSeparator = / + +; Used to control parsing of HDL identifiers input to the tool. +; This includes CLI commands, vsim/vopt/vlog/vcom options, +; string arguments to FLI/VPI/DPI calls, etc. +; If set to 1, accept either Verilog escaped Id syntax or +; VHDL extended id syntax, regardless of source language. +; If set to 0, the syntax of the source language must be used. +; Each identifier in a hierarchical name may need different syntax, +; e.g. "/top/\vhdl*ext*id\/middle/\vlog*ext*id /bottom" or +; "top.\vhdl*ext*id\.middle.\vlog*ext*id .bottom" +; GenerousIdentifierParsing = 1 + +; Disable VHDL assertion messages +; IgnoreNote = 1 +; IgnoreWarning = 1 +; IgnoreError = 1 +; IgnoreFailure = 1 + +; Disable SystemVerilog assertion messages +; IgnoreSVAInfo = 1 +; IgnoreSVAWarning = 1 +; IgnoreSVAError = 1 +; IgnoreSVAFatal = 1 + +; Do not print any additional information from Severity System tasks. +; Only the message provided by the user is printed along with severity +; information. +; SVAPrintOnlyUserMessage = 1; + +; Default force kind. May be freeze, drive, deposit, or default +; or in other terms, fixed, wired, or charged. +; A value of "default" will use the signal kind to determine the +; force kind, drive for resolved signals, freeze for unresolved signals +; DefaultForceKind = freeze + +; Control the iteration of events when a VHDL signal is forced to a value +; This flag can be set to honour the signal update event in next iteration, +; the default is to update and propagate in the same iteration. +; ForceSigNextIter = 1 + + +; If zero, open files when elaborated; otherwise, open files on +; first read or write. Default is 0. +; DelayFileOpen = 1 + +; Control VHDL files opened for write. +; 0 = Buffered, 1 = Unbuffered +UnbufferedOutput = 0 + +; Control the number of VHDL files open concurrently. +; This number should always be less than the current ulimit +; setting for max file descriptors. +; 0 = unlimited +ConcurrentFileLimit = 40 + +; Control the number of hierarchical regions displayed as +; part of a signal name shown in the Wave window. +; A value of zero tells VSIM to display the full name. +; The default is 0. +; WaveSignalNameWidth = 0 + +; Turn off warnings when changing VHDL constants and generics +; Default is 1 to generate warning messages +; WarnConstantChange = 0 + +; Turn off warnings from accelerated versions of the std_logic_arith, +; std_logic_unsigned, and std_logic_signed packages. +; StdArithNoWarnings = 1 + +; Turn off warnings from accelerated versions of the IEEE numeric_std +; and numeric_bit packages. +; NumericStdNoWarnings = 1 + +; Use old-style (pre-6.6) VHDL FOR generate statement iteration names +; in the design hierarchy. +; This style is controlled by the value of the GenerateFormat +; value described next. Default is to use new-style names, which +; comprise the generate statement label, '(', the value of the generate +; parameter, and a closing ')'. +; Uncomment this to use old-style names. +; OldVhdlForGenNames = 1 + +; Control the format of the old-style VHDL FOR generate statement region +; name for each iteration. Do not quote it. +; The format string here must contain the conversion codes %s and %d, +; in that order, and no other conversion codes. The %s represents +; the generate statement label; the %d represents the generate parameter value +; at a particular iteration (this is the position number if the generate parameter +; is of an enumeration type). Embedded whitespace is allowed (but discouraged); +; leading and trailing whitespace is ignored. +; Application of the format must result in a unique region name over all +; loop iterations for a particular immediately enclosing scope so that name +; lookup can function properly. The default is %s__%d. +; GenerateFormat = %s__%d + +; Enable changes in VHDL elaboration to allow for Variable Logging +; This trades off simulation performance for the ability to log variables +; efficiently. By default this is disable for maximum simulation performance +; VhdlVariableLogging = 1 + +; Make VHDL packages in PDUs have there own copy of a package instead +; of sharing the package between PDUs. By default share packages +; VhdlSeparatePduPackage = 0 + +; Specify whether checkpoint files should be compressed. +; The default is 1 (compressed). +; CheckpointCompressMode = 0 + +; Specify gcc compiler used in the compilation of automatically generated DPI exportwrapper. +; Use custom gcc compiler located at this path rather than the default path. +; The path should point directly at a compiler executable. +; DpiCppPath = <your-gcc-installation>/bin/gcc + +; Specify whether to enable SystemVerilog DPI "out-of-the-blue" calls. +; The term "out-of-the-blue" refers to SystemVerilog export function calls +; made from C functions that don't have the proper context setup +; (as is the case when running under "DPI-C" import functions). +; When this is enabled, one can call a DPI export function +; (but not task) from any C code. +; the setting of this variable can be one of the following values: +; 0 : dpioutoftheblue call is disabled (default) +; 1 : dpioutoftheblue call is enabled, but export call debug support is not available. +; 2 : dpioutoftheblue call is enabled, and limited export call debug support is available. +; DpiOutOfTheBlue = 1 + +; Specify whether continuous assignments are run before other normal priority +; processes scheduled in the same iteration. This event ordering minimizes race +; differences between optimized and non-optimized designs, and is the default +; behavior beginning with the 6.5 release. For pre-6.5 event ordering, set +; ImmediateContinuousAssign to 0. +; The default is 1 (enabled). +; ImmediateContinuousAssign = 0 + +; List of dynamically loaded objects for Verilog PLI applications +; Veriuser = veriuser.sl + +; Which default VPI object model should the tool conform to? +; The 1364 modes are Verilog-only, for backwards compatibility with older +; libraries, and SystemVerilog objects are not available in these modes. +; +; In the absence of a user-specified default, the tool default is the +; latest available LRM behavior. +; Options for PliCompatDefault are: +; VPI_COMPATIBILITY_VERSION_1364v1995 +; VPI_COMPATIBILITY_VERSION_1364v2001 +; VPI_COMPATIBILITY_VERSION_1364v2005 +; VPI_COMPATIBILITY_VERSION_1800v2005 +; VPI_COMPATIBILITY_VERSION_1800v2008 +; +; Synonyms for each string are also recognized: +; VPI_COMPATIBILITY_VERSION_1364v1995 (1995, 95, 1364v1995, 1364V1995, VL1995) +; VPI_COMPATIBILITY_VERSION_1364v2001 (2001, 01, 1364v2001, 1364V2001, VL2001) +; VPI_COMPATIBILITY_VERSION_1364v2005 (1364v2005, 1364V2005, VL2005) +; VPI_COMPATIBILITY_VERSION_1800v2005 (2005, 05, 1800v2005, 1800V2005, SV2005) +; VPI_COMPATIBILITY_VERSION_1800v2008 (2008, 08, 1800v2008, 1800V2008, SV2008) + + +; PliCompatDefault = VPI_COMPATIBILITY_VERSION_1800v2005 + +; Specify whether the Verilog system task $fopen or vpi_mcd_open() +; will create directories that do not exist when opening the file +; in "a" or "w" mode. +; The default is 0 (do not create non-existent directories) +; CreateDirForFileAccess = 1 + +; Specify default options for the restart command. Options can be one +; or more of: -force -nobreakpoint -nolist -nolog -nowave -noassertions +; DefaultRestartOptions = -force + + +; Specify default UVM-aware debug options if the vsim -uvmcontrol switch is not used. +; Valid options include: all, none, verbose, disable, struct, msglog, trlog, certe. +; Options can be enabled by just adding the name, or disabled by prefixing the option with a "-". +; The list of options must be delimited by commas, without spaces or tabs. +; The default is UVMControl = struct + +; Some examples +; To turn on all available UVM-aware debug features: +; UVMControl = all +; To turn on the struct window, mesage logging, and transaction logging: +; UVMControl = struct,msglog,trlog +; To turn on all options except certe: +; UVMControl = all,-certe +; To completely disable all UVM-aware debug functionality: +; UVMControl = disable + +; Specify the WildcardFilter setting. +; A space separated list of object types to be excluded when performing +; wildcard matches with log, wave, etc commands. The default value for this variable is: +; "Variable Constant Generic Parameter SpecParam Memory Assertion Cover Endpoint ScVariable CellInternal ImmediateAssert VHDLFile" +; See "Using the WildcardFilter Preference Variable" in the documentation for +; details on how to use this variable and for descriptions of the filter types. +WildcardFilter = Variable Constant Generic Parameter SpecParam Memory Assertion Cover Endpoint ScVariable CellInternal ImmediateAssert VHDLFile + +; Specify the WildcardSizeThreshold setting. +; This integer setting specifies the size at which objects will be excluded when +; performing wildcard matches with log, wave, etc commands. Objects of size equal +; to or greater than the WildcardSizeThreshold will be filtered out from the wildcard +; matches. The size is a simple calculation of number of bits or items in the object. +; The default value is 8k (8192). Setting this value to 0 will disable the checking +; of object size against this threshold and allow all objects of any size to be logged. +WildcardSizeThreshold = 8192 + +; Specify whether warning messages are output when objects are filtered out due to the +; WildcardSizeThreshold. The default is 0 (no messages generated). +WildcardSizeThresholdVerbose = 0 + +; Turn on (1) or off (0) WLF file compression. +; The default is 1 (compress WLF file). +; WLFCompress = 0 + +; Specify whether to save all design hierarchy (1) in the WLF file +; or only regions containing logged signals (0). +; The default is 0 (save only regions with logged signals). +; WLFSaveAllRegions = 1 + +; WLF file time limit. Limit WLF file by time, as closely as possible, +; to the specified amount of simulation time. When the limit is exceeded +; the earliest times get truncated from the file. +; If both time and size limits are specified the most restrictive is used. +; UserTimeUnits are used if time units are not specified. +; The default is 0 (no limit). Example: WLFTimeLimit = {100 ms} +; WLFTimeLimit = 0 + +; WLF file size limit. Limit WLF file size, as closely as possible, +; to the specified number of megabytes. If both time and size limits +; are specified then the most restrictive is used. +; The default is 0 (no limit). +; WLFSizeLimit = 1000 + +; Specify whether or not a WLF file should be deleted when the +; simulation ends. A value of 1 will cause the WLF file to be deleted. +; The default is 0 (do not delete WLF file when simulation ends). +; WLFDeleteOnQuit = 1 + +; Specify whether or not a WLF file should be optimized during +; simulation. If set to 0, the WLF file will not be optimized. +; The default is 1, optimize the WLF file. +; WLFOptimize = 0 + +; Specify the name of the WLF file. +; The default is vsim.wlf +; WLFFilename = vsim.wlf + +; Specify whether to lock the WLF file. +; Locking the file prevents other invocations of ModelSim/Questa tools from +; inadvertently overwriting the WLF file. +; The default is 1, lock the WLF file. +; WLFFileLock = 0 + +; Specify the update interval for the WLF file. +; Value is the number of seconds between updated. After at least the +; interval number of seconds, the wlf file is flushed, ensuring that the data +; is correct when viewed from a separate live viewer. Setting to 0 means no +; updating. Default is 10 seconds, which has a tiny performance impact +; WLFUpdateInterval = 10 + +; Specify the WLF reader cache size limit for each open WLF file. +; The size is giving in megabytes. A value of 0 turns off the +; WLF cache. +; WLFSimCacheSize allows a different cache size to be set for +; simulation WLF file independent of post-simulation WLF file +; viewing. If WLFSimCacheSize is not set it defaults to the +; WLFCacheSize setting. +; The default WLFCacheSize setting is enabled to 2000M per open WLF file on most +; platforms; on Windows, the setting is 1000M to help avoid filling process memory. +; WLFCacheSize = 2000 +; WLFSimCacheSize = 500 + +; Specify the WLF file event collapse mode. +; 0 = Preserve all events and event order. (same as -wlfnocollapse) +; 1 = Only record values of logged objects at the end of a simulator iteration. +; (same as -wlfcollapsedelta) +; 2 = Only record values of logged objects at the end of a simulator time step. +; (same as -wlfcollapsetime) +; The default is 1. +; WLFCollapseMode = 0 + +; Specify whether WLF file logging can use threads on multi-processor machines +; if 0, no threads will be used, if 1, threads will be used if the system has +; more than one processor +; WLFUseThreads = 1 + +; Specify the size of objects that will trigger "large object" messages +; at log/wave/list time. The size calculation of the object is the same as that +; used by the WildcardSizeThreshold. The default LargeObjectSize size is 500,000. +; Setting LargeObjectSize to 0 will disable these messages. +; LargeObjectSize = 500000 + +; Turn on/off undebuggable SystemC type warnings. Default is on. +; ShowUndebuggableScTypeWarning = 0 + +; Turn on/off unassociated SystemC name warnings. Default is off. +; ShowUnassociatedScNameWarning = 1 + +; Turn on/off SystemC IEEE 1666 deprecation warnings. Default is off. +; ScShowIeeeDeprecationWarnings = 1 + +; Turn on/off the check for multiple drivers on a SystemC sc_signal. Default is off. +; ScEnableScSignalWriteCheck = 1 + +; Set SystemC default time unit. +; Set to fs, ps, ns, us, ms, or sec with optional +; prefix of 1, 10, or 100. The default is 1 ns. +; The ScTimeUnit value is honored if it is coarser than Resolution. +; If ScTimeUnit is finer than Resolution, it is set to the value +; of Resolution. For example, if Resolution is 100ps and ScTimeUnit is ns, +; then the default time unit will be 1 ns. However if Resolution +; is 10 ns and ScTimeUnit is ns, then the default time unit will be 10 ns. +ScTimeUnit = ns + +; Set SystemC sc_main stack size. The stack size is set as an integer +; number followed by the unit which can be Kb(Kilo-byte), Mb(Mega-byte) or +; Gb(Giga-byte). Default is 10 Mb. The stack size for sc_main depends +; on the amount of data on the sc_main() stack and the memory required +; to succesfully execute the longest function call chain of sc_main(). +ScMainStackSize = 10 Mb + +; Set SystemC thread stack size. The stack size is set as an integer +; number followed by the unit which can be Kb(Kilo-byte), Mb(Mega-byte) or +; Gb(Giga-byte). The stack size for sc_thread depends +; on the amount of data on the sc_thread stack and the memory required +; to succesfully execute the thread. +; ScStackSize = 1 Mb + +; Turn on/off execution of remainder of sc_main upon quitting the current +; simulation session. If the cumulative length of sc_main() in terms of +; simulation time units is less than the length of the current simulation +; run upon quit or restart, sc_main() will be in the middle of execution. +; This switch gives the option to execute the remainder of sc_main upon +; quitting simulation. The drawback of not running sc_main till the end +; is memory leaks for objects created by sc_main. If on, the remainder of +; sc_main will be executed ignoring all delays. This may cause the simulator +; to crash if the code in sc_main is dependent on some simulation state. +; Default is on. +ScMainFinishOnQuit = 1 + +; Set the SCV relationship name that will be used to identify phase +; relations. If the name given to a transactor relation matches this +; name, the transactions involved will be treated as phase transactions +ScvPhaseRelationName = mti_phase + +; Customize the vsim kernel shutdown behavior at the end of the simulation. +; Some common causes of the end of simulation are $finish (implicit or explicit), +; sc_stop(), tf_dofinish(), and assertion failures. +; This should be set to "ask", "exit", or "stop". The default is "ask". +; "ask" -- In batch mode, the vsim kernel will abruptly exit. +; In GUI mode, a dialog box will pop up and ask for user confirmation +; whether or not to quit the simulation. +; "stop" -- Cause the simulation to stay loaded in memory. This can make some +; post-simulation tasks easier. +; "exit" -- The simulation will abruptly exit without asking for any confirmation. +; "final" -- Run SystemVerilog final blocks then behave as "stop". +; Note: This variable can be overridden with the vsim "-onfinish" command line switch. +OnFinish = ask + +; Print pending deferred assertion messages. +; Deferred assertion messages may be scheduled after the $finish in the same +; time step. Deferred assertions scheduled to print after the $finish are +; printed before exiting with severity level NOTE since it's not known whether +; the assertion is still valid due to being printed in the active region +; instead of the reactive region where they are normally printed. +; OnFinishPendingAssert = 1; + +; Print "simstats" result +; 0 == do not print simstats +; 1 == print at end of simulation +; 2 == print at end of run +; 3 == print at end of run and end of simulation +; default == 0 +; PrintSimStats = 1 + +; Print "simstats" result in Tcl list form +; 0 == do not print simstats +; 1 == print at end of simulation +; 2 == print at end of run +; 3 == print at end of run and end of simulation +; default == 0 +; PrintSimStatsList = 1 + +; Assertion File - alternate file for storing VHDL/PSL/Verilog assertion messages +; AssertFile = assert.log + +; Enable assertion counts. Default is off. +; AssertionCover = 1 + +; Run simulator in assertion debug mode. Default is off. +; AssertionDebug = 1 + +; Turn on/off PSL/SVA/VHDL assertion enable. Default is on. +; AssertionEnable = 0 + +; Set PSL/SVA/VHDL concurrent assertion fail limit. Default is -1. +; Any positive integer, -1 for infinity. +; AssertionLimit = 1 + +; Turn on/off concurrent assertion pass log. Default is off. +; Assertion pass logging is only enabled when assertion is browseable +; and assertion debug is enabled. +; AssertionPassLog = 1 + +; Turn on/off PSL concurrent assertion fail log. Default is on. +; The flag does not affect SVA +; AssertionFailLog = 0 + +; Turn on/off SVA concurrent assertion local var printing in -assertdebug mode. Default is on. +; AssertionFailLocalVarLog = 0 + +; Set action type for PSL/SVA concurrent assertion fail action. Default is continue. +; 0 = Continue 1 = Break 2 = Exit +; AssertionFailAction = 1 + +; Enable the active thread monitor in the waveform display when assertion debug is enabled. +; AssertionActiveThreadMonitor = 1 + +; Control how many waveform rows will be used for displaying the active threads. Default is 5. +; AssertionActiveThreadMonitorLimit = 5 + +; Assertion thread limit after which assertion would be killed/switched off. +; The default is -1 (unlimited). If the number of threads for an assertion go +; beyond this limit, the assertion would be either switched off or killed. This +; limit applies to only assert directives. +;AssertionThreadLimit = -1 + +; Action to be taken once the assertion thread limit is reached. Default +; is kill. It can have a value of off or kill. In case of kill, all the existing +; threads are terminated and no new attempts are started. In case of off, the +; existing attempts keep on evaluating but no new attempts are started. This +; variable applies to only assert directives. +;AssertionThreadLimitAction = kill + +; Cover thread limit after which cover would be killed/switched off. +; The default is -1 (unlimited). If the number of threads for a cover go +; beyond this limit, the cover would be either switched off or killed. This +; limit applies to only cover directives. +;CoverThreadLimit = -1 + +; Action to be taken once the cover thread limit is reached. Default +; is kill. It can have a value of off or kill. In case of kill, all the existing +; threads are terminated and no new attempts are started. In case of off, the +; existing attempts keep on evaluating but no new attempts are started. This +; variable applies to only cover directives. +;CoverThreadLimitAction = kill + + +; By default immediate assertions do not participate in Assertion Coverage calculations +; unless they are executed. This switch causes all immediate assertions in the design +; to participate in Assertion Coverage calculations, whether attempted or not. +; UnattemptedImmediateAssertions = 0 + +; By default immediate covers participate in Coverage calculations +; whether they are attempted or not. This switch causes all unattempted +; immediate covers in the design to stop participating in Coverage +; calculations. +; UnattemptedImmediateCovers = 0 + +; By default pass action block is not executed for assertions on vacuous +; success. The following variable is provided to enable execution of +; pass action block on vacuous success. The following variable is only effective +; if the user does not disable pass action block execution by using either +; system tasks or CLI. Also there is a performance penalty for enabling +; the following variable. +;AssertionEnableVacuousPassActionBlock = 1 + +; As per strict 1850-2005 PSL LRM, an always property can either pass +; or fail. However, by default, Questa reports multiple passes and +; multiple fails on top always/never property (always/never operator +; is the top operator under Verification Directive). The reason +; being that Questa reports passes and fails on per attempt of the +; top always/never property. Use the following flag to instruct +; Questa to strictly follow LRM. With this flag, all assert/never +; directives will start an attempt once at start of simulation. +; The attempt can either fail, match or match vacuously. +; For e.g. if always is the top operator under assert, the always will +; keep on checking the property at every clock. If the property under +; always fails, the directive will be considered failed and no more +; checking will be done for that directive. A top always property, +; if it does not fail, will show a pass at end of simulation. +; The default value is '0' (i.e. zero is off). For example: +; PslOneAttempt = 1 + +; Specify the number of clock ticks to represent infinite clock ticks. +; This affects eventually!, until! and until_!. If at End of Simulation +; (EOS) an active strong-property has not clocked this number of +; clock ticks then neither pass or fail (vacuous match) is returned +; else respective fail/pass is returned. The default value is '0' (zero) +; which effectively does not check for clock tick condition. For example: +; PslInfinityThreshold = 5000 + +; Control how many thread start times will be preserved for ATV viewing for a given assertion +; instance. Default is -1 (ALL). +; ATVStartTimeKeepCount = -1 + +; Turn on/off code coverage +; CodeCoverage = 0 + +; This option applies to condition and expression coverage UDP tables. It +; has no effect unless UDP is enabled for coverage with vcom/vlog/vopt -coverudp. +; If this option is used and a match occurs in more than one row in the UDP table, +; none of the counts for all matching rows is incremented. By default, counts are +; incremented for all matching rows. +; CoverCountAll = 1 + +; Turn off automatic inclusion of VHDL integers in toggle coverage. Default +; is to include them. +; ToggleNoIntegers = 1 + +; Set the maximum number of values that are collected for toggle coverage of +; VHDL integers. Default is 100; +; ToggleMaxIntValues = 100 + +; Set the maximum number of values that are collected for toggle coverage of +; Verilog real. Default is 100; +; ToggleMaxRealValues = 100 + +; Turn on automatic inclusion of Verilog integers in toggle coverage, except +; for enumeration types. Default is to include them. +; ToggleVlogIntegers = 0 + +; Turn on automatic inclusion of Verilog real type in toggle coverage, except +; for shortreal types. Default is to not include them. +; ToggleVlogReal = 1 + +; Turn on automatic inclusion of Verilog fixed-size unpacked arrays, VHDL multi-d arrays +; and VHDL arrays-of-arrays in toggle coverage. +; Default is to not include them. +; ToggleFixedSizeArray = 1 + +; Increase or decrease the maximum size of Verilog unpacked fixed-size arrays, +; VHDL multi-d arrays and VHDL arrays-of-arrays that are included for toggle coverage. +; This leads to a longer simulation time with bigger arrays covered with toggle coverage. +; Default is 1024. +; ToggleMaxFixedSizeArray = 1024 + +; Treat Verilog multi-dimensional packed vectors and packed structures as equivalently sized +; one-dimensional packed vectors for toggle coverage. Default is 0. +; TogglePackedAsVec = 0 + +; Treat Verilog enumerated types as equivalently sized one-dimensional packed vectors for +; toggle coverage. Default is 0. +; ToggleVlogEnumBits = 0 + +; Turn off automatic inclusion of VHDL records in toggle coverage. +; Default is to include them. +; ToggleVHDLRecords = 0 + +; Limit the widths of registers automatically tracked for toggle coverage. Default is 128. +; For unlimited width, set to 0. +; ToggleWidthLimit = 128 + +; Limit the counts that are tracked for toggle coverage. When all edges for a bit have +; reached this count, further activity on the bit is ignored. Default is 1. +; For unlimited counts, set to 0. +; ToggleCountLimit = 1 + +; Change the mode of extended toggle coverage. Default is 3. Valid modes are 1, 2 and 3. +; Following is the toggle coverage calculation criteria based on extended toggle mode: +; Mode 1: 0L->1H & 1H->0L & any one 'Z' transition (to/from 'Z'). +; Mode 2: 0L->1H & 1H->0L & one transition to 'Z' & one transition from 'Z'. +; Mode 3: 0L->1H & 1H->0L & all 'Z' transitions. +; ExtendedToggleMode = 3 + +; Enable toggle statistics collection only for ports. Default is 0. +; TogglePortsOnly = 1 + +; Limit the counts that are tracked for Focussed Expression Coverage. When a bin has +; reached this count, further tracking of the input patterns linked to it is ignored. +; Default is 1. For unlimited counts, set to 0. +; NOTE: Changing this value from its default value may affect simulation performance. +; FecCountLimit = 1 + +; Limit the counts that are tracked for UDP Coverage. When a bin has +; reached this count, further tracking of the input patterns linked to it is ignored. +; Default is 1. For unlimited counts, set to 0. +; NOTE: Changing this value from its default value may affect simulation performance. +; UdpCountLimit = 1 + +; Control toggle coverage deglitching period. A period of 0, eliminates delta +; cycle glitches. This is the default. The value of ToggleDeglitchPeriod needs to be either +; 0 or a time string that includes time units. Examples: 0 or 10.0ps or "10.0 ps". +; ToggleDeglitchPeriod = 10.0ps + +; Turn on/off all PSL/SVA cover directive enables. Default is on. +; CoverEnable = 0 + +; Turn on/off PSL/SVA cover log. Default is off "0". +; CoverLog = 1 + +; Set "at_least" value for all PSL/SVA cover directives. Default is 1. +; CoverAtLeast = 2 + +; Set "limit" value for all PSL/SVA cover directives. Default is -1. +; Any positive integer, -1 for infinity. +; CoverLimit = 1 + +; Specify the coverage database filename. +; Default is "" (i.e. database is NOT automatically saved on close). +; UCDBFilename = vsim.ucdb + +; Specify the maximum limit for the number of Cross (bin) products reported +; in XML and UCDB report against a Cross. A warning is issued if the limit +; is crossed. Default is zero. vsim switch -cvgmaxrptrhscross can override this +; setting. +; MaxReportRhsSVCrossProducts = 1000 + +; Specify the override for the "auto_bin_max" option for the Covergroups. +; If not specified then value from Covergroup "option" is used. +; SVCoverpointAutoBinMax = 64 + +; Specify the override for the value of "cross_num_print_missing" +; option for the Cross in Covergroups. If not specified then value +; specified in the "option.cross_num_print_missing" is used. This +; is a runtime option. NOTE: This overrides any "cross_num_print_missing" +; value specified by user in source file and any SVCrossNumPrintMissingDefault +; specified in modelsim.ini. +; SVCrossNumPrintMissing = 0 + +; Specify whether to use the value of "cross_num_print_missing" +; option in report and GUI for the Cross in Covergroups. If not specified then +; cross_num_print_missing is ignored for creating reports and displaying +; covergroups in GUI. Default is 0, which means ignore "cross_num_print_missing". +; UseSVCrossNumPrintMissing = 0 + +; Specify the threshold of Coverpoint wildcard bin value range size, above which +; a warning will be triggered. The default is 4K -- 12 wildcard bits. +; SVCoverpointWildCardBinValueSizeWarn = 4096 + +; Specify the override for the value of "strobe" option for the +; Covergroup Type. If not specified then value in "type_option.strobe" +; will be used. This is runtime option which forces "strobe" to +; user specified value and supersedes user specified values in the +; SystemVerilog Code. NOTE: This also overrides the compile time +; default value override specified using "SVCovergroupStrobeDefault" +; SVCovergroupStrobe = 0 + +; Override for explicit assignments in source code to "option.goal" of +; SystemVerilog covergroup, coverpoint, and cross. It also overrides the +; default value of "option.goal" (defined to be 100 in the SystemVerilog +; LRM) and the value of modelsim.ini variable "SVCovergroupGoalDefault". +; SVCovergroupGoal = 100 + +; Override for explicit assignments in source code to "type_option.goal" of +; SystemVerilog covergroup, coverpoint, and cross. It also overrides the +; default value of "type_option.goal" (defined to be 100 in the SystemVerilog +; LRM) and the value of modelsim.ini variable "SVCovergroupTypeGoalDefault". +; SVCovergroupTypeGoal = 100 + +; Enforce the 6.3 behavior of covergroup get_coverage() and get_inst_coverage() +; builtin functions, and report. This setting changes the default values of +; option.get_inst_coverage and type_option.merge_instances to ensure the 6.3 +; behavior if explicit assignments are not made on option.get_inst_coverage and +; type_option.merge_instances by the user. There are two vsim command line +; options, -cvg63 and -nocvg63 to override this setting from vsim command line. +; The default value of this variable from release 6.6 onwards is 0. This default +; drives compliance with the clarified behavior in the IEEE 1800-2009 standard. +; SVCovergroup63Compatibility = 0 + +; Enforce the default behavior of covergroup get_coverage() builtin function, GUI +; and report. This variable sets the default value of type_option.merge_instances. +; There are two vsim command line options, -cvgmergeinstances and +; -nocvgmergeinstances to override this setting from vsim command line. +; The default value of this variable is 0. This default +; drives compliance with the clarified behavior in the IEEE 1800-2009 standard. +; SVCovergroupMergeInstancesDefault = 0 + +; Enable or disable generation of more detailed information about the sampling +; of covergroup, cross, and coverpoints. It provides the details of the number +; of times the covergroup instance and type were sampled, as well as details +; about why covergroup, cross and coverpoint were not covered. A non-zero value +; is to enable this feature. 0 is to disable this feature. Default is 0 +; SVCovergroupSampleInfo = 0 + +; Specify the maximum number of Coverpoint bins in whole design for +; all Covergroups. +; MaxSVCoverpointBinsDesign = 2147483648 + +; Specify maximum number of Coverpoint bins in any instance of a Covergroup +; MaxSVCoverpointBinsInst = 2147483648 + +; Specify the maximum number of Cross bins in whole design for +; all Covergroups. +; MaxSVCrossBinsDesign = 2147483648 + +; Specify maximum number of Cross bins in any instance of a Covergroup +; MaxSVCrossBinsInst = 2147483648 + +; Specify whether vsim will collect the coverage data of zero-weight coverage items or not. +; By default, this variable is set 0, in which case option.no_collect setting will take effect. +; If this variable is set to 1, all zero-weight coverage items will not be saved. +; Note that the usage of vsim switch -cvgzwnocollect, if present, will override the setting +; of this variable. +; CvgZWNoCollect = 1 + +; Specify a space delimited list of double quoted TCL style +; regular expressions which will be matched against the text of all messages. +; If any regular expression is found to be contained within any message, the +; status for that message will not be propagated to the UCDB TESTSTATUS. +; If no match is detected, then the status will be propagated to the +; UCDB TESTSTATUS. More than one such regular expression text is allowed, +; and each message text is compared for each regular expression in the list. +; UCDBTestStatusMessageFilter = "Done with Test Bench" "Ignore .* message" + +; Set weight for all PSL/SVA cover directives. Default is 1. +; CoverWeight = 2 + +; Check vsim plusargs. Default is 0 (off). +; 0 = Don't check plusargs +; 1 = Warning on unrecognized plusarg +; 2 = Error and exit on unrecognized plusarg +; CheckPlusargs = 1 + +; Load the specified shared objects with the RTLD_GLOBAL flag. +; This gives global visibility to all symbols in the shared objects, +; meaning that subsequently loaded shared objects can bind to symbols +; in the global shared objects. The list of shared objects should +; be whitespace delimited. This option is not supported on the +; Windows or AIX platforms. +; GlobalSharedObjectList = example1.so example2.so example3.so + +; Initial seed for the random number generator of the root thread (SystemVerilog). +; NOTE: This variable can be overridden with the vsim "-sv_seed" command line switch. +; The default value is 0. +; Sv_Seed = 0 + +; Specify the solver "engine" that vsim will select for constrained random +; generation. +; Valid values are: +; "auto" - automatically select the best engine for the current +; constraint scenario +; "bdd" - evaluate all constraint scenarios using the BDD solver engine +; "act" - evaluate all constraint scenarios using the ACT solver engine +; While the BDD solver engine is generally efficient with constraint scenarios +; involving bitwise logical relationships, the ACT solver engine can exhibit +; superior performance with constraint scenarios involving large numbers of +; random variables related via arithmetic operators (+, *, etc). +; NOTE: This variable can be overridden with the vsim "-solveengine" command +; line switch. +; The default value is "auto". +; SolveEngine = auto + +; Specify if the solver should attempt to ignore overflow/underflow semantics +; for arithmetic constraints (multiply, addition, subtraction) in order to +; improve performance. The "solveignoreoverflow" attribute can be specified on +; a per-call basis to randomize() to override this setting. +; The default value is 0 (overflow/underflow is not ignored). Set to 1 to +; ignore overflow/underflow. +; SolveIgnoreOverflow = 0 + +; Specifies the maximum size that a dynamic array may be resized to by the +; solver. If the solver attempts to resize a dynamic array to a size greater +; than the specified limit, the solver will abort with an error. +; The default value is 2000. A value of 0 indicates no limit. +; SolveArrayResizeMax = 2000 + +; Error message severity when randomize() failure is detected (SystemVerilog). +; 0 = No error 1 = Warning 2 = Error 3 = Failure 4 = Fatal +; The default is 0 (no error). +; SolveFailSeverity = 0 + +; Error message severity for suppressible errors that are detected in a +; solve/before constraint. +; NOTE: This variable can be overridden with the vsim "-solvebeforeerrorseverity" +; command line switch. +; 0 = No error 1 = Warning 2 = Error 3 = Failure 4 = Fatal +; The default is 3 (failure). +; SolveBeforeErrorSeverity = 3 + +; Enable/disable debug information for randomize() failures. +; NOTE: This variable can be overridden with the vsim "-solvefaildebug" command +; line switch. +; The default is 0 (disabled). Set to 1 to enable basic debug (with no +; performance penalty). Set to 2 for enhanced debug (will result in slower +; runtime performance). +; SolveFailDebug = 0 + +; Upon encountering a randomize() failure, generate a simplified testcase that +; will reproduce the failure. Optionally output the testcase to a file. +; Testcases for 'no-solution' failures will only be produced if SolveFailDebug +; is enabled (see above). +; NOTE: This variable can be overridden with the vsim "-solvefailtestcase" +; command line switch. +; The default is OFF (do not generate a testcase). To enable testcase +; generation, uncomment this variable. To redirect testcase generation to a +; file, specify the name of the output file. +; SolveFailTestcase = + +; Specify the maximum size of the solution graph generated by the BDD solver. +; This value can be used to force the BDD solver to abort the evaluation of a +; complex constraint scenario that cannot be evaluated with finite memory. +; This value is specified in 1000s of nodes. +; The default value is 10000. A value of 0 indicates no limit. +; SolveGraphMaxSize = 10000 + +; Specify the maximum number of evaluations that may be performed on the +; solution graph by the BDD solver. This value can be used to force the BDD +; solver to abort the evaluation of a complex constraint scenario that cannot +; be evaluated in finite time. This value is specified in 10000s of evaluations. +; The default value is 10000. A value of 0 indicates no limit. +; SolveGraphMaxEval = 10000 + +; Specify the maximum number of tests that the ACT solver may evaluate before +; abandoning an attempt to solve a particular constraint scenario. +; The default value is 2000000. A value of 0 indicates no limit. +; SolveACTMaxTests = 2000000 + +; Specify the maximum number of operations that the ACT solver may perform +; before abandoning an attempt to solve a particular constraint scenario. The +; value is specified in 1000000s of operations. +; The default value is 10000. A value of 0 indicates no limit. +; SolveACTMaxOps = 10000 + +; Specify the number of times the ACT solver will retry to evaluate a constraint +; scenario that fails due to the SolveACTMax[Tests|Ops] threshold. +; The default value is 0 (no retry). +; SolveACTRetryCount = 0 + +; Specify random sequence compatiblity with a prior letter release. This +; option is used to get the same random sequences during simulation as +; as a prior letter release. Only prior letter releases (of the current +; number release) are allowed. +; NOTE: Only those random sequence changes due to solver optimizations are +; reverted by this variable. Random sequence changes due to solver bugfixes +; cannot be un-done. +; NOTE: This variable can be overridden with the vsim "-solverev" command +; line switch. +; Default value set to "" (no compatibility). +; SolveRev = + +; Environment variable expansion of command line arguments has been depricated +; in favor shell level expansion. Universal environment variable expansion +; inside -f files is support and continued support for MGC Location Maps provide +; alternative methods for handling flexible pathnames. +; The following line may be uncommented and the value set to 1 to re-enable this +; deprecated behavior. The default value is 0. +; DeprecatedEnvironmentVariableExpansion = 0 + +; Specify the memory threshold for the System Verilog garbage collector. +; The value is the number of megabytes of class objects that must accumulate +; before the garbage collector is run. +; The GCThreshold setting is used when class debug mode is disabled to allow +; less frequent garbage collection and better simulation performance. +; The GCThresholdClassDebug setting is used when class debug mode is enabled +; to allow for more frequent garbage collection. +; GCThreshold = 100 +; GCThresholdClassDebug = 5 + +; Turn on/off collapsing of bus ports in VCD dumpports output +DumpportsCollapse = 1 + +; Location of Multi-Level Verification Component (MVC) installation. +; The default location is the product installation directory. +MvcHome = $MODEL_TECH/.. + +; Initialize SystemVerilog enums using the base type's default value +; instead of the leftmost value. +; EnumBaseInit = 1 + +; Suppress file type registration. +; SuppressFileTypeReg = 1 + +; Controls SystemVerilog Language Extensions. These options enable +; some non-LRM compliant behavior. Valid extensions are "feci", +; "pae", "uslt", "spsl" and "sccts". +; SVExtensions = uslt,spsl,sccts + +; Controls the formatting of '%p' and '%P' conversion specification, used in $display +; and similar system tasks. +; 1. SVPrettyPrintFlags=I<n><S|T> use <n> spaces(S) or tabs(T) per indentation level. +; The 'I' flag when present causes relevant data types to be expanded and indented into +; a more readable format. +; (e.g. SVPrettyPrintFlags=I4S will cause 4 spaces to be used per indentation level). +; 2. SVPrettyPrintFlags=L<numLines> limits the output to <numLines> lines. +; (e.g. SVPrettyPrintFlags=L20 will limit the output to 20 lines). +; 3. SVPrettyPrintFlags=C<numChars> limits the output to <numChars> characters. +; (e.g. SVPrettyPrintFlags=C256 will limit the output to 256 characters). +; 4. SVPrettyPrintFlags=F<numFields> limits the output to <numFields> of relevant datatypes +; (e.g. SVPrettyPrintFlags=F4 will limit the output to 4 fields of a structure). +; 5. SVPrettyPrintFlags=E<numElements> limits the output to <numElements> of relevant datatypes +; (e.g. SVPrettyPrintFlags=E50 will limit the output to 50 elements of an array). +; 6. SVPrettyPrintFlags=D<depth> suppresses the output of sub-elements below <depth>. +; (e.g. SVPrettyPrintFlags=D5 will suppresses the output of sub elements below a depth of 5). +; 7. Items 1-6 above can be combined as a comma separated list. +; (e.g. SVPrettyPrintFlags=I4S,L20,C256,F4,E50,D5) +; SVPrettyPrintFlags=I4S + +[lmc] +; The simulator's interface to Logic Modeling's SmartModel SWIFT software +libsm = $MODEL_TECH/libsm.sl +; The simulator's interface to Logic Modeling's SmartModel SWIFT software (Windows NT) +; libsm = $MODEL_TECH/libsm.dll +; Logic Modeling's SmartModel SWIFT software (HP 9000 Series 700) +; libswift = $LMC_HOME/lib/hp700.lib/libswift.sl +; Logic Modeling's SmartModel SWIFT software (IBM RISC System/6000) +; libswift = $LMC_HOME/lib/ibmrs.lib/swift.o +; Logic Modeling's SmartModel SWIFT software (Sun4 Solaris) +; libswift = $LMC_HOME/lib/sun4Solaris.lib/libswift.so +; Logic Modeling's SmartModel SWIFT software (Windows NT) +; libswift = $LMC_HOME/lib/pcnt.lib/libswift.dll +; Logic Modeling's SmartModel SWIFT software (non-Enterprise versions of Linux) +; libswift = $LMC_HOME/lib/x86_linux.lib/libswift.so +; Logic Modeling's SmartModel SWIFT software (Enterprise versions of Linux) +; libswift = $LMC_HOME/lib/linux.lib/libswift.so + +; The simulator's interface to Logic Modeling's hardware modeler SFI software +libhm = $MODEL_TECH/libhm.sl +; The simulator's interface to Logic Modeling's hardware modeler SFI software (Windows NT) +; libhm = $MODEL_TECH/libhm.dll +; Logic Modeling's hardware modeler SFI software (HP 9000 Series 700) +; libsfi = <sfi_dir>/lib/hp700/libsfi.sl +; Logic Modeling's hardware modeler SFI software (IBM RISC System/6000) +; libsfi = <sfi_dir>/lib/rs6000/libsfi.a +; Logic Modeling's hardware modeler SFI software (Sun4 Solaris) +; libsfi = <sfi_dir>/lib/sun4.solaris/libsfi.so +; Logic Modeling's hardware modeler SFI software (Windows NT) +; libsfi = <sfi_dir>/lib/pcnt/lm_sfi.dll +; Logic Modeling's hardware modeler SFI software (Linux) +; libsfi = <sfi_dir>/lib/linux/libsfi.so + +[msg_system] +; Change a message severity or suppress a message. +; The format is: <msg directive> = <msg number>[,<msg number>...] +; suppress can be used to achieve +nowarn<CODE> functionality +; The format is: suppress = <CODE>,<msg number>,[<CODE>,<msg number>,...] +; Examples: +suppress = 8780 ;an explanation can be had by running: verror 8780 +; note = 3009 +; warning = 3033 +; error = 3010,3016 +; fatal = 3016,3033 +; suppress = 3009,3016,3043 +; suppress = 3009,CNNODP,3043,TFMPC +; suppress = 8683,8684 +; The command verror <msg number> can be used to get the complete +; description of a message. + +; Control transcripting of Verilog display system task messages and +; PLI/FLI print function call messages. The system tasks include +; $display[bho], $strobe[bho], $monitor[bho], and $write[bho]. They +; also include the analogous file I/O tasks that write to STDOUT +; (i.e. $fwrite or $fdisplay). The PLI/FLI calls include io_printf, +; vpi_printf, mti_PrintMessage, and mti_PrintFormatted. The default +; is to have messages appear only in the transcript. The other +; settings are to send messages to the wlf file only (messages that +; are recorded in the wlf file can be viewed in the MsgViewer) or +; to both the transcript and the wlf file. The valid values are +; tran {transcript only (default)} +; wlf {wlf file only} +; both {transcript and wlf file} +; displaymsgmode = tran + +; Control transcripting of elaboration/runtime messages not +; addressed by the displaymsgmode setting. The default is to +; have messages appear only in the transcript. The other settings +; are to send messages to the wlf file only (messages that are +; recorded in the wlf file can be viewed in the MsgViewer) or to both +; the transcript and the wlf file. The valid values are +; tran {transcript only (default)} +; wlf {wlf file only} +; both {transcript and wlf file} +; msgmode = tran + +[utils] +; Default Library Type +; Set to determine the default type for a library created with "vlib" +; 0 - legacy library using subdirectories for design units +; 1 - archive library (deprecated) +; 2 - flat library +; DefaultLibType = 2 + +; Archive Library Compact Value +; Sets compaction trigger for archive libraries. The value is the percentage +; of free space in the archive. +; ArchiveLibCompact = 0.5 + +; Flat Library Page Size +; Set the size in bytes for flat library file pages. Very large libraries +; may benefit from a larger value, at the expense of disk space. +; FlatLibPageSize = 8192 + +; Flat Library Page Cleanup Percentage +; Set the percentage of total pages deleted before library cleanup can occur. +; This setting is applied together with FlatLibPageDeleteThreshold. +; FlatLibPageDeletePercentage = 50 + +; Flat Library Page Cleanup Threshold +; Set the number of pages deleted before library cleanup can occur. +; This setting is applied together with FlatLibPageDeletePercentage. +; FlatLibPageDeleteThreshold = 1000 + +[Project] +; Warning -- Do not edit the project properties directly. +; Property names are dynamic in nature and property +; values have special syntax. Changing property data directly +; can result in a corrupt MPF file. All project properties +; can be modified through project window dialogs. +Project_Version = 6 +Project_DefaultLib = work +Project_SortMethod = unused +Project_Files_Count = 72 +Project_File_0 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/external/opencores_i2c/i2c_master_top.vhd +Project_File_P_0 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390213197 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 49 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_1 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/triggerInputs_rtl.vhd +Project_File_P_1 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 0 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 64 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 1 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_2 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/top_extphy_struct.vhd +Project_File_P_2 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390212915 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 53 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_3 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/i2c_master_rtl.vhd +Project_File_P_3 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390212879 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 51 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_4 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/DUTInterfaces_rtl.vhd +Project_File_P_4 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390212879 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 52 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_5 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/external/opencores_i2c/i2c_master_byte_ctrl.vhd +Project_File_P_5 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390213197 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 36 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_6 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/synchronizeRegisters_rtl.vhd +Project_File_P_6 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 0 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 68 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 1 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_7 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/slaves/hdl/syncreg_w.vhd +Project_File_P_7 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390213045 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 38 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_8 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/external/opencores_i2c/i2c_master_bit_ctrl.vhd +Project_File_P_8 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390213197 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 37 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_9 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_dualportram_tx.vhd +Project_File_P_9 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390213042 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 12 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_10 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ethernet/hdl/emac_hostbus_decl.vhd +Project_File_P_10 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390213054 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 32 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_11 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/ipbus_trans_decl.vhd +Project_File_P_11 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390213042 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 1 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_12 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_build_payload.vhd +Project_File_P_12 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390213042 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 21 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_13 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_rxtransactor_if_simple.vhd +Project_File_P_13 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390213042 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 6 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_14 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_buffer_selector.vhd +Project_File_P_14 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390213042 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 23 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_15 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_rxram_mux.vhd +Project_File_P_15 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390213042 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 8 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_16 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/external/opencores_i2c/i2c_master_registers.vhd +Project_File_P_16 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390213197 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 35 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_17 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_status_buffer.vhd +Project_File_P_17 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390213042 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 5 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_18 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_do_rx_reset.vhd +Project_File_P_18 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390213042 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 15 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_19 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_rarp_block.vhd +Project_File_P_19 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390213042 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 9 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_20 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/transactor_if.vhd +Project_File_P_20 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390213042 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 25 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_21 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/fmcTLU_pkg_body.vhd +Project_File_P_21 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390212915 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 44 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_22 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_build_resend.vhd +Project_File_P_22 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390213042 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 19 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_23 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/transactor_sm.vhd +Project_File_P_23 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390213042 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 24 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_24 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/triggerLogic_rtl.vhd +Project_File_P_24 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 0 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 63 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 1 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_25 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_clock_crossing_if.vhd +Project_File_P_25 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390213042 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 16 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_26 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/eventBuffer_rtl.vhd +Project_File_P_26 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 0 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 67 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 1 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_27 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/logic_clocks_rtl.vhd +Project_File_P_27 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 0 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 65 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 1 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_28 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_dualportram.vhd +Project_File_P_28 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390213041 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 14 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_29 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_build_status.vhd +Project_File_P_29 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390213041 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 18 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_30 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/example_designs/hdl/clock_div.vhd +Project_File_P_30 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390213032 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 27 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_31 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/arrivalTimeLUT_rtl.vhd +Project_File_P_31 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 0 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 62 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 1 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_32 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/trans_arb.vhd +Project_File_P_32 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390213042 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 29 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_33 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_tx_mux.vhd +Project_File_P_33 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390213042 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 3 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_34 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_build_ping.vhd +Project_File_P_34 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390213042 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 20 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_35 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/workspace/ipcore_dir/tlu_event_fifo.vhd +Project_File_P_35 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 0 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 59 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 1 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_36 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_packet_parser.vhd +Project_File_P_36 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390213042 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 10 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_37 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_txtransactor_if_simple.vhd +Project_File_P_37 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390213042 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 4 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_38 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/ipbus_addr_decode.vhd +Project_File_P_38 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390212879 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 34 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_39 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/slaves/hdl/syncreg_r.vhd +Project_File_P_39 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390213045 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 39 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_40 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/ipbus_ctrl.vhd +Project_File_P_40 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390213041 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 42 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_41 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/simulation_src/fmc-tlu_v0-1_test-bench.vhd +Project_File_P_41 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 0 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 69 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 1 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_42 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/transactor.vhd +Project_File_P_42 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390213042 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 30 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_43 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/ipbus_fabric.vhd +Project_File_P_43 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390213042 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 41 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_44 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_build_arp.vhd +Project_File_P_44 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390213042 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 22 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_45 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/IPBusInterface_rtl.vhd +Project_File_P_45 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390212879 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 50 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_46 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/simulation_src/pmtPulseGenerator_rtl.vhd +Project_File_P_46 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 0 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 70 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 1 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_47 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/registerCounter_rtl.vhd +Project_File_P_47 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390212879 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 45 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_48 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/slaves/hdl/ipbus_syncreg_v.vhd +Project_File_P_48 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390213045 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 55 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_49 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/counterWithReset_rtl.vhd +Project_File_P_49 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 0 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 58 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 1 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_50 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/clocks_s6_extphy.vhd +Project_File_P_50 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390212879 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 48 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_51 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/dualSERDES_1to4_rtl.vhd +Project_File_P_51 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390212879 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 47 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_52 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/workspace/ipcore_dir/internalTriggerGenerator.vhd +Project_File_P_52 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 0 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 60 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 1 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_53 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/stretcher.vhd +Project_File_P_53 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390213042 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 31 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_54 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/workspace/ipcore_dir/tri_mode_eth_mac_v5_4.vhd +Project_File_P_54 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 0 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 56 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 1 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_55 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_if_flat.vhd +Project_File_P_55 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390213042 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 28 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_56 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/workspace/ipcore_dir/mac_fifo_axi4.vhd +Project_File_P_56 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 0 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 57 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 1 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_57 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/ipbus_ver.vhd +Project_File_P_57 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390212879 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 46 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_58 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/common/eventFormatter_rtl.vhd +Project_File_P_58 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 0 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 66 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 1 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_59 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/slaves/hdl/ipbus_ctrlreg_v.vhd +Project_File_P_59 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390213045 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 54 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_60 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_ipaddr_block.vhd +Project_File_P_60 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390213042 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 11 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_61 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_rxram_shim.vhd +Project_File_P_61 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390213042 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 7 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_62 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ethernet/hdl/eth_s6_gmii.vhd +Project_File_P_62 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390213055 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 43 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_63 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl/test/clock_divider_s6.v +Project_File_P_63 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat 0 vlog_nodebug 0 vlog_noload 0 last_compile 1390212863 folder {Top Level} cover_branch 0 cover_fsm 0 vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options {} compile_to work vlog_upper 0 cover_noshort 0 compile_order 0 dont_compile 0 cover_expr 0 cover_stmt 0 +Project_File_64 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_dualportram_rx.vhd +Project_File_P_64 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390213042 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 13 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_65 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/ipbus_package.vhd +Project_File_P_65 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390213042 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 2 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_66 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/slaves/hdl/ipbus_reg_types.vhd +Project_File_P_66 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390213045 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 40 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_67 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/workspace/ipcore_dir/FIFO.vhd +Project_File_P_67 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 0 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 61 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 1 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_68 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/transactor_cfg.vhd +Project_File_P_68 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390213042 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 26 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_69 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/IPBus2/firmware/ipbus_core/hdl/udp_byte_sum.vhd +Project_File_P_69 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390213042 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 17 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_70 = /automount/users/phdgc/IPBus_stuff/fmc_tlu_test/fmc-mtlu/firmware/hdl_designer/fmc_mTLU/fmc_mTLU_lib/hdl/fmcTLU_pkg.vhd +Project_File_P_70 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1390212915 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder {Top Level} cover_fsm 0 vlog_noload 0 cover_excludedefault 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 vhdl_options {} compile_order 33 cover_nosub 0 vlog_protect 0 cover_toggle 0 vhdl_nodebug 0 vhdl_synth 0 vlog_disableopt 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 cover_nofec 0 group_id 0 vhdl_1164 1 vlog_1995compat 0 vhdl_enable0In 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_71 = /users/phdgc/IPBus_stuff/fmc_tlu_alvaro_test_oct14/aida_minitlu_pinoutTest/fmc-mtlu/firmware/hdl/test/comb_generator_rtl.vhd +Project_File_P_71 = vhdl_novitalcheck 0 file_type vhdl group_id 0 cover_nofec 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 vhdl_enable0In 0 folder {Top Level} last_compile 1414060593 vhdl_disableopt 0 cover_excludedefault 0 vhdl_vital 0 vhdl_warn1 1 vhdl_showsource 0 vhdl_explicit 1 vhdl_warn2 1 vhdl_0InOptions {} cover_covercells 0 vhdl_warn3 1 vhdl_options {} cover_optlevel 3 voptflow 1 vhdl_warn4 1 ood 0 toggle - vhdl_warn5 1 compile_to work cover_noshort 0 compile_order 71 dont_compile 0 cover_nosub 0 vhdl_use93 2002 +Project_Sim_Count = 0 +Project_Folder_Count = 0 +Echo_Compile_Output = 0 +Save_Compile_Report = 1 +Project_Opt_Count = 0 +ForceSoftPaths = 0 +ProjectStatusDelay = 5000 +VERILOG_DoubleClick = Edit +VERILOG_CustomDoubleClick = +SYSTEMVERILOG_DoubleClick = Edit +SYSTEMVERILOG_CustomDoubleClick = +VHDL_DoubleClick = Edit +VHDL_CustomDoubleClick = +PSL_DoubleClick = Edit +PSL_CustomDoubleClick = +TEXT_DoubleClick = Edit +TEXT_CustomDoubleClick = +SYSTEMC_DoubleClick = Edit +SYSTEMC_CustomDoubleClick = +TCL_DoubleClick = Edit +TCL_CustomDoubleClick = +MACRO_DoubleClick = Edit +MACRO_CustomDoubleClick = +VCD_DoubleClick = Edit +VCD_CustomDoubleClick = +SDF_DoubleClick = Edit +SDF_CustomDoubleClick = +XML_DoubleClick = Edit +XML_CustomDoubleClick = +LOGFILE_DoubleClick = Edit +LOGFILE_CustomDoubleClick = +UCDB_DoubleClick = Edit +UCDB_CustomDoubleClick = +TDB_DoubleClick = Edit +TDB_CustomDoubleClick = +UPF_DoubleClick = Edit +UPF_CustomDoubleClick = +PCF_DoubleClick = Edit +PCF_CustomDoubleClick = +PROJECT_DoubleClick = Edit +PROJECT_CustomDoubleClick = +VRM_DoubleClick = Edit +VRM_CustomDoubleClick = +DEBUGDATABASE_DoubleClick = Edit +DEBUGDATABASE_CustomDoubleClick = +DEBUGARCHIVE_DoubleClick = Edit +DEBUGARCHIVE_CustomDoubleClick = +Project_Major_Version = 10 +Project_Minor_Version = 2 diff --git a/firmware/simulation/scripts/modelsim.ini b/firmware/simulation/scripts/modelsim.ini new file mode 100644 index 0000000000000000000000000000000000000000..b025bbdacc06d8e98eb8be87f7020f1804ac766b --- /dev/null +++ b/firmware/simulation/scripts/modelsim.ini @@ -0,0 +1,1868 @@ +; Copyright 1991-2013 Mentor Graphics Corporation +; +; All Rights Reserved. +; +; THIS WORK CONTAINS TRADE SECRET AND PROPRIETARY INFORMATION WHICH IS THE PROPERTY OF +; MENTOR GRAPHICS CORPORATION OR ITS LICENSORS AND IS SUBJECT TO LICENSE TERMS. +; + +[Library] +others = $MODEL_TECH/../modelsim.ini +; +; VITAL concerns: +; +; The library ieee contains (among other packages) the packages of the +; VITAL 2000 standard. When a design uses VITAL 2000 exclusively, it should use +; the physical library ieee (recommended), or use the physical library +; vital2000, but not both. The design can use logical library ieee and/or +; vital2000 as long as each of these maps to the same physical library, either +; ieee or vital2000. +; +; A design using the 1995 version of the VITAL packages, whether or not +; it also uses the 2000 version of the VITAL packages, must have logical library +; name ieee mapped to physical library vital1995. (A design cannot use library +; vital1995 directly because some packages in this library use logical name ieee +; when referring to the other packages in the library.) The design source +; should use logical name ieee when referring to any packages there except the +; VITAL 2000 packages. Any VITAL 2000 present in the design must use logical +; name vital2000 (mapped to physical library vital2000) to refer to those +; packages. +; ieee = $MODEL_TECH/../vital1995 +; +; For compatiblity with previous releases, logical library name vital2000 maps +; to library vital2000 (a different library than library ieee, containing the +; same packages). +; A design should not reference VITAL from both the ieee library and the +; vital2000 library because the vital packages are effectively different. +; A design that references both the ieee and vital2000 libraries must have +; both logical names ieee and vital2000 mapped to the same library, either of +; these: +; $MODEL_TECH/../ieee +; $MODEL_TECH/../vital2000 +; +;vhdl_psl_checkers = $MODEL_TECH/../vhdl_psl_checkers // Source files only for this release +;verilog_psl_checkers = $MODEL_TECH/../verilog_psl_checkers // Source files only for this release +;mvc_lib = $MODEL_TECH/../mvc_lib + + +unimacro = /software/CAD/Xilinx/14.7_64b/14.7/ISE_DS/ISE/vhdl/questasim/10.2c_5/lin64/unimacro +simprim = /software/CAD/Xilinx/14.7_64b/14.7/ISE_DS/ISE/vhdl/questasim/10.2c_5/lin64/simprim +unisim = /software/CAD/Xilinx/14.7_64b/14.7/ISE_DS/ISE/vhdl/questasim/10.2c_5/lin64/unisim +xilinxcorelib = /software/CAD/Xilinx/14.7_64b/14.7/ISE_DS/ISE/vhdl/questasim/10.2c_5/lin64/xilinxcorelib +unimacro_ver = /software/CAD/Xilinx/14.7_64b/14.7/ISE_DS/ISE/verilog/questasim/10.2c_5/lin64/unimacro_ver +simprims_ver = /software/CAD/Xilinx/14.7_64b/14.7/ISE_DS/ISE/verilog/questasim/10.2c_5/lin64/simprims_ver +unisims_ver = /software/CAD/Xilinx/14.7_64b/14.7/ISE_DS/ISE/verilog/questasim/10.2c_5/lin64/unisims_ver +xilinxcorelib_ver = /software/CAD/Xilinx/14.7_64b/14.7/ISE_DS/ISE/verilog/questasim/10.2c_5/lin64/xilinxcorelib_ver +secureip = /software/CAD/Xilinx/14.7_64b/14.7/ISE_DS/ISE/verilog/questasim/10.2c_5/lin64/secureip + +[DefineOptionset] +; Define optionset entries for the various compilers, vmake, and vsim. +; These option sets can be used with the "-optionset <optionsetname>" syntax. +; i.e. +; vlog -optionset COMPILEDEBUG top.sv +; vsim -optionset UVMDEBUG my_top +; +; Following are some useful examples. + +; define a vsim optionset for uvm debugging +UVMDEBUG = -uvmcontrol=all -msgmode both -displaymsgmode both -classdebug -onfinish stop + +; define a vopt optionset for debugging +VOPTDEBUG = +acc -debugdb + + +[vcom] +; VHDL93 variable selects language version as the default. +; Default is VHDL-2002. +; Value of 0 or 1987 for VHDL-1987. +; Value of 1 or 1993 for VHDL-1993. +; Default or value of 2 or 2002 for VHDL-2002. +; Value of 3 or 2008 for VHDL-2008 +VHDL93 = 2002 + +; Ignore VHDL-2008 declaration of REAL_VECTOR in package STANDARD. Default is off. +; ignoreStandardRealVector = 1 + +; Show source line containing error. Default is off. +; Show_source = 1 + +; Turn off unbound-component warnings. Default is on. +; Show_Warning1 = 0 + +; Turn off process-without-a-wait-statement warnings. Default is on. +; Show_Warning2 = 0 + +; Turn off null-range warnings. Default is on. +; Show_Warning3 = 0 + +; Turn off no-space-in-time-literal warnings. Default is on. +; Show_Warning4 = 0 + +; Turn off multiple-drivers-on-unresolved-signal warnings. Default is on. +; Show_Warning5 = 0 + +; Turn off optimization for IEEE std_logic_1164 package. Default is on. +; Optimize_1164 = 0 + +; Turn on resolving of ambiguous function overloading in favor of the +; "explicit" function declaration (not the one automatically created by +; the compiler for each type declaration). Default is off. +; The .ini file has Explicit enabled so that std_logic_signed/unsigned +; will match the behavior of synthesis tools. +Explicit = 1 + +; Turn off acceleration of the VITAL packages. Default is to accelerate. +; NoVital = 1 + +; Turn off VITAL compliance checking. Default is checking on. +; NoVitalCheck = 1 + +; Ignore VITAL compliance checking errors. Default is to not ignore. +; IgnoreVitalErrors = 1 + +; Turn off VITAL compliance checking warnings. Default is to show warnings. +; Show_VitalChecksWarnings = 0 + +; Turn off PSL assertion warning messages. Default is to show warnings. +; Show_PslChecksWarnings = 0 + +; Enable parsing of embedded PSL assertions. Default is enabled. +; EmbeddedPsl = 0 + +; Keep silent about case statement static warnings. +; Default is to give a warning. +; NoCaseStaticError = 1 + +; Keep silent about warnings caused by aggregates that are not locally static. +; Default is to give a warning. +; NoOthersStaticError = 1 + +; Treat as errors: +; case statement static warnings +; warnings caused by aggregates that are not locally static +; Overrides NoCaseStaticError, NoOthersStaticError settings. +; PedanticErrors = 1 + +; Turn off inclusion of debugging info within design units. +; Default is to include debugging info. +; NoDebug = 1 + +; Turn off "Loading..." messages. Default is messages on. +; Quiet = 1 + +; Turn on some limited synthesis rule compliance checking. Checks only: +; -- signals used (read) by a process must be in the sensitivity list +; CheckSynthesis = 1 + +; Activate optimizations on expressions that do not involve signals, +; waits, or function/procedure/task invocations. Default is off. +; ScalarOpts = 1 + +; Turns on lint-style checking. +; Show_Lint = 1 + +; Require the user to specify a configuration for all bindings, +; and do not generate a compile time default binding for the +; component. This will result in an elaboration error of +; 'component not bound' if the user fails to do so. Avoids the rare +; issue of a false dependency upon the unused default binding. +; RequireConfigForAllDefaultBinding = 1 + +; Perform default binding at compile time. +; Default is to do default binding at load time. +; BindAtCompile = 1; + +; Inhibit range checking on subscripts of arrays. Range checking on +; scalars defined with subtypes is inhibited by default. +; NoIndexCheck = 1 + +; Inhibit range checks on all (implicit and explicit) assignments to +; scalar objects defined with subtypes. +; NoRangeCheck = 1 + +; Set the prefix to be honored for synthesis/coverage pragma recognition. +; Default is "". +; AddPragmaPrefix = "" + +; Ignore synthesis and coverage pragmas with this prefix. +; Default is "". +; IgnorePragmaPrefix = "" + +; Turn on code coverage in VHDL design units. Default is off. +; Coverage = sbceft + +; Turn off code coverage in VHDL subprograms. Default is on. +; CoverSub = 0 + +; Automatically exclude VHDL case statement OTHERS choice branches. +; This includes OTHERS choices in selected signal assigment statements. +; Default is to not exclude. +; CoverExcludeDefault = 1 + +; Control compiler and VOPT optimizations that are allowed when +; code coverage is on. Refer to the comment for this in the [vlog] area. +; CoverOpt = 3 + +; Turn on or off clkOpt optimization for code coverage. Default is on. +; CoverClkOpt = 1 + +; Turn on or off clkOpt optimization builtins for code coverage. Default is on. +; CoverClkOptBuiltins = 0 + +; Inform code coverage optimizations to respect VHDL 'H' and 'L' +; values on signals in conditions and expressions, and to not automatically +; convert them to '1' and '0'. Default is to not convert. +; CoverRespectHandL = 0 + +; Increase or decrease the maximum number of rows allowed in a UDP table +; implementing a VHDL condition coverage or expression coverage expression. +; More rows leads to a longer compile time, but more expressions covered. +; CoverMaxUDPRows = 192 + +; Increase or decrease the maximum number of input patterns that are present +; in FEC table. This leads to a longer compile time with more expressions +; covered with FEC metric. +; CoverMaxFECRows = 192 + +; Increase or decrease the limit on the size of expressions and conditions +; considered for expression and condition coverages. Higher FecUdpEffort leads +; to higher compile, optimize and simulation time, but more expressions and +; conditions are considered for coverage in the design. FecUdpEffort can +; be set to a number ranging from 1 (low) to 3 (high), defined as: +; 1 - (low) Only small expressions and conditions considered for coverage. +; 2 - (medium) Bigger expressions and conditions considered for coverage. +; 3 - (high) Very large expressions and conditions considered for coverage. +; The default setting is 1 (low). +; FecUdpEffort = 1 + +; Enable or disable Focused Expression Coverage analysis for conditions and +; expressions. Focused Expression Coverage data is provided by default when +; expression and/or condition coverage is active. +; CoverFEC = 0 + +; Enable or disable UDP Coverage analysis for conditions and expressions. +; UDP Coverage data is disabled by default when expression and/or condition +; coverage is active. +; CoverUDP = 1 + +; Enable or disable bit-blasting multi-bit operands of reduction prefix expressions +; for expression/condition coverage. +; CoverExpandReductionPrefix = 1 + +; Enable or disable short circuit evaluation of conditions and expressions when +; condition or expression coverage is active. Short circuit evaluation is enabled +; by default. +; CoverShortCircuit = 0 + +; Enable code coverage reporting of code that has been optimized away. +; The default is not to report. +; CoverReportCancelled = 1 + +; Enable deglitching of code coverage in combinatorial, non-clocked, processes. +; Default is no deglitching. +; CoverDeglitchOn = 1 + +; Control the code coverage deglitching period. A period of 0, eliminates delta +; cycle glitches. The value of CoverDeglitchPeriod needs to be either be 0 or a +; time string that includes time units. Examples: 0 or 10.0ps or "10.0 ps". +; CoverDeglitchPeriod = 0 + +; Use this directory for compiler temporary files instead of "work/_temp" +; CompilerTempDir = /tmp + +; Set this to cause the compilers to force data to be committed to disk +; when the files are closed. +; SyncCompilerFiles = 1 + +; Add VHDL-AMS declarations to package STANDARD +; Default is not to add +; AmsStandard = 1 + +; Range and length checking will be performed on array indices and discrete +; ranges, and when violations are found within subprograms, errors will be +; reported. Default is to issue warnings for violations, because subprograms +; may not be invoked. +; NoDeferSubpgmCheck = 0 + +; Turn ON detection of FSMs having single bit current state variable. +; FsmSingle = 1 + +; Turn off reset state transitions in FSM. +; FsmResetTrans = 0 + +; Turn ON detection of FSM Implicit Transitions. +; FsmImplicitTrans = 1 + +; Controls whether or not to show immediate assertions with constant expressions +; in GUI/report/UCDB etc. By default, immediate assertions with constant +; expressions are shown in GUI/report/UCDB etc. This does not affect +; evaluation of immediate assertions. +; ShowConstantImmediateAsserts = 0 + +; Controls how VHDL basic identifiers are stored with the design unit. +; Does not make the language case-sensitive, affects only how declarations +; declared with basic identifiers have their names stored and printed +; (in the GUI, examine, etc.). +; Default is to preserve the case as originally depicted in the VHDL source. +; Value of 0 indicates to change all basic identifiers to lower case. +; PreserveCase = 0 + +; For Configuration Declarations, controls the effect that USE clauses have +; on visibility inside the configuration items being configured. If 1 +; (the default), then use pre-10.0 behavior. If 0, then for stricter LRM-compliance, +; extend the visibility of objects made visible through USE clauses into nested +; component configurations. +; OldVHDLConfigurationVisibility = 0 + +; Allows VHDL configuration declarations to be in a different library from +; the corresponding configured entity. Default is to not allow this for +; stricter LRM-compliance. +; SeparateConfigLibrary = 1; + +; Determine how mode OUT subprogram parameters of type array and record are treated. +; If 0 (the default), then only VHDL 2008 will do this initialization. +; If 1, always initialize the mode OUT parameter to its default value. +; If 2, do not initialize the mode OUT out parameter. +; Note that prior to release 10.1, all language versions did not initialize mode +; OUT array and record type parameters, unless overridden here via this mechanism. +; In release 10.1 and later, only files compiled with VHDL 2008 will cause this +; initialization, unless overridden here. +; InitOutCompositeParam = 0 + +; Generate symbols debugging database in only some special cases to save on +; the number of files in the library. For other design-units, this database is +; generated on-demand in vsim. +; Default is to to generate debugging database for all design-units. +; SmartDbgSym = 1 + +[vlog] +; Turn off inclusion of debugging info within design units. +; Default is to include debugging info. +; NoDebug = 1 + +; Turn on `protect compiler directive processing. +; Default is to ignore `protect directives. +; Protect = 1 + +; Turn off "Loading..." messages. Default is messages on. +; Quiet = 1 + +; Turn on Verilog hazard checking (order-dependent accessing of global vars). +; Default is off. +; Hazard = 1 + +; Turn on converting regular Verilog identifiers to uppercase. Allows case +; insensitivity for module names. Default is no conversion. +; UpCase = 1 + +; Activate optimizations on expressions that do not involve signals, +; waits, or function/procedure/task invocations. Default is off. +; ScalarOpts = 1 + +; Turns on lint-style checking. +; Show_Lint = 1 + +; Show source line containing error. Default is off. +; Show_source = 1 + +; Turn on bad option warning. Default is off. +; Show_BadOptionWarning = 1 + +; Revert back to IEEE 1364-1995 syntax, default is 0 (off). +; vlog95compat = 1 + +; Turn off PSL warning messages. Default is to show warnings. +; Show_PslChecksWarnings = 0 + +; Enable parsing of embedded PSL assertions. Default is enabled. +; EmbeddedPsl = 0 + +; Set the threshold for automatically identifying sparse Verilog memories. +; A memory with depth equal to or more than the sparse memory threshold gets +; marked as sparse automatically, unless specified otherwise in source code +; or by +nosparse commandline option of vlog or vopt. +; The default is 1M. (i.e. memories with depth equal +; to or greater than 1M are marked as sparse) +; SparseMemThreshold = 1048576 + +; Set the prefix to be honored for synthesis and coverage pragma recognition. +; Default is "". +; AddPragmaPrefix = "" + +; Ignore synthesis and coverage pragmas with this prefix. +; Default is "". +; IgnorePragmaPrefix = "" + +; Set the option to treat all files specified in a vlog invocation as a +; single compilation unit. The default value is set to 0 which will treat +; each file as a separate compilation unit as specified in the P1800 draft standard. +; MultiFileCompilationUnit = 1 + +; Turn on code coverage in Verilog design units. Default is off. +; Coverage = sbceft + +; Automatically exclude Verilog case statement default branches. +; Default is to not automatically exclude defaults. +; CoverExcludeDefault = 1 + +; Increase or decrease the maximum number of rows allowed in a UDP table +; implementing a VHDL condition coverage or expression coverage expression. +; More rows leads to a longer compile time, but more expressions covered. +; CoverMaxUDPRows = 192 + +; Increase or decrease the maximum number of input patterns that are present +; in FEC table. This leads to a longer compile time with more expressions +; covered with FEC metric. +; CoverMaxFECRows = 192 + +; Increase or decrease the limit on the size of expressions and conditions +; considered for expression and condition coverages. Higher FecUdpEffort leads +; to higher compile, optimize and simulation time, but more expressions and +; conditions are considered for coverage in the design. FecUdpEffort can +; be set to a number ranging from 1 (low) to 3 (high), defined as: +; 1 - (low) Only small expressions and conditions considered for coverage. +; 2 - (medium) Bigger expressions and conditions considered for coverage. +; 3 - (high) Very large expressions and conditions considered for coverage. +; The default setting is 1 (low). +; FecUdpEffort = 1 + +; Enable or disable Focused Expression Coverage analysis for conditions and +; expressions. Focused Expression Coverage data is provided by default when +; expression and/or condition coverage is active. +; CoverFEC = 0 + +; Enable or disable UDP Coverage analysis for conditions and expressions. +; UDP Coverage data is disabled by default when expression and/or condition +; coverage is active. +; CoverUDP = 1 + +; Enable or disable bit-blasting multi-bit operands of reduction prefix expressions +; for expression/condition coverage. +; CoverExpandReductionPrefix = 1 + +; Enable or disable short circuit evaluation of conditions and expressions when +; condition or expression coverage is active. Short circuit evaluation is enabled +; by default. +; CoverShortCircuit = 0 + +; Enable deglitching of code coverage in combinatorial, non-clocked, processes. +; Default is no deglitching. +; CoverDeglitchOn = 1 + +; Control the code coverage deglitching period. A period of 0, eliminates delta +; cycle glitches. The value of CoverDeglitchPeriod needs to be either be 0 or a +; time string that includes time units. Examples: 0 or 10.0ps or "10.0 ps". +; CoverDeglitchPeriod = 0 + +; Turn on code coverage in VLOG `celldefine modules, modules containing +; specify blocks, and modules included using vlog -v and -y. Default is off. +; CoverCells = 1 + +; Enable code coverage reporting of code that has been optimized away. +; The default is not to report. +; CoverReportCancelled = 1 + +; Control compiler and VOPT optimizations that are allowed when +; code coverage is on. This is a number from 0 to 5, with the following +; meanings (the default is 3): +; 5 -- All allowable optimizations are on. +; 4 -- Turn off removing unreferenced code. +; 3 -- Turn off process, always block and if statement merging. +; 2 -- Turn off expression optimization, converting primitives +; to continuous assignments, VHDL subprogram inlining. +; and VHDL clkOpt (converting FF's to builtins). +; 1 -- Turn off continuous assignment optimizations and clock suppression. +; 0 -- Turn off Verilog module inlining and VHDL arch inlining. +; HOWEVER, if fsm coverage is turned on, optimizations will be forced to +; level 3, with also turning off converting primitives to continuous assigns. +; CoverOpt = 3 + +; Specify the override for the default value of "cross_num_print_missing" +; option for the Cross in Covergroups. If not specified then LRM default +; value of 0 (zero) is used. This is a compile time option. +; SVCrossNumPrintMissingDefault = 0 + +; Setting following to 1 would cause creation of variables which +; would represent the value of Coverpoint expressions. This is used +; in conjunction with "SVCoverpointExprVariablePrefix" option +; in the modelsim.ini +; EnableSVCoverpointExprVariable = 0 + +; Specify the override for the prefix used in forming the variable names +; which represent the Coverpoint expressions. This is used in conjunction with +; "EnableSVCoverpointExprVariable" option of the modelsim.ini +; The default prefix is "expr". +; The variable name is +; variable name => <prefix>_<coverpoint name> +; SVCoverpointExprVariablePrefix = expr + +; Override for the default value of the SystemVerilog covergroup, +; coverpoint, and cross option.goal (defined to be 100 in the LRM). +; NOTE: It does not override specific assignments in SystemVerilog +; source code. NOTE: The modelsim.ini variable "SVCovergroupGoal" +; in the [vsim] section can override this value. +; SVCovergroupGoalDefault = 100 + +; Override for the default value of the SystemVerilog covergroup, +; coverpoint, and cross type_option.goal (defined to be 100 in the LRM) +; NOTE: It does not override specific assignments in SystemVerilog +; source code. NOTE: The modelsim.ini variable "SVCovergroupTypeGoal" +; in the [vsim] section can override this value. +; SVCovergroupTypeGoalDefault = 100 + +; Specify the override for the default value of "strobe" option for the +; Covergroup Type. This is a compile time option which forces "strobe" to +; a user specified default value and supersedes SystemVerilog specified +; default value of '0'(zero). NOTE: This can be overriden by a runtime +; modelsim.ini variable "SVCovergroupStrobe" in the [vsim] section. +; SVCovergroupStrobeDefault = 0 + +; Specify the override for the default value of "per_instance" option for the +; Covergroup variables. This is a compile time option which forces "per_instance" +; to a user specified default value and supersedes SystemVerilog specified +; default value of '0'(zero). +; SVCovergroupPerInstanceDefault = 0 + +; Specify the override for the default value of "get_inst_coverage" option for the +; Covergroup variables. This is a compile time option which forces +; "get_inst_coverage" to a user specified default value and supersedes +; SystemVerilog specified default value of '0'(zero). +; SVCovergroupGetInstCoverageDefault = 0 + +; +; A space separated list of resource libraries that contain precompiled +; packages. The behavior is identical to using the "-L" switch. +; +; LibrarySearchPath = <path/lib> [<path/lib> ...] +LibrarySearchPath = mtiAvm mtiOvm mtiUvm mtiUPF + +; The behavior is identical to the "-mixedansiports" switch. Default is off. +; MixedAnsiPorts = 1 + +; Enable SystemVerilog 3.1a $typeof() function. Default is off. +; EnableTypeOf = 1 + +; Only allow lower case pragmas. Default is disabled. +; AcceptLowerCasePragmaOnly = 1 + +; Set the maximum depth permitted for a recursive include file nesting. +; IncludeRecursionDepthMax = 5 + +; Turn ON detection of FSMs having single bit current state variable. +; FsmSingle = 1 + +; Turn off reset state transitions in FSM. +; FsmResetTrans = 0 + +; Turn off detections of FSMs having x-assignment. +; FsmXAssign = 0 + +; Turn ON detection of FSM Implicit Transitions. +; FsmImplicitTrans = 1 + +; List of file suffixes which will be read as SystemVerilog. White space +; in extensions can be specified with a back-slash: "\ ". Back-slashes +; can be specified with two consecutive back-slashes: "\\"; +; SVFileExtensions = sv svp svh + +; This setting is the same as the vlog -sv command line switch. +; Enables SystemVerilog features and keywords when true (1). +; When false (0), the rules of IEEE Std 1364-2001 are followed and +; SystemVerilog keywords are ignored. +; Svlog = 0 + +; Prints attribute placed upon SV packages during package import +; when true (1). The attribute will be ignored when this +; entry is false (0). The attribute name is "package_load_message". +; The value of this attribute is a string literal. +; Default is true (1). +; PrintSVPackageLoadingAttribute = 1 + +; Do not show immediate assertions with constant expressions in +; GUI/reports/UCDB etc. By default immediate assertions with constant +; expressions are shown in GUI/reports/UCDB etc. This does not affect +; evaluation of immediate assertions. +; ShowConstantImmediateAsserts = 0 + +; Controls if untyped parameters that are initialized with values greater +; than 2147483647 are mapped to generics of type INTEGER or ignored. +; If mapped to VHDL Integers, values greater than 2147483647 +; are mapped to negative values. +; Default is to map these parameter to generic of type INTEGER +; ForceUnsignedToVHDLInteger = 1 + +; Enable AMS wreal (wired real) extensions. Default is 0. +; WrealType = 1 + +; Controls SystemVerilog Language Extensions. These options enable +; some non-LRM compliant behavior. Valid extensions are "feci", +; "pae", "uslt", "spsl", "sccts", "iddp" and "atpi". +; SVExtensions = uslt,spsl,sccts + +; Generate symbols debugging database in only some special cases to save on +; the number of files in the library. For other design-units, this database is +; generated on-demand in vsim. +; Default is to to generate debugging database for all design-units. +; SmartDbgSym = 1 + +[sccom] +; Enable use of SCV include files and library. Default is off. +; UseScv = 1 + +; Add C++ compiler options to the sccom command line by using this variable. +; CppOptions = -g + +; Use custom C++ compiler located at this path rather than the default path. +; The path should point directly at a compiler executable. +; CppPath = /usr/bin/g++ + +; Enable verbose messages from sccom. Default is off. +; SccomVerbose = 1 + +; sccom logfile. Default is no logfile. +; SccomLogfile = sccom.log + +; Enable use of SC_MS include files and library. Default is off. +; UseScMs = 1 + +[vopt] +; Turn on code coverage in vopt. Default is off. +; Coverage = sbceft + +; Control compiler optimizations that are allowed when +; code coverage is on. Refer to the comment for this in the [vlog] area. +; CoverOpt = 3 + +; Increase or decrease the maximum number of rows allowed in a UDP table +; implementing a VHDL condition coverage or expression coverage expression. +; More rows leads to a longer compile time, but more expressions covered. +; CoverMaxUDPRows = 192 + +; Increase or decrease the maximum number of input patterns that are present +; in FEC table. This leads to a longer compile time with more expressions +; covered with FEC metric. +; CoverMaxFECRows = 192 + +; Increase or decrease the limit on the size of expressions and conditions +; considered for expression and condition coverages. Higher FecUdpEffort leads +; to higher compile, optimize and simulation time, but more expressions and +; conditions are considered for coverage in the design. FecUdpEffort can +; be set to a number ranging from 1 (low) to 3 (high), defined as: +; 1 - (low) Only small expressions and conditions considered for coverage. +; 2 - (medium) Bigger expressions and conditions considered for coverage. +; 3 - (high) Very large expressions and conditions considered for coverage. +; The default setting is 1 (low). +; FecUdpEffort = 1 + +; Enable code coverage reporting of code that has been optimized away. +; The default is not to report. +; CoverReportCancelled = 1 + +; Enable deglitching of code coverage in combinatorial, non-clocked, processes. +; Default is no deglitching. +; CoverDeglitchOn = 1 + +; Control the code coverage deglitching period. A period of 0, eliminates delta +; cycle glitches. The value of CoverDeglitchPeriod needs to be either be 0 or a +; time string that includes time units. Examples: 0 or 10.0ps or "10.0 ps". +; CoverDeglitchPeriod = 0 + +; Do not show immediate assertions with constant expressions in +; GUI/reports/UCDB etc. By default immediate assertions with constant +; expressions are shown in GUI/reports/UCDB etc. This does not affect +; evaluation of immediate assertions. +; ShowConstantImmediateAsserts = 0 + +; Set the maximum number of iterations permitted for a generate loop. +; Restricting this permits the implementation to recognize infinite +; generate loops. +; GenerateLoopIterationMax = 100000 + +; Set the maximum depth permitted for a recursive generate instantiation. +; Restricting this permits the implementation to recognize infinite +; recursions. +; GenerateRecursionDepthMax = 200 + +; Set the number of processes created during the code generation phase. +; By default a heuristic is used to set this value. This may be set to 0 +; to disable this feature completely. +; ParallelJobs = 0 + +; Controls SystemVerilog Language Extensions. These options enable +; some non-LRM compliant behavior. Valid extensions are "feci", +; "pae", "uslt", "spsl" and "sccts". +; SVExtensions = uslt,spsl,sccts + +[vsim] +; vopt flow +; Set to turn on automatic optimization of a design. +; Default is on +VoptFlow = 1 + +; Simulator resolution +; Set to fs, ps, ns, us, ms, or sec with optional prefix of 1, 10, or 100. +Resolution = ns + +; Disable certain code coverage exclusions automatically. +; Assertions and FSM are exluded from the code coverage by default +; Set AutoExclusionsDisable = fsm to enable code coverage for fsm +; Set AutoExclusionsDisable = assertions to enable code coverage for assertions +; Set AutoExclusionsDisable = all to enable code coverage for all the automatic exclusions +; Or specify comma or space separated list +;AutoExclusionsDisable = fsm,assertions + +; User time unit for run commands +; Set to default, fs, ps, ns, us, ms, or sec. The default is to use the +; unit specified for Resolution. For example, if Resolution is 100ps, +; then UserTimeUnit defaults to ps. +; Should generally be set to default. +UserTimeUnit = default + +; Default run length +RunLength = 100 + +; Maximum iterations that can be run without advancing simulation time +IterationLimit = 20000 + +; Set XPROP assertion fail limit. Default is 5. +; Any positive integer, -1 for infinity. +; XpropAssertionLimit = 5 + +; Control PSL and Verilog Assume directives during simulation +; Set SimulateAssumeDirectives = 0 to disable assume being simulated as asserts +; Set SimulateAssumeDirectives = 1 to enable assume simulation as asserts +; SimulateAssumeDirectives = 1 + +; Control the simulation of PSL and SVA +; These switches can be overridden by the vsim command line switches: +; -psl, -nopsl, -sva, -nosva. +; Set SimulatePSL = 0 to disable PSL simulation +; Set SimulatePSL = 1 to enable PSL simulation (default) +; SimulatePSL = 1 +; Set SimulateSVA = 0 to disable SVA simulation +; Set SimulateSVA = 1 to enable concurrent SVA simulation (default) +; SimulateSVA = 1 + +; Control SVA and VHDL immediate assertion directives during simulation +; Set SimulateImmedAsserts = 0 to disable simulation of immediate asserts +; Set SimulateImmedAsserts = 1 to enable simulation of immediate asserts +; SimulateImmedAsserts = 1 + +; Directives to license manager can be set either as single value or as +; space separated multi-values: +; vhdl Immediately reserve a VHDL license +; vlog Immediately reserve a Verilog license +; plus Immediately reserve a VHDL and Verilog license +; noqueue Do not wait in the license queue when a license is not available +; viewsim Try for viewer license but accept simulator license(s) instead +; of queuing for viewer license (PE ONLY) +; noviewer Disable checkout of msimviewer and vsim-viewer license +; features (PE ONLY) +; noslvhdl Disable checkout of qhsimvh and vsim license features +; noslvlog Disable checkout of qhsimvl and vsimvlog license features +; nomix Disable checkout of msimhdlmix and hdlmix license features +; nolnl Disable checkout of msimhdlsim and hdlsim license features +; mixedonly Disable checkout of qhsimvh,qhsimvl,vsim,vsimvlog license +; features +; lnlonly Disable checkout of qhsimvh,qhsimvl,vsim,vsimvlog,msimhdlmix, +; hdlmix license features +; Single value: +; License = plus +; Multi-value: +; License = noqueue plus + +; Severity level of a VHDL assertion message or of a SystemVerilog immediate assertion +; which will cause a running simulation to stop. +; VHDL assertions and SystemVerilog immediate assertions that occur with the +; given severity or higher will cause a running simulation to stop. +; This value is ignored during elaboration. +; 0 = Note 1 = Warning 2 = Error 3 = Failure 4 = Fatal +BreakOnAssertion = 3 + +; The class debug feature enables more visibility and tracking of class instances +; during simulation. By default this feature is 0 (disabled). To enable this +; feature set ClassDebug to 1. +; ClassDebug = 1 + +; Message Format conversion specifications: +; %S - Severity Level of message/assertion +; %R - Text of message +; %T - Time of message +; %D - Delta value (iteration number) of Time +; %K - Kind of path: Instance/Region/Signal/Process/Foreign Process/Unknown/Protected +; %i - Instance/Region/Signal pathname with Process name (if available) +; %I - shorthand for one of these: +; " %K: %i" +; " %K: %i File: %F" (when path is not Process or Signal) +; except that the %i in this case does not report the Process name +; %O - Process name +; %P - Instance/Region path without leaf process +; %F - File name +; %L - Line number; if assertion message, then line number of assertion or, if +; assertion is in a subprogram, line from which the call is made +; %u - Design unit name in form library.primary +; %U - Design unit name in form library.primary(secondary) +; %% - The '%' character itself +; +; If specific format for Severity Level is defined, use that format. +; Else, for a message that occurs during elaboration: +; -- Failure/Fatal message in VHDL region that is not a Process, and in +; certain non-VHDL regions, uses MessageFormatBreakLine; +; -- Failure/Fatal message otherwise uses MessageFormatBreak; +; -- Note/Warning/Error message uses MessageFormat. +; Else, for a message that occurs during runtime and triggers a breakpoint because +; of the BreakOnAssertion setting: +; -- if in a VHDL region that is not a Process, uses MessageFormatBreakLine; +; -- otherwise uses MessageFormatBreak. +; Else (a runtime message that does not trigger a breakpoint) uses MessageFormat. +; +; MessageFormatNote = "** %S: %R\n Time: %T Iteration: %D%I\n" +; MessageFormatWarning = "** %S: %R\n Time: %T Iteration: %D%I\n" +; MessageFormatError = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F\n" +; MessageFormatFail = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F\n" +; MessageFormatFatal = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F\n" +; MessageFormatBreakLine = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F Line: %L\n" +; MessageFormatBreak = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F\n" +; MessageFormat = "** %S: %R\n Time: %T Iteration: %D%I\n" + +; Error File - alternate file for storing error messages +; ErrorFile = error.log + +; Simulation Breakpoint messages +; This flag controls the display of function names when reporting the location +; where the simulator stops because of a breakpoint or fatal error. +; Example with function name: # Break in Process ctr at counter.vhd line 44 +; Example without function name: # Break at counter.vhd line 44 +; Default value is 1. +ShowFunctions = 1 + +; Default radix for all windows and commands. +; Radix may be one of: symbolic, ascii, binary, octal, decimal, hex, unsigned +; Flags may be one of: enumnumeric, showbase +DefaultRadix = hexadecimal +DefaultRadixFlags = showbase +; Set to 1 for make the signal_force VHDL and Verilog functions use the +; default radix when processing the force value. Prior to 10.2 signal_force +; used the default radix, now it always uses symbolic unless value explicitly indicates base +;SignalForceFunctionUseDefaultRadix = 0 + +; VSIM Startup command +; Startup = do startup.do + +; VSIM Shutdown file +; Filename to save u/i formats and configurations. +; ShutdownFile = restart.do +; To explicitly disable auto save: +; ShutdownFile = --disable-auto-save + +; File for saving command transcript +TranscriptFile = transcript + +; File for saving command history +; CommandHistory = cmdhist.log + +; Specify whether paths in simulator commands should be described +; in VHDL or Verilog format. +; For VHDL, PathSeparator = / +; For Verilog, PathSeparator = . +; Must not be the same character as DatasetSeparator. +PathSeparator = / + +; Specify the dataset separator for fully rooted contexts. +; The default is ':'. For example: sim:/top +; Must not be the same character as PathSeparator. +DatasetSeparator = : + +; Specify a unique path separator for the Signal Spy set of functions. +; The default will be to use the PathSeparator variable. +; Must not be the same character as DatasetSeparator. +; SignalSpyPathSeparator = / + +; Used to control parsing of HDL identifiers input to the tool. +; This includes CLI commands, vsim/vopt/vlog/vcom options, +; string arguments to FLI/VPI/DPI calls, etc. +; If set to 1, accept either Verilog escaped Id syntax or +; VHDL extended id syntax, regardless of source language. +; If set to 0, the syntax of the source language must be used. +; Each identifier in a hierarchical name may need different syntax, +; e.g. "/top/\vhdl*ext*id\/middle/\vlog*ext*id /bottom" or +; "top.\vhdl*ext*id\.middle.\vlog*ext*id .bottom" +; GenerousIdentifierParsing = 1 + +; Disable VHDL assertion messages +; IgnoreNote = 1 +; IgnoreWarning = 1 +; IgnoreError = 1 +; IgnoreFailure = 1 + +; Disable SystemVerilog assertion messages +; IgnoreSVAInfo = 1 +; IgnoreSVAWarning = 1 +; IgnoreSVAError = 1 +; IgnoreSVAFatal = 1 + +; Do not print any additional information from Severity System tasks. +; Only the message provided by the user is printed along with severity +; information. +; SVAPrintOnlyUserMessage = 1; + +; Default force kind. May be freeze, drive, deposit, or default +; or in other terms, fixed, wired, or charged. +; A value of "default" will use the signal kind to determine the +; force kind, drive for resolved signals, freeze for unresolved signals +; DefaultForceKind = freeze + +; Control the iteration of events when a VHDL signal is forced to a value +; This flag can be set to honour the signal update event in next iteration, +; the default is to update and propagate in the same iteration. +; ForceSigNextIter = 1 + + +; If zero, open files when elaborated; otherwise, open files on +; first read or write. Default is 0. +; DelayFileOpen = 1 + +; Control VHDL files opened for write. +; 0 = Buffered, 1 = Unbuffered +UnbufferedOutput = 0 + +; Control the number of VHDL files open concurrently. +; This number should always be less than the current ulimit +; setting for max file descriptors. +; 0 = unlimited +ConcurrentFileLimit = 40 + +; Control the number of hierarchical regions displayed as +; part of a signal name shown in the Wave window. +; A value of zero tells VSIM to display the full name. +; The default is 0. +; WaveSignalNameWidth = 0 + +; Turn off warnings when changing VHDL constants and generics +; Default is 1 to generate warning messages +; WarnConstantChange = 0 + +; Turn off warnings from accelerated versions of the std_logic_arith, +; std_logic_unsigned, and std_logic_signed packages. +; StdArithNoWarnings = 1 + +; Turn off warnings from accelerated versions of the IEEE numeric_std +; and numeric_bit packages. +; NumericStdNoWarnings = 1 + +; Use old-style (pre-6.6) VHDL FOR generate statement iteration names +; in the design hierarchy. +; This style is controlled by the value of the GenerateFormat +; value described next. Default is to use new-style names, which +; comprise the generate statement label, '(', the value of the generate +; parameter, and a closing ')'. +; Uncomment this to use old-style names. +; OldVhdlForGenNames = 1 + +; Control the format of the old-style VHDL FOR generate statement region +; name for each iteration. Do not quote it. +; The format string here must contain the conversion codes %s and %d, +; in that order, and no other conversion codes. The %s represents +; the generate statement label; the %d represents the generate parameter value +; at a particular iteration (this is the position number if the generate parameter +; is of an enumeration type). Embedded whitespace is allowed (but discouraged); +; leading and trailing whitespace is ignored. +; Application of the format must result in a unique region name over all +; loop iterations for a particular immediately enclosing scope so that name +; lookup can function properly. The default is %s__%d. +; GenerateFormat = %s__%d + +; Enable changes in VHDL elaboration to allow for Variable Logging +; This trades off simulation performance for the ability to log variables +; efficiently. By default this is disable for maximum simulation performance +; VhdlVariableLogging = 1 + +; Make VHDL packages in PDUs have there own copy of a package instead +; of sharing the package between PDUs. By default share packages +; VhdlSeparatePduPackage = 0 + +; Specify whether checkpoint files should be compressed. +; The default is 1 (compressed). +; CheckpointCompressMode = 0 + +; Specify gcc compiler used in the compilation of automatically generated DPI exportwrapper. +; Use custom gcc compiler located at this path rather than the default path. +; The path should point directly at a compiler executable. +; DpiCppPath = <your-gcc-installation>/bin/gcc + +; Specify whether to enable SystemVerilog DPI "out-of-the-blue" calls. +; The term "out-of-the-blue" refers to SystemVerilog export function calls +; made from C functions that don't have the proper context setup +; (as is the case when running under "DPI-C" import functions). +; When this is enabled, one can call a DPI export function +; (but not task) from any C code. +; the setting of this variable can be one of the following values: +; 0 : dpioutoftheblue call is disabled (default) +; 1 : dpioutoftheblue call is enabled, but export call debug support is not available. +; 2 : dpioutoftheblue call is enabled, and limited export call debug support is available. +; DpiOutOfTheBlue = 1 + +; Specify whether continuous assignments are run before other normal priority +; processes scheduled in the same iteration. This event ordering minimizes race +; differences between optimized and non-optimized designs, and is the default +; behavior beginning with the 6.5 release. For pre-6.5 event ordering, set +; ImmediateContinuousAssign to 0. +; The default is 1 (enabled). +; ImmediateContinuousAssign = 0 + +; List of dynamically loaded objects for Verilog PLI applications +; Veriuser = veriuser.sl + +; Which default VPI object model should the tool conform to? +; The 1364 modes are Verilog-only, for backwards compatibility with older +; libraries, and SystemVerilog objects are not available in these modes. +; +; In the absence of a user-specified default, the tool default is the +; latest available LRM behavior. +; Options for PliCompatDefault are: +; VPI_COMPATIBILITY_VERSION_1364v1995 +; VPI_COMPATIBILITY_VERSION_1364v2001 +; VPI_COMPATIBILITY_VERSION_1364v2005 +; VPI_COMPATIBILITY_VERSION_1800v2005 +; VPI_COMPATIBILITY_VERSION_1800v2008 +; +; Synonyms for each string are also recognized: +; VPI_COMPATIBILITY_VERSION_1364v1995 (1995, 95, 1364v1995, 1364V1995, VL1995) +; VPI_COMPATIBILITY_VERSION_1364v2001 (2001, 01, 1364v2001, 1364V2001, VL2001) +; VPI_COMPATIBILITY_VERSION_1364v2005 (1364v2005, 1364V2005, VL2005) +; VPI_COMPATIBILITY_VERSION_1800v2005 (2005, 05, 1800v2005, 1800V2005, SV2005) +; VPI_COMPATIBILITY_VERSION_1800v2008 (2008, 08, 1800v2008, 1800V2008, SV2008) + + +; PliCompatDefault = VPI_COMPATIBILITY_VERSION_1800v2005 + +; Specify whether the Verilog system task $fopen or vpi_mcd_open() +; will create directories that do not exist when opening the file +; in "a" or "w" mode. +; The default is 0 (do not create non-existent directories) +; CreateDirForFileAccess = 1 + +; Specify default options for the restart command. Options can be one +; or more of: -force -nobreakpoint -nolist -nolog -nowave -noassertions +; DefaultRestartOptions = -force + + +; Specify default UVM-aware debug options if the vsim -uvmcontrol switch is not used. +; Valid options include: all, none, verbose, disable, struct, msglog, trlog, certe. +; Options can be enabled by just adding the name, or disabled by prefixing the option with a "-". +; The list of options must be delimited by commas, without spaces or tabs. +; The default is UVMControl = struct + +; Some examples +; To turn on all available UVM-aware debug features: +; UVMControl = all +; To turn on the struct window, mesage logging, and transaction logging: +; UVMControl = struct,msglog,trlog +; To turn on all options except certe: +; UVMControl = all,-certe +; To completely disable all UVM-aware debug functionality: +; UVMControl = disable + +; Specify the WildcardFilter setting. +; A space separated list of object types to be excluded when performing +; wildcard matches with log, wave, etc commands. The default value for this variable is: +; "Variable Constant Generic Parameter SpecParam Memory Assertion Cover Endpoint ScVariable CellInternal ImmediateAssert VHDLFile" +; See "Using the WildcardFilter Preference Variable" in the documentation for +; details on how to use this variable and for descriptions of the filter types. +WildcardFilter = Variable Constant Generic Parameter SpecParam Memory Assertion Cover Endpoint ScVariable CellInternal ImmediateAssert VHDLFile + +; Specify the WildcardSizeThreshold setting. +; This integer setting specifies the size at which objects will be excluded when +; performing wildcard matches with log, wave, etc commands. Objects of size equal +; to or greater than the WildcardSizeThreshold will be filtered out from the wildcard +; matches. The size is a simple calculation of number of bits or items in the object. +; The default value is 8k (8192). Setting this value to 0 will disable the checking +; of object size against this threshold and allow all objects of any size to be logged. +WildcardSizeThreshold = 8192 + +; Specify whether warning messages are output when objects are filtered out due to the +; WildcardSizeThreshold. The default is 0 (no messages generated). +WildcardSizeThresholdVerbose = 0 + +; Turn on (1) or off (0) WLF file compression. +; The default is 1 (compress WLF file). +; WLFCompress = 0 + +; Specify whether to save all design hierarchy (1) in the WLF file +; or only regions containing logged signals (0). +; The default is 0 (save only regions with logged signals). +; WLFSaveAllRegions = 1 + +; WLF file time limit. Limit WLF file by time, as closely as possible, +; to the specified amount of simulation time. When the limit is exceeded +; the earliest times get truncated from the file. +; If both time and size limits are specified the most restrictive is used. +; UserTimeUnits are used if time units are not specified. +; The default is 0 (no limit). Example: WLFTimeLimit = {100 ms} +; WLFTimeLimit = 0 + +; WLF file size limit. Limit WLF file size, as closely as possible, +; to the specified number of megabytes. If both time and size limits +; are specified then the most restrictive is used. +; The default is 0 (no limit). +; WLFSizeLimit = 1000 + +; Specify whether or not a WLF file should be deleted when the +; simulation ends. A value of 1 will cause the WLF file to be deleted. +; The default is 0 (do not delete WLF file when simulation ends). +; WLFDeleteOnQuit = 1 + +; Specify whether or not a WLF file should be optimized during +; simulation. If set to 0, the WLF file will not be optimized. +; The default is 1, optimize the WLF file. +; WLFOptimize = 0 + +; Specify the name of the WLF file. +; The default is vsim.wlf +; WLFFilename = vsim.wlf + +; Specify whether to lock the WLF file. +; Locking the file prevents other invocations of ModelSim/Questa tools from +; inadvertently overwriting the WLF file. +; The default is 1, lock the WLF file. +; WLFFileLock = 0 + +; Specify the update interval for the WLF file. +; Value is the number of seconds between updated. After at least the +; interval number of seconds, the wlf file is flushed, ensuring that the data +; is correct when viewed from a separate live viewer. Setting to 0 means no +; updating. Default is 10 seconds, which has a tiny performance impact +; WLFUpdateInterval = 10 + +; Specify the WLF reader cache size limit for each open WLF file. +; The size is giving in megabytes. A value of 0 turns off the +; WLF cache. +; WLFSimCacheSize allows a different cache size to be set for +; simulation WLF file independent of post-simulation WLF file +; viewing. If WLFSimCacheSize is not set it defaults to the +; WLFCacheSize setting. +; The default WLFCacheSize setting is enabled to 2000M per open WLF file on most +; platforms; on Windows, the setting is 1000M to help avoid filling process memory. +; WLFCacheSize = 2000 +; WLFSimCacheSize = 500 + +; Specify the WLF file event collapse mode. +; 0 = Preserve all events and event order. (same as -wlfnocollapse) +; 1 = Only record values of logged objects at the end of a simulator iteration. +; (same as -wlfcollapsedelta) +; 2 = Only record values of logged objects at the end of a simulator time step. +; (same as -wlfcollapsetime) +; The default is 1. +; WLFCollapseMode = 0 + +; Specify whether WLF file logging can use threads on multi-processor machines +; if 0, no threads will be used, if 1, threads will be used if the system has +; more than one processor +; WLFUseThreads = 1 + +; Specify the size of objects that will trigger "large object" messages +; at log/wave/list time. The size calculation of the object is the same as that +; used by the WildcardSizeThreshold. The default LargeObjectSize size is 500,000. +; Setting LargeObjectSize to 0 will disable these messages. +; LargeObjectSize = 500000 + +; Turn on/off undebuggable SystemC type warnings. Default is on. +; ShowUndebuggableScTypeWarning = 0 + +; Turn on/off unassociated SystemC name warnings. Default is off. +; ShowUnassociatedScNameWarning = 1 + +; Turn on/off SystemC IEEE 1666 deprecation warnings. Default is off. +; ScShowIeeeDeprecationWarnings = 1 + +; Turn on/off the check for multiple drivers on a SystemC sc_signal. Default is off. +; ScEnableScSignalWriteCheck = 1 + +; Set SystemC default time unit. +; Set to fs, ps, ns, us, ms, or sec with optional +; prefix of 1, 10, or 100. The default is 1 ns. +; The ScTimeUnit value is honored if it is coarser than Resolution. +; If ScTimeUnit is finer than Resolution, it is set to the value +; of Resolution. For example, if Resolution is 100ps and ScTimeUnit is ns, +; then the default time unit will be 1 ns. However if Resolution +; is 10 ns and ScTimeUnit is ns, then the default time unit will be 10 ns. +ScTimeUnit = ns + +; Set SystemC sc_main stack size. The stack size is set as an integer +; number followed by the unit which can be Kb(Kilo-byte), Mb(Mega-byte) or +; Gb(Giga-byte). Default is 10 Mb. The stack size for sc_main depends +; on the amount of data on the sc_main() stack and the memory required +; to succesfully execute the longest function call chain of sc_main(). +ScMainStackSize = 10 Mb + +; Set SystemC thread stack size. The stack size is set as an integer +; number followed by the unit which can be Kb(Kilo-byte), Mb(Mega-byte) or +; Gb(Giga-byte). The stack size for sc_thread depends +; on the amount of data on the sc_thread stack and the memory required +; to succesfully execute the thread. +; ScStackSize = 1 Mb + +; Turn on/off execution of remainder of sc_main upon quitting the current +; simulation session. If the cumulative length of sc_main() in terms of +; simulation time units is less than the length of the current simulation +; run upon quit or restart, sc_main() will be in the middle of execution. +; This switch gives the option to execute the remainder of sc_main upon +; quitting simulation. The drawback of not running sc_main till the end +; is memory leaks for objects created by sc_main. If on, the remainder of +; sc_main will be executed ignoring all delays. This may cause the simulator +; to crash if the code in sc_main is dependent on some simulation state. +; Default is on. +ScMainFinishOnQuit = 1 + +; Set the SCV relationship name that will be used to identify phase +; relations. If the name given to a transactor relation matches this +; name, the transactions involved will be treated as phase transactions +ScvPhaseRelationName = mti_phase + +; Customize the vsim kernel shutdown behavior at the end of the simulation. +; Some common causes of the end of simulation are $finish (implicit or explicit), +; sc_stop(), tf_dofinish(), and assertion failures. +; This should be set to "ask", "exit", or "stop". The default is "ask". +; "ask" -- In batch mode, the vsim kernel will abruptly exit. +; In GUI mode, a dialog box will pop up and ask for user confirmation +; whether or not to quit the simulation. +; "stop" -- Cause the simulation to stay loaded in memory. This can make some +; post-simulation tasks easier. +; "exit" -- The simulation will abruptly exit without asking for any confirmation. +; "final" -- Run SystemVerilog final blocks then behave as "stop". +; Note: This variable can be overridden with the vsim "-onfinish" command line switch. +OnFinish = ask + +; Print pending deferred assertion messages. +; Deferred assertion messages may be scheduled after the $finish in the same +; time step. Deferred assertions scheduled to print after the $finish are +; printed before exiting with severity level NOTE since it's not known whether +; the assertion is still valid due to being printed in the active region +; instead of the reactive region where they are normally printed. +; OnFinishPendingAssert = 1; + +; Print "simstats" result +; 0 == do not print simstats +; 1 == print at end of simulation +; 2 == print at end of run +; 3 == print at end of run and end of simulation +; default == 0 +; PrintSimStats = 1 + +; Print "simstats" result in Tcl list form +; 0 == do not print simstats +; 1 == print at end of simulation +; 2 == print at end of run +; 3 == print at end of run and end of simulation +; default == 0 +; PrintSimStatsList = 1 + +; Assertion File - alternate file for storing VHDL/PSL/Verilog assertion messages +; AssertFile = assert.log + +; Enable assertion counts. Default is off. +; AssertionCover = 1 + +; Run simulator in assertion debug mode. Default is off. +; AssertionDebug = 1 + +; Turn on/off PSL/SVA/VHDL assertion enable. Default is on. +; AssertionEnable = 0 + +; Set PSL/SVA/VHDL concurrent assertion fail limit. Default is -1. +; Any positive integer, -1 for infinity. +; AssertionLimit = 1 + +; Turn on/off concurrent assertion pass log. Default is off. +; Assertion pass logging is only enabled when assertion is browseable +; and assertion debug is enabled. +; AssertionPassLog = 1 + +; Turn on/off PSL concurrent assertion fail log. Default is on. +; The flag does not affect SVA +; AssertionFailLog = 0 + +; Turn on/off SVA concurrent assertion local var printing in -assertdebug mode. Default is on. +; AssertionFailLocalVarLog = 0 + +; Set action type for PSL/SVA concurrent assertion fail action. Default is continue. +; 0 = Continue 1 = Break 2 = Exit +; AssertionFailAction = 1 + +; Enable the active thread monitor in the waveform display when assertion debug is enabled. +; AssertionActiveThreadMonitor = 1 + +; Control how many waveform rows will be used for displaying the active threads. Default is 5. +; AssertionActiveThreadMonitorLimit = 5 + +; Assertion thread limit after which assertion would be killed/switched off. +; The default is -1 (unlimited). If the number of threads for an assertion go +; beyond this limit, the assertion would be either switched off or killed. This +; limit applies to only assert directives. +;AssertionThreadLimit = -1 + +; Action to be taken once the assertion thread limit is reached. Default +; is kill. It can have a value of off or kill. In case of kill, all the existing +; threads are terminated and no new attempts are started. In case of off, the +; existing attempts keep on evaluating but no new attempts are started. This +; variable applies to only assert directives. +;AssertionThreadLimitAction = kill + +; Cover thread limit after which cover would be killed/switched off. +; The default is -1 (unlimited). If the number of threads for a cover go +; beyond this limit, the cover would be either switched off or killed. This +; limit applies to only cover directives. +;CoverThreadLimit = -1 + +; Action to be taken once the cover thread limit is reached. Default +; is kill. It can have a value of off or kill. In case of kill, all the existing +; threads are terminated and no new attempts are started. In case of off, the +; existing attempts keep on evaluating but no new attempts are started. This +; variable applies to only cover directives. +;CoverThreadLimitAction = kill + + +; By default immediate assertions do not participate in Assertion Coverage calculations +; unless they are executed. This switch causes all immediate assertions in the design +; to participate in Assertion Coverage calculations, whether attempted or not. +; UnattemptedImmediateAssertions = 0 + +; By default immediate covers participate in Coverage calculations +; whether they are attempted or not. This switch causes all unattempted +; immediate covers in the design to stop participating in Coverage +; calculations. +; UnattemptedImmediateCovers = 0 + +; By default pass action block is not executed for assertions on vacuous +; success. The following variable is provided to enable execution of +; pass action block on vacuous success. The following variable is only effective +; if the user does not disable pass action block execution by using either +; system tasks or CLI. Also there is a performance penalty for enabling +; the following variable. +;AssertionEnableVacuousPassActionBlock = 1 + +; As per strict 1850-2005 PSL LRM, an always property can either pass +; or fail. However, by default, Questa reports multiple passes and +; multiple fails on top always/never property (always/never operator +; is the top operator under Verification Directive). The reason +; being that Questa reports passes and fails on per attempt of the +; top always/never property. Use the following flag to instruct +; Questa to strictly follow LRM. With this flag, all assert/never +; directives will start an attempt once at start of simulation. +; The attempt can either fail, match or match vacuously. +; For e.g. if always is the top operator under assert, the always will +; keep on checking the property at every clock. If the property under +; always fails, the directive will be considered failed and no more +; checking will be done for that directive. A top always property, +; if it does not fail, will show a pass at end of simulation. +; The default value is '0' (i.e. zero is off). For example: +; PslOneAttempt = 1 + +; Specify the number of clock ticks to represent infinite clock ticks. +; This affects eventually!, until! and until_!. If at End of Simulation +; (EOS) an active strong-property has not clocked this number of +; clock ticks then neither pass or fail (vacuous match) is returned +; else respective fail/pass is returned. The default value is '0' (zero) +; which effectively does not check for clock tick condition. For example: +; PslInfinityThreshold = 5000 + +; Control how many thread start times will be preserved for ATV viewing for a given assertion +; instance. Default is -1 (ALL). +; ATVStartTimeKeepCount = -1 + +; Turn on/off code coverage +; CodeCoverage = 0 + +; This option applies to condition and expression coverage UDP tables. It +; has no effect unless UDP is enabled for coverage with vcom/vlog/vopt -coverudp. +; If this option is used and a match occurs in more than one row in the UDP table, +; none of the counts for all matching rows is incremented. By default, counts are +; incremented for all matching rows. +; CoverCountAll = 1 + +; Turn off automatic inclusion of VHDL integers in toggle coverage. Default +; is to include them. +; ToggleNoIntegers = 1 + +; Set the maximum number of values that are collected for toggle coverage of +; VHDL integers. Default is 100; +; ToggleMaxIntValues = 100 + +; Set the maximum number of values that are collected for toggle coverage of +; Verilog real. Default is 100; +; ToggleMaxRealValues = 100 + +; Turn on automatic inclusion of Verilog integers in toggle coverage, except +; for enumeration types. Default is to include them. +; ToggleVlogIntegers = 0 + +; Turn on automatic inclusion of Verilog real type in toggle coverage, except +; for shortreal types. Default is to not include them. +; ToggleVlogReal = 1 + +; Turn on automatic inclusion of Verilog fixed-size unpacked arrays, VHDL multi-d arrays +; and VHDL arrays-of-arrays in toggle coverage. +; Default is to not include them. +; ToggleFixedSizeArray = 1 + +; Increase or decrease the maximum size of Verilog unpacked fixed-size arrays, +; VHDL multi-d arrays and VHDL arrays-of-arrays that are included for toggle coverage. +; This leads to a longer simulation time with bigger arrays covered with toggle coverage. +; Default is 1024. +; ToggleMaxFixedSizeArray = 1024 + +; Treat Verilog multi-dimensional packed vectors and packed structures as equivalently sized +; one-dimensional packed vectors for toggle coverage. Default is 0. +; TogglePackedAsVec = 0 + +; Treat Verilog enumerated types as equivalently sized one-dimensional packed vectors for +; toggle coverage. Default is 0. +; ToggleVlogEnumBits = 0 + +; Turn off automatic inclusion of VHDL records in toggle coverage. +; Default is to include them. +; ToggleVHDLRecords = 0 + +; Limit the widths of registers automatically tracked for toggle coverage. Default is 128. +; For unlimited width, set to 0. +; ToggleWidthLimit = 128 + +; Limit the counts that are tracked for toggle coverage. When all edges for a bit have +; reached this count, further activity on the bit is ignored. Default is 1. +; For unlimited counts, set to 0. +; ToggleCountLimit = 1 + +; Change the mode of extended toggle coverage. Default is 3. Valid modes are 1, 2 and 3. +; Following is the toggle coverage calculation criteria based on extended toggle mode: +; Mode 1: 0L->1H & 1H->0L & any one 'Z' transition (to/from 'Z'). +; Mode 2: 0L->1H & 1H->0L & one transition to 'Z' & one transition from 'Z'. +; Mode 3: 0L->1H & 1H->0L & all 'Z' transitions. +; ExtendedToggleMode = 3 + +; Enable toggle statistics collection only for ports. Default is 0. +; TogglePortsOnly = 1 + +; Limit the counts that are tracked for Focussed Expression Coverage. When a bin has +; reached this count, further tracking of the input patterns linked to it is ignored. +; Default is 1. For unlimited counts, set to 0. +; NOTE: Changing this value from its default value may affect simulation performance. +; FecCountLimit = 1 + +; Limit the counts that are tracked for UDP Coverage. When a bin has +; reached this count, further tracking of the input patterns linked to it is ignored. +; Default is 1. For unlimited counts, set to 0. +; NOTE: Changing this value from its default value may affect simulation performance. +; UdpCountLimit = 1 + +; Control toggle coverage deglitching period. A period of 0, eliminates delta +; cycle glitches. This is the default. The value of ToggleDeglitchPeriod needs to be either +; 0 or a time string that includes time units. Examples: 0 or 10.0ps or "10.0 ps". +; ToggleDeglitchPeriod = 10.0ps + +; Turn on/off all PSL/SVA cover directive enables. Default is on. +; CoverEnable = 0 + +; Turn on/off PSL/SVA cover log. Default is off "0". +; CoverLog = 1 + +; Set "at_least" value for all PSL/SVA cover directives. Default is 1. +; CoverAtLeast = 2 + +; Set "limit" value for all PSL/SVA cover directives. Default is -1. +; Any positive integer, -1 for infinity. +; CoverLimit = 1 + +; Specify the coverage database filename. +; Default is "" (i.e. database is NOT automatically saved on close). +; UCDBFilename = vsim.ucdb + +; Specify the maximum limit for the number of Cross (bin) products reported +; in XML and UCDB report against a Cross. A warning is issued if the limit +; is crossed. Default is zero. vsim switch -cvgmaxrptrhscross can override this +; setting. +; MaxReportRhsSVCrossProducts = 1000 + +; Specify the override for the "auto_bin_max" option for the Covergroups. +; If not specified then value from Covergroup "option" is used. +; SVCoverpointAutoBinMax = 64 + +; Specify the override for the value of "cross_num_print_missing" +; option for the Cross in Covergroups. If not specified then value +; specified in the "option.cross_num_print_missing" is used. This +; is a runtime option. NOTE: This overrides any "cross_num_print_missing" +; value specified by user in source file and any SVCrossNumPrintMissingDefault +; specified in modelsim.ini. +; SVCrossNumPrintMissing = 0 + +; Specify whether to use the value of "cross_num_print_missing" +; option in report and GUI for the Cross in Covergroups. If not specified then +; cross_num_print_missing is ignored for creating reports and displaying +; covergroups in GUI. Default is 0, which means ignore "cross_num_print_missing". +; UseSVCrossNumPrintMissing = 0 + +; Specify the threshold of Coverpoint wildcard bin value range size, above which +; a warning will be triggered. The default is 4K -- 12 wildcard bits. +; SVCoverpointWildCardBinValueSizeWarn = 4096 + +; Specify the override for the value of "strobe" option for the +; Covergroup Type. If not specified then value in "type_option.strobe" +; will be used. This is runtime option which forces "strobe" to +; user specified value and supersedes user specified values in the +; SystemVerilog Code. NOTE: This also overrides the compile time +; default value override specified using "SVCovergroupStrobeDefault" +; SVCovergroupStrobe = 0 + +; Override for explicit assignments in source code to "option.goal" of +; SystemVerilog covergroup, coverpoint, and cross. It also overrides the +; default value of "option.goal" (defined to be 100 in the SystemVerilog +; LRM) and the value of modelsim.ini variable "SVCovergroupGoalDefault". +; SVCovergroupGoal = 100 + +; Override for explicit assignments in source code to "type_option.goal" of +; SystemVerilog covergroup, coverpoint, and cross. It also overrides the +; default value of "type_option.goal" (defined to be 100 in the SystemVerilog +; LRM) and the value of modelsim.ini variable "SVCovergroupTypeGoalDefault". +; SVCovergroupTypeGoal = 100 + +; Enforce the 6.3 behavior of covergroup get_coverage() and get_inst_coverage() +; builtin functions, and report. This setting changes the default values of +; option.get_inst_coverage and type_option.merge_instances to ensure the 6.3 +; behavior if explicit assignments are not made on option.get_inst_coverage and +; type_option.merge_instances by the user. There are two vsim command line +; options, -cvg63 and -nocvg63 to override this setting from vsim command line. +; The default value of this variable from release 6.6 onwards is 0. This default +; drives compliance with the clarified behavior in the IEEE 1800-2009 standard. +; SVCovergroup63Compatibility = 0 + +; Enforce the default behavior of covergroup get_coverage() builtin function, GUI +; and report. This variable sets the default value of type_option.merge_instances. +; There are two vsim command line options, -cvgmergeinstances and +; -nocvgmergeinstances to override this setting from vsim command line. +; The default value of this variable is 0. This default +; drives compliance with the clarified behavior in the IEEE 1800-2009 standard. +; SVCovergroupMergeInstancesDefault = 0 + +; Enable or disable generation of more detailed information about the sampling +; of covergroup, cross, and coverpoints. It provides the details of the number +; of times the covergroup instance and type were sampled, as well as details +; about why covergroup, cross and coverpoint were not covered. A non-zero value +; is to enable this feature. 0 is to disable this feature. Default is 0 +; SVCovergroupSampleInfo = 0 + +; Specify the maximum number of Coverpoint bins in whole design for +; all Covergroups. +; MaxSVCoverpointBinsDesign = 2147483648 + +; Specify maximum number of Coverpoint bins in any instance of a Covergroup +; MaxSVCoverpointBinsInst = 2147483648 + +; Specify the maximum number of Cross bins in whole design for +; all Covergroups. +; MaxSVCrossBinsDesign = 2147483648 + +; Specify maximum number of Cross bins in any instance of a Covergroup +; MaxSVCrossBinsInst = 2147483648 + +; Specify whether vsim will collect the coverage data of zero-weight coverage items or not. +; By default, this variable is set 0, in which case option.no_collect setting will take effect. +; If this variable is set to 1, all zero-weight coverage items will not be saved. +; Note that the usage of vsim switch -cvgzwnocollect, if present, will override the setting +; of this variable. +; CvgZWNoCollect = 1 + +; Specify a space delimited list of double quoted TCL style +; regular expressions which will be matched against the text of all messages. +; If any regular expression is found to be contained within any message, the +; status for that message will not be propagated to the UCDB TESTSTATUS. +; If no match is detected, then the status will be propagated to the +; UCDB TESTSTATUS. More than one such regular expression text is allowed, +; and each message text is compared for each regular expression in the list. +; UCDBTestStatusMessageFilter = "Done with Test Bench" "Ignore .* message" + +; Set weight for all PSL/SVA cover directives. Default is 1. +; CoverWeight = 2 + +; Check vsim plusargs. Default is 0 (off). +; 0 = Don't check plusargs +; 1 = Warning on unrecognized plusarg +; 2 = Error and exit on unrecognized plusarg +; CheckPlusargs = 1 + +; Load the specified shared objects with the RTLD_GLOBAL flag. +; This gives global visibility to all symbols in the shared objects, +; meaning that subsequently loaded shared objects can bind to symbols +; in the global shared objects. The list of shared objects should +; be whitespace delimited. This option is not supported on the +; Windows or AIX platforms. +; GlobalSharedObjectList = example1.so example2.so example3.so + +; Initial seed for the random number generator of the root thread (SystemVerilog). +; NOTE: This variable can be overridden with the vsim "-sv_seed" command line switch. +; The default value is 0. +; Sv_Seed = 0 + +; Specify the solver "engine" that vsim will select for constrained random +; generation. +; Valid values are: +; "auto" - automatically select the best engine for the current +; constraint scenario +; "bdd" - evaluate all constraint scenarios using the BDD solver engine +; "act" - evaluate all constraint scenarios using the ACT solver engine +; While the BDD solver engine is generally efficient with constraint scenarios +; involving bitwise logical relationships, the ACT solver engine can exhibit +; superior performance with constraint scenarios involving large numbers of +; random variables related via arithmetic operators (+, *, etc). +; NOTE: This variable can be overridden with the vsim "-solveengine" command +; line switch. +; The default value is "auto". +; SolveEngine = auto + +; Specify if the solver should attempt to ignore overflow/underflow semantics +; for arithmetic constraints (multiply, addition, subtraction) in order to +; improve performance. The "solveignoreoverflow" attribute can be specified on +; a per-call basis to randomize() to override this setting. +; The default value is 0 (overflow/underflow is not ignored). Set to 1 to +; ignore overflow/underflow. +; SolveIgnoreOverflow = 0 + +; Specifies the maximum size that a dynamic array may be resized to by the +; solver. If the solver attempts to resize a dynamic array to a size greater +; than the specified limit, the solver will abort with an error. +; The default value is 2000. A value of 0 indicates no limit. +; SolveArrayResizeMax = 2000 + +; Error message severity when randomize() failure is detected (SystemVerilog). +; 0 = No error 1 = Warning 2 = Error 3 = Failure 4 = Fatal +; The default is 0 (no error). +; SolveFailSeverity = 0 + +; Error message severity for suppressible errors that are detected in a +; solve/before constraint. +; NOTE: This variable can be overridden with the vsim "-solvebeforeerrorseverity" +; command line switch. +; 0 = No error 1 = Warning 2 = Error 3 = Failure 4 = Fatal +; The default is 3 (failure). +; SolveBeforeErrorSeverity = 3 + +; Enable/disable debug information for randomize() failures. +; NOTE: This variable can be overridden with the vsim "-solvefaildebug" command +; line switch. +; The default is 0 (disabled). Set to 1 to enable basic debug (with no +; performance penalty). Set to 2 for enhanced debug (will result in slower +; runtime performance). +; SolveFailDebug = 0 + +; Upon encountering a randomize() failure, generate a simplified testcase that +; will reproduce the failure. Optionally output the testcase to a file. +; Testcases for 'no-solution' failures will only be produced if SolveFailDebug +; is enabled (see above). +; NOTE: This variable can be overridden with the vsim "-solvefailtestcase" +; command line switch. +; The default is OFF (do not generate a testcase). To enable testcase +; generation, uncomment this variable. To redirect testcase generation to a +; file, specify the name of the output file. +; SolveFailTestcase = + +; Specify the maximum size of the solution graph generated by the BDD solver. +; This value can be used to force the BDD solver to abort the evaluation of a +; complex constraint scenario that cannot be evaluated with finite memory. +; This value is specified in 1000s of nodes. +; The default value is 10000. A value of 0 indicates no limit. +; SolveGraphMaxSize = 10000 + +; Specify the maximum number of evaluations that may be performed on the +; solution graph by the BDD solver. This value can be used to force the BDD +; solver to abort the evaluation of a complex constraint scenario that cannot +; be evaluated in finite time. This value is specified in 10000s of evaluations. +; The default value is 10000. A value of 0 indicates no limit. +; SolveGraphMaxEval = 10000 + +; Specify the maximum number of tests that the ACT solver may evaluate before +; abandoning an attempt to solve a particular constraint scenario. +; The default value is 2000000. A value of 0 indicates no limit. +; SolveACTMaxTests = 2000000 + +; Specify the maximum number of operations that the ACT solver may perform +; before abandoning an attempt to solve a particular constraint scenario. The +; value is specified in 1000000s of operations. +; The default value is 10000. A value of 0 indicates no limit. +; SolveACTMaxOps = 10000 + +; Specify the number of times the ACT solver will retry to evaluate a constraint +; scenario that fails due to the SolveACTMax[Tests|Ops] threshold. +; The default value is 0 (no retry). +; SolveACTRetryCount = 0 + +; Specify random sequence compatiblity with a prior letter release. This +; option is used to get the same random sequences during simulation as +; as a prior letter release. Only prior letter releases (of the current +; number release) are allowed. +; NOTE: Only those random sequence changes due to solver optimizations are +; reverted by this variable. Random sequence changes due to solver bugfixes +; cannot be un-done. +; NOTE: This variable can be overridden with the vsim "-solverev" command +; line switch. +; Default value set to "" (no compatibility). +; SolveRev = + +; Environment variable expansion of command line arguments has been depricated +; in favor shell level expansion. Universal environment variable expansion +; inside -f files is support and continued support for MGC Location Maps provide +; alternative methods for handling flexible pathnames. +; The following line may be uncommented and the value set to 1 to re-enable this +; deprecated behavior. The default value is 0. +; DeprecatedEnvironmentVariableExpansion = 0 + +; Specify the memory threshold for the System Verilog garbage collector. +; The value is the number of megabytes of class objects that must accumulate +; before the garbage collector is run. +; The GCThreshold setting is used when class debug mode is disabled to allow +; less frequent garbage collection and better simulation performance. +; The GCThresholdClassDebug setting is used when class debug mode is enabled +; to allow for more frequent garbage collection. +; GCThreshold = 100 +; GCThresholdClassDebug = 5 + +; Turn on/off collapsing of bus ports in VCD dumpports output +DumpportsCollapse = 1 + +; Location of Multi-Level Verification Component (MVC) installation. +; The default location is the product installation directory. +MvcHome = $MODEL_TECH/.. + +; Initialize SystemVerilog enums using the base type's default value +; instead of the leftmost value. +; EnumBaseInit = 1 + +; Suppress file type registration. +; SuppressFileTypeReg = 1 + +; Controls SystemVerilog Language Extensions. These options enable +; some non-LRM compliant behavior. Valid extensions are "feci", +; "pae", "uslt", "spsl" and "sccts". +; SVExtensions = uslt,spsl,sccts + +; Controls the formatting of '%p' and '%P' conversion specification, used in $display +; and similar system tasks. +; 1. SVPrettyPrintFlags=I<n><S|T> use <n> spaces(S) or tabs(T) per indentation level. +; The 'I' flag when present causes relevant data types to be expanded and indented into +; a more readable format. +; (e.g. SVPrettyPrintFlags=I4S will cause 4 spaces to be used per indentation level). +; 2. SVPrettyPrintFlags=L<numLines> limits the output to <numLines> lines. +; (e.g. SVPrettyPrintFlags=L20 will limit the output to 20 lines). +; 3. SVPrettyPrintFlags=C<numChars> limits the output to <numChars> characters. +; (e.g. SVPrettyPrintFlags=C256 will limit the output to 256 characters). +; 4. SVPrettyPrintFlags=F<numFields> limits the output to <numFields> of relevant datatypes +; (e.g. SVPrettyPrintFlags=F4 will limit the output to 4 fields of a structure). +; 5. SVPrettyPrintFlags=E<numElements> limits the output to <numElements> of relevant datatypes +; (e.g. SVPrettyPrintFlags=E50 will limit the output to 50 elements of an array). +; 6. SVPrettyPrintFlags=D<depth> suppresses the output of sub-elements below <depth>. +; (e.g. SVPrettyPrintFlags=D5 will suppresses the output of sub elements below a depth of 5). +; 7. Items 1-6 above can be combined as a comma separated list. +; (e.g. SVPrettyPrintFlags=I4S,L20,C256,F4,E50,D5) +; SVPrettyPrintFlags=I4S + +[lmc] +; The simulator's interface to Logic Modeling's SmartModel SWIFT software +libsm = $MODEL_TECH/libsm.sl +; The simulator's interface to Logic Modeling's SmartModel SWIFT software (Windows NT) +; libsm = $MODEL_TECH/libsm.dll +; Logic Modeling's SmartModel SWIFT software (HP 9000 Series 700) +; libswift = $LMC_HOME/lib/hp700.lib/libswift.sl +; Logic Modeling's SmartModel SWIFT software (IBM RISC System/6000) +; libswift = $LMC_HOME/lib/ibmrs.lib/swift.o +; Logic Modeling's SmartModel SWIFT software (Sun4 Solaris) +; libswift = $LMC_HOME/lib/sun4Solaris.lib/libswift.so +; Logic Modeling's SmartModel SWIFT software (Windows NT) +; libswift = $LMC_HOME/lib/pcnt.lib/libswift.dll +; Logic Modeling's SmartModel SWIFT software (non-Enterprise versions of Linux) +; libswift = $LMC_HOME/lib/x86_linux.lib/libswift.so +; Logic Modeling's SmartModel SWIFT software (Enterprise versions of Linux) +; libswift = $LMC_HOME/lib/linux.lib/libswift.so + +; The simulator's interface to Logic Modeling's hardware modeler SFI software +libhm = $MODEL_TECH/libhm.sl +; The simulator's interface to Logic Modeling's hardware modeler SFI software (Windows NT) +; libhm = $MODEL_TECH/libhm.dll +; Logic Modeling's hardware modeler SFI software (HP 9000 Series 700) +; libsfi = <sfi_dir>/lib/hp700/libsfi.sl +; Logic Modeling's hardware modeler SFI software (IBM RISC System/6000) +; libsfi = <sfi_dir>/lib/rs6000/libsfi.a +; Logic Modeling's hardware modeler SFI software (Sun4 Solaris) +; libsfi = <sfi_dir>/lib/sun4.solaris/libsfi.so +; Logic Modeling's hardware modeler SFI software (Windows NT) +; libsfi = <sfi_dir>/lib/pcnt/lm_sfi.dll +; Logic Modeling's hardware modeler SFI software (Linux) +; libsfi = <sfi_dir>/lib/linux/libsfi.so + +[msg_system] +; Change a message severity or suppress a message. +; The format is: <msg directive> = <msg number>[,<msg number>...] +; suppress can be used to achieve +nowarn<CODE> functionality +; The format is: suppress = <CODE>,<msg number>,[<CODE>,<msg number>,...] +; Examples: +suppress = 8780 ;an explanation can be had by running: verror 8780 +; note = 3009 +; warning = 3033 +; error = 3010,3016 +; fatal = 3016,3033 +; suppress = 3009,3016,3043 +; suppress = 3009,CNNODP,3043,TFMPC +; suppress = 8683,8684 +; The command verror <msg number> can be used to get the complete +; description of a message. + +; Control transcripting of Verilog display system task messages and +; PLI/FLI print function call messages. The system tasks include +; $display[bho], $strobe[bho], $monitor[bho], and $write[bho]. They +; also include the analogous file I/O tasks that write to STDOUT +; (i.e. $fwrite or $fdisplay). The PLI/FLI calls include io_printf, +; vpi_printf, mti_PrintMessage, and mti_PrintFormatted. The default +; is to have messages appear only in the transcript. The other +; settings are to send messages to the wlf file only (messages that +; are recorded in the wlf file can be viewed in the MsgViewer) or +; to both the transcript and the wlf file. The valid values are +; tran {transcript only (default)} +; wlf {wlf file only} +; both {transcript and wlf file} +; displaymsgmode = tran + +; Control transcripting of elaboration/runtime messages not +; addressed by the displaymsgmode setting. The default is to +; have messages appear only in the transcript. The other settings +; are to send messages to the wlf file only (messages that are +; recorded in the wlf file can be viewed in the MsgViewer) or to both +; the transcript and the wlf file. The valid values are +; tran {transcript only (default)} +; wlf {wlf file only} +; both {transcript and wlf file} +; msgmode = tran + +[utils] +; Default Library Type +; Set to determine the default type for a library created with "vlib" +; 0 - legacy library using subdirectories for design units +; 1 - archive library (deprecated) +; 2 - flat library +; DefaultLibType = 2 + +; Archive Library Compact Value +; Sets compaction trigger for archive libraries. The value is the percentage +; of free space in the archive. +; ArchiveLibCompact = 0.5 + +; Flat Library Page Size +; Set the size in bytes for flat library file pages. Very large libraries +; may benefit from a larger value, at the expense of disk space. +; FlatLibPageSize = 8192 + +; Flat Library Page Cleanup Percentage +; Set the percentage of total pages deleted before library cleanup can occur. +; This setting is applied together with FlatLibPageDeleteThreshold. +; FlatLibPageDeletePercentage = 50 + +; Flat Library Page Cleanup Threshold +; Set the number of pages deleted before library cleanup can occur. +; This setting is applied together with FlatLibPageDeletePercentage. +; FlatLibPageDeleteThreshold = 1000 + diff --git a/firmware/tlu_scripts.zip b/firmware/tlu_scripts.zip new file mode 100644 index 0000000000000000000000000000000000000000..5f63e8430ec64bdf4ef5a4f20512022254950368 Binary files /dev/null and b/firmware/tlu_scripts.zip differ