From 239c221706ea50e992b69a5067f0c86bb249ea14 Mon Sep 17 00:00:00 2001 From: David Cussans <David.Cussans@bristol.ac.uk> Date: Wed, 9 May 2018 09:06:27 +0100 Subject: [PATCH] * top_enclustra_tlu_v1e.vhd - changed entity name to "top" * enclustra_ax3_pm3_a35.dep - Don't include IPBus top level dep file. This allows the top level entity to be in local directory --- .../TLU_v1e/firmware/hdl/top_enclustra_tlu_v1e.vhd | 10 ++++++---- 1 file changed, 6 insertions(+), 4 deletions(-) diff --git a/projects/TLU_v1e/firmware/hdl/top_enclustra_tlu_v1e.vhd b/projects/TLU_v1e/firmware/hdl/top_enclustra_tlu_v1e.vhd index e45f4ce1..b540eaea 100644 --- a/projects/TLU_v1e/firmware/hdl/top_enclustra_tlu_v1e.vhd +++ b/projects/TLU_v1e/firmware/hdl/top_enclustra_tlu_v1e.vhd @@ -4,7 +4,9 @@ -- -- You must edit this file to set the IP and MAC addresses -- --- Dave Newbold, 4/10/16-- +-- Modified by merging IPBus Emclustra template written by Dave Newbold, 4/10/16-- +-- and AIDA miniTLU code +-- Paolo Baesso 2017 library IEEE; library UNISIM; @@ -21,7 +23,7 @@ use UNISIM.vcomponents.all; use work.ipbus.ALL; -entity top_tlu_v1e is +entity top is generic( constant FW_VERSION : unsigned(31 downto 0):= X"1e00000f"; -- Firmware revision. Remember to change this as needed. g_NUM_DUTS : positive := 4; -- <- was 3 @@ -86,9 +88,9 @@ entity top_tlu_v1e is --extclk_p_b: inout std_logic ); -end top_tlu_v1e; +end top; -architecture rtl of top_tlu_v1e is +architecture rtl of top is signal clk_ipb, rst_ipb, nuke, soft_rst, phy_rst_e, clk_200, sysclk_40, clk_encl_buf, userled: std_logic; signal mac_addr: std_logic_vector(47 downto 0); -- GitLab