Commit 61bdb074 authored by Jorge Machado's avatar Jorge Machado

HW changes from Maciej feedback

parent 7804027d
......@@ -103,8 +103,10 @@ begin
state <= WAIT_ST;
end if;
when COUNTING =>
if (counter = 0) then
if counter = 0 then
state <= CAPTURE_PERIOD;
elsif dio_pulse_immed_stb_d2 = '1' then
state <= WAIT_ST;
else
state <= COUNTING;
counter <= counter-1;
......
......@@ -229,7 +229,8 @@ begin -- architecture rtl
pulse_o_internal <= '1';
counter <= unsigned(pulse_length_ref)-1;
train_counter <= unsigned(pulse_period_ref);
elsif train_counter /= 0 then
--load_values <= '1';
elsif train_counter > 1 then
train_counter <= train_counter-1;
pulse_o_internal <= '0';
load_values <= '0';
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment