Commit 11cd4f6f authored by Jorge Machado's avatar Jorge Machado

Add leap second values to hdl cores

parent 61bdb074
......@@ -89,6 +89,20 @@ peripheral {
size = 16;
};
field {
name = "LeapSecond flag 59";
description = "LeapSecond flag 59";
prefix = "leap_second_flag_59";
type = BIT;
};
field {
name = "LeapSecond flag 61";
description = "LeapSecond flag 61";
prefix = "leap_second_flag_61";
type = BIT;
};
field {
name = "LeapSecond valid";
......@@ -155,6 +169,19 @@ peripheral {
size = 16;
};
field {
name = "LeapSecond flag 59";
description = "LeapSecond flag 59";
prefix = "leap_second_flag_59";
type = BIT;
};
field {
name = "LeapSecond flag 61";
description = "LeapSecond flag 61";
prefix = "leap_second_flag_61";
type = BIT;
};
field {
name = "LeapSecond valid";
......@@ -221,6 +248,20 @@ peripheral {
size = 16;
};
field {
name = "LeapSecond flag 59";
description = "LeapSecond flag 59";
prefix = "leap_second_flag_59";
type = BIT;
};
field {
name = "LeapSecond flag 61";
description = "LeapSecond flag 61";
prefix = "leap_second_flag_61";
type = BIT;
};
field {
name = "LeapSecond valid";
description = "LeapSecond valid";
......@@ -286,6 +327,20 @@ peripheral {
size = 16;
};
field {
name = "LeapSecond flag 59";
description = "LeapSecond flag 59";
prefix = "leap_second_flag_59";
type = BIT;
};
field {
name = "LeapSecond flag 61";
description = "LeapSecond flag 61";
prefix = "leap_second_flag_61";
type = BIT;
};
field {
name = "LeapSecond valid";
description = "LeapSecond valid";
......@@ -351,6 +406,20 @@ peripheral {
size = 16;
};
field {
name = "LeapSecond flag 59";
description = "LeapSecond flag 59";
prefix = "leap_second_flag_59";
type = BIT;
};
field {
name = "LeapSecond flag 61";
description = "LeapSecond flag 61";
prefix = "leap_second_flag_61";
type = BIT;
};
field {
name = "LeapSecond valid";
description = "LeapSecond valid";
......@@ -416,6 +485,20 @@ peripheral {
size = 16;
};
field {
name = "LeapSecond flag 59";
description = "LeapSecond flag 59";
prefix = "leap_second_flag_59";
type = BIT;
};
field {
name = "LeapSecond flag 61";
description = "LeapSecond flag 61";
prefix = "leap_second_flag_61";
type = BIT;
};
field {
name = "LeapSecond valid";
description = "LeapSecond valid";
......
This diff is collapsed.
This diff is collapsed.
......@@ -216,8 +216,13 @@ entity dio_common_top is
-- I2C interface for accessing FMC EEPROM. Deprecated, was used in
-- pre-v3.0 releases to store WRPC configuration. Now we use Flash for this.
dio_scl_b : inout std_logic;
dio_sda_b : inout std_logic
dio_sda_b : inout std_logic;
-- Leap second
leap_second_value_i : in std_logic_vector(15 downto 0);
leap_second_flag_59_i : in std_logic;
leap_second_flag_61_i : in std_logic;
leap_second_flag_valid_i : in std_logic
);
end entity dio_common_top;
......@@ -265,7 +270,13 @@ architecture top of dio_common_top is
slave_i : in t_wishbone_slave_in;
slave_o : out t_wishbone_slave_out;
dio_int : out std_logic
dio_int : out std_logic;
-- Leap second
leap_second_value_i : in std_logic_vector(15 downto 0);
leap_second_flag_59_i : in std_logic;
leap_second_flag_61_i : in std_logic;
leap_second_flag_valid_i : in std_logic
);
end component;
......@@ -679,7 +690,11 @@ begin -- architecture top
tm_cycles_i => tm_cycles,
slave_i => cnx_slave_in(c_WB_SLAVE_DIO),
slave_o => cnx_slave_out(c_WB_SLAVE_DIO),
dio_int => dio_int
dio_int => dio_int,
leap_second_value_i => leap_second_value_i,
leap_second_flag_59_i => leap_second_flag_59_i,
leap_second_flag_61_i => leap_second_flag_61_i,
leap_second_flag_valid_i => leap_second_flag_valid_i
);
dio_oe_n_o <= dio_oe_n_o_internal(4 downto 0);
......
......@@ -205,12 +205,16 @@ package dio_common_top_pkg is
dio_onewire_b : inout std_logic;
dio_sdn_n_o : out std_logic;
dio_sdn_ck_n_o : out std_logic;
-- I2C interface for accessing FMC EEPROM. Deprecated, was used in
-- pre-v3.0 releases to store WRPC configuration. Now we use Flash for this.
dio_scl_b : inout std_logic;
dio_sda_b : inout std_logic
dio_sda_b : inout std_logic;
-- Leap second
leap_second_value_i : in std_logic_vector(15 downto 0);
leap_second_flag_59_i : in std_logic;
leap_second_flag_61_i : in std_logic;
leap_second_flag_valid_i : in std_logic
);
end component;
......
......@@ -283,7 +283,11 @@ begin
dio_sdn_n_o => dio_sdn_n_o,
dio_sdn_ck_n_o => dio_sdn_ck_n_o,
dio_scl_b => dio_scl_b,
dio_sda_b => dio_sda_b
dio_sda_b => dio_sda_b,
leap_second_value_i => x"CAFE",
leap_second_flag_59_i => '1',
leap_second_flag_61_i => '0',
leap_second_flag_valid_i => '1'
);
end architecture top;
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment