Commit 881f5c68 authored by Tomasz Wlostowski's avatar Tomasz Wlostowski

hdl/top/svec: moved vme64x-core repo to git

parent cec52178
files = [ "synthesis_descriptor.vhd", "svec_top.vhd", "svec_top.ucf", "xvme64x_core.vhd", "bicolor_led_ctrl.vhd", "bicolor_led_ctrl_pkg.vhd" ] files = [ "synthesis_descriptor.vhd", "svec_top.vhd", "svec_top.ucf", "bicolor_led_ctrl.vhd", "bicolor_led_ctrl_pkg.vhd" ]
fetchto = "../../../ip_cores" fetchto = "../../../ip_cores"
modules = { modules = {
"local" : ["../../../rtl", "../../../platform" ], "local" : ["../../../rtl", "../../../platform" ],
"git" : [ "git://ohwr.org/hdl-core-lib/wr-cores.git" ], "git" : [ "git://ohwr.org/hdl-core-lib/wr-cores.git",
# "git://ohwr.org/hdl-core-lib/etherbone-core.git" ], "git://ohwr.org/hdl-core-lib/vme64x-core.git" ]
"svn" : [ "http://svn.ohwr.org/vme64x-core/trunk/hdl/vme64x-core/rtl" ]
} }
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment