Commit 354391a9 authored by Tomasz Wlostowski's avatar Tomasz Wlostowski

platform: uploaded platform-dependend code

parent 9aef0922
files =["chipscope_icon.ngc", "chipscope_ila.ngc", "fd_ddr_driver.vhd", "fd_ddr_pll.vhd" ]
XILINX-XDB 0.1 STUB 0.1 ASCII
XILINX-XDM V1.6e
$0af5=6:2.Yi{g|inl9$4(5=8*/=6>;;12351=7898?7=>?459345>339::<95?0327?5638=1;<5>;;13341=799;?7=<?05930563392;<<5>4:33452<9?;;=6<;;32341=599::;6=9:HLLQQ<DGG^YCA>33483:4=3<2>;<=??;7qo|437|oml::8"209;0>>789;;75>?1923456799:;<h5MSBCM[VVFZ]KE:95MUGE;?GSTW@DMC<5L7:ABTQSUM;1HI<<4CIG@OZJNKLDXIRZVPD08GL2<KEA;:6MCK0:32>EKC;=;96MCK3Z;?FJL:Q;3<85LLJ;31>EKCH;<7NBDA0F13>EKCH;O8:5LLJC2@=3<KEAI=85LLJA21>EKCM;>7NBDDWa8GIMC^VNBZDJJ5:AOOC^?3JF@JU?7029@HW?<KFGFEYZJDc9@KKRUGE:7<3m4CNLWVJJ748:5o6M@NUPLH5:697i0OB@[RNN38449k2IDBY\@L1>27;e<KFD_^BB?<06=g>EHF]XD@=2>5?a8GJHSZFF;0<81c:ALJQTHD96:;3m4CNLWVJJ74825o6M@NUPLH5:617h0OB@[RNN3848d3JEEX_AC0=03:f=DGG^YCA>320<`?FII\[EG<1<=>b9@KKRUGE:7>>0l;BMMPWIK858?2n5LOOVQKI6;:<4h7NAATSMO49416j1HCCZ]OM2?628d3JEEX_AC0=0;:f=DGG^YCA>328<a?FII\[EG<1<1c:ALJQTHD968<3m4CNLWVJJ74:;5o6M@NUPLH5:4:7i0OB@[RNN38659k2IDBY\@L1>00;c<KFD_^BB?<2794;e<KFD_^BB?<27=f>EHF]XD@=2<>c9@KKRUGE:783l4CNLWVJJ74<4i7NAATSMO4909j2IDBY\@L1>4:g=DGG^YCA>38?`8GJHSZFF;040>4:ALV@Y@MGOYMYG@N^KMBJ1<K[OJXHJ>;E68@466:2NB86J]CJa8@WELWEEHMAQFc:FQGNYKGJKGSB=4FEA7?CBDM:1MHH=4FEP7?CBUM8h0J_AB #F\GIM%(]E+.GQLLJ #PLA6j2LYC@.-J^AOO'&SG)(OSNBD"!VJC7g<N[EF,/[PCMI!$QI'*CUH@F,/14234+6789:;<=>/pR0b?CTHE)(^SNBD"!VL$'SXKEA),<;?01,3456789:;,}]7;GPLIZHDN81L?6IAD09J6>O7:2C:>6G=2:K06>O3:2C>56GAIUQWEQC43@D]m6D[AF]@LDOI12@_MJQ[ERV6?OYDDB80@D<4LN48HJGCMM<0@BMDEE58HJANKHF?7A[[159OQQ433E__895CUU44?HS_KPUG96CZXG76?HS_N?i0Ald`rWgqwliik2Gbbb|Yesqjkk4<F9=0BHZXOSI5?KIDD@Z<7CABESEF5>I03F$?<iQC1:R7?UGU\;l0\H\FOE"!NZEKC+*8<=>?.123456'xZ*CEJF/51,345678)/j7]GA_CWPMA^e3YCESO[\N@OF=>VLWAF^XCC9;QQGKKC63X;0^85]ERGW3>TRF]OXX<5\3:QFH0=T@@L_:6]@USAF2>USI]_X?6ZLK29W@L5<\ME37YK]MHLBH6=SAN20XDCJSQG@7>RJ[>1_B@QAEU;8PVYCW]ETF55[S^H\GIM>3]YTFRZ@_E;8PVYRW]ETF45[S^W\PJYR<2^R\H=k;T2,QZODGG%BSTK\_CQ@EK(RWKY$ER]9.HQZJ+OX]ZOT_BH[BRABJZCV8'_TN^;k;T2,QZODGG%BSTK\_CQ@EK(RWKY$ER[\E^QLBQDTKHDTI\>!I^WPAZ^T\V^HGRY@RJCQKPHCWL[:"XQFCNL\FVEFFVH^JJ<9;T2,QZODGG%BSTK\_CQ@EK(RWKY$eI\LK^NLGDJ5j2_;#XQFCNL,QZEJL&MTXL\HEUY24X(NWGO;"XQ[ASEFP7d<]9%^SDM@N.W\GHB(OV^J^JK[[03^*LYIM9$^SYO]GDV1f>S7'\UBOB@ U^AN@*AX\HXLIYU>2\,J[KC7&\U_M_IJT3`8Q5)RW@IDB"[PCLF,CZRFZNO_W<=R.H]MA5(RW]KYKHZ=b:W3+PYNKFD$YRMBD.E\PDT@M]Q:8P F_OG3*PYSI[MNX?l4U1-V[LEHF&_TO@J G^VBVBCSS8?V"DQJQ1,V[QGUOL^9m6[?/T]JGJH(]VIFH"IPT@PDAQ]1U'CTBH>!U^VBVBCS:h1^<"[PIBMM+PYDEM%LSYO]GDVX3X(NWGO;"XQ[ASEFP7g<]9%^SDM@N.W\GHB(OV^J^JK[[9_-MZHB8'_TXL\HEU0b?P6(]VCHCC!Z_BOG+BYSI[MNXV7R.H]MA5(RW]KYKHZ=c:W3+PYNKFD$YRMBD.W\GJKJIGNT_HB I5,EM^6Z&\UGYY<k;T2,QZODGG%^SNCK/T]@KHKFFMUXIA!F4/DJ_46Z&\UGYY<k;T2,QZODGG%^SNCK/T]@KHKFFMUXIA!F4/DJ_47Z&\UGYY<k;T2,QZODGG%^SNCK/T]@KHKFFMUXIA!F4/DJ_44Z&\UGYY<k;T2,QZODGG%^SNCK/T]@KHKFFMUXIA!F4/DJ_45Z&\UGYY<k;T2,QZODGG%^SNCK/T]@KHKFFMUXIA!F4/DJ_42Z&\UGYY<k;T2,QZODGG%^SNCK/T]@KHKFFMUXIA!F4/DJ_43Z&\UGYY<l;T2,QZODGG%^SNCK/T]@KHKFFMUXIA!F4/DJ_4[)]VF^X?m4U1-V[LEHF&_TO@J U^ALIHGILVYN@"G;.GKX6X(RWE__>n5Z0.W\MFII'\UHAI!Z_BMNIDHCWZOG#D:!FHY0Y+SXD\^9o6[?/T]JGJH(]VIFH"[PCNONEKBX[LF$E9 IIZ6^*PYK]]8h7X> U^K@KK)RWJGO#XQLOLOBJAYTME%B8#HF[4_-QZJR\;i0Y=!Z_HALJ*SXKDN$YRM@MLCM@ZUBD&C?"KGT6\,V[ISS:j1^<"[PIBMM+PYDEM%^SNABM@LG[VCK'@>%JDU8]/W\HPR5k2_;#XQFCNL,QZEJL&_TOBCBAOF\W@J(A=$MEV6R.T]OQQ4d3\:$YRGLOO-V[FKC'\UHC@CNNE]PAI)N<'LBW4S!U^NVP7e<]9%^SDM@N.W\GHB(]VID^HQFD^QFH*O3&OCP<P Z_MWW6a=R8&_TENAA/T]@IA)RWJEYIRGK_RGO+L2)N@Q:<P Z_MWW6a=R8&_TENAA/T]@IA)RWJEYIRGK_RGO+L2)N@Q:=P Z_MWW6a=R8&_TENAA/T]@IA)RWJEYIRGK_RGO+L2)N@Q:>P Z_MWW6a=R8&_TENAA/T]@IA)RWJEYIRGK_RGO+L2)N@Q:?P Z_MWW6a=R8&_TENAA/T]@IA)RWJEYIRGK_RGO+L2)N@Q:8P Z_MWW6a=R8&_TENAA/T]@IA)RWJEYIRGK_RGO+L2)N@Q:9P Z_MWW6f=R8&_TENAA/T]@IA)RWJEYIRGK_RGO+L2)N@Q:Q#[PLTV1g>S7'\UBOB@ U^AN@*SXKFXNSDJPSDN,M1(AAR8V"XQCUU0`?P6(]VCHCC!Z_BOG+PYDG[OTEIQ\EM-J0+@NS:W%YRBZT3a8Q5)RW@IDB"[PCLF,QZEHZLUBHR]JL.K7*CO\<T$^SA[[2b9V4*SXAJEE#XQLME-V[FIUMVCOS^KC/H6-BL]2U'_T@XZ=c:W3+PYNKFD$YRMBD.W\GJTBW@NT_HB I5,EM^0Z&\UGYY<l;T2,QZODGG%^SNCK/T]@KWCXAMUXIA!F4/DJ_2[)]VF^X?m4U1-V[LEHF&_TO@J U^ALV@YNLVYN@"G;.GKX<X(RWE__>n5Z0.W\MFII'\UHAI!Z_BMQAZOCWZOG#D:!FHY:Y+SXD\^:;6[?/T]JGJH(]VIFH"[PSDN\j4d<]9%^SDM@N.W\GHB(]V^J^JK[_BG22>S7'\UBOB@ U^AN@*oTMEUe=o5Z0.W\MFII'\UHAI!fT@PDAQ:687;i7X> U^K@KK)RWJGO#dZNRFGW84799h1^<"[PIBMM+PYDEM%bXL\HEU>;:4g<]9%^SDM@N.W\GHB(a]KYKHZ39?3b?P6(]VCHCC!Z_BOG+lRFZNO_SNK=c:W3+PYNKFD$YRM[RM]LQQ)AWGI[W=S!F^AN@^6Z&\UCOH<l;T2,QZODGG%^SNZ]L^MVP*@XFJZP<P I_BOG_5[)]VFHI?j4U1-V[LEHF&_TOY\C_NWW+CYIKYQ;Q#HPCLFX55[)]VBHI?j4U1-V[LEHF&_TOY\C_NWW+CYIKYQ;Q#HPCLFX55[)]VFHI?j4U1-V[LEHF&_TOY\C_NWW+CYIKYQ;Q#HPCLFX54[)]VBHI?j4U1-V[LEHF&_TOY\C_NWW+CYIKYQ;Q#HPCLFX54[)]VFHI?j4U1-V[LEHF&_TOY\C_NWW+CYIKYQ;Q#HPCLFX57[)]VBHI?j4U1-V[LEHF&_TOY\C_NWW+CYIKYQ;Q#HPCLFX57[)]VFHI?j4U1-V[LEHF&_TOY\C_NWW+CYIKYQ;Q#HPCLFX56[)]VBHI?j4U1-V[LEHF&_TOY\C_NWW+CYIKYQ;Q#HPCLFX56[)]VFHI?j4U1-V[LEHF&_TOY\C_NWW+CYIKYQ;Q#HPCLFX51[)]VBHI?j4U1-V[LEHF&_TOY\C_NWW+CYIKYQ;Q#HPCLFX51[)]VFHI?j4U1-V[LEHF&_TOY\C_NWW+CYIKYQ;Q#HPCLFX50[)]VBHI?j4U1-V[LEHF&_TOY\C_NWW+CYIKYQ;Q#HPCLFX50[)]VFHI?m4U1-V[LEHF&_TOY\C_NWW+CYIKYQ;Q#HPCLFX5X(RWAIN>n5Z0.W\MFII'\UHX_BPOTV,BZHDXR:V"KQLMEY2Y+SXDJO9o6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ0^*PYOKL8h7X> U^K@KK)RWJ^Y@RAZT.D\JFV\8T$MSNCK[3_-QZJDM;i0Y=!Z_HALJ*SXK]XGSB[[/G]MGU]7U'LTO@JT3\,V[MEB:j1^<"[PIBMM+PYD\[FTCXZ F^L@T^6Z&OUHAIU<]/W\HFC5k2_;#XQFCNL,QZESZEUDYY!I_OAS_5[)NVIFHV:R.T]KG@4d3\:$YRGLOO-V[FRUDVE^X"HPNBRX4X(AWJGOW9S!U^N@A7e<]9%^SDM@N.W\GQTKWF__#KQACQY3Y+@XKDNP9P Z_IAF6f=R8&_TENAA/T]@PWJXG\^$JR@LPZ2^*CYDEMQ>Q#[PLBG1g>S7'\UBOB@ U^AWVIYH]]%MSCM_[1_-BZEJLR<V"XQGCD0`?P6(]VCHCC!Z_BVQHZIR\&LTBN^T0\,E[FKCS?W%YRBLE3a8Q5)RW@IDB"[PCUPO[JSS'OUEO]U?]/D\GHB\?T$^SEMJ2b9V4*SXAJEE#XQLTSN\KPR(NVDH\V>R.G]@IA]0U'_T@NK=c:W3+PYNKFD$YRM[RM]LQQ)AWGI[W=S!F^AN@^>Z&\UCOH<l;T2,QZODGG%^SNZ]L^MVP*@XFJZP<P I_BOG_=[)]VFHI?m4U1-V[LEHF&_TOY\C_NWW+CYIKYQ;Q#HPCLFX=X(RWAIN>n5Z0.W\MFII'\UHX_BPOTV,BZHDXR:V"KQLMEY:Y+SXDJO:i6[?/T]JGJH(]VI_^AQ@UU-V[FKCO[Z;=h5Z0.W\MFII'\UHX_BPOTV,QZEJLNX[=??4U1-V[LEHF&_TOY\C_NWW+PYCI]KTZLBFD3:8Q5)RW@IDB"[PCUPO[JSS'`IDA@OAD^EQTZUBD5:5>55Z0.W\MFII'\UHX_BPOTV,mFIJEHDOSJ\__RGO848582_;#XQFCNL,QZESZEUDYY!fD@VB[SGKAM8:7X> U^K@KK)RWZ^JX"HPSUCW_5[)]VY_MY<>;T2,QZODGG%^S^ZNT.D\WQGSS8W%YR][AU02?P6(]VCHCC!Z_RVBP*@X[]K_W?S!U^QWEQ463\:$YRGLOO-V[VRF\&LT_YO[[2_-QZUSI];j7X> U^K@KK)RWZ^JX"[PCLFDVU66l2_;#XQFCNL,QZUSI]%^SIO[A^TBHLB6i2_;#XQFCNL,QZUSI]%^S^ZNTBOG5f=R8&_TENAA/T]PPDR(]VY_MYMBD^l15>S7'\UBOB@ U^QWEQ)RWZ^JXRMAT.AJ848592_;#XQFCNL,QZUSI]%^S^ZNT^AMP*EN4;49=6[?/T]JGJH(]VY_MY!Z_RVBPZEI\&IB0>0=1:W3+PYNKFD$YR][AU-V[VRF\VIEX"MF<5<15>S7'\UBOB@ U^QWEQ)RWZ^JXRMAT.AJ808582_;#XQFCNL,QZUSI]%^S^ZNT^AMP*B;878;7X> U^K@KK)RWZ^JX"[PSUCW[FHS'M6:2?>4U1-V[LEHF&_T_YO[/T]PPDRXKG^$H1<1219V4*SXAJEE#XQ\T@V,QZUSI]UHBY!K<2<14>S7'\UBOB@ U^QWEQ)RWZ^JXRMAT.F?0;473\:$YRGLOO-V[VRF\&_T_YO[_BLW+A:26;i0Y=!Z_HALJ*SX[]K_#XQ\T@V\GKR(OR:V"J`G.T]NQ]E^:>1^<"[PIBMM+PYT\H^$YR][AU]@JQ)@S9W%YRHKRD05?P6(]VCHCC!Z_RVBP*SX[]K_SN@[/FY3Y+SXD\^946[?/T]JGJH(]VY_MY!Z_RVBPZEI\&MP<P Z_YMQG\4d3\:$YRGLOO-V[VRF\&_T_YO[_BLW+B]6U'MeD#[PMTZ@]71<]9%^SDM@N.W\WQGS'\UXXLZPCOV,C^7Z&\UMH_K=6:W3+PYNKFD$YR][AU-V[VRF\VIEX"IT1\,V[ISS:11^<"[PIBMM+PYT\H^$YR][AU]@JQ)@S8W%YRV@RB[1g>S7'\UBOB@ U^QWEQ)RWZ^JXRMAT.EX6X(@fA$^S@[WCX04?P6(]VCHCC!Z_RVBP*SX[]K_SN@[/FY1Y+SXNMXN>;5Z0.W\MFII'\UXXLZ U^QWEQYDF]%LW?S!U^NVP7><]9%^SDM@N.W\WQGS'\UXXLZPCOV,C^4Z&\USC_MV2b9V4*SXAJEE#XQ\T@V,QZUSI]UHBY!H[2_-CkN)]VG^TNW=7:W3+PYNKFD$YR][AU-V[VRF\VIEX"IT3\,V[CBUM;<0Y=!Z_HALJ*SX[]K_#XQ\T@V\GKR(OR9V"XQCUU0;?P6(]VCHCC!Z_RVBP*SX[]K_SN@[/FY0Y+SXPFXHU?m4U1-V[LEHF&_T_YO[/T]PPDRXKG^$KV:R.FlK*PYJ]QIR>:5Z0.W\MFII'\UXXLZ U^QWEQYDF]%LW9S!U^DGV@413\:$YRGLOO-V[VRF\&_T_YO[_BLW+B]3U'_T@XZ=8:W3+PYNKFD$YR][AU-V[VRF\VIEX"IT4\,V[]IUKP8<7X> U^K@KK)RWZ^JX"[PSUCW[FHS'NQ>Q#[PFEPF63=R8&_TENAA/T]PPDR(]VY_MYQLNU-D_0[)]VF^X?64U1-V[LEHF&_T_YO[/T]PPDRXKG^$KV;R.T][KWE^:91^<"[PIBMM+PYT\H^$YR][AU]@JQ)T4949<6[?/T]JGJH(]VY_MY!Z_RVBPZEI\&Y7=3<?;T2,QZODGG%^S^ZNT.W\WQGSWJD_#^2=>328Q5)RW@IDB"[PSUCW+PYT\H^TOCZ S=1=65=R8&_TENAA/T]PPDR(]VY_MYQLNU-P818582_;#XQFCNL,QZUSI]%^S^ZNT^AMP*U;=7;h7X> U^K@KK)RWZ^JX"[PSUCW[MO@@8h0Y=!Z_HALJ*SX[]K_#XQ\T@V\HJQ6>2_;#XQFCNL,QZUSI]%^SYJ@1c9V4*SXAJEE#XQ\T@V,QZRCGVdnty?j;T2,QZODGG%^S^ZNT.k@IAY@ZY:T_HB>c:W3+PYNKFD$YR][AU-j@DRFW_KGEI?6;T2,QZODGG%^S^ZNT.kPPDR;87;27X> U^K@KK)RWZ^JX"g\T@V?5;7>3\:$YRGLOO-V[VRF\&cXXLZ32?3:?P6(]VCHCC!Z_RVBP*oT\H^7?3?l;T2,QZODGG%^S^ZNT.kPPDRDEMUHI<k4U1-V[LEHF&_T_YO[/hQWEQEJLVINSc?k;T2,QZODGG%^S^ZNT.kPPDRXKG^7<3?k;T2,QZODGG%^S^ZNT.kPPDRXKG^7=3?k;T2,QZODGG%^S^ZNT.kPPDRXKG^7>3?k;T2,QZODGG%^S^ZNT.kPPDRXKG^7?3?k;T2,QZODGG%^S^ZNT.kPPDRXKG^783?k;T2,QZODGG%^S^ZNT.kPPDRXKG^793?m;T2,QZODGG%^S^ZNT.kPPDRX@@MC=l5Z0.W\MFII'\UXXLZ iRVBPZJH_8k0Y=!Z_HALJ*SX[]K_#dZKO^lf|q4e3\:$YRGLOO-V[V_IK&MT_T@L_VMQ@^6Z&@UEI= Z_GFQ6g=R8&_TENAA/T]P]KE(OVYRBNQXOSFX5X(NWGO;"XQIDS0a?P6(]VCHCC!Z_R[MG*AX[PDHSZA]DZ0^*LYIM9$^SKJ]2c9V4*SXAJEE#XQ\YOA,CZU^FJU\C_JT3\,J[KC7&\UMH_<m;T2,QZODGG%^S^WAC.E\W\HDW^EYHV:R.H]MA5(RWONY>o5Z0.W\MFII'\UXUCM G^QZJFYPG[NP9P F_OG3*PYAL[8i7X> U^K@KK)RWZSEO"IPSXL@[RIULR<V"DQJQ1,V[CBU9k1^<"[PIBMM+PYTQGI$YRI@T^QZJF7c3\:$YRGLOO-V[V_IK&_TKBZPSXL@[M7c3\:$YRGLOO-V[V_IK&_TKBZPSXL@[I703\:$YRGLOO-V[V_IK&_T_T@L1d9V4*SXAJEE#XQ\YOA,QZoCI]KTO@JPn0a8Q5)RW@IDB"[PSXL@+lBF\HUHAIQa1`9V4*SXAJEE#XQ\YOA,mBISWZSEO<h4U1-V[LEHF&_T_T@L/hELPZU^FJUCEJF>e:W3+PYNKFD$YR]VNB-jCJRX[PDHSAAX1d9V4*SXAJEE#XQ\YOA,mV_IKV]D^I2?>0g8Q5)RW@IDB"[PSXL@+lU^FJU\C_J31?3f?P6(]VCHCC!Z_R[MG*oTQGIT[B\K<3<2a>S7'\UBOB@ U^QZJF)n[PDHSZA]D=1=5`=R8&_TENAA/T]P]KE(aZSEORY@RE>7:4c<]9%^SDM@N.W\W\HD'`YRBNQXOSF?1;7b3\:$YRGLOO-V[V_IK&cXUCMPWNPG8386;2_;#XQFCNL,QZRCAVxnk>>4U1-V[LEHF&_TXIAPMTZ,QZETWD_S#D:!U^OV\40(EdsSB?>129V4*SXAJEE#XQ[DN]qab723\:$YRGLOO-V[lBF\HUHAI?8;T2,QZODGG%^SdJNT@]@IAYi9;1^<"[PIBMM+PYn[LFTb<74U1-V[LEHF&cHC@CNNE]DVU:76830Y=!Z_HALJ*oDGDGJBIQHRQ>2:4?<]9%^SDM@N.k@KHKFFMUXIA2?>0c8Q5)RW@IDB"gLOLOBJAYTME6:<3?n;T2,QZODGG%bOBCBAOF\W@J;984:m6[?/T]JGJH(aJEFAL@K_RGO84499h1^<"[PIBMM+lEHEDKEHR]JL=30:4g<]9%^SDM@N.k@KHKFFMUXIA2>4?3b?P6(]VCHCC!fCNONEKBX[LF7=80>9:W3+PYNKFD$eNABM@LG[VCK484:56[?/T]JGJH(aJEFAL@K_RGO878612_;#XQFCNL,mFIJEHDOS^KC<2<2=>S7'\UBOB@ iBMNIDHCWZOG090>9:W3+PYNKFD$eNABM@LG[VCK4<4:56[?/T]JGJH(aJEFAL@K_RGO838612_;#XQFCNL,mFIJEHDOS^KC<6<2=>S7'\UBOB@ iBMNIDHCWZOG050>9:W3+PYNKFD$eNABM@LG[VCK404:96[?/T]JGJH(aJEYIRGK<1<21>S7'\UBOB@ iBMQAZOC484:96[?/T]JGJH(aJEYIRGK<3<21>S7'\UBOB@ iBMQAZOC4:4:56[?/T]JGJH(aJEYIRGK_RGO8586i2_;#XQFCNL,mFIUMVCOS^KC<07=56=R8&_TENAA/hFBPDYDEM;>7X> U^K@KK)nLH^JSNCK_od8Q5)RW@IDB"gKRBIf?P6(]VCHCC!fSDN24>S7'\UBOB@ iRGO[k`<]9%^SDM@N.kP]KEb3\:$YRGLOO-jPAOb3\:$YRGLOO-jPAI6>2_;#XQFCNL,mQBHW_OH0<;1129V4*SXAJEE#dZKO^lf|qb<]9%b_EGIT^MVP`=R8&c^\IO[E^MVP3=RXMK_In5ZSDP\RLUNJEO=7XQMUGE6?PYDDB90ZNM6;WKFSZR^XL;o7UGCIOZ.\AD'8';+_Y[M 1,2$DUDA@?0TB\LY79[WQJNJ>1S_YQHNE58\VRX^JIi7UQLOSG\MK@H>2RonRGkf:ZglZVuad\n~~g`n028\akXEh`d~[k}shmm55=_ldUFeca}Vdppmjh43Qy?o5P_^ZOJHYXW9UTSX> U^K@KK)RWJGO#XQLOLOBJAYTME%B8#HF[06^*PYK]]9h7RQPXMLN[ZY68VUTY=!Z_HALJ*SXK]XGSB[[/G]MGU]7U'LTO@JT13_-QZJDM:i0SRQWLOO\[Z76WVU^<"[PIBMM+PYD\[FTCXZ F^L@T^6Z&OUHAIU>3\,V[IEB;j1TSRVCNL]\[44XWV_;#XQFCNL,QZESZEUDYY!I_OAS_5[)NVIFHV?;]/W\HFC4k2UTSUBAM^]\56YXW\:$YRGLOO-V[FRUDVE^X"HPNBRX4X(AWJGOW<;R.T]OG@5e3VUTTA@B_^]20ZYX]9%^SDM@N.W\GQTKWF__#KQACQY3Y+@XKDNP=P Z_MAF7g=XWVRGB@QP_07\[ZS7'\UBOB@ U^AWVIYH]]%MSCM_[1_-BZEJLR8V"XQCCD1a?ZYXPEDFSRQ>6^]\Q5)RW@IDB"[PCUPO[JSS'OUEO]U?]/D\GHB\;T$^SAMJ3c9\[Z^KFDUTS<9P_^W3+PYNKFD$YRM[RM]LQQ)AWGI[W=S!F^AN@^2Z&\UGOH=m;^]\\IHJWVU:4RQPU1-V[LEHF&_TOY\C_NWW+CYIKYQ;Q#HPCLFX1X(RWEIN?o5P_^ZOJHYXW83TSR[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ4^*PYKKL9i7RQPXMLN[ZY6WVU^<"[PIBMM+PYDEM%^SNABM@LG[VCK'@>%JDU>2\,V[ISS;k1TSRVCNL]\[76XWV_;#XQFCNL,QZESZEUDYY!I_OAS_5[)NVIFHV9R.T]OG@5e3VUTTA@B_^]15ZYX]9%^SDM@N.W\GQTKWF__#KQACQY3Y+@XKDNP4P Z_MAF7g=XWVRGB@QP_30\[ZS7'\UBOB@ U^AWVIYH]]%MSCM_[1_-BZEJLR3V"XQCCD0g?ZYXPEDFSRQ=3^]\Q5)RW@IDB"[PCUPO[JSS'\UHAII]P113?ZYXPEDFSRQ=4^]\Q5)RW@IDB"[PCUPO[JSS'\UOMYOPV@NJ@7g<WVUS@CCP_^06[ZYR8&_TENAA/T]P]KE(]VMDXR]VNB1a?ZYXPEDFSRQ=_^]V4*SXAJEE#XQLME-V[FIJEHDOS^KC/H6-BL]68T$^SA[[3`9\[Z^KFDUTS>QP_T2,QZODGG%^SNCK/T]@KHKFFMUXIA!F4/DJ_=[)]VF^X>o4_^][HKKXWV>TSR[?/T]JGJH(]VIFH"[PCNONEKBX[LF$E9 IIZ4^*PYK]]9j7RQPXMLN[ZY2WVU^<"[PIBMM+PYDEM%^SNABM@LG[VCK'@>%JDU;]/W\HPR4i2UTSUBAM^]\2ZYX]9%^SDM@N.W\GHB(]VIDA@OAD^QFH*O3&OCP>P Z_MWW7d=XWVRGB@QP_6]\[P6(]VCHCC!Z_BVQHZIR\&LTBN^T0\,E[FKCS9W%YRBLE2`8[ZY_DGGTSR6P_^W3+PYNKFD$YRM[RM]LQQ)AWGI[W=S!F^AN@^77U'_T@NK<b:]\[]JIEVUT5RQPU1-V[LEHF&_TOY\C_NWW+CYIKYQ;Q#HPCLFX54[)]VFHIi5nsxl`[acdgmoy56o|yoa\ip~b3jbb|~m`pd]jgjh5n2ice}}loqg\mfii$jbb|~m`pd]jgjhX~8U;9Ro#NNLF(KIIM:>m7nffpralt`Ynkfd'oegsbmsaZodggU}=R>:_`.xgZstmVdn{R~nrrgq95*dWqidSag|t<LvigcuWJeexac_Qmqpv;63\ynSHv{_Cq`ek;aieyn7X}jr^Q`ekYD`hce1X]JR09FjddkmV@mjQMuge>pwsb3\ynSX`zsdf\Fveff4lj`~k4Urg\Wj`sjzijb0hnlrg/gZ~cmchikobim{>wugu|hd= nQlosg\p|vb58&hS`oeos]uawungg7:?!mPmhllvZpbzzcdb0?#c^`vmibXzl|bdaa=1.`[fiumVgjfb|Pvdp>5)eXkfxnS`gaos]uaw;5$jUhckPmhllvZgkxakTzh|296.`[h``W`n6=!mPurg\fp`u59&hSx}j_rkn95*dW|ynS~aitcq`ek;7$jU{mzPieal``Yumncxxh|20-a\qvcXb}klSo{ig<3/gZhreVidby|`l^rlvqu:9%iTy~kPcnlwvjj758&hSx}j_bmmpwik94:'oR{|e^aljqthd;7; nQzsd]`kkruge96<!mPurg\gjhszff?1="l_tqf[fii|{eg90>#c^wpaZehf}xd`;3?,b]vw`Ydgg~yca920-a\qvcXkfd~bb7=1.`[pubWjeexac9<2/gZstmVidby|`l02>4)eX}zoTob`{rnn2586+kVxiRm`nuplh44:8%iTy~kPcnlwvjj6;4:'oR{|e^aljqthd8>6<!mPurg\a}rXjzijb0>#c^wpaZsi}zooSo}lao?3(fYr{lUsyQ{cj]tkwmfzfeh0?#c^g{ehvkmVnndia=gcow`*dW|yn~R}lao]`ldoi58&v=o5lhhrpgjvbW`idbRZFMDQSAFYIKO997nffpralt`YnkfdTz<Q?5^c/$]okagr+OB\J Fgmawgsg{*:?#?8;bmvjqcu>2ixS`{w8:ap[hs9?k0enaa_cq`ek`<ajeeSo}lao]aqca6k2chccQmsbcm[gsaoV^BAH]_EB][WQ`<ajeeSnck_eg`kac>3`idbRm`rdf8mfiiWj~y`Raztc9jgjhX{}ky~74ibmm[vik11eknlzimf~DEv39<1KLu?md;D90?7|[<o1=l>5918277bc9;09=?78{o3:a?7<f83m6;5+18a95<0<uZ9<6<o?:829564cl881><<68:Q4b?7dl3;1=><kd009644f82Y8;7?ld;39564cl881><<n3:f2fa<7280:w^;j:0c3><6=9:8oh<<5200:3>pS1o0;6<4>:6dxW0c=9h:15=4>33fg57<59;3<7)?65;:2?S7>l38py5751:w;e?6<u-396<74b0`g>5<5<3226:htH0;2?!gb28ho7W?i:0y22?{#90h1=n?4$3195gc<,<n1=oh4+4494?">=3??7c7;:328?.32290/584:4:l:0?6<3"?86=4+94860>h><3;07&;=:18'=0<2<2d287<4;*72>5<#1<0>86`64;18?.37290/584:4:l:0?2<3">m6=4+94860>h><3?07&:k:18'=0<2<2d28784;*6`>5<#1<0>86`64;58?.2e290/584:4:l:0?><3">j6=4+94860>h><3307&:6:18'=0<2<2d287o4;*6;>5<#1<0>86`64;`8?.20290/584:4:l:0?e<3">=6=4+94860>h><3n07&:::18'=0<2<2d287k4;*67>5<#1<0>86`64;d8?.25290/584:4:l:0?7732!?=7>5$87911=i1=0:=65$4183>!?22<>0b4:51398/6`=83.297;;;o;7>45<3"9n6=4+94860>h><3;?76%<d;29 <3===1e594>5:9(7f<72-3>68:4n86953=<#:h1<7*65;77?k?328=07&=n:18'=0<2<2d287?7;:)0=?6=,0?1995a9582=>=,=j0;6)7::468j<2=9h10'8l50;&:1?333g3?6<l4;*7b>5<#1<0>86`64;3`?>-213:1(4;5559m=1<6l21 954?:%;6>02<f0>1=h54+4594?">=3??7c7;:0d8?.2b290/584:4:l:0?4632!??7>5$87911=i1=09>65$3983>!?22<>0b4:52298f4?f290:6=4?{%cf>4?33A;246F>909l=4<722wi=4750;394?6|,ho1;=5G18:8L4?63f<m6=44}cce>5<e290;w)oj:0f8L4??3A;2=6`8a;38j2d=82e2i7>5;nc1>5<<g0h1<75`a083>>i6190;66a6a;29?j?c2900el=50;9l64<722ej;7>5;n41>5<<ukh;6=4=:381M7>92.ji7?l6:k57?6=3f<?6=44b0;1>5<5290;w)oj:0g8L4??3A;2=6g81;29?j>a2900qo?l7;294?6=8rB:5<5+ad8b2>Jf>3;p(lo5929~yv042909wS8<;<3:6?163ty=87>52z\50>;61;03j6srb04b>5<5290;w)oj:6;8L4??3A;2=6g81;29?j>a2900qo?9b;296?6=8r.ji796;I3:<>N6181b;<4?::m;b?6=3th::54?:583>5}#il0=;6F>999K5<7<,>21>6g=0;29?l452900e8h50;9l==<722wi=;850;694?6|,ho1::5G18:8L4?63-=36?5f2183>>o5:3:17d;i:188k<>=831vn<86:187>5<7s-kn6;;4H0;;?M7>92.<47<4i3294?=n;?0;66g:f;29?j??2900qojl:181>5<7s-kn6:74H0;;?M7>92c<=7>5;n:e>5<<ukno6=4<:183!gb2190D<77;I3:5>"003;<7)79:0`1?l152900e:=50;9l<c<722win54?:483>5}#il0=:6F>999K5<7<,>21>6g=0;29?l452900e>850;9j1c<722e247>5;|`a=?6==3:1<v*ne;45?M7>02B:5<5+7981?l472900e?<50;9j73<722c>j7>5;n;;>5<<ukhj6=4::183!gb2?<0D<77;I3:5>"00380e?>50;9j67<722c8:7>5;h7e>5<<g021<75rbc`94?3=83:p(lk5679K5<><@83:7)97:39j65<722c9>7>5;h15>5<<a<l1<75`9983>>{ej;0;684?:1y'e`<1>2B:555G1838 2>=:2c9<7>5;h01>5<<a:<1<75f5g83>>i>03:17plm3;291?6=8r.ji789;I3:<>N6181/;54=;h03>5<<a;81<75f3783>>o2n3:17b77:188ygd3290>6=4?{%cf>30<@8337E?61:&4<?4<a;:1<75f2383>>o4>3:17d;i:188k<>=831vno;50;794?6|,ho1:;5G18:8L4?63-=36?5f2183>>o5:3:17d=9:188m0`=831d554?::af3<72<0;6=u+ad852>N6111C=4?4$6:96>o583:17d<=:188m60=831b9k4?::m:<?6=3thi;7>55;294~"fm3<=7E?68:J2=4=#?1097d<?:188m74=831b?;4?::k6b?6=3f336=44}ca1>5<2290;w)oj:968L4??3A;2=6*88;3a?!?128k:7d9=:188m25=831b;94?::k41?6=3f2m6=44}ca2>5<2290;w)oj:968L4??3A;2=6*88;3;?!?128k:7d9=:188m25=831b;94?::k41?6=3f2m6=44}ca3>5<2290;w)oj:968L4??3A;2=6*88;37?!?128kh7d9=:188m25=831b;94?::k41?6=3f2m6=44}c`e>5<2290;w)oj:968L4??3A;2=6*88;32?!?128kh7d9=:188m25=831b;94?::k41?6=3f2m6=44}c`f>5<2290;w)oj:968L4??3A;2=6*88;d8 <0=9k90e:<50;9j36<722c<87>5;h56>5<<g1l1<75rbcf94?3=83:p(lk5859K5<><@83:7)97:d9'=3<6j:1b;?4?::k47?6=3`=?6=44i6794?=h0o0;66smcc83>0<729q/mh474:J2===O90;0(:65d:&:2?7e<2c<>7>5;h50>5<<a>>1<75f7483>>i?n3:17plla;291?6=8r.ji76;;I3:<>N6181/;54m;%;5>4d33`=96=44i6194?=n?=0;66g85;29?j>a2900qom6:186>5<7s-kn65:4H0;;?M7>92.<47o4$8495g3<a>81<75f7283>>o0<3:17d9::188k=`=831vnn650;794?6|,ho1495G18:8L4?63-=3645+9782f0=n?;0;66g83;29?l132900e:;50;9l<c<722wio:4?:483>5}#il0386F>999K5<7<,>2146*66;3a2>o0:3:17d9<:188m22=831b;84?::m;b?6=3thh:7>55;294~"fm32?7E?68:J2=4=#?10<7)79:0`5?l152900e:=50;9j31<722c<97>5;n:e>5<<uki>6=4::183!gb21>0D<77;I3:5>"003<0(4851c58m24=831b;>4?::k40?6=3`=>6=44o9d94?=zjj>1<7;50;2x dc=0=1C=464H0;2?!1?2<1/5;4>b69j37<722c<?7>5;h57>5<<a>?1<75`8g83>>{ek:0;684?:1y'e`<?<2B:555G1838 2>=<2c<>7>5;h50>5<<a>>1<75f7483>>i?n3:17plmc;291?6=8r.ji76;;I3:<>N6181/;54<;h51>5<<a>91<75f7583>>o0=3:17b6i:188ygb5290>6=4?{%cf>=2<@8337E?61:&4<?7e3`=96=44i6194?=n?=0;66g85;29?j>a2900qoj>:186>5<7s-kn65:4H0;;?M7>92.<47?7;h51>5<<a>91<75f7583>>o0=3:17b6i:188ygb7290>6=4?{%cf>=2<@8337E?61:&4<?733`=96=44i6194?=n?=0;66g85;29?j>a2900qomi:186>5<7s-kn65:4H0;;?M7>92.<47?>;h51>5<<a>91<75f7583>>o0=3:17b6i:188ygeb290>6=4?{%cf>=2<@8337E?61:&4<?`<a>81<75f7283>>o0<3:17d9::188k=`=831vnnj50;794?6|,ho1495G18:8L4?63-=36h5f7383>>o0;3:17d9;:188m23=831d4k4?::a`g<72<0;6=u+ad8;0>N6111C=4?4$6:9`>o0:3:17d9<:188m22=831b;84?::m;b?6=3thom7>55;294~"fm32?7E?68:J2=4=#?10i7d9=:188m25=831b;94?::k41?6=3f2m6=44}cf:>5<2290;w)oj:968L4??3A;2=6*88;c8m24=831b;>4?::k40?6=3`=>6=44o9d94?=zjm21<7;50;2x dc=0=1C=464H0;2?!1?201b;?4?::k47?6=3`=?6=44i6794?=h0o0;66smd683>0<729q/mh474:J2===O90;0(:658:k46?6=3`=86=44i6694?=n?<0;66a7f;29?xdc>3:197>50z&ba?>33A;246F>909'3=<03`=96=44i6194?=n?=0;66g85;29?j>a2900qoj::186>5<7s-kn65:4H0;;?M7>92.<4784i6094?=n?:0;66g84;29?l122900c5h50;9~fa2=83?1<7>t$`g9<1=O9020D<7>;%5;>0=n?;0;66g83;29?l132900e:;50;9l<c<722wih>4?:483>5}#il0386F>999K5<7<,>2186g82;29?l142900e::50;9j30<722e3j7>5;|``g?6==3:1<v*ne;:7?M7>02B:5<5+7980?l152900e:=50;9j31<722c<97>5;n:e>5<<uko?6=4::183!gb21>0D<77;I3:5>"003;i7)79:0`;?l152900e:=50;9j31<722c<97>5;n:e>5<<uko>6=4::183!gb21>0D<77;I3:5>"003;i7)79:0`;?l152900e:=50;9j31<722c<97>5;n:e>5<<ukl96=4::183!gb21>0D<77;I3:5>"003;i7)79:0c5?l152900e:=50;9j31<722c<97>5;n:e>5<<ukl86=4::183!gb21>0D<77;I3:5>"003;i7)79:0c5?l152900e:=50;9j31<722c<97>5;n:e>5<<ukl?6=4::183!gb21>0D<77;I3:5>"003;i7)79:0c4?l152900e:=50;9j31<722c<97>5;n:e>5<<ukl>6=4::183!gb21>0D<77;I3:5>"003;i7)79:0c4?l152900e:=50;9j31<722c<97>5;n:e>5<<ukl=6=4::183!gb21>0D<77;I3:5>"003;i7)79:0c;?l152900e:=50;9j31<722c<97>5;n:e>5<<ukl<6=4::183!gb21>0D<77;I3:5>"003;i7)79:0c;?l152900e:=50;9j31<722c<97>5;n:e>5<<ukl36=4::183!gb21>0D<77;I3:5>"003;i7)79:0c:?l152900e:=50;9j31<722c<97>5;n:e>5<<ukl26=4::183!gb21>0D<77;I3:5>"003;i7)79:0c:?l152900e:=50;9j31<722c<97>5;n:e>5<<uklj6=4::183!gb21>0D<77;I3:5>"003;i7)79:0cb?l152900e:=50;9j31<722c<97>5;n:e>5<<ukli6=4::183!gb21>0D<77;I3:5>"003;i7)79:0cb?l152900e:=50;9j31<722c<97>5;n:e>5<<uklh6=4::183!gb21>0D<77;I3:5>"003;i7)79:0ca?l152900e:=50;9j31<722c<97>5;n:e>5<<uklo6=4::183!gb21>0D<77;I3:5>"003;i7)79:0ca?l152900e:=50;9j31<722c<97>5;n:e>5<<ukln6=4::183!gb21>0D<77;I3:5>"003;i7)79:0cg?l152900e:=50;9j31<722c<97>5;n:e>5<<uklm6=4::183!gb21>0D<77;I3:5>"003;i7)79:0cg?l152900e:=50;9j31<722c<97>5;n:e>5<<uk;;<7>55;294~"fm32?7E?68:J2=4=#?10:n6*66;3ba>o0:3:17d9<:188m22=831b;84?::m;b?6=3th:<<4?:483>5}#il0386F>999K5<7<,>21=o5+9782e`=n?;0;66g83;29?l132900e:;50;9l<c<722wi==<50;794?6|,ho1495G18:8L4?63-=36<l4$8495d`<a>81<75f7283>>o0<3:17d9::188k=`=831vn<><:186>5<7s-kn65:4H0;;?M7>92.<47?m;%;5>4ga3`=96=44i6194?=n?=0;66g85;29?j>a2900qok9:186>5<7s-kn65:4H0;;?M7>92.<47?m;%;5>4d>3`=96=44i6194?=n?=0;66g85;29?j>a2900qok8:186>5<7s-kn65:4H0;;?M7>92.<47?m;%;5>4d>3`=96=44i6194?=n?=0;66g85;29?j>a2900qok7:186>5<7s-kn65:4H0;;?M7>92.<47?m;%;5>4df3`=96=44i6194?=n?=0;66g85;29?j>a2900qok6:186>5<7s-kn65:4H0;;?M7>92.<47?m;%;5>4df3`=96=44i6194?=n?=0;66g85;29?j>a2900qokn:186>5<7s-kn65:4H0;;?M7>92.<47?m;%;5>4g53`=96=44i6194?=n?=0;66g85;29?j>a2900qokm:186>5<7s-kn65:4H0;;?M7>92.<47?m;%;5>4g53`=96=44i6194?=n?=0;66g85;29?j>a2900qokl:186>5<7s-kn65:4H0;;?M7>92.<47?m;%;5>4g43`=96=44i6194?=n?=0;66g85;29?j>a2900qokk:186>5<7s-kn65:4H0;;?M7>92.<47?m;%;5>4g43`=96=44i6194?=n?=0;66g85;29?j>a2900qokj:186>5<7s-kn65:4H0;;?M7>92.<47?m;%;5>4g33`=96=44i6194?=n?=0;66g85;29?j>a2900qoki:186>5<7s-kn65:4H0;;?M7>92.<47?m;%;5>4g33`=96=44i6194?=n?=0;66g85;29?j>a2900qoh?:186>5<7s-kn65:4H0;;?M7>92.<47?m;%;5>4g23`=96=44i6194?=n?=0;66g85;29?j>a2900qoh>:186>5<7s-kn65:4H0;;?M7>92.<47?m;%;5>4g23`=96=44i6194?=n?=0;66g85;29?j>a2900qo??5;297?6=8r.ji76<;I3:<>N6181/;54>3:&:2?7e82c<>7>5;h50>5<<g1l1<75rb027>5<4290;w)oj:918L4??3A;2=6*88;33?!?128h;7d9=:188m25=831d4k4?::a550=8391<7>t$`g9<6=O9020D<7>;%5;>4g<,0<1=o?4i6094?=n?:0;66a7f;29?xd6;m0;694?:1y'e`<?02B:555G1838m24=831b;>4?::k:g?6=3f2m6=44}c30f?6=<3:1<v*ne;:4?M7>02B:5<5f7383>>o0;3:17d7l:188k=`=831vn<=n:187>5<7s-kn6594H0;;?M7>92c<>7>5;h50>5<<a0i1<75`8g83>>{e99k1<7;50;2x dc=0=1C=464H0;2?!1?2j1b;?4?::k47?6=3`=?6=44i6794?=h0o0;66sm11`94?3=83:p(lk5859K5<><@83:7)97:728m24=831b;>4?::k40?6=3`=>6=44o9d94?=zj8:h6=4::183!gb21>0D<77;I3:5>"003;>7d9=:188m25=831b;94?::k41?6=3f2m6=44}c33`?6==3:1<v*ne;:7?M7>02B:5<5+79826>o0:3:17d9<:188m22=831b;84?::m;b?6=3th:=<4?:383>5}#il0<56F>999K5<7<a>;1<75`8g83>>{e99o1<7=50;2x dc=0:1C=464H0;2?!1?28:0e:<50;9j36<722e3j7>5;|`255<72<0;6=u+ad8;0>N6111C=4?4$6:95g=n?;0;66g83;29?l132900e:;50;9l<c<722wi==h50;194?6|,ho14>5G18:8L4?63-=36<o4$8495g7<a>81<75f7283>>i?n3:17pl>3b83>1<729q/mh497:J2===O90;0(:652:k14?6=3`896=44i4d94?=h110;66sm13294?5=83:p(lk51858L4??3A;2=6g=4;29?l1c2900c5h50;9~f47c290?6=4?{%cf>=0<@8337E?61:k10?6=3`<:6=44i8a94?=h?l0;66sm13694?5=83:p(lk51858L4??3A;2=6g=4;29?l1c2900c5h50;9~f446290?6=4?{%cf>=0<@8337E?61:k10?6=3`<:6=44i8a94?=h?l0;66sm13:94?5=83:p(lk51858L4??3A;2=6g=4;29?l1c2900c5h50;9~f442290?6=4?{%cf>=0<@8337E?61:k10?6=3`<:6=44i8a94?=h?l0;66sm13a94?5=83:p(lk51858L4??3A;2=6g=4;29?l1c2900c5h50;9~f44>290?6=4?{%cf>=0<@8337E?61:k10?6=3`<:6=44i8a94?=h?l0;66sm12294?5=83:p(lk51858L4??3A;2=6g=4;29?l1c2900c5h50;9~f44c290?6=4?{%cf>=0<@8337E?61:k10?6=3`<:6=44i8a94?=h?l0;66sm12194?5=83:p(lk51858L4??3A;2=6g=4;29?l1c2900c5h50;9~f47a29096=4?{%cf>=4<@8337E?61:&4<?743`=96=44o9d94?=zj8886=4=:183!gb2180D<77;I3:5>"003;87d9=:188k=`=831vn<<8:181>5<7s-kn65<4H0;;?M7>92.<47?<;h51>5<<g1l1<75rb00a>5<5290;w)oj:908L4??3A;2=6*88;30?l152900c5h50;9~f44a29096=4?{%cf>=4<@8337E?61:&4<?743`=96=44o9d94?=zj8996=4=:183!gb2180D<77;I3:5>"003;87d9=:188k=`=831vn<?j:186>5<7s-kn6;74H0;;?M7>92.<47<4i3294?=n:;0;66g:f;29?l?>2900c4650;9~f445290>6=4?{%cf>3?<@8337E?61:&4<?4<a;:1<75f2383>>o2n3:17d76:188k<>=831vn<<9:186>5<7s-kn6;74H0;;?M7>92.<47<4i3294?=n:;0;66g:f;29?l?>2900c4650;9~f44f290>6=4?{%cf>3?<@8337E?61:&4<?4<a;:1<75f2383>>o2n3:17d76:188k<>=831vn<<j:186>5<7s-kn6;74H0;;?M7>92.<47<4i3294?=n:;0;66g:f;29?l?>2900c4650;9~f456290>6=4?{%cf>3?<@8337E?61:&4<?4<a;:1<75f2383>>o2n3:17d76:188k<>=831vn<;7:186>5<7s-kn65:4H0;;?M7>92.<47j4i6094?=n?:0;66g84;29?l122900c5h50;9~f43129086=4?{%cf>=5<@8337E?61:&4<?7f3-3=6<l=;h51>5<<a>91<75`8g83>>{e9<k1<7<50;2x dc=?01C=464H0;2?l162900c5h50;9~f430290>6=4?{%cf>=2<@8337E?61:&4<?c<a>81<75f7283>>o0<3:17d9::188k=`=831vn<;6:186>5<7s-kn6;74H0;;?M7>92.<47<4i3294?=n:;0;66g:f;29?l?>2900c4650;9~f42a290?6=4?{%cf>3><@8337E?61:&4<?4<a;:1<75f5g83>>o>13:17b77:188yg7283:187>50z&ba?0?3A;246F>909'3=<53`8;6=44i4d94?=n100;66a68;29?xd6=80;694?:1y'e`<102B:555G1838 2>=:2c9<7>5;h7e>5<<a031<75`9983>>{e9<81<7:50;2x dc=>11C=464H0;2?!1?2;1b>=4?::k6b?6=3`326=44o8:94?=zj8?86=4;:183!gb2?20D<77;I3:5>"00380e?>50;9j1c<722c257>5;n;;>5<<uk;>87>54;294~"fm3<37E?68:J2=4=#?1097d<?:188m0`=831b544?::m:<?6=3th:984?:583>5}#il0=46F>999K5<7<,>21>6g=0;29?l3a2900e4750;9l==<722wi=;950;594?6|,ho1485G18:8L4?63-=36<m4i6094?=n?:0;66g84;29?l122900e:850;9j32<722e3j7>5;|`2g5<7290;6=u+ad8b2>Nf<2Fj:7:t$`a92f=#im0=h6*nb;4a?!g>2?k0qp}:6;296~X2>27:::486:p10<72;qU9852f18;b>{t=:0;6?uQ529>a`<?n2wx9?4?:3y]17=:mj03j6s|5083>7}Y=816il47f:p15<72;qU9=52e98;b>{t<o0;6?uQ4g9>a3<?n2wx8i4?:3y]0a=:99814k5rs5a94?4|V=i01<>?:9d8yv2e2909wS:m;<df>=`<uz>j6=4={_6b?8`d21l0q~:6:181[2>34lj65h4}r6;>5<5sW>370h7:9d8yv202909wS:8;<d5>=`<uz>=6=4={_65?8`321l0q~:::181[2234l965h4}r67>5<5sW>?70k;:9d8yv252909wS:=;<d2>=`<uz>:6=4={_62?8ca21l0q~:?:181[2734oo65h4}r1e>5<5sW9m70km:9d8yv5b2909wS=j;<g:>=`<uz9o6=4={_1g?8c021l0q~=l:181[5d34;;?76i;|q0f?6=:rT8n63>008;b>{t;h0;6?uQ3`9>bc<?n2wx?44?:3y]7<=:nm03j6s|5b83>7}Y=j16jo47f:p1g<72;qU9o52f88;b>{t=h0;6?uQ5`9>b2<?n2wx944?:3y]1<=:n<03j6s|5983>7}Y=116j>47f:p12<72;qU9:52e48;b>{t<:0;68uQ429>530=1116n:4:f:?210<2n27:9:485:p5=0=83;owS=7;<`3>32<58<=6?>4=04;>76<5k=1>=52b7814>;e=38;70l;:3289g5=:916n?4=0:?af?4734hj6?>4=c;965=:j109<63>3b814>;6;809<63>2d814>;6:h09<63>27814>;6:;09<63>1d814>;6=<09<63>55814>;6=:09<63>53814>;6=809<63>51814>;6<o09<63>58814>{t0k0;6iu218c9=4=:9?<1>?5217:967=:9:i1>?52123967=:9;o1>?5213c967=:9;<1>?52130967=:98o1>?5210f961=:9;:1>95214;91c=z{=o1<79t=0;:>3`<V=o01<<k:738944>2?;01<<::73894462?;01<?k:738yv7?n3:1>v3>68814>;fn3;2<6s|19g94?2|5mn1;>52114936=:99l1;>52ag8:a>{tj80;6?u2b1857>;fn3<97p}>8483>7}:9?319k5217c9<c=z{8236=4={<35=?5134;=n76i;|q2<<<72:q6==85739>55`=?;16=875999~w4>e2909w0?98;;;?8ga2h90q~?7c;296~;6;j02463>66843>{t9?o1<7:t=ca9<c=:m<0<?63j4;50?87683=87p}>7483>6}:k:03j63i3;50?8`52>90q~?86;297~;d<32m70h::6189c2=?:1v<98:1808e221l01k95729>b3<0;2wx=:650;1x9f0=0o16j4483:?e<?143ty:;44?:2y>g2<?n27mn79<;<db>25<uz;<m7>53z?`<?>a34lo6:=4=ga936=z{8=i6=4<{<a:>=`<5ol1;>52fd847>{t9>i1<7=t=bc9<c=:99;1;>52112936=z{8=o6=4<{<aa>=`<58:86:=4=021>25<uz;=j7>53z?a`?>a34o<6:=4=d4936=z{8=;6=4<{<`f>=`<5l31;>52e9847>{t9>;1<7=t=cd9<c=:mk0<?63ja;50?xu6?;0;6>u2c18;b>;bl3=870kl:618yv70;3:1?v3l1;:e?8ca2>901hk5729~w4132908w0m=:9d89c7=?:16j=483:p5=4=838:w0ml:9d89c7=?=16j=484:?fb?1334on6::4=df931=:mj0<863jb;57?8cf2>>01h75759>a=<0<27n;79;;<g5>22<58:86::4=021>22<58::6::4=023>22<5ol1;952fd840>;al3=?70hl:6689cd=?=16jl484:?e=?1334l36::4=g5931=:n?0<863i5;57?8`32>>01k=5759>b7<0<27n979;;<g7>22<uz;3?7>52z?g6?>a34;:<79;;|q23`<7289p1o:5999>f6<2n27ho79=;<f0>24<5m>1;?52d4846>;c>3=970j8:6089a>=?;16h4482:?ge?1534ni6:<4=bf937=:kl0<>63lf;51?8b72>801i?5739>`7<0:27:::485:p52`=83;8w0l::8:89g2==o16on483:?g7?1434n?6:=4=e7936=:l?0<?63k7;50?8b?2>901i75729>`d<0;27on79<;<ag>25<5jo1;>52cg847>;c83=870j>:6189a4=?:16=;95759~w4>7290:?v3m6;;;?8d22<l01nm5759>`6<0<27o879;;<f6>22<5m<1;952d6840>;c03=?70j6:6689ag=?=16ho484:?``?1334in6::4=bd931=:l90<863k1;57?8b52>>01<88:618yv7?93:1=>u2b68:<>;e>3?m70ml:6789a5=?<16h9485:?g1?1234n=6:;4=e5930=:l10<963k9;56?8bf2>?01il5749>ga<0=27hi79:;<ae>23<5m:1;852d0841>;c:3=>70?97;51?xu6>j0;69u2b98:<>;68=0<>63>04846>;68l0<>6s|17f94?3|5k315552b986b>;68=0<?63>04847>;68l0<?6s|19694?2|58<26464=04b>27<5mn1;?5214c934=z{82o6=4={<35<?3a34;=;76i;|q2<2<72:q6=;l5709>ec<>l27oo79>;|q2<d<72;q6=;855g9>ec<f:2wxhh4?:cy>f2<4>27i:7=9;<`6>60<5k>1?;52b2802>;e:39=70lm:2489gg=;?16n44<6:?a<?5134nh65h4}rg0>5<es4h<6?<4=c4967=:j<09>63m4;01?8d42;801o<5239>fg<5:27im7<=;<`:>74<5k21>?52de8;b>{tm80;6<<t=cc9===:j00>j63mc;51?8e42>801n:5739>g0<0:27h:79=;<a4>24<5j21;?52c8846>;di3=970mm:6089gb=?;16nh482:?ab?1534i;6:<4=b3937=:k;0<>6s|e383>44|5kh15552b`86b>;ek3=870m<:6189f2=?:16o8483:?`2?1434i<6:=4=b:936=:k00<?63la;50?8ee2>901oj5729>f`<0;27ij79<;<a3>25<5j;1;>52c3847>{tlo0;6<<t=c09===:jk0>j63mc;57?8e42>>01n:5759>g0<0<27h:79;;<a4>22<5j21;952c8840>;di3=?70mm:6689gb=?=16nh484:?ab?1334i;6::4=b3931=:k;0<86s|e183>44|5k915552b386b>;ek3=>70m<:6789f2=?<16o8485:?`2?1234i<6:;4=b:930=:k00<963la;56?8ee2>?01oj5749>f`<0=27ij79:;<a3>23<5j;1;852c3841>{t9921<7?>{<331?>a34l;6:;4=dg930=:mj0<963ja;56?8c?2>?01h85749>554=?<16==>5749>b`<0=27mo79:;<db>23<5o21;852f7841>;a<3=>70h=:6789`2=?<1v<>8:1825~;68=03j63i1;56?8ca2>?01hj5749>ag<0=27n579:;<g4>23<58:86:;4=022>23<5ol1;852fe841>;aj3=>70h6:6789c1=?<16j8485:?e7?1234o>6:;4}r33=?6=:8q6==858g9>b4<0:27m<79=;<ge>24<5lo1;?52ee846>;bk3=970km:6089`g=?;16i4482:?f<?1534o<6:<4=d4937=:9991;?52110937=:99;1;?52112937=:no0<>63ie;51?8`c2>801km5739>bg<0:27mm79=;<d:>24<5o21;?52f6846>;a>3=970h::6089c2=?;16j>482:?e6?1534o>6:<4=d6937=z{8>n6=4={<30g?3a34;8h76i;|q20a<72;q6=>l58g9>56b=?;1v<:l:181874i32m70?<d;50?xu6<90;6?u211c9<c=:9:h1;?5rs062>5<5s4;;n76i;<30f?143ty:8?4?:3y>55e=0o16=>o5739~w4242909w0??d;:e?874i3=87p}>4483>2}:98;14k521239=<=:9;o1545213c9=<=:9;<154521309=<=:98o1545rs01f>5<5s4;:<79:;<33a?>a3ty:894?:3y>546=0o16=<?5709~w45a2909w0??f;:e?87683=97p}>4c83>6}:9:n15n521239===:9:81;?5rs06b>5<3s4;8m77l;<30f??d34;9i777;<31b?153ty:844?:7y>55b=?<16==m5749>55d=?<16==o5749>57g=1116=?l5739~w42?290=w0??d;57?877k3=?70??b;57?877i3=?70?=6;;;?875?3=97p}>4683>3}:99n1;>5211a936=:99h1;>5211c936=:9;815552131937=z{8>=6=49{<33`?1534;;o79=;<33f?1534;;m79=;<32a???34;:j79=;|q252<72;q6=<k55g9>576=0o1v<?=:180875938?70?=4;07?876l3=n7p}>1983>7}:9;819k521369<c=z{8;86=4<{<311?4334;947<;;<315?1b3ty:=44?:3y>570==o16=?658g9~w4732908w0?=9;07?875k38?70?=5;5f?xu69h0;6?u213c91c=:9;i14k5rs036>5<4s4;9h7<;;<304?4334;9579j;|q25g<72;q6=?k55g9>566=0o1v<?9:181874;38?70?=d;5f?xu69j0;6?u212391c=:9:914k5rs017>5<4s4;:j76i;<32`??d34;9<79k;|q270<72:q6=?=58g9>577=1j16=?:57e9~w4512908w0?=7;:e?875=33h70?=8;5g?xu6;>0;6>u213`9<c=:9;315n5213a93a=z{8936=4<{<31b?>a34;9h77l;<304?1c3ty:?44?:3y>564=0o16=>=57e9~w43b2909w0?:6;51?872032m7p}>5b83>7}:9<31>?521449<c=z{8?i6=46{<361??>34;>8776;<367??>34;>>776;<365??>34;><776;<37b??>34;>5776;<36e?>a3ty:9k4?:3y>51`=1116=865739~w4072908w0?:0;;;?873n3?m70?:8;50?xu6>80;6>u21439===:9<:19k5214:931=z{8<96=4<{<366???34;>=7;i;<36<?123ty::>4?:2y>505=1116=8<55g9>501=?;1v<8;:180872<33370?:3;7e?872?3=87p}>5e83>7}:9<=14k52144936=z{8<>6=4<{<361???34;>87;i;<363?133twe?<950;3xL4?63td8=54?:0yK5<7<ug9:57>51zJ2=4=zf:;j6=4>{I3:5>{i;8h1<7?tH0;2?xh49j0;6<uG1838yk56l3:1=vF>909~j67b290:wE?61:m74`=83;pD<7>;|l065<728qC=4?4}o115?6=9rB:5<5rn201>5<6sA;2=6sa33194?7|@83:7p`<2583>4}O90;0qc==5;295~N6181vb><9:182M7>92we??950;3xL4?63td8>54?:0yK5<7<ug9957>51zJ2=4=zf:8j6=4>{I3:5>{i;;h1<7?tH0;2?xh4:j0;6<uG1838yk55l3:1=vF>909~j64b290:wE?61:m77`=83;pD<7>;|l075<728qC=4?4}o105?6=9rB:5<5rn211>5<6sA;2=6sa32194?7|@83:7p`<3583>4}O90;0qc=<5;295~N6181vb>=9:182M7>92we?>950;3xL4?63td8?54?:0yK5<7<ug9857>52zJ;a>N?l2B:5<5Ua9822e=utd8?l4?:0yK5<7<ug98n7>51zJ2=4=zf:9h6=4>{I:f?M>c3A;2=6Tn8;3x5<5=uS<n6<un8;~j65c290:wE6j;I:g?M7>92Pj97?t0;~j65b2909wE?61:m76`=83;pD<7>;|l005<728qC=4?4}o175?6=9rB:5<5rn261>5<6sA;2=6sa35194?7|@83:7p`<4583>4}O90;0qc=;5;295~N6181vb>:9:182M7>92we?9950;3xL4?63td8854?:0yK5<7<ug9?57>51zJ2=4=zf:>j6=4>{I3:5>{i;=h1<7?tH0;2?xh4<j0;6<uG1838yk53l3:1=vF>909~j62b290:wE?61:m71`=83;pD<7>;|l015<728qC=4?4}o165?6=9rB:5<5rn271>5<6sA;2=6sa34194?7|@83:7p`<5583>4}O90;0qc=:5;295~N6181vb>;9:182M7>92we?8950;3xL4?63td8954?:0yK5<7<ug9>57>51zJ2=4=zf:?j6=4>{I3:5>{i;<h1<7?tH0;2?xh4=j0;6<uG1838yk52l3:1=vF>909~j63b290:wE?61:m70`=83;pD<7>;|l025<728qC=4?4}o155?6=9rB:5<5rn241>5<6sA;2=6sa37194?7|@83:7p`<6583>4}O90;0qc=95;295~N6181vb>89:182M7>92we?;950;3xL4?63td8:54?:0yK5<7<ug9=57>51zJ2=4=zf:<j6=4>{I3:5>{i;?h1<7?tH0;2?xh4>j0;6<uG1838yk51l3:1=vF>909~j60b290:wE?61:m73`=83;pD<7>;|l035<728qC=4?4}o145?6=9rB:5<5rn251>5<6sA;2=6sa36194?7|@83:7p`<7583>4}O90;0qc=85;295~N6181vb>99:182M7>92we?:950;3xL4?63td8;54?:0yK5<7<ug9<57>51zJ2=4=zf:=j6=4>{I3:5>{i;>h1<7?tH0;2?xh4?j0;6<uG1838yk50l3:1=vF>909~j61b290:wE?61:m72`=83;pD<7>;|l0<5<728qC=4?4}o1;5?6=9rB:5<5rn2:1>5<6sA;2=6sa39194?7|@83:7p`<8583>4}O90;0qc=75;295~N6181vb>69:182M7>92we?5950;3xL4?63td8454?:0yK5<7<ug9357>51zJ2=4=zf:2j6=4>{I3:5>{i;1h1<7?tH0;2?xh40j0;6<uG1838yk5?l3:1=vF>909~j6>b290:wE?61:m7=`=83;pD<7>;|l0=5<728qC=4?4}o1:5?6=9rB:5<5rn2;1>5<6sA;2=6sa38194?7|@83:7p`<9583>4}O90;0qc=65;295~N6181vqpsO@By750<2k<:9<;or@A@x4xFGXrwKL
\ No newline at end of file
This source diff could not be displayed because it is too large. You can view the blob instead.
-------------------------------------------------------------------------------
-- Title : Xilinx DDR driver
-- Project : Fine Delay FMC (fmc-delay-1ns-4cha)
-------------------------------------------------------------------------------
-- File : fd_ddr_driver.vhd
-- Author : Tomasz Wlostowski
-- Company : CERN
-- Created : 2011-08-24
-- Last update: 2012-02-24
-- Platform : FPGA-generic
-- Standard : VHDL'93
-------------------------------------------------------------------------------
-- Description: Wrapper for Xilinx ODDR2 primitive. Configured to latch input
-- data at the rising edge of clk0_i.
-------------------------------------------------------------------------------
--
-- Copyright (c) 2011 CERN / BE-CO-HT
--
-- This source file is free software; you can redistribute it
-- and/or modify it under the terms of the GNU Lesser General
-- Public License as published by the Free Software Foundation;
-- either version 2.1 of the License, or (at your option) any
-- later version.
--
-- This source is distributed in the hope that it will be
-- useful, but WITHOUT ANY WARRANTY; without even the implied
-- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR
-- PURPOSE. See the GNU Lesser General Public License for more
-- details.
--
-- You should have received a copy of the GNU Lesser General
-- Public License along with this source; if not, download it
-- from http://www.gnu.org/licenses/lgpl-2.1.html
--
-------------------------------------------------------------------------------
-- Revisions :
-- Date Version Author Description
-- 2012-02-10 1.0 twlostow Created
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library unisim;
use unisim.vcomponents.all;
entity fd_ddr_driver is
port (
clk0_i : in std_logic;
clk1_i : in std_logic;
d0_i : in std_logic;
d1_i : in std_logic;
q_o : out std_logic);
end fd_ddr_driver;
architecture wrapper of fd_ddr_driver is
component ODDR2
generic (
DDR_ALIGNMENT : string := "C0";
SRTYPE : string := "ASYNC");
port (
Q : out std_ulogic;
CE : in std_ulogic := '1';
R : in std_ulogic := '0';
S : in std_ulogic := '0';
C0 : in std_ulogic;
C1 : in std_ulogic;
D0 : in std_ulogic;
D1 : in std_ulogic);
end component;
begin -- wrapper
U_Wrapped_ODDR2 : ODDR2
port map (
Q => q_o,
C0 => clk0_i,
C1 => clk1_i,
D0 => d0_i,
D1 => d1_i);
end wrapper;
-- file: clk_wiz_v3_2.vhd
--
-- (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
------------------------------------------------------------------------------
-- User entered comments
------------------------------------------------------------------------------
-- None
--
------------------------------------------------------------------------------
-- "Output Output Phase Duty Pk-to-Pk Phase"
-- "Clock Freq (MHz) (degrees) Cycle (%) Jitter (ps) Error (ps)"
------------------------------------------------------------------------------
-- CLK_OUT1___125.000______0.000______50.0______161.194____212.907
-- CLK_OUT2___125.000____180.000______50.0______161.194____212.907
--
------------------------------------------------------------------------------
-- "Input Clock Freq (MHz) Input Jitter (UI)"
------------------------------------------------------------------------------
-- __primary_________125.000____________0.010
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
use ieee.numeric_std.all;
library unisim;
use unisim.vcomponents.all;
entity fd_ddr_pll is
port
(
RST : in std_logic;
LOCKED : out std_logic;
-- Clock in ports
CLK_IN1_P : in std_logic;
CLK_IN1_N : in std_logic;
-- Clock out ports
CLK_OUT1 : out std_logic;
CLK_OUT2 : out std_logic
);
end fd_ddr_pll;
architecture xilinx of fd_ddr_pll is
attribute CORE_GENERATION_INFO : string;
attribute CORE_GENERATION_INFO of xilinx : architecture is "clk_wiz_v3_2,clk_wiz_v3_2,{component_name=clk_wiz_v3_2,use_phase_alignment=true,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,feedback_source=FDBK_AUTO,primtype_sel=PLL_BASE,num_out_clk=2,clkin1_period=8.000,clkin2_period=8.000,use_power_down=false,use_reset=false,use_locked=false,use_inclk_stopped=false,use_status=false,use_freeze=false,use_clk_valid=false,feedback_type=SINGLE,clock_mgr_type=MANUAL,manual_override=false}";
-- Input clock buffering / unused connectors
signal clkin1 : std_logic;
-- Output clock buffering / unused connectors
signal clkfbout : std_logic;
signal clkfbout_buf : std_logic;
signal clkout0 : std_logic;
signal clkout1 : std_logic;
signal clkout2_unused : std_logic;
signal clkout3_unused : std_logic;
signal clkout4_unused : std_logic;
signal clkout5_unused : std_logic;
-- Unused status signals
signal locked_unused : std_logic;
begin
-- Input buffering
--------------------------------------
clkin1_buf : IBUFGDS
generic map
(
DIFF_TERM => true, -- Differential Termination
IBUF_LOW_PWR => false, -- Low power (TRUE) vs. performance (FALSE) setting for referenced I/O standards
IOSTANDARD => "LVDS_25")
port map
(O => clkin1,
I => CLK_IN1_P,
IB => CLK_IN1_N);
-- Clocking primitive
--------------------------------------
-- Instantiation of the PLL primitive
-- * Unused inputs are tied off
-- * Unused outputs are labeled unused
pll_base_inst : PLL_BASE
generic map
(BANDWIDTH => "OPTIMIZED",
CLK_FEEDBACK => "CLKFBOUT",
COMPENSATION => "SYSTEM_SYNCHRONOUS",
DIVCLK_DIVIDE => 1,
CLKFBOUT_MULT => 4,
CLKFBOUT_PHASE => 0.000,
CLKOUT0_DIVIDE => 4,
CLKOUT0_PHASE => 0.000,
CLKOUT0_DUTY_CYCLE => 0.500,
CLKOUT1_DIVIDE => 4,
CLKOUT1_PHASE => 180.000,
CLKOUT1_DUTY_CYCLE => 0.500,
CLKIN_PERIOD => 8.000,
REF_JITTER => 0.010)
port map
-- Output clocks
(CLKFBOUT => clkfbout,
CLKOUT0 => clkout0,
CLKOUT1 => clkout1,
CLKOUT2 => clkout2_unused,
CLKOUT3 => clkout3_unused,
CLKOUT4 => clkout4_unused,
CLKOUT5 => clkout5_unused,
LOCKED => LOCKED,
RST => RST,
-- Input clock control
CLKFBIN => clkfbout_buf,
CLKIN => clkin1);
-- Output buffering
-------------------------------------
clkf_buf : BUFG
port map
(O => clkfbout_buf,
I => clkfbout);
clkout1_buf : BUFG
port map
(O => CLK_OUT1,
I => clkout0);
clkout2_buf : BUFG
port map
(O => CLK_OUT2,
I => clkout1);
end xilinx;
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment