Commit 5d4e76d9 authored by Jan Pospisil's avatar Jan Pospisil

added comment

parent 6ede4e8b
--
-- minimal pulse generator
-- (generated pulse can be longer)
--
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment