Commit 35954103 authored by Jan Pospisil's avatar Jan Pospisil

added signal back loop (connected to front panel LEMOs)

parent 446b00fb
......@@ -60,10 +60,15 @@ entity SvecTopFfpg is
Pll25DacSclk_o: out std_logic;
Pll25DacSync_on: out std_logic;
-- Carrier font panel LEDs
-- Carrier font panel LEDs & LEMOs
FpLedsLineEnable_ob2: out std_logic_vector(1 downto 0);
FpLedsLine_ob2: out std_logic_vector(1 downto 0);
FpLedsColumn_ob4: out std_logic_vector(3 downto 0);
FpLemo_ob4: out std_logic_vector(4 downto 1);
FpLemoDir1_A2B_o: out std_logic;
FpLemoDir2_A2B_o: out std_logic;
FpLemoDir34_A2B_o: out std_logic;
FpLemoTerm_oeb4: out std_logic_vector(4 downto 1);
-- Carrier I2C EEPROM
CarrierScl_io: inout std_logic;
......@@ -127,6 +132,9 @@ entity SvecTopFfpg is
-- output enable
Fmc0Ch1OutputEnable_o: out std_logic;
Fmc0Ch2OutputEnable_o: out std_logic;
-- signal feedback
Fmc0Ch1OutCal_i: in std_logic;
Fmc0Ch2OutCal_i: in std_logic;
-- delay configuration
Fmc0DelayValue_ob: out unsigned(9 downto 0);
Fmc0Ch1SetLe_o: out std_logic;
......@@ -173,6 +181,9 @@ entity SvecTopFfpg is
-- output enable
Fmc1Ch1OutputEnable_o: out std_logic;
Fmc1Ch2OutputEnable_o: out std_logic;
-- signal feedback
Fmc1Ch1OutCal_i: in std_logic;
Fmc1Ch2OutCal_i: in std_logic;
-- delay configuration
Fmc1DelayValue_ob: out unsigned(9 downto 0);
Fmc1Ch1SetLe_o: out std_logic;
......@@ -775,6 +786,13 @@ begin
Led_ob => Fmc0Led_ob
);
-- feedback loop to FP LEMO
FpLemo_ob4(1) <= Fmc0Ch1OutCal_i;
FpLemo_ob4(2) <= Fmc0Ch2OutCal_i;
FpLemoDir1_A2B_o <= '1';
FpLemoDir2_A2B_o <= '1';
FpLemoTerm_oeb4(2 downto 1) <= "00";
cFmc0Ch1SetObufds: OBUFDS
port map (
I => Fmc0Ch1Set,
......@@ -896,6 +914,12 @@ begin
-- LEDs
Led_ob => Fmc1Led_ob
);
-- feedback loop to FP LEMO
FpLemo_ob4(3) <= Fmc1Ch1OutCal_i;
FpLemo_ob4(4) <= Fmc1Ch2OutCal_i;
FpLemoDir34_A2B_o <= '1';
FpLemoTerm_oeb4(4 downto 3) <= "00";
cFmc1Ch1SetObufds: OBUFDS
port map (
......
......@@ -32,7 +32,7 @@ NET "Pll25DacSclk_o" IOSTANDARD = "LVCMOS33";
NET "Pll25DacSync_on" IOSTANDARD = "LVCMOS33";
#----------------------------------------
# Front panel LEDs
# Front panel LEDs & LEMOs
#----------------------------------------
NET "FpLedsLineEnable_ob2[0]" LOC = AD26;
NET "FpLedsLineEnable_ob2[1]" LOC = AD27;
......@@ -50,6 +50,28 @@ NET "FpLedsColumn_ob4[0]" IOSTANDARD="LVCMOS33";
NET "FpLedsColumn_ob4[1]" IOSTANDARD="LVCMOS33";
NET "FpLedsColumn_ob4[2]" IOSTANDARD="LVCMOS33";
NET "FpLedsColumn_ob4[3]" IOSTANDARD="LVCMOS33";
NET "FpLemo_ob4[1]" LOC = T28;
NET "FpLemo_ob4[2]" LOC = R30;
NET "FpLemo_ob4[3]" LOC = V27;
NET "FpLemo_ob4[4]" LOC = U29;
NET "FpLemo_ob4[1]" IOSTANDARD="LVCMOS33";
NET "FpLemo_ob4[2]" IOSTANDARD="LVCMOS33";
NET "FpLemo_ob4[3]" IOSTANDARD="LVCMOS33";
NET "FpLemo_ob4[4]" IOSTANDARD="LVCMOS33";
NET "FpLemoDir1_A2B_o" LOC = T30;
NET "FpLemoDir2_A2B_o" LOC = R29;
NET "FpLemoDir34_A2B_o" LOC = V28;
NET "FpLemoDir1_A2B_o" IOSTANDARD="LVCMOS33";
NET "FpLemoDir2_A2B_o" IOSTANDARD="LVCMOS33";
NET "FpLemoDir34_A2B_o" IOSTANDARD="LVCMOS33";
NET "FpLemoTerm_oeb4[1]" LOC = AB1;
NET "FpLemoTerm_oeb4[2]" LOC = W5;
NET "FpLemoTerm_oeb4[3]" LOC = W4;
NET "FpLemoTerm_oeb4[4]" LOC = V4;
NET "FpLemoTerm_oeb4[1]" IOSTANDARD="LVCMOS33";
NET "FpLemoTerm_oeb4[2]" IOSTANDARD="LVCMOS33";
NET "FpLemoTerm_oeb4[3]" IOSTANDARD="LVCMOS33";
NET "FpLemoTerm_oeb4[4]" IOSTANDARD="LVCMOS33";
#----------------------------------------
# Carrier I2C EEPROM
......@@ -351,8 +373,8 @@ NET "Fmc0Led_ob[2]" LOC = L21; # fmc0_la_p[10]
NET "Fmc0Led_ob[2]" IOSTANDARD = "LVCMOS25";
NET "Fmc0Ch2SetP_o" LOC = G20; # fmc0_la_p[11]
NET "Fmc0Ch2SetP_o" IOSTANDARD = "LVDS_25";
# NET "fmc0_la_p[12]" LOC = F21;
# NET "fmc0_la_p[12]" IOSTANDARD = "LVCMOS25";
NET "Fmc0Ch1OutCal_i" LOC = F21; # fmc0_la_p[12]
NET "Fmc0Ch1OutCal_i" IOSTANDARD = "LVCMOS25";
NET "Fmc0ClkOutP_ok" LOC = G18; # fmc0_la_p[13]
NET "Fmc0ClkOutP_ok" IOSTANDARD = "LVDS_25";
NET "Fmc0TriggerP_i" LOC = F17; # fmc0_la_p[14]
......@@ -420,8 +442,8 @@ NET "Fmc0Led_ob[1]" LOC = K21; # fmc0_la_n[10]
NET "Fmc0Led_ob[1]" IOSTANDARD = "LVCMOS25";
NET "Fmc0Ch2SetN_o" LOC = F20; # fmc0_la_n[11]
NET "Fmc0Ch2SetN_o" IOSTANDARD = "LVDS_25";
# NET "fmc0_la_n[12]" LOC = E21;
# NET "fmc0_la_n[12]" IOSTANDARD = "LVCMOS25";
NET "Fmc0Ch2OutCal_i" LOC = E21; # fmc0_la_n[12]
NET "Fmc0Ch2OutCal_i" IOSTANDARD = "LVCMOS25";
NET "Fmc0ClkOutN_ok" LOC = F18; # fmc0_la_n[13]
NET "Fmc0ClkOutN_ok" IOSTANDARD = "LVDS_25";
NET "Fmc0TriggerN_i" LOC = E17; # fmc0_la_n[14]
......@@ -525,8 +547,8 @@ NET "Fmc1Led_ob[2]" LOC = Y14; # fmc1_la_p[10]
NET "Fmc1Led_ob[2]" IOSTANDARD = "LVCMOS25";
NET "Fmc1Ch2SetP_o" LOC = Y16; # fmc1_la_p[11]
NET "Fmc1Ch2SetP_o" IOSTANDARD = "LVDS_25";
# NET "fmc1_la_p[12]" LOC = AE15;
# NET "fmc1_la_p[12]" IOSTANDARD = "LVCMOS25";
NET "Fmc1Ch1OutCal_i" LOC = AE15; # fmc1_la_p[12]
NET "Fmc1Ch1OutCal_i" IOSTANDARD = "LVCMOS25";
NET "Fmc1ClkOutP_ok" LOC = AC15; # fmc1_la_p[13]
NET "Fmc1ClkOutP_ok" IOSTANDARD = "LVDS_25";
NET "Fmc1TriggerP_i" LOC = Y15; # fmc1_la_p[14]
......@@ -594,8 +616,8 @@ NET "Fmc1Led_ob[1]" LOC = AA14; # fmc1_la_n[10]
NET "Fmc1Led_ob[1]" IOSTANDARD = "LVCMOS25";
NET "Fmc1Ch2SetN_o" LOC = AB16; # fmc1_la_n[11]
NET "Fmc1Ch2SetN_o" IOSTANDARD = "LVDS_25";
# NET "fmc1_la_n[12]" LOC = AF15;
# NET "fmc1_la_n[12]" IOSTANDARD = "LVCMOS25";
NET "Fmc1Ch2OutCal_i" LOC = AF15; # fmc1_la_n[12]
NET "Fmc1Ch2OutCal_i" IOSTANDARD = "LVCMOS25";
NET "Fmc1ClkOutN_ok" LOC = AD15; # fmc1_la_n[13]
NET "Fmc1ClkOutN_ok" IOSTANDARD = "LVDS_25";
NET "Fmc1TriggerN_i" LOC = AA15; # fmc1_la_n[14]
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment