Commit 1e0b484d authored by Dimitris Lampridis's avatar Dimitris Lampridis

hdl: bump fpga application version to 6.0.4

parent fe89e031
...@@ -394,7 +394,7 @@ begin -- architecture arch ...@@ -394,7 +394,7 @@ begin -- architecture arch
generic map ( generic map (
g_VENDOR_ID => x"0000_10DC", g_VENDOR_ID => x"0000_10DC",
g_DEVICE_ID => x"4144_4301", -- "ADC1" g_DEVICE_ID => x"4144_4301", -- "ADC1"
g_VERSION => x"0600_0000", g_VERSION => x"0600_0004",
g_CAPABILITIES => x"0000_0000", g_CAPABILITIES => x"0000_0000",
g_COMMIT_ID => (others => '0')) g_COMMIT_ID => (others => '0'))
port map ( port map (
......
...@@ -476,7 +476,7 @@ begin -- architecture arch ...@@ -476,7 +476,7 @@ begin -- architecture arch
generic map ( generic map (
g_VENDOR_ID => x"0000_10DC", g_VENDOR_ID => x"0000_10DC",
g_DEVICE_ID => x"4144_4302", -- "ADC2" g_DEVICE_ID => x"4144_4302", -- "ADC2"
g_VERSION => x"0600_0000", g_VERSION => x"0600_0004",
g_CAPABILITIES => x"0000_0000", g_CAPABILITIES => x"0000_0000",
g_COMMIT_ID => (others => '0')) g_COMMIT_ID => (others => '0'))
port map ( port map (
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment