Commit f4d0c7a4 authored by Dimitris Lampridis's avatar Dimitris Lampridis

hdl: update ddr3-sp6-core pointer so that it includes the latest Manifest.py of…

hdl: update ddr3-sp6-core pointer so that it includes the latest Manifest.py of ddr3-sp6-core without any local variables
parent a26af799
ddr3-sp6-core @ 16e35730
Subproject commit 7496ea0237449047f452be15567546b5dff364f5
Subproject commit 16e3573085718fc728003b632cd9f0e28540d130
......@@ -22,9 +22,9 @@ modules = { "local" : ["../rtl",
"../../adc/rtl",
"../../ip_cores/timetag_core/rtl"],
"git" : ["git://ohwr.org/hdl-core-lib/general-cores.git@@c26ee857158e4a65fd9d2add8b63fcb6fb4691ea",
"git://ohwr.org/hdl-core-lib/ddr3-sp6-core.git@@7496ea0237449047f452be15567546b5dff364f5",
"git://ohwr.org/hdl-core-lib/ddr3-sp6-core.git@@16e3573085718fc728003b632cd9f0e28540d130",
"git://ohwr.org/hdl-core-lib/gn4124-core.git@@e0dcb3f9a3e6804f64c544743bdf46b5fcbbefab"]}
fetchto="../../ip_cores"
ctrls = ["bank3_64b_32b"]
ctrls = ["bank3_64b_32b" ]
......@@ -22,7 +22,7 @@ modules = { "local" : ["../rtl",
"../../adc/rtl",
"../../ip_cores/timetag_core/rtl"],
"git" : ["git://ohwr.org/hdl-core-lib/general-cores.git@@c26ee857158e4a65fd9d2add8b63fcb6fb4691ea",
"git://ohwr.org/hdl-core-lib/ddr3-sp6-core.git@@7496ea0237449047f452be15567546b5dff364f5",
"git://ohwr.org/hdl-core-lib/ddr3-sp6-core.git@@16e3573085718fc728003b632cd9f0e28540d130",
"git://ohwr.org/hdl-core-lib/vme64x-core.git@@b2fc3ce76485404f831d15f7ce31fdde08e234d5"]}
fetchto="../../ip_cores"
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment