Commit e652759b authored by Dimitris Lampridis's avatar Dimitris Lampridis

hdl+doc: update SDB meta info

parent ca9e3875
......@@ -265,17 +265,18 @@ Below is a description of the fields and their content in the fmc-adc design on
vendor_id = 0x0000CE42 (CERN vendor ID)@*
device_id = 0x47C786A2 (echo "spec_fmc-adc-100m14b4cha"|md5sum|cut -c1-8) @*
version = [31:16]=major, [15:0]=minor, bcd encoded@*
date = release date, format yyyymmdd@*
date = bcd encoded release date, format yyyymmdd@*
name = "spec_fmcadc100m14b"
@item Top module repository url
repo_url = "git://ohwr.org/fmc-projects/fmc-adc-100m14b4cha.git"
repo_url = "fmc-projects/fmc-adc-100m14b4cha/fmc-adc-100m14b4cha-gw.git"@*
@i{(This is not the full URL, it lacks the "git://ohwr.org/" prefix due to the 63-byte limitation of the field.)}
@item Synthesis tool information
syn_module_name = "spec_top_fmc_adc"@*
syn_commit_id = git log -1 --format="%H" | cut -c1-32 @*
syn_tool_name = "ISE"@*
syn_tool_version = bcd encoded synthesis tool version@*
syn_date = synthesis date, format yyyymmdd@*
syn_username = "mcattin" (synthesised by)
syn_date = bcd encoded synthesis date, format yyyymmdd@*
syn_username = username of person who synthesised the design
@end table
......@@ -390,17 +391,18 @@ Below is a description of the fields and their content in the fmc-adc design on
vendor_id = 0x0000CE42 (CERN vendor ID)@*
device_id = 0x47C786A2 (echo "svec_fmc-adc-100m14b4cha"|md5sum|cut -c1-8) @*
version = [31:16]=major, [15:0]=minor, bcd encoded@*
date = release date, format yyyymmdd@*
date = bcd encoded release date, format yyyymmdd@*
name = "svec_fmcadc100m14b"
@item Top module repository url
repo_url = "git://ohwr.org/fmc-projects/fmc-adc-100m14b4cha.git"
repo_url = "fmc-projects/fmc-adc-100m14b4cha/fmc-adc-100m14b4cha-gw.git"@*
@i{(This is not the full URL, it lacks the "git://ohwr.org/" prefix due to the 63-byte limitation of the field.)}
@item Synthesis tool information
syn_module_name = "svec_top_fmc_adc"@*
syn_commit_id = git log -1 --format="%H" | cut -c1-32 @*
syn_tool_name = "ISE"@*
syn_tool_version = bcd encoded synthesis tool version@*
syn_date = synthesis date, format yyyymmdd@*
syn_username = "mcattin" (synthesised by)
syn_date = bcd encoded synthesis date, format yyyymmdd@*
syn_username = username of person who synthesised the design
@end table
@c --------------------------------------------------------------------------
......
--------------------------------------------------------------------------------
-- CERN (BE-CO-HT)
-- FMC ADC 100Ms/s core
-- http://www.ohwr.org/projects/fmc-adc-100m14b4cha
--------------------------------------------------------------------------------
--
-- unit name: sdb_meta_pkg (sdb_meta_pkg.vhd)
--
-- author: Matthieu Cattin (matthieu.cattin@cern.ch)
--
-- date: 11-03-2013
--
-- description: Sdb meta-information for the FMC ADC 100Ms/s design for SPEC.
--
--------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Title : FMC ADC 100Ms/s SPEC SDB meta-information
-- Project : FMC ADC 100M 14B 4CHA gateware
-- URL : http://www.ohwr.org/projects/fmc-adc-100m14b4cha-gw
-------------------------------------------------------------------------------
-- File : sdb_meta_pkg.vhd
-- Author(s) : Matthieu Cattin <matthieu.cattin@cern.ch>
-- : Dimitrios Lampridis <dimitrios.lampridis@cern.ch>
-- Company : CERN (BE-CO-HT)
-- Created : 2013-03-11
-- Last update: 2016-04-19
-- Standard : VHDL'93/02
-------------------------------------------------------------------------------
-- Description: SDB meta-information for the FMC ADC 100Ms/s design for SPEC.
-------------------------------------------------------------------------------
-- Copyright (c) 2013-2016 CERN (BE-CO-HT)
-------------------------------------------------------------------------------
-- GNU LESSER GENERAL PUBLIC LICENSE
--------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- This source file is free software; you can redistribute it and/or modify it
-- under the terms of the GNU Lesser General Public License as published by the
-- Free Software Foundation; either version 2.1 of the License, or (at your
......@@ -24,11 +26,16 @@
-- See the GNU Lesser General Public License for more details. You should have
-- received a copy of the GNU Lesser General Public License along with this
-- source; if not, download it from http://www.gnu.org/licenses/lgpl-2.1.html
--------------------------------------------------------------------------------
-- last changes: see git log.
--------------------------------------------------------------------------------
-- TODO: -
--------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Revisions :
-- Date Version Author
-- 2016-04-20 4.1 Dimitrios Lampridis
-- 2014-04-25 4.0 Matthieu Cattin
-- 2014-01-16 3.0 Matthieu Cattin
-- 2013-07-29 2.0 Matthieu Cattin
-- 2013-03-28 1.1 Matthieu Cattin
-- 2013-03-11 1.0 Matthieu Cattin
-------------------------------------------------------------------------------
library ieee;
......@@ -45,8 +52,8 @@ package sdb_meta_pkg is
-- Top module repository url
constant c_repo_url_sdb : t_sdb_repo_url := (
-- url (string, 63 char)
repo_url => "git://ohwr.org/fmc-projects/fmc-adc-100m14b4cha.git ");
-- url (string, limited to 63 char, full URL does not fit unfortunately)
repo_url => "fmc-projects/fmc-adc-100m14b4cha/fmc-adc-100m14b4cha-gw.git ");
-- Synthesis informations
constant c_synthesis_sdb : t_sdb_synthesis := (
......@@ -58,22 +65,21 @@ package sdb_meta_pkg is
-- Synthesis tool name (string, 8 char)
syn_tool_name => "ISE ",
-- Synthesis tool version (bcd encoded, 32-bit)
syn_tool_version => x"00000133",
syn_tool_version => x"00000147",
-- Synthesis date (bcd encoded, 32-bit, yyyymmdd)
syn_date => x"20140425",
syn_date => x"20160420",
-- Synthesised by (string, 15 char)
syn_username => "mcattin ");
syn_username => "dlamprid ");
-- Integration record
constant c_integration_sdb : t_sdb_integration := (
product => (
vendor_id => x"000000000000CE42", -- CERN
device_id => x"47c786a2", -- echo "spec_fmc-adc-100m14b4cha" | md5sum | cut -c1-8
version => x"00040000", -- bcd encoded, [31:16] = major, [15:0] = minor
date => x"20140425", -- yyyymmdd
version => x"00040001", -- bcd encoded, [31:16] = major, [15:0] = minor
date => x"20160420", -- yyyymmdd
name => "spec_fmcadc100m14b "));
end sdb_meta_pkg;
......
--------------------------------------------------------------------------------
-- CERN (BE-CO-HT)
-- FMC ADC 100Ms/s for SVEC carrier
-- http://www.ohwr.org/projects/fmc-adc-100m14b4cha
--------------------------------------------------------------------------------
--
-- unit name: sdb_meta_pkg (sdb_meta_pkg.vhd)
--
-- author: Matthieu Cattin (matthieu.cattin@cern.ch)
--
-- date: 05-07-2013
--
-- description: Sdb meta-information for the FMC ADC 100Ms/s design for SVEC.
--
--------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Title : FMC ADC 100Ms/s SVEC SDB meta-information
-- Project : FMC ADC 100M 14B 4CHA gateware
-- URL : http://www.ohwr.org/projects/fmc-adc-100m14b4cha-gw
-------------------------------------------------------------------------------
-- File : sdb_meta_pkg.vhd
-- Author(s) : Matthieu Cattin <matthieu.cattin@cern.ch>
-- : Dimitrios Lampridis <dimitrios.lampridis@cern.ch>
-- Company : CERN (BE-CO-HT)
-- Created : 2013-07-05
-- Last update: 2016-04-19
-- Standard : VHDL'93/02
-------------------------------------------------------------------------------
-- Description: SDB meta-information for the FMC ADC 100Ms/s design for SVEC.
-------------------------------------------------------------------------------
-- Copyright (c) 2013-2016 CERN (BE-CO-HT)
-------------------------------------------------------------------------------
-- GNU LESSER GENERAL PUBLIC LICENSE
--------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- This source file is free software; you can redistribute it and/or modify it
-- under the terms of the GNU Lesser General Public License as published by the
-- Free Software Foundation; either version 2.1 of the License, or (at your
......@@ -24,11 +26,14 @@
-- See the GNU Lesser General Public License for more details. You should have
-- received a copy of the GNU Lesser General Public License along with this
-- source; if not, download it from http://www.gnu.org/licenses/lgpl-2.1.html
--------------------------------------------------------------------------------
-- last changes: see git log.
--------------------------------------------------------------------------------
-- TODO: -
--------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Revisions :
-- Date Version Author
-- 2016-04-20 4.1 Dimitrios Lampridis
-- 2014-04-25 4.0 Matthieu Cattin
-- 2014-01-16 3.0 Matthieu Cattin
-- 2013-07-29 1.0 Matthieu Cattin
-------------------------------------------------------------------------------
library ieee;
......@@ -45,8 +50,8 @@ package sdb_meta_pkg is
-- Top module repository url
constant c_repo_url_sdb : t_sdb_repo_url := (
-- url (string, 63 char)
repo_url => "git://ohwr.org/fmc-projects/fmc-adc-100m14b4cha.git ");
-- url (string, limited to 63 char, full URL does not fit unfortunately)
repo_url => "fmc-projects/fmc-adc-100m14b4cha/fmc-adc-100m14b4cha-gw.git ");
-- Synthesis informations
constant c_synthesis_sdb : t_sdb_synthesis := (
......@@ -58,19 +63,19 @@ package sdb_meta_pkg is
-- Synthesis tool name (string, 8 char)
syn_tool_name => "ISE ",
-- Synthesis tool version (bcd encoded, 32-bit)
syn_tool_version => x"00000133",
syn_tool_version => x"00000147",
-- Synthesis date (bcd encoded, 32-bit, yyyymmdd)
syn_date => x"20140425",
syn_date => x"20160420",
-- Synthesised by (string, 15 char)
syn_username => "mcattin ");
syn_username => "dlamprid ");
-- Integration record
constant c_integration_sdb : t_sdb_integration := (
product => (
vendor_id => x"000000000000CE42", -- CERN
device_id => x"5c01a632", -- echo "svec_fmc-adc-100m14b4cha" | md5sum | cut -c1-8
version => x"00040000", -- bcd encoded, [31:16] = major, [15:0] = minor
date => x"20140425", -- yyyymmdd
version => x"00040001", -- bcd encoded, [31:16] = major, [15:0] = minor
date => x"20160420", -- yyyymmdd
name => "svec_fmcadc100m14b "));
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment