Commit a4a62aa5 authored by Dimitris Lampridis's avatar Dimitris Lampridis

[hdl] remove unused file

Signed-off-by: Dimitris Lampridis's avatarDimitris Lampridis <dimitris.lampridis@cern.ch>
parent 0a4be7f6
--------------------------------------------------------------------------------
-- SDB meta information for svec_ref_fmc_adc_100Ms_wr.xise.
--
-- This file was automatically generated by ../../ip_cores/general-cores/tools/sdb_desc_gen.tcl on:
-- Thursday, November 15 2018
--
-- ../../ip_cores/general-cores/tools/sdb_desc_gen.tcl is part of OHWR general-cores:
-- https://www.ohwr.org/projects/general-cores/wiki
--
-- For more information on SDB meta information, see also:
-- https://www.ohwr.org/projects/sdb/wiki
--------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use work.wishbone_pkg.all;
package synthesis_descriptor is
constant c_sdb_synthesis_info : t_sdb_synthesis := (
syn_module_name => "svec_ref_fmc_adc",
syn_commit_id => "f98f833b0a46c659dd2c68d6bfeb6d9*",
syn_tool_name => "ISE ",
syn_tool_version => x"00000147",
syn_date => x"20181115",
syn_username => "Dimitris Lampri");
constant c_sdb_repo_url : t_sdb_repo_url := (
repo_url => "ssh://git@gitlab.cern.ch:7999/dlamprid/fmc-adc-100m14b4cha-gw.g");
end package synthesis_descriptor;
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment