Commit 2e05f2a2 authored by Dimitris Lampridis's avatar Dimitris Lampridis

hdl: decrease remaining shots register also in single-shot mode. Solves issue #937

parent d69f1840
......@@ -1239,12 +1239,8 @@ begin
if acq_stop = '1' then
acq_fsm_current_state <= IDLE;
elsif trig_tag_done = '1' then
if single_shot = '1' then
acq_fsm_current_state <= IDLE;
else
acq_fsm_current_state <= DECR_SHOT;
end if;
end if;
when DECR_SHOT =>
if acq_stop = '1' then
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment