From fc43173705839aeab9a87c9ed226fa4ce92fa504 Mon Sep 17 00:00:00 2001 From: Pieter Van Trappen <pieter.van.trappen@cern.ch> Date: Thu, 11 May 2017 20:35:13 +0200 Subject: [PATCH] submodule cores updated for fasec_hwtest --- .../coregen/clock_temp_2/clock_temp.xci | 44 +++++++++ .../coregen/clock_temp_2/clock_temp.xml | 91 ++++++++++++++++++ .../interrupt_temp_1/interrupt_temp.xci | 37 +++++++ .../interrupt_temp_1/interrupt_temp.xml | 52 ++++++++++ .../coregen/reset_temp_1/reset_temp.xci | 38 ++++++++ .../coregen/reset_temp_1/reset_temp.xml | 71 ++++++++++++++ .../bd/system_design/hdl/system_design.vhd | 2 +- .../sim/system_design_fasec_hwtest_0_0.vhd | 4 +- .../sources_1/new/dac7716_spi.vhd | 0 .../sources_1/new/general_fmc.vhd | 33 +++++-- .../sources_1/new/top_mod.vhd | 0 .../hdl_lib/modules/axi4/axi4lite_slave.vhd | 0 .../hdl_lib/modules/general/clockDivider.vhd | 0 .../hdl_lib/modules/general/counterUpDown.vhd | 0 .../modules/general/doubleBufferEdge.vhd | 0 .../hdl_lib/modules/general/pulseMeasure.vhd | 0 .../modules/general/spi_transceiver.vhd | 0 .../ip_cores/hdl_lib/modules/main_pkg.vhd | 0 .../system_design/activehdl/README.txt | 2 +- .../system_design/activehdl/compile.do | 20 ++-- .../system_design/activehdl/file_info.txt | 20 ++-- .../system_design/activehdl/system_design.sh | 2 +- .../sim_scripts/system_design/ies/README.txt | 2 +- .../system_design/ies/file_info.txt | 20 ++-- .../sim_scripts/system_design/ies/run.f | 20 ++-- .../system_design/ies/system_design.sh | 2 +- .../system_design/modelsim/README.txt | 2 +- .../system_design/modelsim/compile.do | 20 ++-- .../system_design/modelsim/file_info.txt | 20 ++-- .../system_design/modelsim/system_design.sh | 2 +- .../system_design/questa/README.txt | 2 +- .../system_design/questa/compile.do | 20 ++-- .../system_design/questa/file_info.txt | 20 ++-- .../system_design/questa/system_design.sh | 2 +- .../system_design/riviera/README.txt | 2 +- .../system_design/riviera/compile.do | 20 ++-- .../system_design/riviera/file_info.txt | 20 ++-- .../system_design/riviera/system_design.sh | 2 +- .../sim_scripts/system_design/vcs/README.txt | 2 +- .../system_design/vcs/file_info.txt | 20 ++-- .../system_design/vcs/system_design.sh | 22 ++--- .../sim_scripts/system_design/xsim/README.txt | 2 +- .../system_design/xsim/file_info.txt | 20 ++-- .../system_design/xsim/system_design.sh | 2 +- .../sim_scripts/system_design/xsim/vhdl.prj | 20 ++-- .../bd/system_design/hdl/system_design.hwdef | Bin 72936 -> 72936 bytes .../bd/system_design/hdl/system_design.vhd | 2 +- .../hdl/system_design_wrapper.vhd | 2 +- .../hw_handoff/system_design.hwh | 4 +- .../hw_handoff/system_design_bd.tcl | 2 +- .../system_design_auto_pc_0.xml | 10 +- .../system_design_auto_pc_1.xml | 10 +- .../system_design_auto_pc_2.xml | 10 +- .../sim/system_design_fasec_hwtest_0_0.vhd | 4 +- .../synth/system_design_fasec_hwtest_0_0.vhd | 4 +- .../system_design_fasec_hwtest_0_0.xci | 4 +- .../system_design_fasec_hwtest_0_0.xml | 61 ++++++------ .../sources_1/new/dac7716_spi.vhd | 0 .../sources_1/new/general_fmc.vhd | 33 +++++-- .../sources_1/new/top_mod.vhd | 5 +- .../hdl_lib/modules/axi4/axi4lite_slave.vhd | 0 .../hdl_lib/modules/general/clockDivider.vhd | 0 .../hdl_lib/modules/general/counterUpDown.vhd | 0 .../modules/general/doubleBufferEdge.vhd | 0 .../hdl_lib/modules/general/pulseMeasure.vhd | 0 .../modules/general/spi_transceiver.vhd | 0 .../ip_cores/hdl_lib/modules/main_pkg.vhd | 0 .../bd/system_design/system_design.bd | 2 +- .../bd/system_design/system_design.bxml | 6 +- FASEC_prototype.xpr | 39 +++----- ip_cores/cores | 2 +- ip_upgrade.log | 21 ++++ 72 files changed, 637 insertions(+), 264 deletions(-) create mode 100644 .Xil/Vivado-3878-lapte24154/coregen/clock_temp_2/clock_temp.xci create mode 100644 .Xil/Vivado-3878-lapte24154/coregen/clock_temp_2/clock_temp.xml create mode 100644 .Xil/Vivado-3878-lapte24154/coregen/interrupt_temp_1/interrupt_temp.xci create mode 100644 .Xil/Vivado-3878-lapte24154/coregen/interrupt_temp_1/interrupt_temp.xml create mode 100644 .Xil/Vivado-3878-lapte24154/coregen/reset_temp_1/reset_temp.xci create mode 100644 .Xil/Vivado-3878-lapte24154/coregen/reset_temp_1/reset_temp.xml rename FASEC_prototype.ip_user_files/bd/system_design/ipshared/user.org/{fasec_hwtest_v3_2_2 => fasec_hwtest_v3_2_3}/FASEC_hwtest.srcs/sources_1/new/dac7716_spi.vhd (100%) rename FASEC_prototype.ip_user_files/bd/system_design/ipshared/user.org/{fasec_hwtest_v3_2_2 => fasec_hwtest_v3_2_3}/FASEC_hwtest.srcs/sources_1/new/general_fmc.vhd (93%) rename FASEC_prototype.ip_user_files/bd/system_design/ipshared/user.org/{fasec_hwtest_v3_2_2 => fasec_hwtest_v3_2_3}/FASEC_hwtest.srcs/sources_1/new/top_mod.vhd (100%) rename FASEC_prototype.ip_user_files/bd/system_design/ipshared/user.org/{fasec_hwtest_v3_2_2 => fasec_hwtest_v3_2_3}/ip_cores/hdl_lib/modules/axi4/axi4lite_slave.vhd (100%) rename FASEC_prototype.ip_user_files/bd/system_design/ipshared/user.org/{fasec_hwtest_v3_2_2 => fasec_hwtest_v3_2_3}/ip_cores/hdl_lib/modules/general/clockDivider.vhd (100%) rename FASEC_prototype.ip_user_files/bd/system_design/ipshared/user.org/{fasec_hwtest_v3_2_2 => fasec_hwtest_v3_2_3}/ip_cores/hdl_lib/modules/general/counterUpDown.vhd (100%) rename FASEC_prototype.ip_user_files/bd/system_design/ipshared/user.org/{fasec_hwtest_v3_2_2 => fasec_hwtest_v3_2_3}/ip_cores/hdl_lib/modules/general/doubleBufferEdge.vhd (100%) rename FASEC_prototype.ip_user_files/bd/system_design/ipshared/user.org/{fasec_hwtest_v3_2_2 => fasec_hwtest_v3_2_3}/ip_cores/hdl_lib/modules/general/pulseMeasure.vhd (100%) rename FASEC_prototype.ip_user_files/bd/system_design/ipshared/user.org/{fasec_hwtest_v3_2_2 => fasec_hwtest_v3_2_3}/ip_cores/hdl_lib/modules/general/spi_transceiver.vhd (100%) rename FASEC_prototype.ip_user_files/bd/system_design/ipshared/user.org/{fasec_hwtest_v3_2_2 => fasec_hwtest_v3_2_3}/ip_cores/hdl_lib/modules/main_pkg.vhd (100%) rename FASEC_prototype.srcs/sources_1/bd/system_design/ipshared/user.org/{fasec_hwtest_v3_2_2 => fasec_hwtest_v3_2_3}/FASEC_hwtest.srcs/sources_1/new/dac7716_spi.vhd (100%) rename FASEC_prototype.srcs/sources_1/bd/system_design/ipshared/user.org/{fasec_hwtest_v3_2_2 => fasec_hwtest_v3_2_3}/FASEC_hwtest.srcs/sources_1/new/general_fmc.vhd (93%) rename FASEC_prototype.srcs/sources_1/bd/system_design/ipshared/user.org/{fasec_hwtest_v3_2_2 => fasec_hwtest_v3_2_3}/FASEC_hwtest.srcs/sources_1/new/top_mod.vhd (99%) rename FASEC_prototype.srcs/sources_1/bd/system_design/ipshared/user.org/{fasec_hwtest_v3_2_2 => fasec_hwtest_v3_2_3}/ip_cores/hdl_lib/modules/axi4/axi4lite_slave.vhd (100%) rename FASEC_prototype.srcs/sources_1/bd/system_design/ipshared/user.org/{fasec_hwtest_v3_2_2 => fasec_hwtest_v3_2_3}/ip_cores/hdl_lib/modules/general/clockDivider.vhd (100%) rename FASEC_prototype.srcs/sources_1/bd/system_design/ipshared/user.org/{fasec_hwtest_v3_2_2 => fasec_hwtest_v3_2_3}/ip_cores/hdl_lib/modules/general/counterUpDown.vhd (100%) rename FASEC_prototype.srcs/sources_1/bd/system_design/ipshared/user.org/{fasec_hwtest_v3_2_2 => fasec_hwtest_v3_2_3}/ip_cores/hdl_lib/modules/general/doubleBufferEdge.vhd (100%) rename FASEC_prototype.srcs/sources_1/bd/system_design/ipshared/user.org/{fasec_hwtest_v3_2_2 => fasec_hwtest_v3_2_3}/ip_cores/hdl_lib/modules/general/pulseMeasure.vhd (100%) rename FASEC_prototype.srcs/sources_1/bd/system_design/ipshared/user.org/{fasec_hwtest_v3_2_2 => fasec_hwtest_v3_2_3}/ip_cores/hdl_lib/modules/general/spi_transceiver.vhd (100%) rename FASEC_prototype.srcs/sources_1/bd/system_design/ipshared/user.org/{fasec_hwtest_v3_2_2 => fasec_hwtest_v3_2_3}/ip_cores/hdl_lib/modules/main_pkg.vhd (100%) diff --git a/.Xil/Vivado-3878-lapte24154/coregen/clock_temp_2/clock_temp.xci b/.Xil/Vivado-3878-lapte24154/coregen/clock_temp_2/clock_temp.xci new file mode 100644 index 00000000..f46c9e60 --- /dev/null +++ b/.Xil/Vivado-3878-lapte24154/coregen/clock_temp_2/clock_temp.xci @@ -0,0 +1,44 @@ +<?xml version="1.0" encoding="UTF-8"?> +<spirit:design xmlns:xilinx="http://www.xilinx.com" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"> + <spirit:vendor>xilinx.com</spirit:vendor> + <spirit:library>xci</spirit:library> + <spirit:name>unknown</spirit:name> + <spirit:version>1.0</spirit:version> + <spirit:componentInstances> + <spirit:componentInstance> + <spirit:instanceName>clock_temp</spirit:instanceName> + <spirit:componentRef spirit:vendor="xilinx.com" spirit:library="signal.param" spirit:name="clock" spirit:version="1.0"/> + <spirit:configurableElementValues> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ASSOCIATED_ASYNC_RESET">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ASSOCIATED_BUSIF">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ASSOCIATED_CLKEN">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ASSOCIATED_MMCM_LOCK">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ASSOCIATED_RESET">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_DOMAIN">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Component_Name">clock_temp</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FREQ_HZ">100000000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PHASE">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PortWidth">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.ARCHITECTURE">zynq</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.BOARD"/> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.DEVICE">xc7z030</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.PACKAGE">ffg676</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.PREFHDL">VHDL</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SILICON_REVISION"/> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SIMULATOR_LANGUAGE">MIXED</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SPEEDGRADE">-2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.TEMPERATURE_GRADE">C</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.USE_RDI_CUSTOMIZATION">TRUE</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.USE_RDI_GENERATION">TRUE</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCONTEXT">IP_Integrator_BusInterface</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPREVISION">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.MANAGED">TRUE</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.OUTPUTDIR">.</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SELECTEDSIMMODEL"/> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SHAREDDIR">.</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SWVERSION">2016.2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SYNTHESISFLOW">OUT_OF_CONTEXT</spirit:configurableElementValue> + </spirit:configurableElementValues> + </spirit:componentInstance> + </spirit:componentInstances> +</spirit:design> diff --git a/.Xil/Vivado-3878-lapte24154/coregen/clock_temp_2/clock_temp.xml b/.Xil/Vivado-3878-lapte24154/coregen/clock_temp_2/clock_temp.xml new file mode 100644 index 00000000..0b1f84f2 --- /dev/null +++ b/.Xil/Vivado-3878-lapte24154/coregen/clock_temp_2/clock_temp.xml @@ -0,0 +1,91 @@ +<?xml version="1.0" encoding="UTF-8"?> +<spirit:component xmlns:xilinx="http://www.xilinx.com" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"> + <spirit:vendor>xilinx.com</spirit:vendor> + <spirit:library>customized_ip</spirit:library> + <spirit:name>clock_temp</spirit:name> + <spirit:version>1.0</spirit:version> + <spirit:model> + <spirit:ports> + <spirit:port> + <spirit:name>const</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long">0</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic_vector</spirit:typeName> + <spirit:viewNameRef>dummy_view</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + </spirit:port> + </spirit:ports> + </spirit:model> + <spirit:description>intf_clock_v1_0</spirit:description> + <spirit:parameters> + <spirit:parameter> + <spirit:name>PortWidth</spirit:name> + <spirit:displayName>Portwidth</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.PortWidth" spirit:order="1100">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>ASSOCIATED_CLKEN</spirit:name> + <spirit:displayName>Associated Clken</spirit:displayName> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.ASSOCIATED_CLKEN" spirit:order="1200">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>ASSOCIATED_RESET</spirit:name> + <spirit:displayName>Associated Reset</spirit:displayName> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.ASSOCIATED_RESET" spirit:order="1300">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>ASSOCIATED_ASYNC_RESET</spirit:name> + <spirit:displayName>Associated Async Reset</spirit:displayName> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.ASSOCIATED_ASYNC_RESET" spirit:order="1400">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>ASSOCIATED_MMCM_LOCK</spirit:name> + <spirit:displayName>Associated MMCM Lock</spirit:displayName> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.ASSOCIATED_MMCM_LOCK" spirit:order="1500">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>ASSOCIATED_BUSIF</spirit:name> + <spirit:displayName>Associated Busif</spirit:displayName> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.ASSOCIATED_BUSIF" spirit:order="1600">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CLK_DOMAIN</spirit:name> + <spirit:displayName>Clk Domain</spirit:displayName> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLK_DOMAIN" spirit:order="1700">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>PHASE</spirit:name> + <spirit:displayName>Phase</spirit:displayName> + <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.PHASE" spirit:order="1800">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>FREQ_HZ</spirit:name> + <spirit:displayName>Frequency</spirit:displayName> + <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.FREQ_HZ" spirit:order="1900">100000000</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>Component_Name</spirit:name> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.Component_Name" spirit:order="1">clock_temp</spirit:value> + </spirit:parameter> + </spirit:parameters> + <spirit:vendorExtensions> + <xilinx:coreExtensions> + <xilinx:displayName>intf_clock_v1_0</xilinx:displayName> + <xilinx:coreRevision>1</xilinx:coreRevision> + <xilinx:tags> + <xilinx:tag xilinx:name="nopcore"/> + </xilinx:tags> + </xilinx:coreExtensions> + <xilinx:packagingInfo> + <xilinx:xilinxVersion>2013.3.0</xilinx:xilinxVersion> + </xilinx:packagingInfo> + </spirit:vendorExtensions> +</spirit:component> diff --git a/.Xil/Vivado-3878-lapte24154/coregen/interrupt_temp_1/interrupt_temp.xci b/.Xil/Vivado-3878-lapte24154/coregen/interrupt_temp_1/interrupt_temp.xci new file mode 100644 index 00000000..e3554087 --- /dev/null +++ b/.Xil/Vivado-3878-lapte24154/coregen/interrupt_temp_1/interrupt_temp.xci @@ -0,0 +1,37 @@ +<?xml version="1.0" encoding="UTF-8"?> +<spirit:design xmlns:xilinx="http://www.xilinx.com" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"> + <spirit:vendor>xilinx.com</spirit:vendor> + <spirit:library>xci</spirit:library> + <spirit:name>unknown</spirit:name> + <spirit:version>1.0</spirit:version> + <spirit:componentInstances> + <spirit:componentInstance> + <spirit:instanceName>interrupt_temp</spirit:instanceName> + <spirit:componentRef spirit:vendor="xilinx.com" spirit:library="signal.param" spirit:name="interrupt" spirit:version="1.0"/> + <spirit:configurableElementValues> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Component_Name">interrupt_temp</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PortWidth">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SENSITIVITY">LEVEL_HIGH</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.ARCHITECTURE">zynq</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.BOARD"/> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.DEVICE">xc7z030</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.PACKAGE">ffg676</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.PREFHDL">VHDL</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SILICON_REVISION"/> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SIMULATOR_LANGUAGE">MIXED</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SPEEDGRADE">-2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.TEMPERATURE_GRADE">C</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.USE_RDI_CUSTOMIZATION">TRUE</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.USE_RDI_GENERATION">TRUE</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCONTEXT">IP_Integrator_BusInterface</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPREVISION"/> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.MANAGED">TRUE</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.OUTPUTDIR">.</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SELECTEDSIMMODEL"/> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SHAREDDIR">.</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SWVERSION">2016.2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SYNTHESISFLOW">OUT_OF_CONTEXT</spirit:configurableElementValue> + </spirit:configurableElementValues> + </spirit:componentInstance> + </spirit:componentInstances> +</spirit:design> diff --git a/.Xil/Vivado-3878-lapte24154/coregen/interrupt_temp_1/interrupt_temp.xml b/.Xil/Vivado-3878-lapte24154/coregen/interrupt_temp_1/interrupt_temp.xml new file mode 100644 index 00000000..62c2128c --- /dev/null +++ b/.Xil/Vivado-3878-lapte24154/coregen/interrupt_temp_1/interrupt_temp.xml @@ -0,0 +1,52 @@ +<?xml version="1.0" encoding="UTF-8"?> +<spirit:component xmlns:xilinx="http://www.xilinx.com" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"> + <spirit:vendor>xilinx.com</spirit:vendor> + <spirit:library>customized_ip</spirit:library> + <spirit:name>interrupt_temp</spirit:name> + <spirit:version>1.0</spirit:version> + <spirit:model> + <spirit:ports> + <spirit:port> + <spirit:name>dummy</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id('PARAM_VALUE.PortWidth'-1)))">0</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic_vector</spirit:typeName> + <spirit:viewNameRef>dummy_view</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + </spirit:port> + </spirit:ports> + </spirit:model> + <spirit:description>Parameter Definition for Interrupt</spirit:description> + <spirit:parameters> + <spirit:parameter> + <spirit:name>SENSITIVITY</spirit:name> + <spirit:displayName>Interrupt Sensivity</spirit:displayName> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.SENSITIVITY" spirit:order="100">LEVEL_HIGH</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>PortWidth</spirit:name> + <spirit:displayName>Port Width</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.PortWidth" spirit:order="200">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>Component_Name</spirit:name> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.Component_Name" spirit:order="1">interrupt_temp</spirit:value> + </spirit:parameter> + </spirit:parameters> + <spirit:vendorExtensions> + <xilinx:coreExtensions> + <xilinx:displayName>Intf_Interrupt</xilinx:displayName> + </xilinx:coreExtensions> + <xilinx:packagingInfo> + <xilinx:xilinxVersion>2013.3.0</xilinx:xilinxVersion> + </xilinx:packagingInfo> + </spirit:vendorExtensions> +</spirit:component> diff --git a/.Xil/Vivado-3878-lapte24154/coregen/reset_temp_1/reset_temp.xci b/.Xil/Vivado-3878-lapte24154/coregen/reset_temp_1/reset_temp.xci new file mode 100644 index 00000000..58238380 --- /dev/null +++ b/.Xil/Vivado-3878-lapte24154/coregen/reset_temp_1/reset_temp.xci @@ -0,0 +1,38 @@ +<?xml version="1.0" encoding="UTF-8"?> +<spirit:design xmlns:xilinx="http://www.xilinx.com" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"> + <spirit:vendor>xilinx.com</spirit:vendor> + <spirit:library>xci</spirit:library> + <spirit:name>unknown</spirit:name> + <spirit:version>1.0</spirit:version> + <spirit:componentInstances> + <spirit:componentInstance> + <spirit:instanceName>reset_temp</spirit:instanceName> + <spirit:componentRef spirit:vendor="xilinx.com" spirit:library="signal.param" spirit:name="reset" spirit:version="1.0"/> + <spirit:configurableElementValues> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Component_Name">reset_temp</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.POLARITY">ACTIVE_LOW</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PortWidth">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.TYPE">PERIPHERAL</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.ARCHITECTURE">zynq</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.BOARD"/> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.DEVICE">xc7z030</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.PACKAGE">ffg676</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.PREFHDL">VHDL</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SILICON_REVISION"/> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SIMULATOR_LANGUAGE">MIXED</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SPEEDGRADE">-2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.TEMPERATURE_GRADE">C</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.USE_RDI_CUSTOMIZATION">TRUE</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.USE_RDI_GENERATION">TRUE</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCONTEXT">IP_Integrator_BusInterface</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPREVISION">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.MANAGED">TRUE</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.OUTPUTDIR">.</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SELECTEDSIMMODEL"/> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SHAREDDIR">.</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SWVERSION">2016.2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SYNTHESISFLOW">OUT_OF_CONTEXT</spirit:configurableElementValue> + </spirit:configurableElementValues> + </spirit:componentInstance> + </spirit:componentInstances> +</spirit:design> diff --git a/.Xil/Vivado-3878-lapte24154/coregen/reset_temp_1/reset_temp.xml b/.Xil/Vivado-3878-lapte24154/coregen/reset_temp_1/reset_temp.xml new file mode 100644 index 00000000..660b2f21 --- /dev/null +++ b/.Xil/Vivado-3878-lapte24154/coregen/reset_temp_1/reset_temp.xml @@ -0,0 +1,71 @@ +<?xml version="1.0" encoding="UTF-8"?> +<spirit:component xmlns:xilinx="http://www.xilinx.com" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"> + <spirit:vendor>xilinx.com</spirit:vendor> + <spirit:library>customized_ip</spirit:library> + <spirit:name>reset_temp</spirit:name> + <spirit:version>1.0</spirit:version> + <spirit:model> + <spirit:ports> + <spirit:port> + <spirit:name>const</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long">0</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic_vector</spirit:typeName> + <spirit:viewNameRef>dummy_view</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + </spirit:port> + </spirit:ports> + </spirit:model> + <spirit:choices> + <spirit:choice> + <spirit:name>choice_list_6eff49bd</spirit:name> + <spirit:enumeration>ACTIVE_LOW</spirit:enumeration> + <spirit:enumeration>ACTIVE_HIGH</spirit:enumeration> + </spirit:choice> + </spirit:choices> + <spirit:description>intf_reset_v1_0</spirit:description> + <spirit:parameters> + <spirit:parameter> + <spirit:name>PortWidth</spirit:name> + <spirit:displayName>Portwidth</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.PortWidth" spirit:order="1500">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>POLARITY</spirit:name> + <spirit:displayName>Polarity</spirit:displayName> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.POLARITY" spirit:choiceRef="choice_list_6eff49bd" spirit:order="1300" spirit:configGroups="0 UnGrouped radioGroup">ACTIVE_LOW</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>Component_Name</spirit:name> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.Component_Name" spirit:order="1">reset_temp</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>TYPE</spirit:name> + <spirit:displayName>Type</spirit:displayName> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.TYPE" spirit:order="1200">PERIPHERAL</spirit:value> + </spirit:parameter> + </spirit:parameters> + <spirit:vendorExtensions> + <xilinx:coreExtensions> + <xilinx:displayName>intf_reset_v1_0</xilinx:displayName> + <xilinx:coreRevision>1</xilinx:coreRevision> + <xilinx:tags> + <xilinx:tag xilinx:name="nopcore"/> + </xilinx:tags> + </xilinx:coreExtensions> + <xilinx:packagingInfo> + <xilinx:xilinxVersion>2014.1.0</xilinx:xilinxVersion> + <xilinx:checksum xilinx:scope="fileGroups" xilinx:value="8c78762f"/> + <xilinx:checksum xilinx:scope="ports" xilinx:value="afa03a67"/> + <xilinx:checksum xilinx:scope="parameters" xilinx:value="d39624b6"/> + </xilinx:packagingInfo> + </spirit:vendorExtensions> +</spirit:component> diff --git a/FASEC_prototype.ip_user_files/bd/system_design/hdl/system_design.vhd b/FASEC_prototype.ip_user_files/bd/system_design/hdl/system_design.vhd index 52093ce9..5084cb30 100644 --- a/FASEC_prototype.ip_user_files/bd/system_design/hdl/system_design.vhd +++ b/FASEC_prototype.ip_user_files/bd/system_design/hdl/system_design.vhd @@ -1,7 +1,7 @@ --Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. ---------------------------------------------------------------------------------- --Tool Version: Vivado v.2016.2 (lin64) Build 1577090 Thu Jun 2 16:32:35 MDT 2016 ---Date : Thu May 11 18:13:27 2017 +--Date : Thu May 11 20:34:01 2017 --Host : lapte24154 running 64-bit openSUSE Leap 42.1 (x86_64) --Command : generate_target system_design.bd --Design : system_design diff --git a/FASEC_prototype.ip_user_files/bd/system_design/ip/system_design_fasec_hwtest_0_0/sim/system_design_fasec_hwtest_0_0.vhd b/FASEC_prototype.ip_user_files/bd/system_design/ip/system_design_fasec_hwtest_0_0/sim/system_design_fasec_hwtest_0_0.vhd index a75d516f..51861cf1 100644 --- a/FASEC_prototype.ip_user_files/bd/system_design/ip/system_design_fasec_hwtest_0_0/sim/system_design_fasec_hwtest_0_0.vhd +++ b/FASEC_prototype.ip_user_files/bd/system_design/ip/system_design_fasec_hwtest_0_0/sim/system_design_fasec_hwtest_0_0.vhd @@ -46,8 +46,8 @@ -- -- DO NOT MODIFY THIS FILE. --- IP VLNV: user.org:user:fasec_hwtest:3.2.2 --- IP Revision: 30 +-- IP VLNV: user.org:user:fasec_hwtest:3.2.3 +-- IP Revision: 31 LIBRARY ieee; USE ieee.std_logic_1164.ALL; diff --git a/FASEC_prototype.ip_user_files/bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/FASEC_hwtest.srcs/sources_1/new/dac7716_spi.vhd b/FASEC_prototype.ip_user_files/bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/FASEC_hwtest.srcs/sources_1/new/dac7716_spi.vhd similarity index 100% rename from FASEC_prototype.ip_user_files/bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/FASEC_hwtest.srcs/sources_1/new/dac7716_spi.vhd rename to FASEC_prototype.ip_user_files/bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/FASEC_hwtest.srcs/sources_1/new/dac7716_spi.vhd diff --git a/FASEC_prototype.ip_user_files/bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/FASEC_hwtest.srcs/sources_1/new/general_fmc.vhd b/FASEC_prototype.ip_user_files/bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/FASEC_hwtest.srcs/sources_1/new/general_fmc.vhd similarity index 93% rename from FASEC_prototype.ip_user_files/bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/FASEC_hwtest.srcs/sources_1/new/general_fmc.vhd rename to FASEC_prototype.ip_user_files/bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/FASEC_hwtest.srcs/sources_1/new/general_fmc.vhd index 66be4d87..e9fb0db8 100755 --- a/FASEC_prototype.ip_user_files/bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/FASEC_hwtest.srcs/sources_1/new/general_fmc.vhd +++ b/FASEC_prototype.ip_user_files/bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/FASEC_hwtest.srcs/sources_1/new/general_fmc.vhd @@ -94,15 +94,22 @@ architecture rtl of general_fmc is constant c_LEDCOUNTERWIDTH : positive := 32; -- memory mapping EDA-03287: constant c_ADDR_COMPIN : positive := 16#00#; + constant c_ADDR_OUTFB : positive := 16#01#; + constant c_ADDR_OUTREQ : positive := 16#02#; + constant c_ADDR_FMCCNR : positive := 16#03#; + constant c_BIT_USEIN0 : positive := 7; constant c_ADDR_COMPEXIN : positive := 16#04#; - constant c_ADDR_OUTEXIN : positive := 16#05#; + constant c_ADDR_OUTEX : positive := 16#05#; + constant c_ADDR_OUT : positive := 16#06#; -- 0x00 : General Purpose -- 0x00 ro : bit19-0 comparator input status -- 0x01 ro : bit3-0 output feedback status -- 0x02 rw : bit7-0 output request - -- 0x03 rw : DAC control (see dac7716_spi.vhd) + -- 0x03 rw : FMC & DAC control (see also dac7716_spi.vhd), bit7: use ch0 for + -- all outs -- 0x04 ro : bit19-0 extended input status for LEDs -- 0x05 ro : bit7-0 extended output status for LEDs + -- 0x06 ro : bit7-0 output status -- 0x08 rw : 20x channel write request -- 0x1C ro : 20x channel read values -- 0x30 ro : 20x pulse length counter (assserted pulse) @@ -248,7 +255,7 @@ begin spi_sdi_o => s_spi_mosi, spi_sdo_i => s_spi_miso, spi_cs_n_o => s_spi_cs_n, - dac_cntr_i => data_rw_i(3), + dac_cntr_i => data_rw_i(c_ADDR_FMCCNR), dac_cntr_o => open, dac_ch_i => data_rw_i(c_GPMEM to c_GPMEM+(c_NODAC*c_NOCHANNELS)-1), dac_ch_o => data_o(c_GPMEM+(c_NODAC*c_NOCHANNELS) to c_GPMEM+2*(c_NODAC*c_NOCHANNELS)-1)); @@ -298,11 +305,16 @@ begin begin if g_FMC = "EDA-03287" and rising_edge(clk_i) then -- in/outputs - data_o(1) <= resize(unsigned(v_fbd(c_OUTFBD-1 downto 0)), data_o(1)'length); + data_o(c_ADDR_OUTFB) <= resize(unsigned(v_fbd(c_OUTFBD-1 downto 0)), data_o(1)'length); + data_o(c_ADDR_OUT) <= resize(unsigned(s_diffouts_o(c_DOUTS-1 downto 0)), data_o(0)'length); s_diffouts_o(c_DOUTS-1 downto c_DOUTSGP) <= v_dout(c_DOUTS-1 downto c_DOUTSGP); -- using the variables to clock-in/out data - v_dout(c_DOUTS-1 downto 0) := std_logic_vector(data_rw_i(2)(c_DOUTS-1 downto 0)); - v_fbd := FMC_LA_P_b(31) & FMC_LA_N_b(31) & FMC_LA_P_b(32) & FMC_LA_N_b(32); + if (data_rw_i(c_ADDR_FMCCNR)(c_BIT_USEIN0) = '1') then + v_dout(c_DOUTS-1 downto 0) := std_logic_vector(data_rw_i(c_ADDR_OUTREQ)(c_DOUTS-1 downto 0)); + else + v_dout(c_DOUTS-1 downto 0) := (others => s_cmp_pulse(0)); + end if; + v_fbd := FMC_LA_P_b(31) & FMC_LA_N_b(31) & FMC_LA_P_b(32) & FMC_LA_N_b(32); -- interrupts generation by comparing with previous value if (v_cmp /= s_cmp_pulse) then intr_o <= '1'; @@ -315,16 +327,17 @@ begin intr_led_o <= '0'; end if; -- clocking in data for above interrupt generation - -- leds combination from status and extended pulse + -- only on change of (extended) bitvectors there's an interrupt to reduce + -- interrupt rate v_cmp := s_cmp_pulse(c_COMP-1 downto 0); - v_cmpled := s_compleds(c_COMP-1 downto 0) or s_cmp_pulse(c_COMP-1 downto 0); - v_outleds := s_outleds(c_DOUTS-1 downto 0) or v_dout(c_DOUTS-1 downto 0); + v_cmpled := s_compleds(c_COMP-1 downto 0); + v_outleds := s_outleds(c_DOUTS-1 downto 0); end if; end process p_fmc_03287_io; -- no additional clocking of comparators & LEDs data_o(c_ADDR_COMPIN) <= resize(unsigned(s_cmp_pulse), data_o(0)'length); data_o(c_ADDR_COMPEXIN) <= resize(unsigned(s_compleds), data_o(0)'length); - data_o(c_ADDR_OUTEXIN) <= resize(unsigned(s_outleds), data_o(0)'length); + data_o(c_ADDR_OUTEX) <= resize(unsigned(s_outleds), data_o(0)'length); --============================================================================= -- EDA-02327: FMC user lines - clock in for AXI register read by Zynq PS --============================================================================= diff --git a/FASEC_prototype.ip_user_files/bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/FASEC_hwtest.srcs/sources_1/new/top_mod.vhd b/FASEC_prototype.ip_user_files/bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/FASEC_hwtest.srcs/sources_1/new/top_mod.vhd similarity index 100% rename from FASEC_prototype.ip_user_files/bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/FASEC_hwtest.srcs/sources_1/new/top_mod.vhd rename to FASEC_prototype.ip_user_files/bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/FASEC_hwtest.srcs/sources_1/new/top_mod.vhd diff --git a/FASEC_prototype.ip_user_files/bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/axi4/axi4lite_slave.vhd b/FASEC_prototype.ip_user_files/bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/axi4/axi4lite_slave.vhd similarity index 100% rename from FASEC_prototype.ip_user_files/bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/axi4/axi4lite_slave.vhd rename to FASEC_prototype.ip_user_files/bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/axi4/axi4lite_slave.vhd diff --git a/FASEC_prototype.ip_user_files/bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/general/clockDivider.vhd b/FASEC_prototype.ip_user_files/bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/general/clockDivider.vhd similarity index 100% rename from FASEC_prototype.ip_user_files/bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/general/clockDivider.vhd rename to FASEC_prototype.ip_user_files/bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/general/clockDivider.vhd diff --git a/FASEC_prototype.ip_user_files/bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/general/counterUpDown.vhd b/FASEC_prototype.ip_user_files/bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/general/counterUpDown.vhd similarity index 100% rename from FASEC_prototype.ip_user_files/bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/general/counterUpDown.vhd rename to FASEC_prototype.ip_user_files/bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/general/counterUpDown.vhd diff --git a/FASEC_prototype.ip_user_files/bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/general/doubleBufferEdge.vhd b/FASEC_prototype.ip_user_files/bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/general/doubleBufferEdge.vhd similarity index 100% rename from FASEC_prototype.ip_user_files/bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/general/doubleBufferEdge.vhd rename to FASEC_prototype.ip_user_files/bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/general/doubleBufferEdge.vhd diff --git a/FASEC_prototype.ip_user_files/bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/general/pulseMeasure.vhd b/FASEC_prototype.ip_user_files/bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/general/pulseMeasure.vhd similarity index 100% rename from FASEC_prototype.ip_user_files/bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/general/pulseMeasure.vhd rename to FASEC_prototype.ip_user_files/bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/general/pulseMeasure.vhd diff --git a/FASEC_prototype.ip_user_files/bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/general/spi_transceiver.vhd b/FASEC_prototype.ip_user_files/bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/general/spi_transceiver.vhd similarity index 100% rename from FASEC_prototype.ip_user_files/bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/general/spi_transceiver.vhd rename to FASEC_prototype.ip_user_files/bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/general/spi_transceiver.vhd diff --git a/FASEC_prototype.ip_user_files/bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/main_pkg.vhd b/FASEC_prototype.ip_user_files/bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/main_pkg.vhd similarity index 100% rename from FASEC_prototype.ip_user_files/bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/main_pkg.vhd rename to FASEC_prototype.ip_user_files/bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/main_pkg.vhd diff --git a/FASEC_prototype.ip_user_files/sim_scripts/system_design/activehdl/README.txt b/FASEC_prototype.ip_user_files/sim_scripts/system_design/activehdl/README.txt index f4d5c3f7..5fd372b9 100644 --- a/FASEC_prototype.ip_user_files/sim_scripts/system_design/activehdl/README.txt +++ b/FASEC_prototype.ip_user_files/sim_scripts/system_design/activehdl/README.txt @@ -4,7 +4,7 @@ # README.txt: Please read the sections below to understand the steps required to # run the exported script and information about the source files. # -# Generated by export_simulation on Thu May 11 18:13:34 CEST 2017 +# Generated by export_simulation on Thu May 11 20:34:08 CEST 2017 # ################################################################################ diff --git a/FASEC_prototype.ip_user_files/sim_scripts/system_design/activehdl/compile.do b/FASEC_prototype.ip_user_files/sim_scripts/system_design/activehdl/compile.do index 8271cab4..de3f8b0d 100644 --- a/FASEC_prototype.ip_user_files/sim_scripts/system_design/activehdl/compile.do +++ b/FASEC_prototype.ip_user_files/sim_scripts/system_design/activehdl/compile.do @@ -91,22 +91,22 @@ vlog -work xil_defaultlib -v2k5 "+incdir+../../../ipstatic/axi_infrastructure_v1 "../../../bd/system_design/ip/system_design_processing_system7_0_0/sim/system_design_processing_system7_0_0.v" \ vcom -work hdl_lib -93 \ -"../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/general/spi_transceiver.vhd" \ -"../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/general/doubleBufferEdge.vhd" \ -"../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/general/counterUpDown.vhd" \ -"../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/main_pkg.vhd" \ -"../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/general/pulseMeasure.vhd" \ +"../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/general/spi_transceiver.vhd" \ +"../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/general/doubleBufferEdge.vhd" \ +"../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/general/counterUpDown.vhd" \ +"../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/main_pkg.vhd" \ +"../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/general/pulseMeasure.vhd" \ vcom -work xil_defaultlib -93 \ -"../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/FASEC_hwtest.srcs/sources_1/new/dac7716_spi.vhd" \ +"../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/FASEC_hwtest.srcs/sources_1/new/dac7716_spi.vhd" \ vcom -work hdl_lib -93 \ -"../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/axi4/axi4lite_slave.vhd" \ -"../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/general/clockDivider.vhd" \ +"../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/axi4/axi4lite_slave.vhd" \ +"../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/general/clockDivider.vhd" \ vcom -work xil_defaultlib -93 \ -"../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/FASEC_hwtest.srcs/sources_1/new/general_fmc.vhd" \ -"../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/FASEC_hwtest.srcs/sources_1/new/top_mod.vhd" \ +"../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/FASEC_hwtest.srcs/sources_1/new/general_fmc.vhd" \ +"../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/FASEC_hwtest.srcs/sources_1/new/top_mod.vhd" \ "../../../bd/system_design/ip/system_design_fasec_hwtest_0_0/sim/system_design_fasec_hwtest_0_0.vhd" \ vcom -work lib_cdc_v1_0_2 -93 \ diff --git a/FASEC_prototype.ip_user_files/sim_scripts/system_design/activehdl/file_info.txt b/FASEC_prototype.ip_user_files/sim_scripts/system_design/activehdl/file_info.txt index c1fe050b..fe0f5796 100644 --- a/FASEC_prototype.ip_user_files/sim_scripts/system_design/activehdl/file_info.txt +++ b/FASEC_prototype.ip_user_files/sim_scripts/system_design/activehdl/file_info.txt @@ -31,16 +31,16 @@ processing_system7_bfm_v2_0_axi_master.v,verilog,processing_system7_bfm_v2_0_5,. processing_system7_bfm_v2_0_afi_slave.v,verilog,processing_system7_bfm_v2_0_5,../../../ipstatic/processing_system7_bfm_v2_0/hdl/processing_system7_bfm_v2_0_afi_slave.v,incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" processing_system7_bfm_v2_0_processing_system7_bfm.v,verilog,processing_system7_bfm_v2_0_5,../../../ipstatic/processing_system7_bfm_v2_0/hdl/processing_system7_bfm_v2_0_processing_system7_bfm.v,incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" system_design_processing_system7_0_0.v,verilog,xil_defaultlib,../../../bd/system_design/ip/system_design_processing_system7_0_0/sim/system_design_processing_system7_0_0.v,incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" -spi_transceiver.vhd,vhdl,hdl_lib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/general/spi_transceiver.vhd,incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" -doubleBufferEdge.vhd,vhdl,hdl_lib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/general/doubleBufferEdge.vhd,incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" -counterUpDown.vhd,vhdl,hdl_lib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/general/counterUpDown.vhd,incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" -main_pkg.vhd,vhdl,hdl_lib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/main_pkg.vhd,incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" -pulseMeasure.vhd,vhdl,hdl_lib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/general/pulseMeasure.vhd,incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" -dac7716_spi.vhd,vhdl,xil_defaultlib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/FASEC_hwtest.srcs/sources_1/new/dac7716_spi.vhd,incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" -axi4lite_slave.vhd,vhdl,hdl_lib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/axi4/axi4lite_slave.vhd,incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" -clockDivider.vhd,vhdl,hdl_lib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/general/clockDivider.vhd,incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" -general_fmc.vhd,vhdl,xil_defaultlib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/FASEC_hwtest.srcs/sources_1/new/general_fmc.vhd,incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" -top_mod.vhd,vhdl,xil_defaultlib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/FASEC_hwtest.srcs/sources_1/new/top_mod.vhd,incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" +spi_transceiver.vhd,vhdl,hdl_lib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/general/spi_transceiver.vhd,incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" +doubleBufferEdge.vhd,vhdl,hdl_lib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/general/doubleBufferEdge.vhd,incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" +counterUpDown.vhd,vhdl,hdl_lib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/general/counterUpDown.vhd,incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" +main_pkg.vhd,vhdl,hdl_lib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/main_pkg.vhd,incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" +pulseMeasure.vhd,vhdl,hdl_lib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/general/pulseMeasure.vhd,incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" +dac7716_spi.vhd,vhdl,xil_defaultlib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/FASEC_hwtest.srcs/sources_1/new/dac7716_spi.vhd,incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" +axi4lite_slave.vhd,vhdl,hdl_lib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/axi4/axi4lite_slave.vhd,incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" +clockDivider.vhd,vhdl,hdl_lib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/general/clockDivider.vhd,incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" +general_fmc.vhd,vhdl,xil_defaultlib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/FASEC_hwtest.srcs/sources_1/new/general_fmc.vhd,incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" +top_mod.vhd,vhdl,xil_defaultlib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/FASEC_hwtest.srcs/sources_1/new/top_mod.vhd,incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" system_design_fasec_hwtest_0_0.vhd,vhdl,xil_defaultlib,../../../bd/system_design/ip/system_design_fasec_hwtest_0_0/sim/system_design_fasec_hwtest_0_0.vhd,incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" cdc_sync.vhd,vhdl,lib_cdc_v1_0_2,../../../ipstatic/lib_cdc_v1_0/hdl/src/vhdl/cdc_sync.vhd,incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" upcnt_n.vhd,vhdl,proc_sys_reset_v5_0_9,../../../ipstatic/proc_sys_reset_v5_0/hdl/src/vhdl/upcnt_n.vhd,incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" diff --git a/FASEC_prototype.ip_user_files/sim_scripts/system_design/activehdl/system_design.sh b/FASEC_prototype.ip_user_files/sim_scripts/system_design/activehdl/system_design.sh index ffabe434..138b05b1 100755 --- a/FASEC_prototype.ip_user_files/sim_scripts/system_design/activehdl/system_design.sh +++ b/FASEC_prototype.ip_user_files/sim_scripts/system_design/activehdl/system_design.sh @@ -8,7 +8,7 @@ # directory, add the library logical mappings in the simulator setup file, create default # 'do/prj' file, execute compilation, elaboration and simulation steps. # -# Generated by Vivado on Thu May 11 18:13:34 CEST 2017 +# Generated by Vivado on Thu May 11 20:34:08 CEST 2017 # IP Build 1577682 on Fri Jun 3 12:00:54 MDT 2016 # # usage: system_design.sh [-help] diff --git a/FASEC_prototype.ip_user_files/sim_scripts/system_design/ies/README.txt b/FASEC_prototype.ip_user_files/sim_scripts/system_design/ies/README.txt index f4d5c3f7..5fd372b9 100644 --- a/FASEC_prototype.ip_user_files/sim_scripts/system_design/ies/README.txt +++ b/FASEC_prototype.ip_user_files/sim_scripts/system_design/ies/README.txt @@ -4,7 +4,7 @@ # README.txt: Please read the sections below to understand the steps required to # run the exported script and information about the source files. # -# Generated by export_simulation on Thu May 11 18:13:34 CEST 2017 +# Generated by export_simulation on Thu May 11 20:34:08 CEST 2017 # ################################################################################ diff --git a/FASEC_prototype.ip_user_files/sim_scripts/system_design/ies/file_info.txt b/FASEC_prototype.ip_user_files/sim_scripts/system_design/ies/file_info.txt index 88af2ef8..49152145 100644 --- a/FASEC_prototype.ip_user_files/sim_scripts/system_design/ies/file_info.txt +++ b/FASEC_prototype.ip_user_files/sim_scripts/system_design/ies/file_info.txt @@ -31,16 +31,16 @@ processing_system7_bfm_v2_0_axi_master.v,verilog,processing_system7_bfm_v2_0_5,. processing_system7_bfm_v2_0_afi_slave.v,verilog,processing_system7_bfm_v2_0_5,../../../ipstatic/processing_system7_bfm_v2_0/hdl/processing_system7_bfm_v2_0_afi_slave.v,incdir="$ref_dir/../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="$ref_dir/../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" processing_system7_bfm_v2_0_processing_system7_bfm.v,verilog,processing_system7_bfm_v2_0_5,../../../ipstatic/processing_system7_bfm_v2_0/hdl/processing_system7_bfm_v2_0_processing_system7_bfm.v,incdir="$ref_dir/../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="$ref_dir/../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" system_design_processing_system7_0_0.v,verilog,xil_defaultlib,../../../bd/system_design/ip/system_design_processing_system7_0_0/sim/system_design_processing_system7_0_0.v,incdir="$ref_dir/../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="$ref_dir/../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" -spi_transceiver.vhd,vhdl,hdl_lib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/general/spi_transceiver.vhd,incdir="$ref_dir/../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="$ref_dir/../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" -doubleBufferEdge.vhd,vhdl,hdl_lib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/general/doubleBufferEdge.vhd,incdir="$ref_dir/../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="$ref_dir/../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" -counterUpDown.vhd,vhdl,hdl_lib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/general/counterUpDown.vhd,incdir="$ref_dir/../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="$ref_dir/../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" -main_pkg.vhd,vhdl,hdl_lib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/main_pkg.vhd,incdir="$ref_dir/../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="$ref_dir/../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" -pulseMeasure.vhd,vhdl,hdl_lib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/general/pulseMeasure.vhd,incdir="$ref_dir/../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="$ref_dir/../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" -dac7716_spi.vhd,vhdl,xil_defaultlib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/FASEC_hwtest.srcs/sources_1/new/dac7716_spi.vhd,incdir="$ref_dir/../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="$ref_dir/../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" -axi4lite_slave.vhd,vhdl,hdl_lib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/axi4/axi4lite_slave.vhd,incdir="$ref_dir/../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="$ref_dir/../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" -clockDivider.vhd,vhdl,hdl_lib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/general/clockDivider.vhd,incdir="$ref_dir/../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="$ref_dir/../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" -general_fmc.vhd,vhdl,xil_defaultlib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/FASEC_hwtest.srcs/sources_1/new/general_fmc.vhd,incdir="$ref_dir/../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="$ref_dir/../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" -top_mod.vhd,vhdl,xil_defaultlib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/FASEC_hwtest.srcs/sources_1/new/top_mod.vhd,incdir="$ref_dir/../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="$ref_dir/../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" +spi_transceiver.vhd,vhdl,hdl_lib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/general/spi_transceiver.vhd,incdir="$ref_dir/../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="$ref_dir/../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" +doubleBufferEdge.vhd,vhdl,hdl_lib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/general/doubleBufferEdge.vhd,incdir="$ref_dir/../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="$ref_dir/../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" +counterUpDown.vhd,vhdl,hdl_lib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/general/counterUpDown.vhd,incdir="$ref_dir/../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="$ref_dir/../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" +main_pkg.vhd,vhdl,hdl_lib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/main_pkg.vhd,incdir="$ref_dir/../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="$ref_dir/../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" +pulseMeasure.vhd,vhdl,hdl_lib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/general/pulseMeasure.vhd,incdir="$ref_dir/../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="$ref_dir/../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" +dac7716_spi.vhd,vhdl,xil_defaultlib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/FASEC_hwtest.srcs/sources_1/new/dac7716_spi.vhd,incdir="$ref_dir/../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="$ref_dir/../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" +axi4lite_slave.vhd,vhdl,hdl_lib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/axi4/axi4lite_slave.vhd,incdir="$ref_dir/../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="$ref_dir/../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" +clockDivider.vhd,vhdl,hdl_lib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/general/clockDivider.vhd,incdir="$ref_dir/../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="$ref_dir/../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" +general_fmc.vhd,vhdl,xil_defaultlib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/FASEC_hwtest.srcs/sources_1/new/general_fmc.vhd,incdir="$ref_dir/../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="$ref_dir/../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" +top_mod.vhd,vhdl,xil_defaultlib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/FASEC_hwtest.srcs/sources_1/new/top_mod.vhd,incdir="$ref_dir/../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="$ref_dir/../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" system_design_fasec_hwtest_0_0.vhd,vhdl,xil_defaultlib,../../../bd/system_design/ip/system_design_fasec_hwtest_0_0/sim/system_design_fasec_hwtest_0_0.vhd,incdir="$ref_dir/../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="$ref_dir/../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" cdc_sync.vhd,vhdl,lib_cdc_v1_0_2,../../../ipstatic/lib_cdc_v1_0/hdl/src/vhdl/cdc_sync.vhd,incdir="$ref_dir/../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="$ref_dir/../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" upcnt_n.vhd,vhdl,proc_sys_reset_v5_0_9,../../../ipstatic/proc_sys_reset_v5_0/hdl/src/vhdl/upcnt_n.vhd,incdir="$ref_dir/../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="$ref_dir/../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" diff --git a/FASEC_prototype.ip_user_files/sim_scripts/system_design/ies/run.f b/FASEC_prototype.ip_user_files/sim_scripts/system_design/ies/run.f index 6d8dabd9..2dc9523f 100644 --- a/FASEC_prototype.ip_user_files/sim_scripts/system_design/ies/run.f +++ b/FASEC_prototype.ip_user_files/sim_scripts/system_design/ies/run.f @@ -40,22 +40,22 @@ "../../../bd/system_design/ip/system_design_processing_system7_0_0/sim/system_design_processing_system7_0_0.v" \ -endlib -makelib ies/hdl_lib \ - "../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/general/spi_transceiver.vhd" \ - "../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/general/doubleBufferEdge.vhd" \ - "../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/general/counterUpDown.vhd" \ - "../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/main_pkg.vhd" \ - "../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/general/pulseMeasure.vhd" \ + "../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/general/spi_transceiver.vhd" \ + "../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/general/doubleBufferEdge.vhd" \ + "../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/general/counterUpDown.vhd" \ + "../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/main_pkg.vhd" \ + "../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/general/pulseMeasure.vhd" \ -endlib -makelib ies/xil_defaultlib \ - "../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/FASEC_hwtest.srcs/sources_1/new/dac7716_spi.vhd" \ + "../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/FASEC_hwtest.srcs/sources_1/new/dac7716_spi.vhd" \ -endlib -makelib ies/hdl_lib \ - "../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/axi4/axi4lite_slave.vhd" \ - "../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/general/clockDivider.vhd" \ + "../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/axi4/axi4lite_slave.vhd" \ + "../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/general/clockDivider.vhd" \ -endlib -makelib ies/xil_defaultlib \ - "../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/FASEC_hwtest.srcs/sources_1/new/general_fmc.vhd" \ - "../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/FASEC_hwtest.srcs/sources_1/new/top_mod.vhd" \ + "../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/FASEC_hwtest.srcs/sources_1/new/general_fmc.vhd" \ + "../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/FASEC_hwtest.srcs/sources_1/new/top_mod.vhd" \ "../../../bd/system_design/ip/system_design_fasec_hwtest_0_0/sim/system_design_fasec_hwtest_0_0.vhd" \ -endlib -makelib ies/lib_cdc_v1_0_2 \ diff --git a/FASEC_prototype.ip_user_files/sim_scripts/system_design/ies/system_design.sh b/FASEC_prototype.ip_user_files/sim_scripts/system_design/ies/system_design.sh index 6677f23f..d969df5f 100755 --- a/FASEC_prototype.ip_user_files/sim_scripts/system_design/ies/system_design.sh +++ b/FASEC_prototype.ip_user_files/sim_scripts/system_design/ies/system_design.sh @@ -8,7 +8,7 @@ # directory, add the library logical mappings in the simulator setup file, create default # 'do/prj' file, execute compilation, elaboration and simulation steps. # -# Generated by Vivado on Thu May 11 18:13:34 CEST 2017 +# Generated by Vivado on Thu May 11 20:34:08 CEST 2017 # IP Build 1577682 on Fri Jun 3 12:00:54 MDT 2016 # # usage: system_design.sh [-help] diff --git a/FASEC_prototype.ip_user_files/sim_scripts/system_design/modelsim/README.txt b/FASEC_prototype.ip_user_files/sim_scripts/system_design/modelsim/README.txt index f4d5c3f7..5fd372b9 100644 --- a/FASEC_prototype.ip_user_files/sim_scripts/system_design/modelsim/README.txt +++ b/FASEC_prototype.ip_user_files/sim_scripts/system_design/modelsim/README.txt @@ -4,7 +4,7 @@ # README.txt: Please read the sections below to understand the steps required to # run the exported script and information about the source files. # -# Generated by export_simulation on Thu May 11 18:13:34 CEST 2017 +# Generated by export_simulation on Thu May 11 20:34:08 CEST 2017 # ################################################################################ diff --git a/FASEC_prototype.ip_user_files/sim_scripts/system_design/modelsim/compile.do b/FASEC_prototype.ip_user_files/sim_scripts/system_design/modelsim/compile.do index b59e978b..7d34567c 100644 --- a/FASEC_prototype.ip_user_files/sim_scripts/system_design/modelsim/compile.do +++ b/FASEC_prototype.ip_user_files/sim_scripts/system_design/modelsim/compile.do @@ -91,22 +91,22 @@ vlog -work xil_defaultlib -64 -incr "+incdir+../../../ipstatic/axi_infrastructur "../../../bd/system_design/ip/system_design_processing_system7_0_0/sim/system_design_processing_system7_0_0.v" \ vcom -work hdl_lib -64 -93 \ -"../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/general/spi_transceiver.vhd" \ -"../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/general/doubleBufferEdge.vhd" \ -"../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/general/counterUpDown.vhd" \ -"../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/main_pkg.vhd" \ -"../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/general/pulseMeasure.vhd" \ +"../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/general/spi_transceiver.vhd" \ +"../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/general/doubleBufferEdge.vhd" \ +"../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/general/counterUpDown.vhd" \ +"../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/main_pkg.vhd" \ +"../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/general/pulseMeasure.vhd" \ vcom -work xil_defaultlib -64 -93 \ -"../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/FASEC_hwtest.srcs/sources_1/new/dac7716_spi.vhd" \ +"../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/FASEC_hwtest.srcs/sources_1/new/dac7716_spi.vhd" \ vcom -work hdl_lib -64 -93 \ -"../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/axi4/axi4lite_slave.vhd" \ -"../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/general/clockDivider.vhd" \ +"../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/axi4/axi4lite_slave.vhd" \ +"../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/general/clockDivider.vhd" \ vcom -work xil_defaultlib -64 -93 \ -"../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/FASEC_hwtest.srcs/sources_1/new/general_fmc.vhd" \ -"../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/FASEC_hwtest.srcs/sources_1/new/top_mod.vhd" \ +"../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/FASEC_hwtest.srcs/sources_1/new/general_fmc.vhd" \ +"../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/FASEC_hwtest.srcs/sources_1/new/top_mod.vhd" \ "../../../bd/system_design/ip/system_design_fasec_hwtest_0_0/sim/system_design_fasec_hwtest_0_0.vhd" \ vcom -work lib_cdc_v1_0_2 -64 -93 \ diff --git a/FASEC_prototype.ip_user_files/sim_scripts/system_design/modelsim/file_info.txt b/FASEC_prototype.ip_user_files/sim_scripts/system_design/modelsim/file_info.txt index c1fe050b..fe0f5796 100644 --- a/FASEC_prototype.ip_user_files/sim_scripts/system_design/modelsim/file_info.txt +++ b/FASEC_prototype.ip_user_files/sim_scripts/system_design/modelsim/file_info.txt @@ -31,16 +31,16 @@ processing_system7_bfm_v2_0_axi_master.v,verilog,processing_system7_bfm_v2_0_5,. processing_system7_bfm_v2_0_afi_slave.v,verilog,processing_system7_bfm_v2_0_5,../../../ipstatic/processing_system7_bfm_v2_0/hdl/processing_system7_bfm_v2_0_afi_slave.v,incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" processing_system7_bfm_v2_0_processing_system7_bfm.v,verilog,processing_system7_bfm_v2_0_5,../../../ipstatic/processing_system7_bfm_v2_0/hdl/processing_system7_bfm_v2_0_processing_system7_bfm.v,incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" system_design_processing_system7_0_0.v,verilog,xil_defaultlib,../../../bd/system_design/ip/system_design_processing_system7_0_0/sim/system_design_processing_system7_0_0.v,incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" -spi_transceiver.vhd,vhdl,hdl_lib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/general/spi_transceiver.vhd,incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" -doubleBufferEdge.vhd,vhdl,hdl_lib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/general/doubleBufferEdge.vhd,incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" -counterUpDown.vhd,vhdl,hdl_lib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/general/counterUpDown.vhd,incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" -main_pkg.vhd,vhdl,hdl_lib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/main_pkg.vhd,incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" -pulseMeasure.vhd,vhdl,hdl_lib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/general/pulseMeasure.vhd,incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" -dac7716_spi.vhd,vhdl,xil_defaultlib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/FASEC_hwtest.srcs/sources_1/new/dac7716_spi.vhd,incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" -axi4lite_slave.vhd,vhdl,hdl_lib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/axi4/axi4lite_slave.vhd,incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" -clockDivider.vhd,vhdl,hdl_lib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/general/clockDivider.vhd,incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" -general_fmc.vhd,vhdl,xil_defaultlib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/FASEC_hwtest.srcs/sources_1/new/general_fmc.vhd,incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" -top_mod.vhd,vhdl,xil_defaultlib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/FASEC_hwtest.srcs/sources_1/new/top_mod.vhd,incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" +spi_transceiver.vhd,vhdl,hdl_lib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/general/spi_transceiver.vhd,incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" +doubleBufferEdge.vhd,vhdl,hdl_lib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/general/doubleBufferEdge.vhd,incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" +counterUpDown.vhd,vhdl,hdl_lib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/general/counterUpDown.vhd,incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" +main_pkg.vhd,vhdl,hdl_lib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/main_pkg.vhd,incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" +pulseMeasure.vhd,vhdl,hdl_lib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/general/pulseMeasure.vhd,incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" +dac7716_spi.vhd,vhdl,xil_defaultlib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/FASEC_hwtest.srcs/sources_1/new/dac7716_spi.vhd,incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" +axi4lite_slave.vhd,vhdl,hdl_lib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/axi4/axi4lite_slave.vhd,incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" +clockDivider.vhd,vhdl,hdl_lib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/general/clockDivider.vhd,incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" +general_fmc.vhd,vhdl,xil_defaultlib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/FASEC_hwtest.srcs/sources_1/new/general_fmc.vhd,incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" +top_mod.vhd,vhdl,xil_defaultlib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/FASEC_hwtest.srcs/sources_1/new/top_mod.vhd,incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" system_design_fasec_hwtest_0_0.vhd,vhdl,xil_defaultlib,../../../bd/system_design/ip/system_design_fasec_hwtest_0_0/sim/system_design_fasec_hwtest_0_0.vhd,incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" cdc_sync.vhd,vhdl,lib_cdc_v1_0_2,../../../ipstatic/lib_cdc_v1_0/hdl/src/vhdl/cdc_sync.vhd,incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" upcnt_n.vhd,vhdl,proc_sys_reset_v5_0_9,../../../ipstatic/proc_sys_reset_v5_0/hdl/src/vhdl/upcnt_n.vhd,incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" diff --git a/FASEC_prototype.ip_user_files/sim_scripts/system_design/modelsim/system_design.sh b/FASEC_prototype.ip_user_files/sim_scripts/system_design/modelsim/system_design.sh index 7df42352..912cde8e 100755 --- a/FASEC_prototype.ip_user_files/sim_scripts/system_design/modelsim/system_design.sh +++ b/FASEC_prototype.ip_user_files/sim_scripts/system_design/modelsim/system_design.sh @@ -8,7 +8,7 @@ # directory, add the library logical mappings in the simulator setup file, create default # 'do/prj' file, execute compilation, elaboration and simulation steps. # -# Generated by Vivado on Thu May 11 18:13:34 CEST 2017 +# Generated by Vivado on Thu May 11 20:34:08 CEST 2017 # IP Build 1577682 on Fri Jun 3 12:00:54 MDT 2016 # # usage: system_design.sh [-help] diff --git a/FASEC_prototype.ip_user_files/sim_scripts/system_design/questa/README.txt b/FASEC_prototype.ip_user_files/sim_scripts/system_design/questa/README.txt index f4d5c3f7..5fd372b9 100644 --- a/FASEC_prototype.ip_user_files/sim_scripts/system_design/questa/README.txt +++ b/FASEC_prototype.ip_user_files/sim_scripts/system_design/questa/README.txt @@ -4,7 +4,7 @@ # README.txt: Please read the sections below to understand the steps required to # run the exported script and information about the source files. # -# Generated by export_simulation on Thu May 11 18:13:34 CEST 2017 +# Generated by export_simulation on Thu May 11 20:34:08 CEST 2017 # ################################################################################ diff --git a/FASEC_prototype.ip_user_files/sim_scripts/system_design/questa/compile.do b/FASEC_prototype.ip_user_files/sim_scripts/system_design/questa/compile.do index a32861e2..6aa947de 100644 --- a/FASEC_prototype.ip_user_files/sim_scripts/system_design/questa/compile.do +++ b/FASEC_prototype.ip_user_files/sim_scripts/system_design/questa/compile.do @@ -91,22 +91,22 @@ vlog -work xil_defaultlib -64 "+incdir+../../../ipstatic/axi_infrastructure_v1_1 "../../../bd/system_design/ip/system_design_processing_system7_0_0/sim/system_design_processing_system7_0_0.v" \ vcom -work hdl_lib -64 \ -"../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/general/spi_transceiver.vhd" \ -"../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/general/doubleBufferEdge.vhd" \ -"../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/general/counterUpDown.vhd" \ -"../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/main_pkg.vhd" \ -"../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/general/pulseMeasure.vhd" \ +"../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/general/spi_transceiver.vhd" \ +"../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/general/doubleBufferEdge.vhd" \ +"../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/general/counterUpDown.vhd" \ +"../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/main_pkg.vhd" \ +"../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/general/pulseMeasure.vhd" \ vcom -work xil_defaultlib -64 \ -"../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/FASEC_hwtest.srcs/sources_1/new/dac7716_spi.vhd" \ +"../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/FASEC_hwtest.srcs/sources_1/new/dac7716_spi.vhd" \ vcom -work hdl_lib -64 \ -"../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/axi4/axi4lite_slave.vhd" \ -"../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/general/clockDivider.vhd" \ +"../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/axi4/axi4lite_slave.vhd" \ +"../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/general/clockDivider.vhd" \ vcom -work xil_defaultlib -64 \ -"../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/FASEC_hwtest.srcs/sources_1/new/general_fmc.vhd" \ -"../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/FASEC_hwtest.srcs/sources_1/new/top_mod.vhd" \ +"../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/FASEC_hwtest.srcs/sources_1/new/general_fmc.vhd" \ +"../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/FASEC_hwtest.srcs/sources_1/new/top_mod.vhd" \ "../../../bd/system_design/ip/system_design_fasec_hwtest_0_0/sim/system_design_fasec_hwtest_0_0.vhd" \ vcom -work lib_cdc_v1_0_2 -64 \ diff --git a/FASEC_prototype.ip_user_files/sim_scripts/system_design/questa/file_info.txt b/FASEC_prototype.ip_user_files/sim_scripts/system_design/questa/file_info.txt index c1fe050b..fe0f5796 100644 --- a/FASEC_prototype.ip_user_files/sim_scripts/system_design/questa/file_info.txt +++ b/FASEC_prototype.ip_user_files/sim_scripts/system_design/questa/file_info.txt @@ -31,16 +31,16 @@ processing_system7_bfm_v2_0_axi_master.v,verilog,processing_system7_bfm_v2_0_5,. processing_system7_bfm_v2_0_afi_slave.v,verilog,processing_system7_bfm_v2_0_5,../../../ipstatic/processing_system7_bfm_v2_0/hdl/processing_system7_bfm_v2_0_afi_slave.v,incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" processing_system7_bfm_v2_0_processing_system7_bfm.v,verilog,processing_system7_bfm_v2_0_5,../../../ipstatic/processing_system7_bfm_v2_0/hdl/processing_system7_bfm_v2_0_processing_system7_bfm.v,incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" system_design_processing_system7_0_0.v,verilog,xil_defaultlib,../../../bd/system_design/ip/system_design_processing_system7_0_0/sim/system_design_processing_system7_0_0.v,incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" -spi_transceiver.vhd,vhdl,hdl_lib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/general/spi_transceiver.vhd,incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" -doubleBufferEdge.vhd,vhdl,hdl_lib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/general/doubleBufferEdge.vhd,incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" -counterUpDown.vhd,vhdl,hdl_lib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/general/counterUpDown.vhd,incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" -main_pkg.vhd,vhdl,hdl_lib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/main_pkg.vhd,incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" -pulseMeasure.vhd,vhdl,hdl_lib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/general/pulseMeasure.vhd,incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" -dac7716_spi.vhd,vhdl,xil_defaultlib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/FASEC_hwtest.srcs/sources_1/new/dac7716_spi.vhd,incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" -axi4lite_slave.vhd,vhdl,hdl_lib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/axi4/axi4lite_slave.vhd,incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" -clockDivider.vhd,vhdl,hdl_lib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/general/clockDivider.vhd,incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" -general_fmc.vhd,vhdl,xil_defaultlib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/FASEC_hwtest.srcs/sources_1/new/general_fmc.vhd,incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" -top_mod.vhd,vhdl,xil_defaultlib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/FASEC_hwtest.srcs/sources_1/new/top_mod.vhd,incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" +spi_transceiver.vhd,vhdl,hdl_lib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/general/spi_transceiver.vhd,incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" +doubleBufferEdge.vhd,vhdl,hdl_lib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/general/doubleBufferEdge.vhd,incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" +counterUpDown.vhd,vhdl,hdl_lib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/general/counterUpDown.vhd,incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" +main_pkg.vhd,vhdl,hdl_lib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/main_pkg.vhd,incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" +pulseMeasure.vhd,vhdl,hdl_lib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/general/pulseMeasure.vhd,incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" +dac7716_spi.vhd,vhdl,xil_defaultlib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/FASEC_hwtest.srcs/sources_1/new/dac7716_spi.vhd,incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" +axi4lite_slave.vhd,vhdl,hdl_lib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/axi4/axi4lite_slave.vhd,incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" +clockDivider.vhd,vhdl,hdl_lib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/general/clockDivider.vhd,incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" +general_fmc.vhd,vhdl,xil_defaultlib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/FASEC_hwtest.srcs/sources_1/new/general_fmc.vhd,incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" +top_mod.vhd,vhdl,xil_defaultlib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/FASEC_hwtest.srcs/sources_1/new/top_mod.vhd,incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" system_design_fasec_hwtest_0_0.vhd,vhdl,xil_defaultlib,../../../bd/system_design/ip/system_design_fasec_hwtest_0_0/sim/system_design_fasec_hwtest_0_0.vhd,incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" cdc_sync.vhd,vhdl,lib_cdc_v1_0_2,../../../ipstatic/lib_cdc_v1_0/hdl/src/vhdl/cdc_sync.vhd,incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" upcnt_n.vhd,vhdl,proc_sys_reset_v5_0_9,../../../ipstatic/proc_sys_reset_v5_0/hdl/src/vhdl/upcnt_n.vhd,incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" diff --git a/FASEC_prototype.ip_user_files/sim_scripts/system_design/questa/system_design.sh b/FASEC_prototype.ip_user_files/sim_scripts/system_design/questa/system_design.sh index f4bc9d27..f56ace27 100755 --- a/FASEC_prototype.ip_user_files/sim_scripts/system_design/questa/system_design.sh +++ b/FASEC_prototype.ip_user_files/sim_scripts/system_design/questa/system_design.sh @@ -8,7 +8,7 @@ # directory, add the library logical mappings in the simulator setup file, create default # 'do/prj' file, execute compilation, elaboration and simulation steps. # -# Generated by Vivado on Thu May 11 18:13:34 CEST 2017 +# Generated by Vivado on Thu May 11 20:34:08 CEST 2017 # IP Build 1577682 on Fri Jun 3 12:00:54 MDT 2016 # # usage: system_design.sh [-help] diff --git a/FASEC_prototype.ip_user_files/sim_scripts/system_design/riviera/README.txt b/FASEC_prototype.ip_user_files/sim_scripts/system_design/riviera/README.txt index f4d5c3f7..5fd372b9 100644 --- a/FASEC_prototype.ip_user_files/sim_scripts/system_design/riviera/README.txt +++ b/FASEC_prototype.ip_user_files/sim_scripts/system_design/riviera/README.txt @@ -4,7 +4,7 @@ # README.txt: Please read the sections below to understand the steps required to # run the exported script and information about the source files. # -# Generated by export_simulation on Thu May 11 18:13:34 CEST 2017 +# Generated by export_simulation on Thu May 11 20:34:08 CEST 2017 # ################################################################################ diff --git a/FASEC_prototype.ip_user_files/sim_scripts/system_design/riviera/compile.do b/FASEC_prototype.ip_user_files/sim_scripts/system_design/riviera/compile.do index d388d457..2b5adf2f 100644 --- a/FASEC_prototype.ip_user_files/sim_scripts/system_design/riviera/compile.do +++ b/FASEC_prototype.ip_user_files/sim_scripts/system_design/riviera/compile.do @@ -91,22 +91,22 @@ vlog -work xil_defaultlib -v2k5 "+incdir+../../../ipstatic/axi_infrastructure_v1 "../../../bd/system_design/ip/system_design_processing_system7_0_0/sim/system_design_processing_system7_0_0.v" \ vcom -work hdl_lib -93 \ -"../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/general/spi_transceiver.vhd" \ -"../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/general/doubleBufferEdge.vhd" \ -"../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/general/counterUpDown.vhd" \ -"../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/main_pkg.vhd" \ -"../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/general/pulseMeasure.vhd" \ +"../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/general/spi_transceiver.vhd" \ +"../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/general/doubleBufferEdge.vhd" \ +"../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/general/counterUpDown.vhd" \ +"../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/main_pkg.vhd" \ +"../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/general/pulseMeasure.vhd" \ vcom -work xil_defaultlib -93 \ -"../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/FASEC_hwtest.srcs/sources_1/new/dac7716_spi.vhd" \ +"../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/FASEC_hwtest.srcs/sources_1/new/dac7716_spi.vhd" \ vcom -work hdl_lib -93 \ -"../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/axi4/axi4lite_slave.vhd" \ -"../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/general/clockDivider.vhd" \ +"../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/axi4/axi4lite_slave.vhd" \ +"../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/general/clockDivider.vhd" \ vcom -work xil_defaultlib -93 \ -"../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/FASEC_hwtest.srcs/sources_1/new/general_fmc.vhd" \ -"../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/FASEC_hwtest.srcs/sources_1/new/top_mod.vhd" \ +"../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/FASEC_hwtest.srcs/sources_1/new/general_fmc.vhd" \ +"../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/FASEC_hwtest.srcs/sources_1/new/top_mod.vhd" \ "../../../bd/system_design/ip/system_design_fasec_hwtest_0_0/sim/system_design_fasec_hwtest_0_0.vhd" \ vcom -work lib_cdc_v1_0_2 -93 \ diff --git a/FASEC_prototype.ip_user_files/sim_scripts/system_design/riviera/file_info.txt b/FASEC_prototype.ip_user_files/sim_scripts/system_design/riviera/file_info.txt index c1fe050b..fe0f5796 100644 --- a/FASEC_prototype.ip_user_files/sim_scripts/system_design/riviera/file_info.txt +++ b/FASEC_prototype.ip_user_files/sim_scripts/system_design/riviera/file_info.txt @@ -31,16 +31,16 @@ processing_system7_bfm_v2_0_axi_master.v,verilog,processing_system7_bfm_v2_0_5,. processing_system7_bfm_v2_0_afi_slave.v,verilog,processing_system7_bfm_v2_0_5,../../../ipstatic/processing_system7_bfm_v2_0/hdl/processing_system7_bfm_v2_0_afi_slave.v,incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" processing_system7_bfm_v2_0_processing_system7_bfm.v,verilog,processing_system7_bfm_v2_0_5,../../../ipstatic/processing_system7_bfm_v2_0/hdl/processing_system7_bfm_v2_0_processing_system7_bfm.v,incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" system_design_processing_system7_0_0.v,verilog,xil_defaultlib,../../../bd/system_design/ip/system_design_processing_system7_0_0/sim/system_design_processing_system7_0_0.v,incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" -spi_transceiver.vhd,vhdl,hdl_lib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/general/spi_transceiver.vhd,incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" -doubleBufferEdge.vhd,vhdl,hdl_lib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/general/doubleBufferEdge.vhd,incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" -counterUpDown.vhd,vhdl,hdl_lib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/general/counterUpDown.vhd,incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" -main_pkg.vhd,vhdl,hdl_lib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/main_pkg.vhd,incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" -pulseMeasure.vhd,vhdl,hdl_lib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/general/pulseMeasure.vhd,incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" -dac7716_spi.vhd,vhdl,xil_defaultlib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/FASEC_hwtest.srcs/sources_1/new/dac7716_spi.vhd,incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" -axi4lite_slave.vhd,vhdl,hdl_lib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/axi4/axi4lite_slave.vhd,incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" -clockDivider.vhd,vhdl,hdl_lib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/general/clockDivider.vhd,incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" -general_fmc.vhd,vhdl,xil_defaultlib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/FASEC_hwtest.srcs/sources_1/new/general_fmc.vhd,incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" -top_mod.vhd,vhdl,xil_defaultlib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/FASEC_hwtest.srcs/sources_1/new/top_mod.vhd,incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" +spi_transceiver.vhd,vhdl,hdl_lib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/general/spi_transceiver.vhd,incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" +doubleBufferEdge.vhd,vhdl,hdl_lib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/general/doubleBufferEdge.vhd,incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" +counterUpDown.vhd,vhdl,hdl_lib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/general/counterUpDown.vhd,incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" +main_pkg.vhd,vhdl,hdl_lib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/main_pkg.vhd,incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" +pulseMeasure.vhd,vhdl,hdl_lib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/general/pulseMeasure.vhd,incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" +dac7716_spi.vhd,vhdl,xil_defaultlib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/FASEC_hwtest.srcs/sources_1/new/dac7716_spi.vhd,incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" +axi4lite_slave.vhd,vhdl,hdl_lib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/axi4/axi4lite_slave.vhd,incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" +clockDivider.vhd,vhdl,hdl_lib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/general/clockDivider.vhd,incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" +general_fmc.vhd,vhdl,xil_defaultlib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/FASEC_hwtest.srcs/sources_1/new/general_fmc.vhd,incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" +top_mod.vhd,vhdl,xil_defaultlib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/FASEC_hwtest.srcs/sources_1/new/top_mod.vhd,incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" system_design_fasec_hwtest_0_0.vhd,vhdl,xil_defaultlib,../../../bd/system_design/ip/system_design_fasec_hwtest_0_0/sim/system_design_fasec_hwtest_0_0.vhd,incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" cdc_sync.vhd,vhdl,lib_cdc_v1_0_2,../../../ipstatic/lib_cdc_v1_0/hdl/src/vhdl/cdc_sync.vhd,incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" upcnt_n.vhd,vhdl,proc_sys_reset_v5_0_9,../../../ipstatic/proc_sys_reset_v5_0/hdl/src/vhdl/upcnt_n.vhd,incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" diff --git a/FASEC_prototype.ip_user_files/sim_scripts/system_design/riviera/system_design.sh b/FASEC_prototype.ip_user_files/sim_scripts/system_design/riviera/system_design.sh index 14230e3e..7c8d74c6 100755 --- a/FASEC_prototype.ip_user_files/sim_scripts/system_design/riviera/system_design.sh +++ b/FASEC_prototype.ip_user_files/sim_scripts/system_design/riviera/system_design.sh @@ -8,7 +8,7 @@ # directory, add the library logical mappings in the simulator setup file, create default # 'do/prj' file, execute compilation, elaboration and simulation steps. # -# Generated by Vivado on Thu May 11 18:13:34 CEST 2017 +# Generated by Vivado on Thu May 11 20:34:08 CEST 2017 # IP Build 1577682 on Fri Jun 3 12:00:54 MDT 2016 # # usage: system_design.sh [-help] diff --git a/FASEC_prototype.ip_user_files/sim_scripts/system_design/vcs/README.txt b/FASEC_prototype.ip_user_files/sim_scripts/system_design/vcs/README.txt index f4d5c3f7..5fd372b9 100644 --- a/FASEC_prototype.ip_user_files/sim_scripts/system_design/vcs/README.txt +++ b/FASEC_prototype.ip_user_files/sim_scripts/system_design/vcs/README.txt @@ -4,7 +4,7 @@ # README.txt: Please read the sections below to understand the steps required to # run the exported script and information about the source files. # -# Generated by export_simulation on Thu May 11 18:13:34 CEST 2017 +# Generated by export_simulation on Thu May 11 20:34:08 CEST 2017 # ################################################################################ diff --git a/FASEC_prototype.ip_user_files/sim_scripts/system_design/vcs/file_info.txt b/FASEC_prototype.ip_user_files/sim_scripts/system_design/vcs/file_info.txt index 88af2ef8..49152145 100644 --- a/FASEC_prototype.ip_user_files/sim_scripts/system_design/vcs/file_info.txt +++ b/FASEC_prototype.ip_user_files/sim_scripts/system_design/vcs/file_info.txt @@ -31,16 +31,16 @@ processing_system7_bfm_v2_0_axi_master.v,verilog,processing_system7_bfm_v2_0_5,. processing_system7_bfm_v2_0_afi_slave.v,verilog,processing_system7_bfm_v2_0_5,../../../ipstatic/processing_system7_bfm_v2_0/hdl/processing_system7_bfm_v2_0_afi_slave.v,incdir="$ref_dir/../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="$ref_dir/../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" processing_system7_bfm_v2_0_processing_system7_bfm.v,verilog,processing_system7_bfm_v2_0_5,../../../ipstatic/processing_system7_bfm_v2_0/hdl/processing_system7_bfm_v2_0_processing_system7_bfm.v,incdir="$ref_dir/../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="$ref_dir/../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" system_design_processing_system7_0_0.v,verilog,xil_defaultlib,../../../bd/system_design/ip/system_design_processing_system7_0_0/sim/system_design_processing_system7_0_0.v,incdir="$ref_dir/../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="$ref_dir/../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" -spi_transceiver.vhd,vhdl,hdl_lib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/general/spi_transceiver.vhd,incdir="$ref_dir/../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="$ref_dir/../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" -doubleBufferEdge.vhd,vhdl,hdl_lib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/general/doubleBufferEdge.vhd,incdir="$ref_dir/../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="$ref_dir/../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" -counterUpDown.vhd,vhdl,hdl_lib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/general/counterUpDown.vhd,incdir="$ref_dir/../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="$ref_dir/../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" -main_pkg.vhd,vhdl,hdl_lib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/main_pkg.vhd,incdir="$ref_dir/../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="$ref_dir/../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" -pulseMeasure.vhd,vhdl,hdl_lib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/general/pulseMeasure.vhd,incdir="$ref_dir/../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="$ref_dir/../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" -dac7716_spi.vhd,vhdl,xil_defaultlib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/FASEC_hwtest.srcs/sources_1/new/dac7716_spi.vhd,incdir="$ref_dir/../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="$ref_dir/../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" -axi4lite_slave.vhd,vhdl,hdl_lib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/axi4/axi4lite_slave.vhd,incdir="$ref_dir/../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="$ref_dir/../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" -clockDivider.vhd,vhdl,hdl_lib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/general/clockDivider.vhd,incdir="$ref_dir/../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="$ref_dir/../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" -general_fmc.vhd,vhdl,xil_defaultlib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/FASEC_hwtest.srcs/sources_1/new/general_fmc.vhd,incdir="$ref_dir/../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="$ref_dir/../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" -top_mod.vhd,vhdl,xil_defaultlib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/FASEC_hwtest.srcs/sources_1/new/top_mod.vhd,incdir="$ref_dir/../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="$ref_dir/../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" +spi_transceiver.vhd,vhdl,hdl_lib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/general/spi_transceiver.vhd,incdir="$ref_dir/../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="$ref_dir/../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" +doubleBufferEdge.vhd,vhdl,hdl_lib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/general/doubleBufferEdge.vhd,incdir="$ref_dir/../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="$ref_dir/../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" +counterUpDown.vhd,vhdl,hdl_lib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/general/counterUpDown.vhd,incdir="$ref_dir/../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="$ref_dir/../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" +main_pkg.vhd,vhdl,hdl_lib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/main_pkg.vhd,incdir="$ref_dir/../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="$ref_dir/../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" +pulseMeasure.vhd,vhdl,hdl_lib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/general/pulseMeasure.vhd,incdir="$ref_dir/../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="$ref_dir/../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" +dac7716_spi.vhd,vhdl,xil_defaultlib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/FASEC_hwtest.srcs/sources_1/new/dac7716_spi.vhd,incdir="$ref_dir/../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="$ref_dir/../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" +axi4lite_slave.vhd,vhdl,hdl_lib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/axi4/axi4lite_slave.vhd,incdir="$ref_dir/../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="$ref_dir/../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" +clockDivider.vhd,vhdl,hdl_lib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/general/clockDivider.vhd,incdir="$ref_dir/../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="$ref_dir/../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" +general_fmc.vhd,vhdl,xil_defaultlib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/FASEC_hwtest.srcs/sources_1/new/general_fmc.vhd,incdir="$ref_dir/../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="$ref_dir/../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" +top_mod.vhd,vhdl,xil_defaultlib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/FASEC_hwtest.srcs/sources_1/new/top_mod.vhd,incdir="$ref_dir/../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="$ref_dir/../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" system_design_fasec_hwtest_0_0.vhd,vhdl,xil_defaultlib,../../../bd/system_design/ip/system_design_fasec_hwtest_0_0/sim/system_design_fasec_hwtest_0_0.vhd,incdir="$ref_dir/../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="$ref_dir/../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" cdc_sync.vhd,vhdl,lib_cdc_v1_0_2,../../../ipstatic/lib_cdc_v1_0/hdl/src/vhdl/cdc_sync.vhd,incdir="$ref_dir/../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="$ref_dir/../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" upcnt_n.vhd,vhdl,proc_sys_reset_v5_0_9,../../../ipstatic/proc_sys_reset_v5_0/hdl/src/vhdl/upcnt_n.vhd,incdir="$ref_dir/../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="$ref_dir/../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" diff --git a/FASEC_prototype.ip_user_files/sim_scripts/system_design/vcs/system_design.sh b/FASEC_prototype.ip_user_files/sim_scripts/system_design/vcs/system_design.sh index 1554e2ab..29db9aee 100755 --- a/FASEC_prototype.ip_user_files/sim_scripts/system_design/vcs/system_design.sh +++ b/FASEC_prototype.ip_user_files/sim_scripts/system_design/vcs/system_design.sh @@ -8,7 +8,7 @@ # directory, add the library logical mappings in the simulator setup file, create default # 'do/prj' file, execute compilation, elaboration and simulation steps. # -# Generated by Vivado on Thu May 11 18:13:34 CEST 2017 +# Generated by Vivado on Thu May 11 20:34:08 CEST 2017 # IP Build 1577682 on Fri Jun 3 12:00:54 MDT 2016 # # usage: system_design.sh [-help] @@ -113,25 +113,25 @@ compile() 2>&1 | tee -a vlogan.log vhdlan -work hdl_lib $vhdlan_opts \ - "$ref_dir/../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/general/spi_transceiver.vhd" \ - "$ref_dir/../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/general/doubleBufferEdge.vhd" \ - "$ref_dir/../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/general/counterUpDown.vhd" \ - "$ref_dir/../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/main_pkg.vhd" \ - "$ref_dir/../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/general/pulseMeasure.vhd" \ + "$ref_dir/../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/general/spi_transceiver.vhd" \ + "$ref_dir/../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/general/doubleBufferEdge.vhd" \ + "$ref_dir/../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/general/counterUpDown.vhd" \ + "$ref_dir/../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/main_pkg.vhd" \ + "$ref_dir/../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/general/pulseMeasure.vhd" \ 2>&1 | tee -a vhdlan.log vhdlan -work xil_defaultlib $vhdlan_opts \ - "$ref_dir/../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/FASEC_hwtest.srcs/sources_1/new/dac7716_spi.vhd" \ + "$ref_dir/../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/FASEC_hwtest.srcs/sources_1/new/dac7716_spi.vhd" \ 2>&1 | tee -a vhdlan.log vhdlan -work hdl_lib $vhdlan_opts \ - "$ref_dir/../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/axi4/axi4lite_slave.vhd" \ - "$ref_dir/../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/general/clockDivider.vhd" \ + "$ref_dir/../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/axi4/axi4lite_slave.vhd" \ + "$ref_dir/../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/general/clockDivider.vhd" \ 2>&1 | tee -a vhdlan.log vhdlan -work xil_defaultlib $vhdlan_opts \ - "$ref_dir/../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/FASEC_hwtest.srcs/sources_1/new/general_fmc.vhd" \ - "$ref_dir/../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/FASEC_hwtest.srcs/sources_1/new/top_mod.vhd" \ + "$ref_dir/../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/FASEC_hwtest.srcs/sources_1/new/general_fmc.vhd" \ + "$ref_dir/../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/FASEC_hwtest.srcs/sources_1/new/top_mod.vhd" \ "$ref_dir/../../../bd/system_design/ip/system_design_fasec_hwtest_0_0/sim/system_design_fasec_hwtest_0_0.vhd" \ 2>&1 | tee -a vhdlan.log diff --git a/FASEC_prototype.ip_user_files/sim_scripts/system_design/xsim/README.txt b/FASEC_prototype.ip_user_files/sim_scripts/system_design/xsim/README.txt index f4d5c3f7..5fd372b9 100644 --- a/FASEC_prototype.ip_user_files/sim_scripts/system_design/xsim/README.txt +++ b/FASEC_prototype.ip_user_files/sim_scripts/system_design/xsim/README.txt @@ -4,7 +4,7 @@ # README.txt: Please read the sections below to understand the steps required to # run the exported script and information about the source files. # -# Generated by export_simulation on Thu May 11 18:13:34 CEST 2017 +# Generated by export_simulation on Thu May 11 20:34:08 CEST 2017 # ################################################################################ diff --git a/FASEC_prototype.ip_user_files/sim_scripts/system_design/xsim/file_info.txt b/FASEC_prototype.ip_user_files/sim_scripts/system_design/xsim/file_info.txt index 88af2ef8..49152145 100644 --- a/FASEC_prototype.ip_user_files/sim_scripts/system_design/xsim/file_info.txt +++ b/FASEC_prototype.ip_user_files/sim_scripts/system_design/xsim/file_info.txt @@ -31,16 +31,16 @@ processing_system7_bfm_v2_0_axi_master.v,verilog,processing_system7_bfm_v2_0_5,. processing_system7_bfm_v2_0_afi_slave.v,verilog,processing_system7_bfm_v2_0_5,../../../ipstatic/processing_system7_bfm_v2_0/hdl/processing_system7_bfm_v2_0_afi_slave.v,incdir="$ref_dir/../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="$ref_dir/../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" processing_system7_bfm_v2_0_processing_system7_bfm.v,verilog,processing_system7_bfm_v2_0_5,../../../ipstatic/processing_system7_bfm_v2_0/hdl/processing_system7_bfm_v2_0_processing_system7_bfm.v,incdir="$ref_dir/../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="$ref_dir/../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" system_design_processing_system7_0_0.v,verilog,xil_defaultlib,../../../bd/system_design/ip/system_design_processing_system7_0_0/sim/system_design_processing_system7_0_0.v,incdir="$ref_dir/../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="$ref_dir/../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" -spi_transceiver.vhd,vhdl,hdl_lib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/general/spi_transceiver.vhd,incdir="$ref_dir/../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="$ref_dir/../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" -doubleBufferEdge.vhd,vhdl,hdl_lib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/general/doubleBufferEdge.vhd,incdir="$ref_dir/../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="$ref_dir/../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" -counterUpDown.vhd,vhdl,hdl_lib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/general/counterUpDown.vhd,incdir="$ref_dir/../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="$ref_dir/../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" -main_pkg.vhd,vhdl,hdl_lib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/main_pkg.vhd,incdir="$ref_dir/../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="$ref_dir/../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" -pulseMeasure.vhd,vhdl,hdl_lib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/general/pulseMeasure.vhd,incdir="$ref_dir/../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="$ref_dir/../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" -dac7716_spi.vhd,vhdl,xil_defaultlib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/FASEC_hwtest.srcs/sources_1/new/dac7716_spi.vhd,incdir="$ref_dir/../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="$ref_dir/../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" -axi4lite_slave.vhd,vhdl,hdl_lib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/axi4/axi4lite_slave.vhd,incdir="$ref_dir/../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="$ref_dir/../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" -clockDivider.vhd,vhdl,hdl_lib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/general/clockDivider.vhd,incdir="$ref_dir/../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="$ref_dir/../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" -general_fmc.vhd,vhdl,xil_defaultlib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/FASEC_hwtest.srcs/sources_1/new/general_fmc.vhd,incdir="$ref_dir/../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="$ref_dir/../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" -top_mod.vhd,vhdl,xil_defaultlib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/FASEC_hwtest.srcs/sources_1/new/top_mod.vhd,incdir="$ref_dir/../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="$ref_dir/../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" +spi_transceiver.vhd,vhdl,hdl_lib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/general/spi_transceiver.vhd,incdir="$ref_dir/../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="$ref_dir/../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" +doubleBufferEdge.vhd,vhdl,hdl_lib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/general/doubleBufferEdge.vhd,incdir="$ref_dir/../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="$ref_dir/../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" +counterUpDown.vhd,vhdl,hdl_lib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/general/counterUpDown.vhd,incdir="$ref_dir/../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="$ref_dir/../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" +main_pkg.vhd,vhdl,hdl_lib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/main_pkg.vhd,incdir="$ref_dir/../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="$ref_dir/../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" +pulseMeasure.vhd,vhdl,hdl_lib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/general/pulseMeasure.vhd,incdir="$ref_dir/../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="$ref_dir/../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" +dac7716_spi.vhd,vhdl,xil_defaultlib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/FASEC_hwtest.srcs/sources_1/new/dac7716_spi.vhd,incdir="$ref_dir/../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="$ref_dir/../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" +axi4lite_slave.vhd,vhdl,hdl_lib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/axi4/axi4lite_slave.vhd,incdir="$ref_dir/../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="$ref_dir/../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" +clockDivider.vhd,vhdl,hdl_lib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/general/clockDivider.vhd,incdir="$ref_dir/../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="$ref_dir/../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" +general_fmc.vhd,vhdl,xil_defaultlib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/FASEC_hwtest.srcs/sources_1/new/general_fmc.vhd,incdir="$ref_dir/../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="$ref_dir/../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" +top_mod.vhd,vhdl,xil_defaultlib,../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/FASEC_hwtest.srcs/sources_1/new/top_mod.vhd,incdir="$ref_dir/../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="$ref_dir/../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" system_design_fasec_hwtest_0_0.vhd,vhdl,xil_defaultlib,../../../bd/system_design/ip/system_design_fasec_hwtest_0_0/sim/system_design_fasec_hwtest_0_0.vhd,incdir="$ref_dir/../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="$ref_dir/../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" cdc_sync.vhd,vhdl,lib_cdc_v1_0_2,../../../ipstatic/lib_cdc_v1_0/hdl/src/vhdl/cdc_sync.vhd,incdir="$ref_dir/../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="$ref_dir/../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" upcnt_n.vhd,vhdl,proc_sys_reset_v5_0_9,../../../ipstatic/proc_sys_reset_v5_0/hdl/src/vhdl/upcnt_n.vhd,incdir="$ref_dir/../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="$ref_dir/../../../ipstatic/processing_system7_bfm_v2_0/hdl"incdir="../../../ipstatic/axi_infrastructure_v1_1/hdl/verilog"incdir="../../../ipstatic/processing_system7_bfm_v2_0/hdl" diff --git a/FASEC_prototype.ip_user_files/sim_scripts/system_design/xsim/system_design.sh b/FASEC_prototype.ip_user_files/sim_scripts/system_design/xsim/system_design.sh index b464008b..0cd60e28 100755 --- a/FASEC_prototype.ip_user_files/sim_scripts/system_design/xsim/system_design.sh +++ b/FASEC_prototype.ip_user_files/sim_scripts/system_design/xsim/system_design.sh @@ -8,7 +8,7 @@ # directory, add the library logical mappings in the simulator setup file, create default # 'do/prj' file, execute compilation, elaboration and simulation steps. # -# Generated by Vivado on Thu May 11 18:13:34 CEST 2017 +# Generated by Vivado on Thu May 11 20:34:08 CEST 2017 # IP Build 1577682 on Fri Jun 3 12:00:54 MDT 2016 # # usage: system_design.sh [-help] diff --git a/FASEC_prototype.ip_user_files/sim_scripts/system_design/xsim/vhdl.prj b/FASEC_prototype.ip_user_files/sim_scripts/system_design/xsim/vhdl.prj index 46af38f9..65e75fec 100644 --- a/FASEC_prototype.ip_user_files/sim_scripts/system_design/xsim/vhdl.prj +++ b/FASEC_prototype.ip_user_files/sim_scripts/system_design/xsim/vhdl.prj @@ -1,14 +1,14 @@ vhdl xpm "/local/EDA/Xilinx/Vivado/2016.2/data/ip/xpm/xpm_VCOMP.vhd" -vhdl hdl_lib "../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/general/spi_transceiver.vhd" -vhdl hdl_lib "../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/general/doubleBufferEdge.vhd" -vhdl hdl_lib "../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/general/counterUpDown.vhd" -vhdl hdl_lib "../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/main_pkg.vhd" -vhdl hdl_lib "../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/general/pulseMeasure.vhd" -vhdl xil_defaultlib "../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/FASEC_hwtest.srcs/sources_1/new/dac7716_spi.vhd" -vhdl hdl_lib "../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/axi4/axi4lite_slave.vhd" -vhdl hdl_lib "../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/general/clockDivider.vhd" -vhdl xil_defaultlib "../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/FASEC_hwtest.srcs/sources_1/new/general_fmc.vhd" -vhdl xil_defaultlib "../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/FASEC_hwtest.srcs/sources_1/new/top_mod.vhd" +vhdl hdl_lib "../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/general/spi_transceiver.vhd" +vhdl hdl_lib "../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/general/doubleBufferEdge.vhd" +vhdl hdl_lib "../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/general/counterUpDown.vhd" +vhdl hdl_lib "../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/main_pkg.vhd" +vhdl hdl_lib "../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/general/pulseMeasure.vhd" +vhdl xil_defaultlib "../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/FASEC_hwtest.srcs/sources_1/new/dac7716_spi.vhd" +vhdl hdl_lib "../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/axi4/axi4lite_slave.vhd" +vhdl hdl_lib "../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/general/clockDivider.vhd" +vhdl xil_defaultlib "../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/FASEC_hwtest.srcs/sources_1/new/general_fmc.vhd" +vhdl xil_defaultlib "../../../bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/FASEC_hwtest.srcs/sources_1/new/top_mod.vhd" vhdl xil_defaultlib "../../../bd/system_design/ip/system_design_fasec_hwtest_0_0/sim/system_design_fasec_hwtest_0_0.vhd" vhdl lib_cdc_v1_0_2 "../../../ipstatic/lib_cdc_v1_0/hdl/src/vhdl/cdc_sync.vhd" vhdl proc_sys_reset_v5_0_9 "../../../ipstatic/proc_sys_reset_v5_0/hdl/src/vhdl/upcnt_n.vhd" diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/hdl/system_design.hwdef b/FASEC_prototype.srcs/sources_1/bd/system_design/hdl/system_design.hwdef index 4a5dc0dfea5dc604d67a71a73f0738def5708de6..349a0fba588651ab6e350cf0f6d4c97f81035a2f 100644 GIT binary patch delta 42328 zcmaHxWl$VXwDxg#ch}(V?jdM!5AG1$!r;#065N7oaCZ&v5(w_@&P)Eeb*tVl_e0mO zpVLpDKGQq9wKYBa%~1QzP)N%1kWg4)U|?`y5(PC#;^1%+1!W&s`rM`xG{EN&K`0_b zov)4_L2t#iJP_Zk0FPh>d*S3)yNyk5;#^z4+d907JBzCo)N4}GYr~ukJOx=8vBD4& zHS2k}UJh&IvOA&~5!BLp0$=AAnSvX__NxzE8i*=o{vmyrtP0QgV}p&BT%S+$)@iFy z|8%Y*R`z^&L0<sF_G-D}j;E|>|CsPq!bIy1p><`yO<w{A50GlxzTiHb(+nMy>7>e1 z=0bua!{XwHn1YPMjpp_$pWnjeT@XRlu05D2`~`c+g^}fL{<^d9M*JDvh!G(~i@b_! z<!jBO2z^mZB%Fh29)qWWdB4^?22WDb$o34~Sdfke!~iAMC>4El22+S-P-Dol>8e4Z zAHBFWMimviKhQy!`61e)+(4MhE>dT1pZ(Ez>g)rb%(Mfvp6~(xEwbtwy*MAZ1IVeT z1Al};YF-cAA;>BCTVUc-C13i=z`WiUmXFPKzdr>sdw(hVBU~yqgH7|hU=hA6n(;;@ z5ieiuXB-hPRVfQ`$@~VlWSwxIwB@PC^e0Ec!tWt;vb6yJ{g+LJ(Q@MYn(<maSn8c_ zvvqFS+KlD@-{$md(Mw;Cq%!vKI-P&&<nn^GCHvD`*y&)pR}h_$^zgMt#(}<1I*Qmy z2)$yID*KqsI45ezui=H^%ay_s#put8rv>P(O@cHmoKt2Rg#N1uiu%ufs%r7TiUmf- zEBo5m2!wqfRsl_sN+8^PCp}Yodxw9Eaz(FQnB}*y=*i=TMokL7+~|{&VRD4&@-k={ zMxMzw*YVR6xhCYw|H^e+SusiDX=tPkeZQ=Zcl%&_=1-NY3k5M+niKY+DEX*%Q-aJ} z=d}L)FU|A|QWA#jNs0mh@79ex=bQMO$|$XVbH)XlREYusUCg(q3wf8PeT+zFKLsdr z3}>+{KkBxvs*fMyA^9^_Wn%8MW^d}l=`pkXnE&|DPU6yLK4}GEO%3o8C_&G`8#22M zAl1>Vb4xfFhfQ1U{yLUrg<K}9M*_49gi(`wTc?iat?Uv+cM{ToKEqhGdh!^WMh_f| zIfJAT>;bTy1iUTgls5JB#5h5SMJ&&tR=rD*{w?mf3Cd4;48B{ZaGw)JD%d5gI3jN` zirRGgiiu-*1$CNJ-4d8q{L=ou2+XlY6}CS-Q=Cai!>giPVbLnGGxXnk8pp9P`UdEz zwxQ|!U?|qUc~Hgx+4h5|Q^tH+!c2KpTN_T?jTm3%Ibn=E(oXC+1N^WlbO&iD1w-n{ znm?e3reyGWHoKk1+`GmFb8^jjes;Nu-;U)ulEw(7OD}JP9ui-RG;r;-cCpS@x?6k- zDUYQ|lGe{z3*@gp&gx4z*yM}2{$$33?y(3W8N3?aTJ-<{8iqf2l;hM5`BG;!dsCt7 zHSx=ATTzb;#7blp;V}T!D!9*4zj^B6`5!x$p>~|0ps;H<$BDjB;^1POCiThxVtLeA ze&k%#kTRCgj9+kh97^(IT9Z!x*d5Bq3`x<LWMyWwf=d@lt7{A2$9I`o2Q^|cc-sOF z@Aizp>US9i>@BxQL0;@}LX1!XNAqH-H30+Qiq73dr%rf3`)@_`@Lv;eMLhc)^zmOa z#zj20-#Z=Ig(7^6OXi)V8$v!TFTPHEKV4HEC#-G~<lp9_gKR&l`Ao<{W83JsZ95qq zxotjKohS4rFx}p6m~RRkoFojQ+!puXWR8gZs89k(E_8X&07aQ>!OKQ_;vjryo|ts| z-Ci}WqVGy!$1JUJD{nq>xGuVLO30pa_htd5;VCa0#~YA8Z?_)CjCf!D7=QQnhK{^` zxm2cDZ8tlS((Pp$Url#y_j8DzBdNT)%{wx0e^Xh7Qq=S3sy<tW9I(jX$o@(&=jF0$ zb4v)c@*K5Xyd1mAe;fn6q9e^4O_-peoW=~tF?fa++G%Cb^87;~gDfwM;A^~VnO%cd zb2@peA`e&H>hW2)0ZMX$Xxs$d#JTSzO1x2*_WqGYJ9Q|?ZK2AK{d|qMxt5z&NEWdH z=ER}uq#~hh;9;ZB=@^L8NX;x2-;JFyLk<B~<_LfKC9{Dz`Jt{v7S639I|!2pU&E;o zajEnwEC-W>H?8zxDNd)F`*i1iU9}yC5H>W<sW_Iz26oR(hWL4m@0~;gv1_t2-HmvW z++j|h?hcd=^Ba88SG)b{RbKM}>A%nPyXEHzo>M<L=Z#IIf5U?ASMVL}H2iZ|gf9)G z>~p#r*afG8Wxeg#d*fsdhXT*BFRQR=sZp_8<|3DvL*nhat=2sJFJ#9CH^t!-t4<I1 z8|&*251t|*G?b9W1WiMlnZPOACf-!RO~W^$Ec3yqo1=pTo~etf{F%X(UPF*Uf2RjE z3Dhz`wA!c6LJRBUQy<e$Y%wcC^%FRl_fW~l<XRrHcX<Hr%5cr!tf1BMXZG_yC!9NA zfR+i0n>J#Y)H*{?W#>(}1nTG2+tJyI_m2;s+ooz^=Kb@oc0G9z(%(RXl|(NXS8HAB zhwObS)nHXBotBa}(w!<t?)rO<OKrAwX_?X=Mzn=|nZ&p%Z*!rsX(mg3H2|Vwsx?iH z3T*iPe(F~ie8cu{?eW(-RQQG!`qP~JU(}u!i(d+*4H|#<wRvr|dCeWl1O@i1pt0IO zk(arVE2EPgcF}E5siMNPa9NUk;1_FLT69rjnt^m@8Z=py4n?xWx-EM#N6uAf^|DfE z?^C5`4MZppcthfajTV%}Is|su-!`XNJq46?Zjkn3Dw3b`t)`Bpsg)%@SX7icDt^1B zO%aVm@<}vHX6ly-h7rCcy<fC3D!~a=d80h~o)L#(^HP4Fzpq!He?=K)S@fs(P2b>V zi*fMK*S)%|9bnUH;<!)@qCKoGD~~&S>{R6zT+&_13B3Wxh2mw)DuCL@n+8g-D?YC= zx7t6Z&1!4UXJaj2?;A$asNG5{W>b%DIhS=}2cr2`6&H*3Z;XYPZ9jetN@Ox<Oquk+ z_;BlPo0EvFZn8A0yo~7`6J<`9yNTZtg?(q_;@^X<ET7GmMQ+>5HEVW{!c5pOu7m&u zANr_Xp`_h2N8&ev6$dO7ccokv)leJt<DS!jTTUgbn$?3c$yv(k|4a&T=Bc$%T<-4P zp0v&hbeWy-i(AYTYud?J%%R~Qwd~Gx5RqJBJSLS-fAz67@cFc1^g=h4;KT$fv#tUx z)1(4F-e1H}!}Jp2!L0BZb=PK)A@w1a@u}ry@TuAEP70Rp`~)`Y$FT4MGVt3PGQ^lM z*R;mTQeYiy&Cv|FojuRz&0&zlP*B%&{zlIjEn<HKx^r_Bs|z#>-uM3-^R0QGhq|Ww zpTo|)OMITlwS0Nhk9=rDY|b2pkv84}ecZONi*0*!W7G|$8BwJ+Q{wdFtnDt^eEKR8 z@U`wYB`*vmsb9An3ZGB$qP9hv1j@TIN73BSW+nvLCI`Hy>X{mgPKM>&&a<q74$z|^ z`fs^GZjSxCE4Sj2+&PqfwC(ft`W+-EPosK?FEGu59wr=+N}cgI$^iioxG8F|t~X4s zTAF9^!+HrjY2T}4aT)V(2LT2^<ZA5V;+5^mqTRh<gRjiZH&QF`LFcY?Msr_-)?>Q% zVB<RxWc<fXCtDgiMUxU&U1>&~*DPrjCPkY{&>Kgu;b80$@hyYhkne2S29{2cp?y9C zH>f*9%*J|lq4T)Y*@Q=08eCde;6}tZ@UT=pPA|>uWxvgfSZQMt{Wm`VnMsloPyfQq zwYbIS;k7Id)A<zY@J9eWPOMPsq(9E8``LE~m#2AT^i$-8|EOK_M9Z8(X080*{MUZF zVJ=SoY=oRCK9^^=8@5k6Xmv~bZC|A%qJ;YpaWW%c2a8uh!Nc0Ild^44jFu;c5XoB@ z)rmdH#YmNsW~|g7#xZ??YfU{J-mJ}x4UY(p&@K9L(n@)D+<_e}dbJ;yzHh6#JiStI zQ_~t$3#xE`NKZROTpCL)0fzxiKA%?LdU*_P3M$4KUE{oRYNLi>c(Sz(pM>~SbFJ;G zbm~Np`%|ZyhQ;KJIqo1S1FuKj+wRa;XNaC8BA=_rdctm1dFNE%KFiWAQKF>!NB*eU zk2gl=?+1aZmM&G^OYL13o{b62J|0KA;&8#t*re?iD!b2JT^JW}+$rH8exDQ>rUR$% z`y<<#(_7Ot#j{POQD*FM6E$?CKXUVGsi&JW!Va)|8uI7%+DzXxjb|kMdwXxb9Dg+Z zDk}O}K(7<Ost;!nfDRg?pw2a!*+dkwEG4Q$WpkdS;=Ngm=C<Qzi&;n3$_U#Tr7=gz z)jpG%W3Hlj{Il}M@QtLttdla>ZxA!dI}|gn9{qF09lp9&#s1GJ8v|e4>+|fy(P?Mx z+miCP&UO|%drBFMmyS;HT#!f2nS`z&)dQXl4_$nG^H`ZSkZ3v)8I6#Zw;DCiMYXDW zR|SL<(&Zpn)L0arq+01xaQF+x$x>kur^-X;&CJYbo&)zLnHp6B#XYl<Vk!FjBE4~% z)M?2=QNz;bE~<RadIixlE7-hxIJSxFAPN7=XLULv4}Va8nQ{|rFAat}v43sb;_&)b z7ed3UC2Ai4F7?BdNV#jng_?Y9w~Xe|L~}mfpx)=V0zL|4>8^|M9pk+yq)>}WaM1x* zKFkQ4hLZposU!mS?g^L3CA*}t5Bx#@mAuqh-O6{3I0RZigGVGA<%1>?E)nj`FU5Kg z-zO;Y67#xDyrBH}=E_;o0`1&56yKJa;1Q?B`o&8Cp(evxR7>St$7}JXrKJIVqlcGh z{+6d|#Vv%=6h&STs$xGz9Uq<l$oecWN{vtL*EFtSeSE|E&`{<g)K~aT{G5`EBngi| zZHH*3Hp|-355oJlDu~PYxgv@m&5`v4<J7%6HJ;|u2Xwvn{UnVd&3qiS0|-LFAjXDp zCYwS5X*gXU<-lrQMjv4a7cIzdlg*5w9rqu`2ycx^S{(GLUZ&JpQVeRHBemO;#>wrG zd$sZHyFlHpe?+5u$$j5th3FUF&r_gAI=aFQPt3&uerwJ(5Z;#xL~y?bN4g)#M-a;> zP?>sn7Pa8N34$S1n><4~(tRLq=MbsW3p{{Ao+c90gzrQBOIOKseo?*3a8q@Bi;c(q zsVWj*CLnPV=m{cB1UMp>u#iI~m}JSBr&Jb`<i_%-ddb+!-28J6MX1B3%)i7KqM`JU zS@IGshR4$RO34_T(e>mq%K7ttLPGykud9PE0R@5!k(CX=-wc#k`Dpd2euc5;%M=>G zz+`rH{-d80m6WJIN5v#`bsvG=jW}?1ca#o_7=>0Tm@1wd@rf#mEj?s#95rN+ilC;a zc`N*el9g151Ti0ROHMQ;O9UxZ>5DwBUoHosLTGQBKz8?+IX<#=^r+rafz-q<eyR*I z4|>RkClfcVP*$v%MKZhSqyCClSdb$yNe_aD4l1{#G?((9$X3BJmkfw8Ja*HC>&aQh z<$5)i>YV4p>ZQeAvo{H@mVs`p&58B9fM$WQ|IqgBwwx0AlLRl$Q#m#3hVg3m`ScDs zB{z`)_se?W6+P#oqeDg@doL>yBw{X#zV%f)l|4exX>f>t@Tch_X!ITUENXTjCDzK# z+mmj8j1vzF8NY{^e+5nXZ*!z3FNdy<ojMOb1q+sNB;U$4AjlVVQ3EGt=SC>zEjcwn z?-wE(U2PZBLI(?Owe#o-=3eaDvsA4`A{_|gTr%1^u;V@sKtra_QHrB=81gucW}NjD zPtVP{$dOkj%TQ}FL)L*Z1cX2R_{hXSWD^U)q=T5G&V0j;)KVgry=<b$?2Ei;W(iY) zdJ@aGo@Ru%Ji-nU)uMR7=nMl&y$z)w9vd-p9On#l3;IM@qUkVuQ4a=oq3#QIG3O0- zq2ehSS!F{V9TTt&PQlXCa*57qaDs;3goHDR7WcpwK+{B@$Bn7#1su;U#VY&|NH<kB z6C~6#;O7aEtj4`Ux2=HfQqGNN7YkY5xhZd;^$S*o0Ykh&0>g<oL>6q0Px}@kDx-{S zA`^=|3GQN&JtNZTbQ1Z&1+kJKdje*&r&d?X-zx!K)G~$#D{17G6?FpZC(d4WVJ731 z-pNwz7GH+1zJgTFKEQ3$1ezLqT9|ysX~Db)t-kS|M0vlEY}*xP%}B43UCJ#SK``>J zStVQaGILf3;6k$J@Oli?gta7SlNvz2k=@&+aQxyoEq=jq>Ao_;KeY+G`*m+<?JXi- z_OX1iZfRZ}^>BYroG<r${)ZE)!_>MS7a9?q$SZv|s<gp)8Xy(=dZLBi55eC?TpAH7 zd=93tU|x*a|1igRg`S(LY=kNA$sIycmW-47!G$1;^GZ?>{&Xa~*f_UMOzAj<4X@fi zx3UY|&St7kTRF6gyzbJrK8iIKo4IM}=Q?p(0MNPv(dOQ322MKJt_gkXkk8O7GbCId zS|!-zj%$d`3xJ%?6gw{0$=iL<eLP6@p^$ChGcM16%#iGWfo1GFf)$w&s82b<6_Gzr z2q=qvAnmo%IIIbrse?e_O`L%#5=#t((S%c4h6LfxZh;qhLKob<I3K?r-1reSrm4A8 z7?}3;?=H%*K<5-Gztrx(!n>UP=2LXO$ERtrT}R&N1sML&tPiQ=c`qIccl!qS*P`<h z&=h0yx^5S6qGT;^jykKVsUqV-z_>E7rzW^1#Pc|?E)t0*r6cW168ed;MI!BT%7G!~ zB6sCvOn7q|!H=|K?>nc_K_lnJ1%yn>iM3m0HZUu%wWPa``^#BQFjJrkG$Rpk(|qwm zOAP@R2bA$);K0A&t$(Fkm!n&^qFX;g6IH{)>LSns5rfIZ&#o<pBuIewZmc+gJMvN> zZy<uk#KBa`@gYKc>d<(Jyn?~<kj3DLI=<r3MUVmhCTp?Z1L%5Sf-d7@FJv*;{kSZP zb_B@0tEKSAI|4XZu`sT31~xKrvCwi8*@+NP0pHt925?CUhT3`-WjHj&_+DwK<i{Ae zogmF~%V8rpcUSg@F+*?M^=>%m4}1roID(J84TGY$215_;gwTK=Wqjze=UuS~q`eiO zqhYkQRm=bq19zE(W3UnZs*0lUAc&}9{9svo)KAkbdKbr!A0XYm7OoFiL0y&N>;WnO z!1?{#$dq?95#+Wt$};R5pO24llW*G!Q%B`%RT3BbxUXh`z1Pvn=GE%qJR*38HFks8 z$$a;N*IuaPwN1mH{@9|JnC9J=I9?J$5&KhIci6Y3`-`z~QidGrJ0C^_F`28aU8RbI z8I`MRqxrX*gGm9gENxU`@scMAQK+aT!0T(_LMMsKlVdBvvM}=QW_Zumz{*Tu98Zhw za_Cl{bIE5;I%R>-5S1bnmXV?8pBCpsoZmp-;P%qR{d}dtm%zFLNr?kNLE=>s)v?pz zO1ZMK?ULNtIS{7yM!qx=AS9!>{fWsZ-G$l?4~k(BEcSwuMIgN3*SBy-90Aif;Nf1x zy|vS<taZ-m&QOE+gBVRb1$=CHd?3`2B(yjydx2Dm*1%Wlb-ckOzb{0ZP@P8K9kxje zIo{^rY_R=$by3;YD|pY{czHj0!*RSll%jsYaJoP5A!+j*u+Q!qeBX^une(JK+s5NE zSYuf6&}FuOxjgryVCXV~DFcUaAeOw6pUioGH@JGWD<dbFxqo{=Ig|p{BUp6k^fxQS zGwDHPaLf;r#a~ODXYCgYy7;^<&+r}=Q#!-h;9w#lZ!$<R#b<Sj5JRC~@g2ACSIg0b zg&{yhqzku_3IQfz8FD-(Ea1*}8%8i{7;wB!J|AWJsCYxLIQ)xg_1Mlo0casz-?3WR zvC3yiJ{dz%eWyDCgGmV7?Jm=Vz5R%d6!D3XFp6dBX!g4Z2NJLGqQ3=ZUcNEO#>bJb zId|PX1^&A+In*Rw+KXaa>r5DOGg*`C&P}|bSK(pWX!kvW$4_d4!heve_nIG_pbvjZ zp_0fsd(uXm2K>S$YmLA};so;blrKN`O((w`GW$!%<L82G)&iKiaJ9Uq#b<bH<Z*KS zg(yvndkRIr)V`v%qmBi19;fu1yH;!4X|@Iy-2}QuN`<j0_I98Rbzu<tP*4PkH>Vn+ zg|1M=dQu3gB+$*kEzmpO7i&v~<;kg2I6jvbx#-cu_1LGwk-QiZkN^)YTQKZ!p?B<P zjGN?ofye~uJvgC_Ro=EnO_GFytX(*;4c-*o=U5+t5h+)a6k(D>ZrM7@aV@;w=ZhG7 z)OP6?JFuID6X~b4Jnfgxe$$SzM8y&!Cjp05RRS^oN--8dg@f_BrcX8|r9m_53yEZ~ z9N4gffWC**P+Rg}1+H>EIWMT4`*Eu8yaU^_+to$7(FYOpuv1g~+q!7i*>wETW1X*A zGrOw8khO<s^!-5_;NSgmZdb;{)BN+0gx$zDEFW}(A&AEVKO{IBLzW}oqlsvthVh3U z`ul-4#G**5@%#3{d(jJFA^2lqn?$JxNR6NhMyWrcvNFa80AHSLG$o+<Ghn|qfF<}1 zd}->SN`;k-&lN<Q^cN&sXfeU^HzIqBPJ@~bo_`Qs;aW-ZM;hPAxA;oLhloTf6v-1; z+jTxwYndgj7)TbDB_p801s724dG7LEhwqDs5Q7WMH7FEa@DbQQ&NWo&zlaq=k=Eo= zj`5_1^<$>e1!k1@N2L2FQ5vGrj2Qj<wpt}rKIh=Q!j`s+Q~Sx=nzS)Wiz2x8CKLE^ z(NdpKpydQ-6e#US?vbQICKy2`fwA_LkW2f$VR8gIK2m=6YjSMSdpjoaQ#07Qw8;f5 zNq-M$cQsJL)Jel;_HSI^yX3&Jf<)0}t2gooOUOQf1KdRSBH~cOG+|%xQQH^D{_cc1 z?RJ5%6^b+~@rDkXRoFd9x|w`AlT8{dBxMW0DzLQ3M_>-0GGn8aNJc*8b)OoPBv_S+ zo_aF0?1ZZLbp5$w#=XMbjXCy;Ne;=2qd|Jh==x#U0HrL`IXM4An;1B!Ifu#{QhP3H zPHhdqwb`TYn1@yD2QBQ{qiPwb*9GDG$Lzy>l=h|*9*&EY6zES+bq=SkAdak0Kc#<^ zm>|YATmZ&wXpNM&JUJ1v-Wa30fK2d|K`LB&wE5bGWg>N}U*X$NT{{vSca4O;;ZmpF z`*k_?>`g+%T$c@Eaxl#UawqGt7!4dYHT7%2Gp}@7#$D}E9va%-Gw-8B&Z~jgVmU&1 zI4f1~AUlGro@U18mNPUbhy<#^lJirBVhFK|w!h}2OLFUtLm6aKl<A!GwkSr9zcL3n z4wi#|aJSfDQj@I9kr!cvC@RfJJ7ad4H57PrKb>a2h;QwmJc{b2YM}Q=b-VMrNB|@t z5l)6rJSG|Ud$d<B1o9_Ye7A{IEYia3maIL#tbs_=NHex9XPsFX8G#N?A3E~4au^P} zx&-x=%zZbqsX-M<iPm7=yqNqjVHB!Ist8L<Y;>7xIjkvKf5~iVbYwf`%`jmuv=i&8 zB7dq*5CuMgOJCeGf57&_y9}pzPyiT*9VlddF=a1T=;Hqj#6NQfY&ZH-@oe?5g2kxW zb)nVjfO}{eO-CUOZ056l=H2c1)cXtxAA>lYHpPZ-6c+DcsWu;l_zO%>V{QwABULn~ zuYS_@W_{ir!a*lbqSjo`7G~Yw#b)X|?&{Q(HV3mH<hf|e7DS!7OArj63nJi-JO!pj z{`AZEsDZ&@0NM(S#O4p%7Xl<wSvS3$`6$pVm_$$=Voh3W-Bv)oxl0`k9>oxIpUt>w z<K`yUdx=h6Xo}omq4b^%%sP<gT0a%0(#qrmHz0>Wk#jmzH3UYJKTaIgF`-ew2xVR0 zGe)4iXXKp=rW;%RSx+|xFp#fBpv?Ri!W+x9W|F?j=jWFVyd~LC!=>PKFWsW3)A(Cz zPYDY0q|R4x0>452ub=&P568r}YLjuYACASr_>(O^d+cUe_`%Z|{C=n|`vGlf;36|< z!P+6$pSf@>9<=+(T{m*lXCG!?))O)WuLWE$uCZ3h#)do%c;6Ouf+$iccgv{xd1FqN zmV60LJdub~@x<NAJ)Oi|DR-CHd2U#%%3I|1h^*F`ihis2+@V%>&Z+NN$yJ?;bR%cm z_CYAK%e$gu-%rKMcNH6P$~>otiA(Fpa??0ggDuB0?U{70@&x#`Y|;a{ELspR(gapj zE_4L=4FE_al~+Md0~?us8!%$R#=d?VR)1E&x4hrRKtLHRhKh)_500n{5`F=KTcjtS zvSF(!4Ee95_$wveW$b@a{I4{5m#P0r<G(WSUFQ5J1OCeVcUk;b#zZWG^7Fa7jGPYV z0d~7YUf4y^ynOM7t^HVJ)*wt`f+}L0i?r+r8CY9Z@J#CkR%|~=vx;CFUsIVuo55X= zF@;`<z<Nw3t*fO(Fc;JE_xnh@mG(2Z;HPC;o$|jsRt&QxGet~Ln%Ng@4MxJ?__=u3 z`ZudjPE)<;nEdalugh|J88GX<E+QKMP1mz{^vsdw@kzFP(-PEOVJ`IjoaOBI@Lwuh z!%b(~(Yv+6Z3^8iUgka|B7p91lCGD<z{uAA+Z58nC-hbEDncQW{5P=nWI_?D7evZ| zH~(K>{4$<3pBo+xwNXWhUIUp0NI8KV06qhO{&aI3Cgt2X7VSo)LFvf6V|5qE21MU% zhu-u=UONZgrVV>L)2BOir#n}U|E%n+R*Cq03#jU#=^W|wk?zbh2?G%N#`MSr-U`Dm zI>Ffn<^|2)cpv_dy0P$y*LgQfB}f3>ZIgyz;ja*6*Ga3ZsDzhe$PYA+h#ldt0S<Yc z>*@Op=L&)!kC2If+}r{Hm@44AxW+t0YX{b4XjO|}zZA91N_ja&{{%a!=-Yc<4^EnP zdHH%9y3%j&zZ?A)a<JYBF7!%R&Ef!f_(DJ!nM3`8_rcE>@OSw0hk4`eNT#f*2wyS@ zvZ>l*%+8BH{kn2!wK)InF$VH+Pe@Q<2LEP*xFnG6`I}qV+Cpopfg9lDM8Dcf4;?zU z?6j=Q=eX!69YNG^@+S(jJ-LVUD0Oezq~ewj*Qh9OIFRQ!x(VYDdF4dO$AFSy^ievk z{%%s$FtU*L99fWn6E8e`Wd$UQ8LDC2ohM$M`#)_XuZJ=;0s$O0vh;gsI6`|iCK)xv zE)&^%BZR;K$Z+_x8YST}I$6>5iiu+H+ldLi1nIhz;k5jSCN0IBMEzbBqeeL-QN(Wj z47iVc4^f`*N8M=FvfUli5G-kl>I9HNZxV{wO+4gd2gy5Ty&}|!7k+W7WK%I_`!Hwq z5V@CkCqvP$IbH{1o^d^Phd1KCtb>|)pVt*3HWa@B>+9gl+3Re(M9>99r@vCFimFZ~ zf<zh0o}Xvx63q5D>^qgKpFG~6CX_^uFE2KI`(@T%J60=BuU6*VUT(;NWkF`mm6OK} z8%1FcWMrYztz_S`A|F<DeyuPC_aAPq8|nv0YXm3oWp-_PE`;|n(D6c${RdsK3OC5b zcQS&&>%?N=x{%jW;+u=3A0X_<xVS`q{eh|#>i6bep)>MChEnDF7s^F-l%KV|4ycV~ zEQf7in)tRH5Dh!10wV&Xu#?tRaqi~lUJp5%soWF+$`*+=DC2k`4XS6Y2^Xn#S+QE0 z_uEFS<GYz(aT~M;$Z=SXo~`P&MP8gd{DK?-w^S~p2G*ng-Nyb^9Zwd*0YP>Y1a@dG zHok;sDr5Ui53%lk$D6CoW@dwod>#k#m_p0iS{>zmA*anN^ZKS2{f0)#k8F{~P{C`I zl-#ato92td5vOC?<Z$OCOXo;SIy)nl6s}>;=udZO!$f`60JtLFPXd>vgx|YJ6{NL5 z_YUkmgk<CwX2`U(8<e|ZvPgw^u7UujNd*ybU9{wHKX;nV8>lu$vcAVB($YZkcczj5 zA#jj@ni9S-yLwom2`~DQY-&8=IQ>Eo?arFj&c1j0^}!|}C+Hl;ZGeg{<(mWdy}&Wj z78mT+5`#m-k%-2yhlx&#z3O*tsXC=oKybl9gC~46Vtt9h*_x*;UD90Y{5Xk6Zfs=V zY5ksa*f{v&IDv;ymaAY9E3tJeU9yeb<90ZGub|C8^fQtT_V8MNl{(&p@b_Bn-)r{q z73$Kvzp0E-x@(no1sBFM(hV$7ifC#gBqopJxF(7dsWX>TKb5W=_{w6up-Xz30^`QD zdW?V^E_lyF3<)vn)0Rj3!6;f<`_Enb8|A9CXF>m#My<fZzajQr(wLDIE`M#IpML3_ zyEI8+Dw0p8<IXQIt_cG<tnYIQ?E_onOqB7)4DdhKimjSnEqS&Tkz3vlrS6^BU-9)o z_i~W#_m`KwHa)>l?{25IfCEckeLMtN%hkcUo%fU}BH#4C!bDoDPI-Tc-hNFXZi+xJ zpjbi+waMc?)vBm{bFP<43*^FNvCjkH31Ioe4AgiiIt^K__j}I53%=h0w8FG}01vA% zj`Q+Izq_Fjg@_pqOx(O#|Kx__1XR}&iHyj_&QRH1vi*`+@=TYkO~3=2d@0}82PC2g z%|O2=7oTu1MA}Eo8;UD9we^8cYGj>V?pPxwXQ`;P%XO$I3@ow#yPCGkfGAv202|a8 z2|nakE%AX=r<z*M1L*+s><qa?d$k!$1)FHP(m6emuKe6zgQ1~wPOuKYu_L)mnsV|j z1QIvt;-0V!rhknu_yaET?J2@MV*E_)rQfejp$();bU%nP&=u9E-e4Gf?xt-EG-xL* z%Sk^)JNh9o#yy*JICqnYZJMC^%d4caC<C*SS>1uPw3sH>cg&P_Ny)GJm$>xy@6648 z1jY4_BVG^6&NVcbS5tbTQUP4f<W|Ia4L=}k!py{91Z778jFo|?^6q-`@@X>J3yra5 zW%nKG%@C7tEou(O8jaPq;zkOjnw}bp@_6hf?lOA~Dkd-vk8qH)z8N-)rN7D8XmM*4 zMg<YP?Y1W=w>V7rAIdl_@iHXIa#<tFiKl9GcG=AGR6ZOCjqh;un&nI4)|KIsI&ps3 z1^hMU8ngNk;Tiz?;D^qS^<6h^TvvIg5i$k1@E&5;s5ZM&tI!}c4*_!`hS`8wg85(J zX-D{R-<pyQ)g1${apr#^a(^t9l4OvU`0ZHMP`VBM!KXAGvmQs2T(}8aQ~eTrj&E)( zRL7<ycJR{|;<}I3<_5F+kzq~f4#X4Q)hL3}nFc*I*VQ8cf7YE5u}2ia!@7=@RyVBb zwPuxB0TZL3U1lr{FSTp15zUTk@I<=Vn$HLzW#P_J>^^~`Q%-ivnDfdCL^-8M{XQP5 zWR)xswxpJ@tbr5m{6g(}^8t+T2h22+x;S>)#D_;~8X;}%ST`+vACLsY{ULQ*A-foF zc{w9yIT|levRIaK=v4~gzi;$qW?qFWA#;0je0{lREPYwO-G!Fp^s@J3V_GLdy$rO+ zjZ^L#hKTa(A_u>kgC+~2b;Z8TZYB0Nb!$JHp~D>rtYWJ2&m0-OO*rT3=l-+PbH74c zj&8cBHft>i_4qY8DC3j&%v2F&Cc+xyVmw%+oZ=_}`VGh7a2H!f5C;CmWg2z3%O7eL zigpl}3a!vso+a6;(`RW8FELMI^eSnOw6F!yMvFd%p-S@2xnf0(i?p!YC=cpV8@kUk z!|#${ABE?vUCW()iy7+x5f+!|2o9O-t86=ouv|IhCCiS4V>#u$vP5}4p?E}U%$3-0 z1vCHwo#;q5N|y9!KS~zs2rbx8<A`d5@*b@T6H8CF19R3R)`)gUy}Qf5D>`Rg^fEeU zz`rS)jH*(<auPAKSk{%$J1P?Klx=M)C{3!%IA~}w#UhZ(`rC|=_+@#p7t)fK-*6bt z7a+d6OR~jbsYB9>xY3ET->{%L$%Jh6P6GhtWEiBDXzseiUN4_|ms0K*;+h}+NJoj! zvY*i93pggQ-?6mL+SUMx4fl?Dv&?6XHp%y{hS|W0L*iTqh_<IqNQY~_AlL^bYyBFP zH8ieR>NQT-B!Iw!`U1^TlZ^W^iDB~{`#`=Nxm@-uvVUzrQ75%VkfB7Zhsq!qR3?CL zRY|VqOz9sz>xkUl6}fCjbx#mbj{8&{N40>~q=C08WqH!x7eP=-zONIvO(0Iq5Ku)f zTVA|D5HKF`ZsBG4Bv){51BbyfgzVoE7>U&$T;_@HgDkcwg}i`<<fS6O@;(7h<2}9D zmSp^U-SpSn#(i8+-SBQ=Irade-1K#Q8liviJUdG~u8xfkc(=RH%(k^Klz~L-Lu}jR za#twS0@{ZMG~f4}lEe#WE(Qh7A<ARX9!Ijkd&%J~6_nTSC1ZM5gRmCRO0UV^FJN8b zeR0ljVeKI~4JjN%O2M~D=(e(dG^Mx1BRbgi@IQTmJ1(YRBliU4u`}V}y-L;C$kSkN zYRRZ!*S|S9X$hsiWEj>Jemom~<s}@2T?pjkgeEma4m~>u9)&zMy}5^Aw&X7npI5%T zwTO&Pt<0VEiFihycYCa5k5v|K%W1H0{vvi+*JXBdOxnA>SYa+5)m%5;^TIRpNNarL zO~HK&qnv?!jNJ!Bq&QsWG0@g>q;X5JxJ8v*E*|TytGU`DEqy78m%C%|G#lMxCK&EF zZ|_3QK(emlFF%{~cPEZS)|*{*Y@2s@8s8t1k)LwE{d~PlWwFOqn(S6trwrF{H=go5 zKY0-+Bg1UbJ=3@s{=QOPiZb(KwyGA6HQQDVV$&3^ChHy`o4t37fo`^2?G-V;0XJQb zf>79AF|O^!K-6Fe+Iev9uxGmR_VCx9r+YZePUqeqA{&&mj_i%4*Z;^DeLBrkLW`5~ zsP@e%D1V?N6vRL7G|O88dGM83cEy#<Zq7e(t;zLrb3EWu+m$HJd>_HDc10rDk~>Sy z!*MS5%7+LDC*m^jMtd-;(Pz3c%yPFB+FjeQicH?|IobU=$~)3N_e6=#Z%Uzl{|eX4 zcVKb71ztU<j4tyhaf*VDmm`USPLBMKiKz!{5rmk``D<HTZ-NyTTKjR-t3r=F<;>T% z0r38)q#W72W3X4rAo;QseH5vNGUDR=bV+3_jkahYE4#r1Oan9}&uuEfh`=o+k^=tx zv*4von-0#;v27uJXH_v-#4+5*yGj3B9DGWUw%-%{8gzlvwI75!DnWFI7U$47ijXuS zWd&^2kKTOMwLS$e)5dL{VeK3+`^QsfMl2t)=4=)c-;*2*nU|zcjcKA^)>vU5_cv}n z-9x(r@P-qG;{g;iyCH$8laL%Y4a5<l3sFXrBB7M=ON&qMZ$s{9AQVIFN<y)ZT{3pT zfgv%~_$EV&RvRTAvTK*VpI`&N(4I&`3VJRr-(GdkA1=>O*Fz(?prK$Q3ECbIO7b5< zYkPSxR!~rPvkPBpd%N*4=rbRnIy{Al46})Wj`Fj4RF<mbn7cE_f=ja+y>`eaPtOG( zbq^{#1laX_;nBF3r3a(g>|2XKIhJg5zbcNl0Q9s;U8&nTQ3-U%SyBb_Y$r}il=;l4 z!i2AY;KXk~@V(!c3VjH(8J@5HF_!}n(_@#fP0qr{cf3RPR~7fm)^Q|)lf?-ySP&Ti z@>K15qUX}%#!;qS)2TXlc9c^eIIQ_jv7wRhp`S!<;3y4`r#pKaLG;ztcvEcBR8X*h zz@DRy^FjCE_#k*)A|L6fMdpJM`#6V(y*pKq5G*V;(<ThI*sr^44w*BsOHZD36j7eq z?zS^91b^~#$)B7=Uvkk<eZR40=%KU#nHx**-+bQlgHR>>2WVrOa3(AWG<X6=1ZsrX za|T%6-dNr)M{sC9+yPG0!RzL<AxYsCekv5>8~6mHUW8~9MAwdeO$}ZweM={D@=)yC z!m7Z$;$8#~lq#z-=bfn#>|~b{wcM|cUxFngn-MhYND?k@jCPnsUu=ueDrN`(-Qi8U zY}zjC5!mX(V$;>n3TH2IZ6o1hu@jr_Z1;<2I~2*dA}hEZD>t_X_7|<>N6)9f9?e`^ zeK{FJKq#g`g;Y@fzIDzRK1d)!Xd)+peN9kx8j-T7RWSBO9A4_%I5dHb96~ugS1unZ z$p`wJ^ZEdV`5yw$iQ@3t%duPl^;GgTp9+oE5jj#oaEV}0!0g0JyO<pUo0=P!)G=6D zAc}1@^Nc&z{zp5+f&tC^3`UQHGEeTaC~rrcfdzTp>cAWo)F?ryMKnF|UM%V<ad<U# z0so=E3ACP|251;F`%6?{k8D$6{jdh6U3`C`ZVcu92c*gJN3pYW(v1ZW9A~R~Gz#G- z4ugOXD_FxLrWGDW0-_N@-wpqx8}tmW;jDgTmrD{r_T$NRWrG!}<nR_OC)z}a%&{VU z@Xq2zJ}jo$ZAA?>PC?#G5GMBoO_0oT3rr?VWsefw@N4>{V7;u_`%8D<tl9!9GjQOv zlrPszXYt_*?SN3s+NWp0tzIAa#>%+qSovqDAKbIsfwyvt*v&z!T*XJ*uL8vpfj^4A zSV09Jz?%pQHdwDU{?e$eAiHm&L3C_h3=jJ<8WFhmlQWl$bcqeEV;CI6VRCx}S(V)^ z4eGUzANDdV37uqaU29`1WfZDO^hzLd0DjUvsodE}3FUj7k<0<$7pND{vDKBm^tF1$ zOq%Li0+z9_K0S_CRicXH``345Q?hN6W%EsnYY<bSI5iJ|0y}^&ePY73TRFJgQM^O% zm0afq!b3j{Mfe+{vu+rX@*8#c_nH&!Q^F#7<Z_#czL!?*WKW6mm<yTg^wBZj4Hju9 zaedjC0fs;cNZltR)n+^2ouVN+mJq<1Zx{&+_O<NqA!z#^J2_F#Ygx;QiEtT5EYaVx zOTeI3)BBy!%m&jM%CsmCiGXXWMtr7trcdfJ4jVFBTmf%L#tq3b-P#~iPM!{0V)X%< zS4ZNi+k3QDLZ}lgyJ(!{IUGNrX?7qx$#7i>vN%lz5WIZIGT;?>&N4u=$h9<i6>9gJ z0Opd!<RK^&RR&+HQVk}p^Xl$I5I~f|oqh&;VG}H1I19wCp1$CX=x5i$CG6a0{FW%) z)odZ-GX+;ad+0Dh)6WosFCm7G)h2Y&z4w&68boFf&{Q}nyv2;2eo&hbhVh1EXNm%& zSu`5J4IKiysIW_yY6GQ=ERp;6HIvbm<U4mBp!*axt@pQT2foKn923!xvE+pFs(wy0 z6xHkh72Yd2a*1e30^<F6^^W`P<u4@tnD)seQdf?>DrtsBN<zyP-3H>E5y`&$em_h# zL5t`qhN5`JSBPP`+onB=@SZ1QL(xOe07P0aCiRa8=vZrRmaL1kdGKU$R%V)G30Q+z zv%#o-PZ|B1Jjp#=BP$o(g%MOruwavN<t#(6Zr;ktrtE6DR^v-V(STnqTJLK*WNL8* zgya@JB*Yx!y_Y8n`6}BTOV*k5hwe+2YT`D|{f$Vn?oddkjmtKUe#<#+A<ipMzAfr_ z;eSGO?S0Y>_c63{fn+j(vQFmJz*}@=c&?%_SuyMv`zzN6+`6Vv76i0t{>p1&A-&jY z-a$m2YpPf%86^lQ$7`xwI8YW8iiN%CNu6p5y>d`iEF4lUdQ^~DjM1klPjVQnb8@yE zKeq^QZR1Z72hcFLxfp@p>5RewHjd=;^6#k#W5wDQ;uTZ8=$i6#Kh2E^y0tmrgY&;t z&&J1L-R35ddg(`|%6Y8G4Q!;AOkF8r#Gkh%MD?*n7Vc!45`ktdJ!#=(9M|M}&@<fQ z9ijFzsfcntY5ghMDy7!ps%+xE2EF@`6qg8+b=X(z-i>K8M(@ei0m<-WcMQCYE5+DQ zR*oJ!*AE4!{ZIkyZxDI{JdP8L#qM_ZFO7HGiZs7}#Z~_4Iy29_Fna^DQ+m>N1L<L9 zJXLm(kYq?~FgFuV1?vXk>rsj}Dou47`HSxPMW<{Hu+3hHOPzrq4)k}t|0Q{EKYSh_ zUWq<t<|zLR<dz`-O!*?D$5Hlb8HNnHVglKyP^((@y~v-Knv==@Q1c6zYFnm4$4ZPa zC{J-Ucljrfe*oLKM6*X95kT$BrZcE(A!+ktN%tVX!(Zl*h`-D`=|#N%8IEh+NYzwY zD-tr<KozbX;4E)nIDN`}!U!JxR%8l)>I1nD$Wv)huxi%|c%$Dt#c}nou|I7gP|r!J z_z{w^;ubBR8dn{b(ho|xCEmHMP?{*mbt39!q@=ms^~uO7M(O2dg5M3oP93vQbF8f= z4SZddGGu1Y*V>BjN)WZmU%4#fTey<}gFB%pnA{dR<Y#-C%ytbC^b>pXdet>SBDdrI z)%k&`M)3~|z$^hSIucELHHyGI$}d|I-71D5Q0=Sv850G3gDwR+2`%jFbSuHFoX11f zv!f&Un4X-GhpBBsFoozm)p_rjE9pmB<)#HM=*J+4uJI<s+(K*@R%(AzNiVGwxfs-a zbqF241J{jF>_?Uj)D4--xlOV3gTCVf{R`c*$x$sR;H87-z#v*#9`lw^S@pIx(AJ4d zBH_)f(c8=2<@)*g`Qx=QpN_Bo+r8(Dqsvp%Z<31a^{O|6ENq#l6$gvX`pexv_7hnh z+=^?jaM^Ekjp^PuE(~1lUO?Z#?iKoy%PWJaOt8Snehy2ZMn9*9W~Dhnryz!*#wnSN zVF(-v;N_zifkO(dOZO=#44EKJkFvS9eTP2cRq6<z)=~{4kePgMGQQvm(-MoeoZxxO z6PAGCfXQrDpobuQ<}@_>GJPCOr=Ar?BPI}hvbnEZhFKj!bO)x^lM2cMQop(~XDp7v z=+^4@3u}55EKoG2vzz&=gMrmrG)$iVDP_r#W-^J{`GdTUN|AK5Ii3~+QaLe<8xf2f z28<g4%q>6Cu>#)W3R!i+MIIrvd1^9eZvuKgF@Rl2UBSv^6>23X@JW98i_SF4OuSoa za$Rp-0dXPq$^Q<4dh51%Kgs`JQ0%GOruroRf8sq0=ey+}_Fs{KGg}rI+{p@1<}jb# z`tt^y>#JjsAi!%3{p#3<^t=&9eBX;MaUM#UypAgKshbdVy8RwxyYGcQL|h$xh`}vp zaS_-PG05qdM9zHk@P8C<bXnV)63P_Odk^XV4ZQ}R9z72tUbDDZ{51l(-U4qI5HE<U ztDZ43K%@Js<GaQF{GTQApCw)JpJn&ma_9M<<MjHUqw1fdRp_7N?7fD4!+p?%w_wZ8 zRp{SkX8t)=g#S72|2gLWI_~~C?%o}#fEd?DaA40}d+|Yko@^crJ5{(SSUV7H4_Vr_ zn~;$XGQQg;P%Hy!#LpDj7<R1=+D!Ck^;Ik5@iQ}`K<BTX@w5Mtpr!PxZybT|sda(W z;rG=VUQN%-X?~KtUG&UnBdzfw5wh663=@30K&TaT=un<{ch07C`5@K`zX6^h2Z*Jb z_@+zPrDT_~u3mw4&oWj|fkaP%8TWx1kAZEsu%26}m-B>B^K6DH0py;6_wuBpgWg!c zL2ufQP(<f7IoH8|AU_a5*rsR~d;WonFMFo)4o{i?uq6E-`2OL$)E^bHl6~Y&mT$7u zUO>!Wl6n0H!hgVd1VMO;JD>pv5^j@!7m`r?2bzC)o%k;}KjDrgd;ecO(>`nY?`6e% z{|8mC|A67ykuQoeLD*;b7~6bB(r@@!4Gcf7mVKW%?Z?d75fER&U0(!n*ZXkSXK~l- zS-J=f{Tj2tsx>b#iH_9-!F{NaTd^k4-y!f1t(gB5W+%Fl;U@e#gVkb!2k0+i{vq-o zLjNJ~J{n8_O$p3UuJ^Rp8R{M5|4{i4pk4>4MZm!W;{GGSEkPFLU*P`(-ap{}1CB3c z*LV+Rm*#YJ**Q`7JM#Y_{T~v}3BhmCv%osyLxh6%;IiTegyhWk&b7vj*a=7Vs|@nD zWcHW$Q0EaKZ9@HtL;W*@{4+!R+fg9BF=2pPZaAb{67tOuVp%k;)Z{LED$6=nCjU&i zF3Z)yr=r2oxn5>cmQ&5=zNw8d<JlAc>yDu$nd$n-x0f{~z5~bZseA(*Cmxh}S^q{) zj~K(ult<N^Y*eqnr6qDs2Qnr;{`2|E4KZ#%b1RF`U#*50ox?H%Ai~XXGk@G=ITwI- z>nrJ<)mA>~y2vzbvN_3Q5Ne^j8=dreANi-Vm?)FjLJwo+RCC3x_v044+ye}19D?6B zd*{X1NG;QGsB}B&CyIGQzU(4w$S<wZ&A-1<8ro{C%$@q*L3V%U8m~JK?~5MUz|)P& zA8-z1g<-)1s1?W<OcCtJ=3AE;Pj7)D#1OX6RuCUD@8ut$J~?7^8pTGO%fAXw4>RBm zd@6#k9)C;nfw0z`d8mZ<iee22SnEus$<exbI?R!Zq%59#jkJPT_VrnS3Z&_RIjXAX z&mrD%S75b-IR5^oljau>^@poF2R@eZ$;Jv|1jYBSPxSSw>IXdC#*;o~DnKI|iXZQ7 zBk`mkZ$i*L$F~oMq8;CVaxxGb_;l;o`bc56p=^)Ui4+%!vy8-VZZdZ9b2bem?2D>D zB#5xdQ`UcSwlRx&{y0Q?PkFF6z4u*H?9Cyv^~R3(1*%Q>5&l)}ny~JT@8c7^i`_Qn zb=++4lKA&qkwGgF=&G3K7NGu(Pw|P~<J)!7obTA9h)j64_=1#>RQAV*dRNqQhiq|T zQt-++msFh(Bd#?vRxcJ1`h+KPE?G~4t6L8CxUEvfzu_JA>oAYwoLCHxc~o{4b8Yg4 z!mIY27DkB+N$pRenxv<XIPVk^n?LU_TPhi!>g~BY10Xhc2tC{rD8Rd***SXQw~wBq zrn9ZglnlniP9-Mkk83ViH>__|7i69#I#6fZ^iy?Vg-!lEIA|A*1muPg3YHreV|cDS z$lVP$kFvw~U7HW0Fq4`^cU@pJPxE5f>ZLG~so&>fJU@VaV{P@lRA6zY&B^NL`7&Lk z^ld?@{XpRYYF6YAlO-@k^nv&qOUSdJ&373&Cbx)8Xyoi<>@WdeNN$hQGb*xd?;K)1 zafE~KK<{?kTL;Q0^&UGX5qCn)!{6Xr<sZiDqLRS!yeM2btr}8AJcA`}jkA_ne8S_c zD;_=V@;O597Wra1nV9wy366c)VI(P3f-{m7Wpk1VjBDiw1708i_G3SqN54*VE>8N% zBWe>T+C;4F`Q57l-hU&j)>)sm$HvymLqKYjN*M3mj`9$s#0c`1IYHW=Y3bjzk@1U# zLu|=L1LPSm?4g9RT5x#+U`u1jC&KZZYFR>J{Z-i{3uvU8PCagIkrxHp*KAonyc^;u zD~m3;LBQ|hNJ`V3N8Zh}jt16kn0l|(z>wIl@ih`LW66;_EOG(0_ONStYmhSh9PFym zg3Y=@1zHv%FBb;){sSd(gl`_d3)crG5^Pz1i*C&hM(?TJI@R)c=TgoMC2+oXX}!C* zW{0Bxw>uF1-|^j=_wV-;y$6yu02ZG%p3pHw=ab(ge_XY5;`kbh>f+x|RB;><-;v|U z-7EW+><xvOo(s}4TyvjovjY@2F)UhA@~4leU@RoFeLHxVcUUflUv@?J1&o-E>*lL@ zCr_D@`L)@gRQBhLjLfs0b);yFDj2h<#R8)~Tb3x%d@2)3HVQ|kqy{R0Jm;7){q&1A z)z<6)^1??|L>{tF%ZNNfyd_P!7Hs-QC3eS)pF^xe2IVF|Dv+o7PkKchmlB5}8lrfg zgU<iw0j?8W0+mAh5VnBV4NE+JA%fZf;zES8yE$6ajU%NTd`k&UQcZ6?-CQOyl!?$W zSrcV_V6B7)O68IYu;iOi(q}lxz?HOIxv8>h)NQfonHz`CmG<%5&Po*VumZK1f_t-5 z0T*^jT4r_N+zriVl!$7SPB9gk7UYiNb0@4_uDk%1z)UnBuCIAn-a=~hs_C);>EFz7 z#8rw^5xy~nM~EZqpaWA?QP}nRmy|l)5$g%f2=6%{bBjL%R>8X;^4zRxessCR*=$N? zR`xs~<k+l#U)L}y`BtDW@2ZKe{{LwD#^6Anuj|;hZQHi3jgyUSCllM&#@=vaY@ChF zjqPk~z4`s0dOu86-<j^2t~*^b-RGWrTFqo8`bj?6yt?8dn8X=#R9xx5*0KanE#IPJ zBr#Q~fv@J&QO!<Z-{bGH{Itu$vd`fRe%%-UY0D{!KZ4{18S>X84I4<GN#5&Wj=8YO z`n>7V6V<zs>ITA)CS^d;s#EAJ@_wp9N0y{-;h8V!BE2r~I@_QRM$;XVHCvNO1+-08 zmg3S1|D{@C`c|Qs>@#Q9Y0R{lpbv$$*IjjDPA);$NVN&+YSaypQc$cEJRi>41(Hwu z(BIspi;2K(1!qJJP13eb$zPJZ$9If`=&;6FOK&3LhXa(8G4VAu_2o7#lP+}rb6=2k zx&Hc^mMC8h=kW7ZEjDl6w@AW1!-E+Szq<v>Kv^N>*`L3y8w79Uj13pn&V!Ow9*9;s zoJ}dxFM7MUmtVP$;cs13B!SmPP@5A(3e&5yV!InBoeoMMPGNIQG0`ijQ&YkUd~ire z*38ONV*#`-lY;|dZ4i3bHZCdlBbwLYLyoWynOHXJl8$uEF)W{xy{B$Ql`vHBEvb%$ zec9hjo9VC|rYH>5iQgfBF53Aqwj_J9h0Z*aG!<gNtdxwYgDqGX^Zw=Z!bhigDj8n* z!%j+4pF;QoHcmlEKqF8tR6oPPm&;HT=Q|P)B!FmLZU15Ze!yz9&XnizGmZvl>}rBv zwwC{<O-W9OO)PQ^wu`f7-$XO^bz3pn#ZBikYMzB9;;<I2!~)lKH-t=kh}4se%pY!< zV536c^Rt!<Gat!mPazq<F__u3l$1=#vzB!4=T^3wfjwOVC6)yhdnp8JMRE7Sx%r9* zIY4OnaPWO@J!bnud#oOH(Gzi1Ur@mh<EV^Pc5H`NugN^>2Qj63CWN$ne5|ag-1_5b zuIz8akUs^(a;J~o)_86%Q^!A>T|cH4GtnsS)>EMj@1-WS)F9ci=jwm^_oMKLb}WB! zAQ-CFm#_AX*enNFmK@ci9OzvSkEISeYQ8|j$|wJpoK=eU<bmg+nzj97+8=_be)seW z$uWAljM>E|mBVIbP2Aim=P!<<hk-v>O7<qYm+V)4NEdf0I&r+hH>usj)L5tUZ{2OP zw#1EWWGI`xKH?91Oc_Q3yEBL6T|WlD<D86SHGsWU$cG3I=u>JLQ#3b%C7{(|odb8l z&Vd$Za!UC>VX5nz)${fVz`LxH-0;?*lZY;n5ULmN^AXUQ-FK<nEw{5>*cH<8Mf|cS z1AmXevB|JWVWjnO4qIeaZi~D9t_SV>6CqJLP{mlUuNz29F_PV$Xpn$xob?N(<8c>s zj$rl{Mh&hy>eEv(5?5mIUPuVmq8Bh+@MV)JdAGF%k@tff5f+Ue4?CyuK}Joqx#WP? z)C&BbnaOam0+9~-TXfwjRmS^vMA_&mw^2KM)WwiuL<e^U+gnsxb_iY&&V#XwV?xt( z$gs$mZP81s;;<Lp12iD8Z;23czQ&v4Bpcdnv=K$S*VvX?P!?kXTXX}r%>vk{LLd>E zx9Bx=gEUL@A|zP@WyEt*Y{az~BNX+snG7`81MeQfpN3vUtasB5#Fk0qaV71{vkIgf z7dzgR$55cgp>_PuF%vuaMcE2z-XA16l3x>?r4PM77{s9ub~R<Aw~2&8sFuk^|4u++ zao9~U7K*;oBwrMCLy;#E4F%ww4CW2U)D2+c$S9*BYVd?y<z~)=@VS`c(c6qip_;=? zN6GqCkW!c3k~%BJCKLaIv>A;$F<agP?{YOwZu)ZU=~uPBh@(LW>eG=ZYq&%{YN=7= z<yT!7Q@+2lcZOq8&JD$>>LYW~^VXcqFK(%L#oKXv-?d(}B&`A%0bW)`=>P6uUf}6} zfl0ksy&{j1%SZi(2jw61>1Y&gDoGUeOtJALVng+Z?>F#or;KyBIIgA=A3MCPeX(Et z%*I+sM=$p4tt{h_R*_axI1=B@#i0~lhhIoW{|cq=f;U-_^h2u5WEX?-$(4Y!ID{Cb z<Yp>!T-i7L-KYUP=@%5>Tj%63pkTTLkg19jN(^t_Vl8%m{|pvkrBt%P%V0*qwx)a{ zk4n?XMYV0UXQftR0F7RB2x^nDqw`&o&!hQUtL>?3MO`*}oN(D*xXYNB|CI<(%qvlM zBGt*ITK;$B%;!R7(k#(-(npaxZ8h?wY-Zeqa<vwYsEQBBwa6b6S3gNjreWWXkm__m z&B`QiHUiVnko%favMp&*M$l3InDSZ7Qlib9V`IK(e4mL_ZoEXX(tKC?wKLO|F5XK^ zC6UIfT0(n;1*3@OnTpS*AiSRVH7N~Os2~2xP0vD-H(uB)G7>!6W++~!9$nI8iofHU zU51)}h@%J;Xx{UWK4%@)5Kbu%hE^on#y2HH>MrQTxTqB^3sHyhUC746K&}SIqUR&3 z1xKm+NE$>TLCV8Ysz-C19sXLCGmllnr$D?R(kv&qgKBIPx0d~0Uy@3Jurz3T+nWsg zD@bvTkiDME_OxD%O7VgOh9g+Nc$iiFXG1j9Jd!%FB)U;v&59eoh`ctTzGQ4|Bg-jd zxdM~M!L)T4#A(qG`g^>Z(-;pS0w(H@j%WeuO_&M&{_6*fcU&x_<K=YSum1wo2LA;N z*FwM#9-*%&ceB4x<VBCpfTwInAi}ruv5xeNr+@R*1@778j&Famfcw+QW94w@A8zV& zA8@fe5b|7Z{dvxU?@{|20lhqMegAi7{Ket@>c-~T>(k*K<WaweA^oRkVrhF1u<W;} z;_F@zmB6QNoeq5k?JN3p-{<7Qd+ZTz8A0eX@NE3Dqb?O7S)SN9&noLG=d_+^h(JY9 z<`!&C)Pxub3dt#Y#&8^F1`b7MMjwv<1{Ab__OS<)tsxnWL1{C&&8&5V!!pd=_AY(p zhT|6I8x+U~{xIfmy#0wQAmxzza^#p}Ux?c&3(nWLQ}UXEJG8NPqYeFH`FH!$n2}0z zv-VSm*SL+geD7~se2yAl9^pwFZRy_Mr1-yXT(qdrhB^dpl^EbBACAK!9m_bSg#dRo zmkoq9sCB=wjBpN1V!S65L^xv_Y&ZLvM+dQ-hE2Q9$8M2&qX}TAHP*Qp5~4H}kY{G` z1PC|~Zaa=ydwG=Zl*NWya^pFSS$TOB1vMSBkE(8QWgW=)h~cr+2M*UxH=X3bMp*CJ zWDk3vz?sNtWV1|+Emg#=;c0fS137FAWw@!0eOd5_=BJJ0beg|J_-YbL_<x87guAsx zxy(@xgE)@#<L+GhZc{ydk!K5a*<b}1Vg9`*5d{9Kz>rD??4yKd3peL&8UtP^i5pbr zaUyS&Wl8u>%}}0}@S<!s^OS-(Sv~4!cC#s2+G>5WgWxdCa&}nYCzoLefN+IoS@kCO z7!xP`Rt{ivXXCAuXvP&HUVr8{#PIeA_@pi_n6&MpKcn=vOh`BN4i15J0pS}(iY1Oh zSRs|-u~kxT?{>v}$2c|(0dF7P7%r^yKBV<;i(X@%ayDnN+wNF6?MpqI)mr-e?t#=Y zV=M52%f1v}C;d0AWH}s8;J6$pgQi~eE*lS|!u51;L!M&cvFRLjXT_*pb#O66h$wEs z7vSkb`PWD5*9Kh<L(r}=1#!gVxHMgMGZkiIMzqrhiRYcxb1A>M_<+bNjzPY63g zJfHo|HbPi2M;uY^j?;cvUkEzF4pDCZ8D$^UrOH$|4)Rp_)sdGI@Fpi;30<E5Z#*PN zi{~ab))r|I!+kcwihy&`tPvxmIL||&Hl~0@2+C%zIo_Jkgol8ZwZ;M=Eaw1U3pKdc z8IyHT0b-NYf=x0%6>Pws2%T7wOTK)2Op-BSWQ&wCZ3~H?ZCzRqR*)7m-dm#h(Ja<! zVa%n}%|LlvbMD;_=tF^E=OZ{US<yp7*w^?=hd9t3-^(ur8Lk=Gi?3A(@hw$=Sh>TS zNlx}^R**mc)pd@n+NYN@t`>R`AtVQ%jZZwhU)4TVDQm}xv(OaGet`ssV53mfoz~Aw zMG=+9rEedC21=R;XCi{ciGz3^?Cac|v2MXLMia?c6HO)%Y!ggMRbhcc;J(_##)=W2 z%fbZz<#YkWf3e0aZS3gGi1Gqq$jDW+VA4#P!BRqyio|GbW+?TBI)xN139^WivZgr! z9d!k(B^O?BDkeL}eTobgGz9k(D#xrgEClh%WsWzrK^T%dcrsZ8B;q@AQM5>=|2grQ zB^YeI0w`;w>STX1i3h0~x-dy3MJt9Oux9Z>3hv!-b@Rzzcteo<8iXO2J23iQ#x8vr zLFC8?_!PmtoMbGyiz*QD0xW|V7@}SZqNq4t_1KqDTO{;~vNOt$hk}U1!TU)Zk0rnQ z7I5Ie6BL&mVhwSTv=wKIwS)UKwHu$$^*OcS0ODHfubW~kOy!t5?FaSQqCud}(o24g z_0ii~S|0C!c^@T~wz{JOyp!xPjz4*Jc=2;A)g%xhuW)J#SL#n!D=B17sb#b*yXrF~ z?bw&zy12e`|9ku>yFggmN=l*A0{i##Q70a`RSaJ>4Autf|M}p->^~oPlK{bFWDol= z`nyc(NP%QdC4JkxFkqh3g+qB5LB$jZ4b;-x{ov~7vZy>ay(qqD5VdFRqz@%91l}sO zv1OrGhF!$no?3YPbIP9zm(oms+UpjeNWb2q8k>)B_<-uN!e&BI<aGc-7(FFb*!TZE zQaG5b|J50SDc$iV03!$>M})eRMv?Fmj_y@OgSs3>ZM*8-f2RTa8ZuqPq9{B6SM=D# z5Pb@WBxAYi-SDlFG`xdadM%zU@#H*DsoHqlj|hqRxs#}m#UISi*3R1S*N#If0-D&N z_SNaPIhzo_Kv1V4bcpj8)1cEyasrGe6U~wTVj5~5`TZMU3Df&+lh|`A0tC@O-k8at zf^K>W>EN>05tbwyqoX%nT%jje8s@&!O8Ii(vP+!Z>|pfLH|5`Ag)r9gu`w_i;F+jc zYY`b~<k4B~DJ?xcJzY1**jjwBe4miNj4a)nFn%%ng@V0Y9%S(?Z3XbZUQjr`T|Ath z*xuefN#6k{2`p>G*LFsN8v&@5ZI9A+`T>mp-Z4B}i-l6TZjA~rgkLHB<12EE+@wNC z_s5L4JRs-a_Z}kvm@cWvk6~{l?IIVyfdp_Oy#hXMV(@}1o%d?tX<-B=;j2D8rjX~5 zxH>6bjof1Io5Jk$LKFn6<pq40t=4m;KYIb5d7eN)LA7wiIEMzpRIv}3(5A4UP&JXq zvzK$vZgG-)?(>CB=f_K;cDBK0)&8*N()2X-k8jL9I7$vrAVyf8dIw@jWs#WyaAS@| zE>HhjFaBM3yX=3l_H(@;kSK8lm32cJzf<*IfNc>T2+vad74>|YAFBSm)dbexAvQ}y zKScnD$lBFg{QkAh;Ui41wu?*TaX;@zdPk#)4+CfO2e3aQJD>gK+qM-Nw7ryaDRh2h z?Xvqg(XGy;Sy0Pn(?~-wx!^dnNzMqr&{#hI;1tev`us%&vg(L=YL4+JI<)PJG3DEn z655d&Rno!@h2-e6v9}B-IOM@)>~Y#0)&T%2=j9D?D_P2-^lBW;x3g<{wsdTfG2-P5 z`%KR5CL<1zCjL`0j{Dm={tssYBumK;nCcD*m;4@kR~XiA#>!Yk!EV2qq%)qMle_Vg zvc8C`(1hK1-F|*vI(=_gnQ|(k<Z^|vEF#`_pnM3elQA@5pdc}E;c6;+F|4}*S)l;- z1XI>pIt2K4Z@p3`(WnD!9y1Y~0phpJ_uH2Q0=M`!nxz_yA>)@))xLnJQ5;x;7{^=Y zGv<WnU61QfqTxdt_VwuAk?)d4XmV~N0lqybJTuG{(X?W8;>J1XO+taaV8ayO{}PRB z!cG=cFC(PX%rBsj-Qzk>RWka{k3;|(gv1=)1^ozpmb$$NTp^v@#z=f@GpLsppzW2q zS7P1WSY1xhy6}b-_LY##8mvpf*l_E%eeiK|eph6^Dp+U^hEG_Ucp6a_8u_TA!F>^P z{~uow?_;;rDHV3nC6+}P2bVp7a+caCRUg~+Zk)(bey)@G#az)hX$_2L*RTxuDQjGr zpZXSom794zKw3(6Q`0rMi7a!fn*8E%qSoIb(ci-l7`q472!^cBAgF1<)G_er+u6f4 zq&{$(Etz+hb|u+DDOwUQP`11PWi=h{&s^=T6pRYXk^KA;nrxV8?uGhf`xn!PE!}Fk zVG6S|i`dOvF{b_R2LMq?SV=Nz$aZoQ>&-ym*OYLG6^FU)=yn5(ehp-5^~oMYS`)8_ zUzSeRshVApuiv)ErZU3orL}m#1e3Dl)fsuz0pJRNCNBJx4|?TiBQK+T3yi;j+y@D| z#M?KTt1#KjIynAi9suso_>3yvXn<?O6UKV#XHu+6*v&)a2H?mXA0GXvR(6P;b1nNb z{xu0Et@*7xvM@C42sHx#M9#Av&{mX3TQJnK*ftI5bqgGxiFNChxV$na9{2{D-P}1h zt`!ZWce(c$W`@GUa>|y4DVLn*M`w8l^@E^=1h#Ls>vnGkYzX!>^j`S1uhclOVk-ZW z$O)RCcOWVk0<Z)I>p?&-Lm;hkWw5SX@k;btA3OD6s*}Qz3uJ<FFJb(b2|^&y#cO%* zU06@KZpW?JS>tjRv5nARW$ZDy)YM@jLh_TW1Ss24otkG?<z(pFLZ!NO{w?*?c=jB5 zZg&d6aDgt1xx>5h@Gc%Z5g#KKegmzE+fCJqk%WA20|3M#ZLsRN)l{t5O8?Du;mz9E zJGl?Tje|t=+cn74L~pzv2=oCm*BLU>j4~p$`m0j7!Y%{hr_4@}$_O#GAE^*bt&{6H z5>Oh*NeI5WY<i?-XayZ36f5MT1Wx|%H+Swqv236Ec)FD>DRo*-T7C(}Hj(6%`%$u8 z22UwoKxajggb0y*5sl>P1(7Uk(eUQ>iR%aEMcJG!-2j0+@$wwuKEb6)r(X3X+BG05 z3n}7r3h__og~!{=VB@!d_0MpQKx@%brw)3qfzi%WsqUcb=x1<l9)n^1Z4#TnnzxK_ zi*U+bI!_umcDN2qsNX^R;@t)tg=&13+)?JmfLNKf<Nyg8w>TKCrnCq1bH-3>=a1r| z3NrBRwR?~2XNQA|<<k|<1ifJsUV<tR@Za5w+oAa)y#A^*>6eB@>-o`f2mK({B^W&9 ztdjf%#|>`YOKikw3bB8(tk)#w|CBZdpISBH>trNrIh`Jle@4qrlv5j|gh_R;iz;4t z0Ih*BP<6L!!;YOaaj6Zg{(~~=))ZtG?Q84Sc(jPdx<5$>{qyVeGw2*ZtlEnH=}8q0 zdw8(iFNqbB1x^R%J67^*Kf#_c{#~_^(g}=al~A{)LTB`qL8y8S#9O=weC5`HD5i6? z?`|`WSt`YHw3c~e62>af$Hv@!!<q8801kMrRP)%w4!o{Tio&UP1B1u2(pKb$Ao~A| z>nIWL^g^G~DpimFF*!BmLDLGwAB@~BUdg50SNatYU@)<9wrg6KTrVwbIw3tg)z<g& zkfRGD=@i_vON|3cg@CQww1#aM+skum0YOAzNExY)ahH<QG(x0c$=wl3@r*vx0i19d z-eYd6^WoIAJ(e=#HkCV~?CgKIZiM1He3PySz|ZZb^L0TMkh_PC_Q5r2t0fwMii3Y* z@*%)xiOy~>Fv>#S6%&OFuE~F3uFN|2u@hduqcxk<pl0>E@-n+$KF@P=iJ9{XE;8gw zWF^BEn7o{ikOf;83aidKrofwi1g`C}%75sIRcsFHN|$5P+7@(hY*PCB6}#nmP*iDu zl(k5U2IM)3C3uehL`jNWk?ykf>L!asJq;etn?S0EfYNORqqqji-uhlw0fpL)Ox#O_ za{}@7t9-b#<WW8K6dmK<Ca_R4t!LMj%j&1Fw+>Z2e4>hXv|QNf+Su-&E#T@y5d~Z0 zw*0pqcx<5962l8cOI^*!opl9D)uYL?o6kqMkvF1<j9fcEz2O#P7k)^BMIQJ0MrNNE z3$fc_3hvKwY=gSZoi$V=tno#Dh`U-^&iQ^>oz$P2#8By9bjbghv0ud4o%2#?o6rB2 z=7a1c(q?eXB;OQR;bz(a(9~Q>+JaE=Q~ia1mRelaCssTwp#+?3QjPgC^laR<_B{e& z?2KpbW@S6ywS2(hrV=r4;&NXzAE9thYsv~$l_YB-Er@RmU;gpu!Smv~2WsWz4j1HL z*RZWA1R-^R&q(uMHzugZ*{InW|G0W1ztic&6O(2wZ17r|6K%o-VDGBO`H!-~#py)q zcQf&=NFedFW~$+T-^0ykRAFO0L6jBOxiEO^&H-r-%bCbyUzE2Qwcq)~rw<|T$gxg< zV?E^(eyqit3V8k7z}B-naX?;~ntqo_?CK8o>RxkNx3vsEym(<oHPr^}RhHpoP&n)G zKwnoKT{#r@F{Tut=75X~MV65Xb;C$?w$I1yWQ|uVM60qCWC1Ed3LX{<ZvW8*D~9NL zn}a(-0qrXRC*?yGy`^V%Uzj2ah_-hY#6`fE@|=7O4!eMoBot-w!Qw4|#?4%~3B$PP zhd<%+I(bA)1f72SL|gsq9W+B#L1Z>Vjq}B9ZTu!3d2Rzl??y2Y51>P);47;ZNhRs1 zQ)#;{>@;!X7`cSgyb~d+iS<g{iWNxzNHF{LTrb?6TF0y_!3}wlh_Q#cj@cyA%j>q8 z6UzrqYS-LZf`!HDl5VG$XcHRj=pGAuFEmF`<4Sz8Ya0v}5)d94Dp5uiEI;sNg_*>d z{wcgazyf$iYQ;<vVI!9MGEG<rU~zY1E*qS@-dToVkfAtL#N6V0li*)`83;nikt^6y zMB&AaWfF3%W_+!`FanO__g-EoX*?2%K>T5s{UMgjxgS(?ca{#2P|`n^@NMA#TTXTz zlR5F+h@B}+vEa-JQ7KvZ5Ag~pjp^7|<s#Nz0;|0UaLnQ|>XBZvk{cOw-~DZ4e%=Ix z&DjSuiD%TxW(-Jdop2Y2-=l6_WVpa=wfvmqzimzP+H{*G{-!QGKBX!_nISyVBYf=E zdG(`FT!P1Ky8F0*X|t`EVy(kb%P5Gkaq0<8h}Yq_V1VyS$Nj_I)i&K(fl=Sl8RI#} zAfWdAAwv|5%oyzbL0{4l_Cu7L`kkSsGg?iC!;92QTl_<9WY##>GNebIwHN*$_DpKs zJN{8@2SX)kE*c+>)cKAT`Ir2ZKa7i))tyxREKec;?ur(b24NH)Rd|{3GV?ZxL5<g) zk63pb-=Y1h5#QJ+fQ5<i*F^ZQOCt2)3*aBC8h#9gYK&(|RqkvYHU#eKcQ7&445-bO zwr$~x;AC^3MKK*6nr5iA_Ty?swxELiKQC1`aO&tbI+vvN3iF+7NziTk4_j%_Hg%|_ z$jm984OR^u)=h=G98%D9(BH?5anxbIkxhA3fKFI@sj1EAoXTok;Ye+hrf$wWRse`s zTwA!N5<swbmiTRG&A#2g{dYNA#-C}>ILd+yZ3gFR`n41Xh{KXl1sl2o&w-^xyF1Bd zB`vp&f>bUYl8B2QV1~%e;Rc$CEh*&sr)!LdacckCKE2~#8wS`xYF>RUyjaa#1}9Q* zucXJca{syxSV-{WapjlD3AVJqL;}htbGaT4bFp`DDd|u49ZQ2O8aXns(~&nz!%Y-_ zK=sw*zzw5<38kahX$tQgK$&e9q-ms>W#NM5FY~_VDy@u7xpe(hvr3&omvoB7Za{zM zM$B4tN>Y>K&tXRlN>uEi(Z=mvbS4~YvK<+<wJ|$O6w=-AOQAt7t}d+0)B}p4_ezqi zlScbtnY}l?MCa~V!_9G{Yb3%@DZj%saB}tsLWnfKHchCSN_R;&$ClobN2M@o;`#_A zDSY2$p7&xKaf;o&%z^Y>F_l1wrkb2{rhZ9|WA?lgFCzJuh?&hs8OKW5=C?N<t5HVb z8uEjI)|OW{XC#9`eZMwrlL%NTF0Z-h2Gc8(ePPMEplItbMh!po9Sw^av_<9biq^=) zNPF9Ss~8AeQT+<yS%Ll|LZoTi$0qzCqLn3JINAz1VOOONU?n*N{SIX3NyK&|GQK;V z$EI%b%0E(gQ=fcMuuL%CqjucZ0oKFwoeZ+b-5K_KxAmxQ;+=8Aci>z&lK*BmcVwBW zjeBQ2mLjiZTTh2nxujgt30O(NcN^4*FE!?E;-k$vNGvK7<TGS57VtFt!tb?{I+C}$ z8_H{EQ0HBWQ%2mdURw#dN`%FC9jLwy{sBu%mWS|Cln)5&)ol(TYZMz&J~jy4b%(sR zYNw0Z_1d~bAqeOZ2SAz~?o=QQU{(I1(1h_r`M(E51ZFV&g?sMVBBfaf!d-wxDy+Ih z!Fl%+ryHef>)fV?a03+Lc`!=cgb}$V=*K^C!IHb(dJ7g|*b(-@>7i=w@_SQ^)(T_7 zJ~8k<SCJG`nu-qdg89{^!H5tylRRqn^AVH-?0*Qx2*ni716yl5@)FW1&01c+SMn9| zKQ=+TL*EHI$lZHY#K3-TwVKXE-@(G}FMC+=m}}PlRkM1t<#&U9u3c!%HCFw&dmMX$ z{nwVhl$>-5??E*faMMnO6j7yDij}us#0-(;N|05wdU&gR+ub&0*;&DNdEe+=n7xig zGSLikTcR?f2_VQGfj+XU;`EQ@is053U~IJzd`H>6qX~_}K)6=#L5@BJ7ll(Gu*Ae0 zUUo8=_N-*2`CETmDSY-=;1{q-+N_fbS+c9eN#~QV)B$he@CmXCVf{s54N9Z$bHwy3 zqr{{C4U3~Zg`_lCkq`$sLPPIPx>6IbpjshiqdMx40qVT8`bjzL(iD_-y_P7g5RTss z9|{BHI;+X?0{k9j*Nxs>Unp|6UjL;evKIk&KYJkaL&>pq;)4Cqz&-9{v(-(m`jo;* zBVFiFm(flLK~Bg_Up4hQBV~Hh4o^u**q`gDT^3P<!aPIQx>nWonJ{QQKmL!Jn*jy< zy#Vqy2?+&-g?rGjaT3yvmW2Zhg$Xu<m|CUJsGrVyGAz$e2mV8CYJRkSdiXxvHD9+p zlfS$tu)O>%Ut>0P_XQSm-0Gy>uXF3t$Rb7n^ObMRx0F4c5A|(E&iN&qvzCnwo9H1w zqe4^zSaBY1tC@8(?zEo5Fj-9oG$>}i0YIiQznWgKwsdWQ73Z$RdTq;D9Ws^*M$i3~ z0W%9SqXdRsNjr<zGY;s4T>tOn%RLuWA?BgxbdlcQ4YnG=3e*QkWGTB~?u<05SVhU+ z1~EcNOh%Uh$Fal7RBgR?)CNkeYmY+~LkF)jrw1v^AfN1)`bG5p{xIx<`$4@00Q1DQ zDGEr1!klFMdqQG8p=bE>@oDibhj~F4&CfGyq`7ocl@PfRn5&xIk4!fR*M0O~UAbFh z`?ujCaO1t-EIA0phEx5Pg3@Ia7#x*zs4uwd;;)U4d$1Tr(y4E|*v}^h-3`}fU|=q# zj^1ZEKpr~Q!fsw!dZ!kLQF?E`0noedj&vqv>i$(sT;U}5?R;YWX<M=;EnG5A8Q3A? z^eRwR^r_Q$`s8-~3?Tgt0(wwN%F1@9>L3oV+frvMMLs%s&rU3O69>;k`FULquYu9H zt-91&jjB?Zw}K8Wn_UmMm_^KE<tc|}>UFTht}}Zcouy_O2uM;{Ec!=9Kygd<WGmM! zUBOITP;kpuldhw`mH(t@LW1%;)mwN-f2KV%QV(W9+%mNxfdkyVBj*;uT9eL>y80aT zrE{2A^Hz%<SB~Z|Cf;JeMvHD99KA->ea8mRJt|Yj>$ux#(_+&%`=u{2IpKc~<|+RE zHny^)1rVM&bz7@VDuJ+nfYnsPUYPKX0T`*T1Ij0f0%6Xd#w3a>(^khX6mx|G)n6|; zRuY(L4HMCK>Wl%~@xTUqX)*4BS6*+AcY3|n<Kf57gZVtXu^%nv^*4~252IHkRVuH0 z9YxQDb7fUp*`SOjQ$057n_bfp?*R~?3EtyjybOAss^N3cgwlby)R?{@ip%@*Ij-)% zR;%f~eQo?*r}wUI!fD9nS;W)EvFK(j#>R7du#_w>1{g{=-gm@T;&qXGnw>@47%<Rx zih<h>i6uid@<<^GdpV5lL99$vjjCUXGr5c?ki{Qqw>D)W_~k!$G{d=l2{7)_f0|N) z6bk0e88p8>)$0Mme!g!lH4tI6lZV>sEk8LUUB3c{aF-8Ez&8Pb>VI@e{^xfj!jL$i zg|*mg_kvx-U0=vr?#;#S#|aXP_AYs+8RwT^u%DhLSde|-Uord|rN^V6qEPmWCO<<u zzB_vad|S8w=?w9&74@0q@a%(O;FaEcIxZTH{%BGxIg1V$9>t~&v6g{RBN7dH1hq3_ zZ72w6oGM+XUi^aFt!Yh;;EJg(&b!Rfwb(sti%B0WTzP$ugiJ|C5BW-Rtz08e<pb$s zlgC{k*(f&rM4AV4kn6WXn<0`7oXk(i`Ab;6_aUIJ^+_vDp^NGK?MI0J2C0sKJbY<y z1dWTeQ_}!{aP$oyhWbAa2M<3vF{)JaxVlgSo1*8fTHcO8trjY~S`;PI3GS}Clb&Y0 z?pyd+)Qk(ynjqlit=wHejN6al_qdFH*D4{=)DJmtX<QmnmeL}?@9TzRzNBMI+iQfd z#c^6N8Tf-F+K(9eh~TqjYFXi6gcvB#tf6pF&rSe8(ao&N1jNrKEg*HiyWLmSURAKL z9c#Kz)PiA4*D95>2+d+7n#xRSYk9!VT2(DpY1JTrA@i)13{!;jsJM77f+j^r4k41w z?CiGEO+Fj*fi7n&*O#Yp(|dgC7f_Q`nK8`nHD2$DyNg7VjgJmVF69sW1Q(dwL#S6L zB@G0KUY;r4vbjxv{bD;yXoPiQvtXl(Z+lj0PE=kISRo5xO*`0rND;(ccdNC`fS-?o zyLgZy!^gQz)N03~0{aI}KxHWj!c$#Fu$}|a@5AK{Tz&f#BUphBit!5<=F!a%w4(kf z$ZwSVi>{wRpnFF4hx+UXG}r*bTZz#=2rL6oWD$tPf(-G3Ab^VD4T6x#<&sK76ob&& zic89%7%aJO6H?y<gO${e*gr?5BVn<;#e*U{3=uc-6kf<nY9&F%gD^=eN}l{-Vr7WV zvCBb%Fo_>5MSu<gd&<~H7LOcU&rt~_e*|aZm5BsTiS%75rK-UV12r<z#)VxgEk^^$ zDBX+c#Z|RWkJr73jCO!uCTnNTk#s7azsV1qwGAtrUDv|N2I(>1RSeu>D}=q$qv8M! z7!RfDb<CQv3ZPd|%ie<%|9+((6e*^k5hW~IJ03|h=#GmT*2Y9$1W`NQWPP2H*<lMC z1if{D%Jpk7_%a}D09UZqJx;SXEBFSmehsoET0$1+ZKP{NcB+K)3uM;Z2G6YkBi<Io z{8B!8X)tol2-t?=K8Xsn&Q~@oXCWD3uEG%`D2gT>M6bdL);Hb><$H7|A2OprdbzhR z4<TN#K*JlrMYR^9a+3nx{4-Gu()wKrV;h)=1S3eoRSlG8BcIb(uUO!q#*79=wrhh` z!+4n3^MeI+V5?BHwSwse%hL+rTpSWb=<oRJ1&+e`>AeIGrP#|VV8|{frgoyNoo)Wr z;Rpm)F*%ln6a`}DL1VcSJ%G|u_!M=i=vf)KiJwB0AI~7`f^EdQ@_d{)-JGLql1>Yf zy#CI2acNEk@5@><egTyu2u}ls{WsnSs#@+M&bM2_OI_fY@bU6{`C<LRkbBJg_+5T2 zH_7BHKcq`uUo_7Bp*YuKA<!IXws5}2v}rHMv{s0wE?p}>u5G%Dn&&Ute};3Xs5C}F z@#zWb-!eDePZ=Hz9;MDGdKB%xerZlxELjZ6Oj$k4>UyR$6#O3Bdx;ECdOg5zsbh!G zt0`9@zl3=K?%Tg(NiCIqzOi`pl7ENIY@nW};ljEyh0q|p;m@2QhkmBKgMKkZvapO; zH$Ij(IR57G!G-q9ZStdmf1+n`JTnvexrZ7aVQvb02GzIOcl+i8zQ-+eDmpS-ost9@ z{jY!MEM00weEckDyk7$_oDrY;B|2Xb%e)Bsl@1M)mzg%mmj0Db@|4ta#QR;wJ<=qL zqKVd`k=*NHEU`(sCi)Qr#&%>}_C&!dL0K3zYvJw={QxO|mzLD?;qj}eG*_Naqdw=A z;V<+qk);~pSU9;p?!jDJYo0H-L(84agGKaPJl)VRXVewqgaNQjxTHmSDDhVg(n0c1 zC(+V=c+LYemqHs?ePrcz@^XIVN4ATxM%ErLw0dZxnn&FW;mU@l32)vXYH(|5oR*%C z?4Cj0<E7}tcv$&X{mdfZyMV)GMj`ICo7jf_u%sm*{y@A$<;xwnqQY8DS!Y+uUMMJp zF2zt$X4xOP3j*A>DkHUXYGW&0UCic<1X|ZbbzfrID!lqp#a77?#;`X}TREI>G|oho z&&A!V!~0M%-`*cuLl?s7s|MSCE`M=X8V5{1@m|b|z{!XPwhu99ib4L#^yYjsyH57; zq#H4vI5=>4eyZ0$cYz@sft}nIZNI{HXS!We@5X|at^ruv+|v8VJGO}4M6tFE^Nc^n z_DqvkVh2Db#6c#|&y~7{VFSH`<xw{GAbJHv5qlnaKv|Sk4lV_izAnpM_#p4Y3>KGd zrH@my9Qrx8uB;y=Y$b{!GeQ6TYmydEzg+;JZnw7PNCQr2J6H3pt4v`NJ^R1K8gZTF zv=Zb2;0C<C#b^B`;r@B;;gOEUrE4sKZA-iX!s9pg4~P6uEWd)cSMVp%+YuXd|A+a< zR>3KxwDF_fv0Y!1inPU^!8IZ-vF^uNOT{}?Zy5g@@vg#B!I?g#Bj<+TeJdyTC?uq# z-MM)Z1f2MXi-MB%eh~W+eY|odxbFxsv8e1nfX;K_cr$1+uokqQ-8KU{?~7!+$$v|o zn7%{g`2A@xG#+^Ib<;?a123Lq<V25;4;f+A2aZs$AKHF5Xg=k1x~04|Q;NOxQZsH0 zAvWC2elv%f|I7czSnzcislCa;UEruf>>Ipfw3F!me6!J7BHAiYgaLDRIx6+$`XB`Y zFO>~#rb$!l)4N}%e3o<uAKypiHS{zuXjSyyHq9U=723wClwELs_y<!nTb3wkc3BO% z{Dxl<tVrRi@jf>fv7Y@7L!hnrz*h(wV)B<`Do9|ulAPp#6K-$vYIRK6n&mgB<DUY? zcZ3jf#JeP!IH>u*3D!`n^BHD-AQ4~x-!$--`3#J8=ZDqC1c>!S4X#N8z$+=3-v;Gg zN)nTc!alAzBQl;!tEOw7NS`u=dm$=QYB^t^bUVIjE3p+mrb+nB9p}%iyj6KK8r%h} zVai$0xmHfZkb#g_AmI0nLHqe$Jpi#?_?K##Fi#JyXXnQar4dN~aNYCEEJ_Bz*Zu1I zBV-wqfnroL%nSXt_O=o%tT7W#Ly;k*1f`^oW_$!0;2W1I(kR>vvjl<S{RUYrRCb-5 zWQ&6p@KkQBYXZMB)&!>GuMlF_FvIb*lOJp?H!ti;R$%o*W**M?o)uATE7<yKKp1j9 zl_}<*0)61(FvrLqd|4mzCx!x`uAA4vUIU^<JU^2ax{dd8k{@i(f;v>m-`Nb7%fAO^ zl#`0YRk}>2S7+>vv(5B)Oep>Q#QxDN%U41>3st8$r@U22TaQ2jd}G^Fz^tU=1#}d$ zk^jVr5y^hzhCr(l4{@HqePx`!Y!&PQ&Y$1Wr!Vt;0zR9M9=E&#K5J-?9(Rb^vuT>( zR<!$_sMo*pq;SReSicgjO4si&UFWr@6pci={V6wYQuNn|0ed6a)^`ej_)?hX+ZT^V z_-Rfp&tmE6$%1*hS<-@+SA%kFpQkx^O-Vlk@gOni?5T%BP%wj30_I)uie8ZC<j4f< zXJrnUoeX{1LU7~)sEWDrl3q|uheQ^5gT2n*M!n-BYfJBf8l)Fo6~96l7kMN&3}>1A z$ilD*DCuj}02cXbP%trv9$Pmtr|+eW>1=FX6L=Vx$f{Ch8WoEow01f*sbmkrz|?Y$ zc|gyKm?@p(!LetB+Yzg7J>-PKplKjpMYvJ{s0O!9G2S^`dqU%YZe@5hC3RH~BNxBh zIU-f8atrrX!2;V-0Hm7K&t8L&&_dPvw59)x>H=T1dHX^qbl)l&e=SzIPzMlaL=7?G zJrcT0t=4;0xkPC-_)_OVdhjSS1JRgY;O%w*4A>9~tB)<8nwc2kM8FiY?mS3kG9$l{ zTiPmS3*ZkxOmi9_zM4H;jv_;2YY&i`{6VF1g;%b=aM@05Vt2&zIDGT9emKN282U#5 z07wytun$KlG-j?=?%ex9IRA!b?fS=~_JLm@O(T(k*bhqS%T7PU+4~n;4g|A(g4rF2 ziY((2{8hptTTvPZUOPIbO(F>eJ|5R>+I`peZNDkRtLobD2RU3Qd4O%ba@VJvGKS2u znBkt3?OS7)17l@jYmi$_PdP(pUX5Ox;taQk>L2uQ&W46DRv#zUo!IKe<IoIjpVuES z`YI2!Aygip_r#yX|F>}^)c-cF{3&Pp&PIzX1Ol?}1qy-zk`Ye;iq$y3!H)oJ#V*u6 zIXLCd;Yr-B3p!qMW{-|GB#R6-4thy>)HS-$6m{^OXhtA*Y0;h*ot;+8?VdY*syM`3 zjhFb{-|=6fLO9GTz4BdnLjM+;f^KG(lxa&QH8J`FA!*M$<z0T%ABC(OpJUTI>fEus zu@+89&+FDm*2F__QS@1MvAqOXwY8&Whv*?<mHi8nqcEH_t_~>QXeA?^=v=$Mt-m~G z&Hop1^c$a_Svdl+uAT_J0<PRGk80z=*RdwHE7~h&FF}jJOeEsv#nHN%K~gA;t7G|M zIb-CfwB=|P9~q_=l8oMXLVWzgb;zfJHlF@HGxPk^1xUc-OV=Zlk3$wv3;t-An80Nv zfACB!&RY34OG1&?gL@ZN&>pEJ$E!lfT1sfuB{Q}`Z3k=OIQT~o^s#<8+2xh5)><a_ zkj;noK;$Fbs#|{x1#x}A@ZvC+4novYE3LS{D8_dnM^rq2GVwx$6-&g7d42>z1h3D0 zr(<qQryF}`9cR=0mbnkOzsYX^HRamA$(Lo6XoLzDfDxANX$p|7TkYvhJGMK$jpAa# zyfI7M$21?$o;(x^P#AS{9Pca?Z2NT|f~5Z-ZmLH37EL~vVPk|5EW=urjPsWzBa}<> zCzc6FM)F`pIE{x)W+-1GX$@{*SeNs6VIcv|$~@$W%z2J9kWqEuWwx`Bv|lHTcRSz| zndvp;?x@uOVf!_*UNCg*>=<|Jv*!8{T;NrY{n=L&MNGlbac&9(hH&nsz%!%=P-O1B z?8vBJi&pB5(|Jy`t5MxmSA0}y96#6eNJu-K1QExx38mM9VFaVI5T#_Oun^R!{Uh4y zzX|Od3ujO%E~5hkMWpen#Ss#TpO8FJA%6(Q7UItZq6Pg8{yIX@`nI4zvCYa|0vP~x zt<L_sCz{o*1hn}R3Y5}bdc2~8CCfuNa0Y6hOq5x1_5wMpp(j`628$Lrw-Y+*@bXR( z#=kXSr;gcV)oAy4amS|vHs8}sXTJ1M;KQ<L)qiEMlmLtois(8BWOtod)pPP(WiB)1 ze2wV^bH`l~1mf(pg<wVxNs}5)v&vAX$K;3{m*l<!7!TD#(ep1tV<t9k<|@CMdBIP% z^jm1JC8q6jzYmiZjvrXYyqnDo{4;NL#TP^t-qpcP9~dlpu~QY5(fd?z^wr)7>V8n* z?et}A*#^|%92Q0M{NN~k^Gy3`^R$|kQdt|^1TV<yOIlkA$7wM9!r*l%PbrZwvh{UY ztv;-dcFmM;*<&UR3S?K^gj)S{MBHOFgP1Nh2~^rdNJFx&VKo|Gb#Xb4)xuZKf5Dj# zJ=@i<>WbH3;5CtjnbcB*A}-A0uZ~I9AmB9_K>_#`;!r1Ibz6oK(_WzFHr6PjgO%w0 ztukh6x77&WL^u_(oRQ_xv2<#NAnkHB>@dkn=8SI>ZNoWq)zL^&^Y-P!U~kHl$)Tb_ zZ|V>xFeX55C3Hc*6<V6>iJ<bn4XA_{l<dcJ!Is%!L=Do3UatNa=OjsZ0#o`JgEE+e ziUSg|E#M(yCHd%cg(G0qng<X>Mx8|!+2u(9c2*tIXm^oxDqTJj<-R<jG0``K#S<;- zH&zezW8rz|nc(bdLot?QAJ~cUlixXG`|U+J_*N^qeB(os1M9va`SUR)`9;30Vl0!9 zex*ryk5D)^k$*Xmu5lnJWG<z0O@VH|WuUzSS4xN8+cNB|=XaOiT0)-lpKPRe<!X_E z@qe$!-XR&Sj0xWr^ZxcTp%!?NfhLlo7rcoLRVC+&C2hFgVUSxd)5EC*6r!c8z-rT! zpogo-#;GW#t0>m0jOZa0W^;-)>xebCi8aF&mhEm}<zx-l;AJ6wV<U7i=i47~(*elm zlju?gZsXg~2r<#Ti$wiWqe2x`^^T(waLEW=CHX9(-1N!kV8u(&Yh|bzl9X&D_{<~S z#xPG(Dd()?WDug-z#8^P2c1jOB;@Hm=AjUn1Hcne(?BTqS_hiY87p}tcFQnO_99cu zF*0PN<Qn#mLUWWsMv`czshOf;{Q%RH$Q%VUEjG~9p0!xB|18;LwiGW@ih?&Z+{!^J zRPF={bE0e6RBANsI_s#CUpA88$3k<YK}H&ivjvi^ya$<3x#I${q>HjSlC7)<Im;k0 z*s0Q@1_fzAIWH60W^W?ZsT`<4+x1n6vTh`Hqt|W7oTCR9)kqVkJKp608=J~O$}iUv zLihSmf{x&WW$Q9Ij}1;zkqjqRQe|<({ig;SXnI;XLGADzjI%C?JI-x4F)Bw@B)+g* zppXZl%bEfsLSa1@%mpFqCUrM?RE8EQtL<CqHw#SVtpnL1%9JDx0ygJcxyA|luO2l0 zSA+hmM%GsitOP*8+thh<P>w;-!4#BaAkPL(J|#^DWGEIgcM#0xL#J1k;ao<AW;8{| z223>Ozboo!Ah+?iBM>xo>AKYuU^Hba<`&nmY2{cEQLQ?akSYp=V+*_JRaC7_I_>|n z*1xQ&GM&-VAw?#T{S^&G59@|s@CBLKbd52}fI8<%sd)+ZI0GDsLKIIs<icB?<vv!9 z$ok;iE(I3IGvpjvjNoE(n>A!0fqc~{JtKMDirb(fUBOUN1=Y{1w>VpAhN!pS9wTFP zfxB5;Ag+w<5i}q-x^txTl_1fy>RB2BY>WG;;*8}hHTWzFQ98Jg`)@X;=BeTiQlKQo zz?lsi9KD+>IXAu2@}NDV-CAcHe#>~p#V9$asvi8RhjW`~XY5}hXB$&4ax+(QE_&nT zL0d-swdMiD<!UPf^lC?F0|F}McvIx!qkLlNl*p-Ug9+)Jgu0IoV2(GnC%bEV)?mB8 z>CaEc7gCrQBc64T-5by6n$Nl&UKN}k;5gS1qi0M=E?ZZf0oUk`ZbNpnTO#4Zw=sL@ z#3CiYOA_E(5VjoO!t1d#+Pl<w=2!i2I0WY7nCt#&g9xS@m3k5%|BRJ>wv@$r?868h z+QK!t=7xc{ai;&=yL!}Kqwe4UBSuGy7b3y-2T2hMlptAqreBYjPPB!UB@QeBkVWR8 zNnjxogXLY4on@5(AgruwRYB@T799qxBujo_g3?Be?D?4H6Wl&yYyU30Ksq><n5s_2 z$XLQ9MmdsPNYv0T_{Hny^cD^=&@6e3G!U{~Ttrym&r=p-oZ;_3=3CZB%Twl_nVV(y z<FZ(UMXulA#p(iw54~}Iz-`(A6+~IAA~w%mbw{^E&=%FnGY$W4Q+88-c*Ds=Cii(w z3B$5n|3s>Y4Ry%l4ryYyE5PR4!1sCFI<MUI&d2-bXQK@S+3{Dq83;6=HTl`iTRz<R zYv-od5~Zgv>}7|~x_S;ASC*UHt!%LPoLXmko!jZ;`ru1R&HY)*J0l?kIE5mvab=;T zpj4!zjde=K)Jrg5nO0^cdY{WAnBJUby3ty`QR{+^Q0p?ANu@GZUiOwQQGit^rEc4b zWOf@I|2f)*OB~0=Kq;4|VPR@j5y|Z??B^jU0lD^(g_6uWL~?*rQCy2sGFXExE4%2w zu91cKQ7iL(l8i30@#5VBILg1^Hn`?HJj;3577)BNYys>^Wd0SirJxQF&hQ8&WUMJq zKK>$@i7K)8=>o@d>`S6J{CPol_dJb*yUnQFCBYn8-irE2qGH-@vLl%sXO&sk@9j)N zz*?0{HkBlCA7lBAZ&;($c{=zJ6Z%#y{00&F_U#w!w_LB<ZoHXK;BxH&-yCWm;S9F` z<WT~Tul?<1Ilz~vtAqElqjJ>sq;755YwvJlk}|Ycr{BM=&8UBvz{^KZhN3BE*$mZN zj3t5`3a1%fTAge@9KL>2F$+4k35KS8AyVli6R_)s`ldqgP`jnSD^_GeT6}_rrIPR= ze{4cql|vDD8cF&JxIt&imI~i*zAgO8Y2P5F(u^yQxrM*ZH<=Ryp<MP-T!mm#TwR5P z`{tNH=M;9Lz2$~FF;v&pYI;h~K7ufPU`z7jwkT$zR$IhHjS)NR1MznT^;S0PD`mMj zOP<4M-{vE{98(mCnv59~Ct0x-9rl=1v<N)k?oe7fu50)a@b?E^X?E2ly+~J0ib{ZF z8ViD2bdf%rd9+JcjC@>U#h6sA$ZxV)U;Lq9^aFb5OIVevI-G3nz0`lI?R`TKjOX1q z!5Oeo__IDoi&@#u1Mx;m?_7Cm6VZ$(fnkYl*uya7ky~>Y;dzzeQ2XjWvJ-?Dn5G{@ z3{jL}pWcJz03rC%f+UOP1gWPOy&&j0HPuFulqDrfLZ-GQZv)?t11G~DX(H~)*KXm2 z`2lrGY~B2)%X;1u`p^uM>+aGiU5wY|LLR59trvIxmv0Z$`kxDIKg9H_p45qRED2c7 zEuDMohxftR>w3q?gZB79(AR4y(SNC^t3^SzhIo|I1AknvXV3Cp-4)QMzDrVC^%v9h z>OkZuM5Dz^{u)YA4QznPyMlqM*A4z!LbB_-j#0W#I(>ts0bbj74MCrETg2E~<vSqg zK8CIcI^YU|cUTqiy-e~O&f?2*W4LsTM@vl>#!G{45Bl@m-1m1DGs0DwGoYqY<xy#; z0}ks&fn&`#vf_f}v7a0C^iiQ4_0c=_#+bQ_B0**M+CM}!SWge;aIOyKUScT!f75YO zltU+&mOxF`mxBbfDqf6rWJQ~%o)wg3-1+yIrgD=_ONER|85&)Ro?iIMsib_+!z5)f zHeGtqgG)T0ws5dkwq;Inq(+;Z!oWq~S2M@JovDoo!valboR+RGHOGNNmV7Kk)q{aU zc5lU0vV!ptoxNbq9HU(kA1G@jUzx0<Z(B6d8j{=hZ?A7Z))+K+zQmA|6ugywnvy_8 z|Hx@wZfhUb7gWpYhGKPXknnY=KH{Rs9^fe$Qlr7w{W20VlOylf@s3*u{0M+`g`#El z+YMvQQzSRzT8HClN50QNLFkN)GwxR5Qf=*kcTXltukJwl*`lNQz4w%-5&frnRx$hW zk4gNF@q67`De^Lc=MMc}u>%wmGt*fA#3>;T9ngyMR3ed3KRi=WGCO5g5K>U6cRl`B z=IQ!f7}kl0-_^b#7PxE+@L%qL90nKLWE^dH3Mv%Tug(06gdfq3n=d|wGc-3eE;lie z54+%K`V7985YKY<>j2K(vk;gy(n9}uV8hytd91Do*Vxf!U2OHKN8+z3Q`FPqwRAkB zq%&t)cy(69U62kIpAtLAzTV&~8sSW!c%n|N_YeG8RW)}j*q1W}N_R#GyI2U?alLo% zNm3HllLfASk`DUYZKli|bVM`@1cT2$Pm@l8>&%Nt(p4i|H3g7XJHf+T6ZB@g48@s! zvQ(a>5hlZPU~O|Fwes3&wkY0tiF@_&74KNKTm|Ro+nV~chNM6oJ&b<|t?R2hV}?sj zSGHll%`}r01<!u~CO&Jw`%&Z04qiaRCO(%|_<1?%?6g$>UjSMnrQNQ&S2u%SQ+cHl z2E<V_`Wr6IjNUEh+tK~hhe|g@U(<f>Z7cp~a(HwF-3+>W)onnP-vAqL>cG3Qr>_DJ z`(4x^e%(VRhiJ_dLHp>!SeQ`Zv8>g@aFEtoyT4ja&Q)RQX5|#aNEUyvybCzL)7mFT zdeO%9TP=iEFVvttMExeTu8Benen{9<>tz4f7pUkc47Qnk!*qbaHhd6ohh4hJOzua& z8+36eqf4?kxMP%N^Xc&I)m3|dOINRNuDfP4hOe!ApcSG9TrqE~4(bU~9#+U*$VyCt z_@>oF=q>&710l+mYkGekmKvN_{`^KgFENh%nCuT<dzWbNyk1!u1mmK;l3ae#o?}0k z?5H!i!KsVeLGR5Q+ugYYa?yT0=yjyU4c_)Gcjyw-mDrVe)5n3MN=8&3V_;%?EVq7~ z*!g1`)>Moa#+~g5(P+eY{Qlg!gkoLpHDHw$6hBdyi5T<>b8~;LoD%~5n6^&UlB#8i z(#E&06-Enu?m9(|s$oiv3&OQmNZ{d$3z1b%&Q!+7w)r;?+f~JgkNtJLF&Zl)SWvrN z#f^B9J5I^*kj;}zL2{c%Fv5_nXDE15V>f(fWjnTOd5q1~RDO%~nksxev+7a3oT9#w z_|>~%Lep*l)~0{6Ro_4)HW$S`<U%-;k%A`!H46{hPqKaz6M6?7$c+V398(8cfC<on zh8Lg%)ky-_sc3l)WoglZ7zZP=eFH6$HJcbL^4d)-P+7!@1uCmKF+kz}G-T1sI`Q%7 zJThM~daz;lyp9&o^UgX@^$j#e8(dipG`_5MM23|bi0psPz?_;oudG|g&<VE8Xxl8P z(+R;|TZ+ffUtWqw!(VNRM?;DBY-1o@m1C~}V<1G7{!r^uF{K2STBnMSrPi(DW2tql zm{^rMRgGN{Io?5<tZ+<r<=6~FEDKGAHnPMFZDolW+RPG@*-nArsgG5!EjclxF-}%D ziHoB671w_qE0aLJL%L8IeN#bYM`($uE_QL1ldm4};OYFatb)abFZzo+=93HxG|sS8 zqU_ks+Q2ldn{BAfZq`tPx>;Wn<aR??Hyi7GJSG{ET<_zc6y>s5z(pA=9yH995l2w~ z3-@L40BN0p#hca?cqHi{C5t3Y+8LH5iv{iA2o--sz9YC$nc`}WzzxsDQ$q|B*M`az zH&I7vA>wL?VdC0Qnc`v-vm8{0h^rxniEBe;iYw2BqkLDrQ;-P|xTyBU0M83$<+mjS zpyV&z)T7Y7I7+Q8PVN#YbP2oogN>ndD>t!Hpfjpy)wf7P;z%bD!UiG=3X_9ewW7-y z0vdl`BC)vyw<Ax^Ob)7dvIhZBS8#qVak%N(tbD~V6|)dlJqp>qawQ@cLEihvg{Eu$ zc(8G>EWij62H*kGC>Jv_<)<B9I-$V^50FN=l95S1zo3x~9w3c!8zYl^elsH*JU|-d zqEROK{E}ZbxV$Zr4IUu8a*HIBe14@Q8$5qVczMSpleTi>BpV~hN0d7xnGEtPC7IyL zt&nVtpcW|iJTe*N*FG}A%e&jT2to1(giN)v6i=3-&J+_oI3}YQR7h)4si`Od$rLFp z%qGE+6Bt1)2o8p*sT9N@IAGznwIBw;L8U05#O$)*pn@_-K`jUlDn)H0W()EQXxV?@ z{Dh<yK4Ov&3s^-dBsTQ`;e*{btYfoVqnVNigwAI0*cTWfHmR0H;)xH8AO^vqv#58( zlm`njq5vuzoNqU3F(fAWu&`W|M`BYC5I#8MqcBoX*XE@zn8M4N7fj&bbkYn<CjbvJ zn~?D@3MT~)7l09@`TQPsrZVM?>`Z^);53MuOhLlux3;t839$L#6kAkzVoDR1VnIDX z_~1D~Q4WeJe10Q58$3YxV3Q9snlNt-(0VI4#R4P5-T}^6qgqUg*GolVDK>b3G{MQc zs3^shM%MRW0tZi$ic(T+1_8PWPOn7`DW)_b`BE(y#Uvk=FTo%{H^B+8C>(#q6h15g zW`(4fw1X2M%0dMNgs=pd6`f)VADjS-dQ@x%0d^3a(NJP4NR_gD2~+gY6csic4jT=J zIJa7$ir3VlSQQ&QWH6i+uVT^;UYskcTCo`f2`{T$F=+=Uz@h*an*raQP@XDqUQtxO zVoD=RTQPxyg$Z))u=!a?b_#z^PGOU?u+$VXH49EmVR<PmEy=Q4JW~lyN_oXCF8PoE z5HckT&R=2aD<pfFmAH7SQ7b*wW9X%O+JjIGR22$E8FYd%y6s?;V6ol@i}k+Gl!^|~ z7B!2)a5x88)M7?V>N^};qIxQ2l_Lh90>01DYyr(Oj{^FZ)6WGotB-$6XiooT&{-i7 zu3D&o3Dr{xf#<7{sPolG(0nx#G+&JZnytp7ViQj-<W^+`r!X9rU!iY_M_Jm5&qJ1a z3W4XJZp<qobwsHOK98c}5BIQM&Zs~P(OOZ4XGd<d=@i(ukb{U?+X#`%jS#u8JBHap z-yuOlLE=UV1%(?f6cm4M%urCcfkQ=MMvnp|C=zE_6sGv$SV0QZH1P@4G@%4)S_EBY z&Q;pN8qUD@3lz4d^DD{dy;8N9R=s;WFd4_#9hk|^JQ?rTsXQyU@HN6XSMUSdT)_{J zpUQJFq_tM+3diHW)v)vRB#x3^3|VC@u&l%v1Kw8as~$~UokD*@4OyFulU@oGSz9ZB zM_FGhh|iEY+7N;jH<Bq8vX~+&Ig|UMj9{tY@hwVVN{JbRjl9Z~EJjt|9)g#3qByYG z6(D)Bs2~(hPv+&Q$|_QP7W@{=R=m&aaPe6Hh1&|HtGR<qYP_sN#gWKXkSZLHZ-(aW zN8)C621EVcBd&kD7<H@y#MJq*-;@zmnkc-LRhSr!D~n3e?t2gde=enn#+z^`2zlM6 zAkpZQFcV=HGbg195t&@1y9V}4i4@2ss9$m}?0(4+g8HRIVz*_teklrXv3g&9BaQ9X z`c-BDeyt<&{W`m95$9=&a#@VlSxR>Cv9LY2121f&1z>-f_quUAz{KPCfQiZO0$CV} zVyjvPtX`ca9>@4g9Hp992&=4KSO|(*I4m45tW`=yu@F{Sg|QG6rPNq38oy1&AY5F9 z&1JMhbd!c5f3w81)e>VnnGHF>(`@K`cgwJ~n+rlE*O0>{*U*C{*Df&#m0Uv(ms~>+ zlRPVR#xQ?kDuIP|X_r_Bw6lsF)Xpk;NIM0#*1w^WYsle}Yv{p}YyBH4xrQ7rxrQDl z`7RMpskX+lNp(EOmX2<lQL3=jGm;2mA*n3L7L3LT;gXa5&4pJgvGHV*V{@e_TQC}5 zG-?WOra_QucXAEg#N*qzi5X--s;JC$1-0x#S;2pep?sy<N&7Mk-l*^vh#bl+ucQ{n zrW42}ubNgA2n%IrE4sEL4YP*IE@YJIQoEt&8zfR8JJR?TEm4Y5?IHz|jaJobM;hOv zB}xgNU8KMzQD5B>(D)WD$%?=Qwn8fk7l`{l(4UQ(abetOLry6jw+r&ubd_ZPl^sPy zb`F0668US}fD!7~85@bQv-?ZruWbXu$Je)EXUC^De4X286u!0%U*isy(r}^vL<GLN z0bk(@l?rmf4fqD0@HLK5DK!_|fN$UiY6ZGr{$J|^w$gNgLKKDS!thYCE)e0m{rZw| zrb8Y1(=3B(*@bZ`O4@~?>1F1^aEq#QVQ7C?uT+Z9?SfkrqYFf)YIC6|S?Rf8v_L}< zHR*zxFwW?ymQ|@)$6#obo8#{Li*j+w0uO)cY*wvf%E-UqS%@Znu~sRlZk*DP00n4x zAqvQE(G21hq=2Fx9!tBy*KL~B?>JnHGI;eUMrAkda*%<>Ukx%4xt(L6vF(8H=*NF) zr2c|*o%M9Iokp|8W;<Gpr)QC^c3nDpnx(7hdbaxbeKcB3qRn(mfcR=Vn{Hl2`4{8q zW;0vdr<*UE?eyUogSZki`Yjp@X6c7XI-btw(cbpU3anSr-lzHEQ}i^O&lXSn<K@HI zY;{J6v&DA09xoS*>3DmV?8kM&MZbSbQPNDdFVWs)Hr|5se74y}|9X+tzq@{Wg*u_p zvs$j2z8m5@QSs2FGo?AQo?k_M>&=$9R}~RA8do0WdGP{ONBpS^()AP_b%t7~k)QnU zp2mD$kJEUS{y}~5L_K<x)V0Hg@@<-6rR<X41omj(1g({}gMzMSz@i^TJ!gL?G!AX+ zU#1P%B@FXbRI!$)(PT_EwMp-0cgu7%8LeRPX~QF`3#C?M#l0Rk)AgC1&{+)m?T<t| zgsa#2S0{bl9-<O3${#;Bo?6XLtAYQN!knEnKX{r0T;M+fAkTBL_bKu7?0@UkCV)Z| zg*YUH0V2A#DHS8BFhfoIypw+->b&a?hP|8Xl<NB;#^~C3-4m5!(|7H+zw{g8;~J$| zx9i8LqB9LD&cNb2I7tn)SbfwI35BBaKByB3b>fFQl~AV@sLoIA>+9}ib``lOt4-yO z-wvP<gRKGT*NY9<qaG``OG`Fjr?PCoPMz6+xvG2D>EP^0t=9VKJCuLIz8lRqsu`VQ z03_<mz3pCiP-7als2G_Xt<VXu)(~$hx34N(G6*q@tWwXOLP9wIP1?R}51b?b)Q82_ z1JUbW4obgdm9?)xCsS$k{Ek|#H0q*vag&~R`?o()b7Qq!w>X1y(e9uward&@xrL8L z&)vo7!+c6gP>9?VGMIlF9d>^vU3LfQ)y+AK`R49U!OM>G=l!j)p~CW+2Pp8Ed<}$i z<V`l&L2ZiGEKf_#r<XVHsZw;jpC}xp`FO||`p)qi`=_eXR08SSJ{mzR8cl`AFBJEN zw{6s*RyDhsJv`1a(3~zGH|b{du)>J%p3sW0FM_KK^w-nrB-(#2qxEz<nk}MFqxs`B z-K@va@-CW8??#}M4L$4fktGQG=MB1(_+eFt@IzXR&5r;@o1N)Eu<5Tl+L&W3JsxfS ziLw9+O_Uj;7^pv$RG5j9#@|$+Zx}rbTN5oRsJK!;0np54n;!YbObXa8tr8qCGKwS7 zu8bjfwt@6NyhVS8xrCP>{NTTa_#b{L9wVW|Q9!^Q6;7duUay2>o)V6~nG%k7P=cCo z^j2FMeQY!u!{fWrcp7E1!Wp_BL+k8cG_%A!<t|-de!5ruHEcA*ndUh9?S8r~fL56F zM&>j#jSn*L-!0I#nccyaApWKwlcoD5c{h4|YNVzd%k_VD6NyJQp7{VYZ5&7#78i_w zoNVF-gAagsj68feY<OG}H<yILCE;;7adSCga5>>|IdyY6WpFuVa9O4A77i}-sE&(( zsqKAooyo%pO9IjZhRM~H#b*kz%uxu;CP2j#8-*vbL{S%uNG9p@4uN#wgACXvmeu8l znaeYLq|$$^I7GDACz`p;aIis}!LQyxY>lEi#D=y+V8YjIy%20|XIYtF^nU4{V-eFP zLH>*_MuD)KEO|h07{XA#unAve0Bc;eVJPc}d?T+RRAW}3Kcur{oIZ@OGP_Qb!d94V zR3*;6WjF1TL&&vcNF0+RSwtWpUE;>qNh}!cXq$hU#EC=VyU}JkPCtI$PO$=9hAvCn zYWfi#FL-<lVOtJi>qQWlHiuJ&BO759^VxR#Eo431SyqARloh>aF0USl`28(}K5__6 zCL?mAWR+g_Zo4)K>pMbl_!gobdqjO4t+&*VWVi&jo2Y_Ay{Kl|O7FL;^z+*A6p?%6 z6PtfDCXs^-v^FNW7MJB9W@t!}PZ5;99Hy=jjEl6)55s!Rl)mMb#>qr<+|Z`0l58Of z)KqLotf1<A-cp@UiK!I*y12^T+_^$w#p`sYj4z48rUFokB4%1ffHcV&+Of0k*Rcga z09%6A4Mu0%9}KT=sksXmUloGESOa%+PQ-s3QXV~P0JVH|XbxM4o=ZX;J?qduwyIie zW<@8_%AR1fR|9u&zJ^Wb>jBb$?;gfa>1I4P7*xk1$pH<e0GZB~E&~GjassZ>pNzQl zCnG=o#E^eFMLKz~w4f3!?^gFCA^!)D_)Q1-N&_6^A-<1%%OH<{fOEg?v=6NE5e0wX zYUC&Y-F<sl(#WHez`Ev=CqOs(qbhlvHswiQ0J_Ozg)UpFQerE}bEFsK{nBIGP^Z8B zI9)$1)7ivOeWhzlK)3L*Y52&^jdYE3Ch2B6$61a_7gX3-FdY1fkyg4%9v*1<Z@qj} zJX_D;DvZ(8a>@Fjw=gI6MP49w<!FCNo={mot}F~or~|RuKu|uGEtj<!EVoZ(-{p-{ zcx|5yCKcThaJqiNQmK!lG5ZojeY7K8R=FFX2PCinH6+nA+~hM)_{<X?b8|hg=-%=C zk2E=aNI#9A;0={rq(3&AS5dTpZsf%3pr=pUlrWsVGMU{&t+7R{;)%h-2%LW<n8TMO zK;q<pCmaq0=H<||4yYt|@r=Vo0($whJbc764j&2V;G=6eEe5rn<O;D`@s&IW$rsSG z$A0!EF_%t#GW7j2;BkNq1kKii9Q`@<pgpdYL5JQ!2CE)QsvJG&&^#H)q>+sd(?uCI zg(Z{^{B2j@f;b+zIN|||Bf)<KaonUcdmHh9#gX8694W`A^XWvjZOb^Z1T*++dFUvF zsp8NshNeSl%nq5%sBz=vJYCJzHVVs89~te^1Hpj?$<r*M;7G<aoi1Fw)yMVxHRwPY z9E}$w=^2x|0Ohg%Jlc*wPL}t!wrkJ)taVTaYg(_GOmRFJ!(wzc62E_Kk--XOaIcIz z>_}`mR+ju<B?+i-IS9!i$8s~qQ8YOMs*JnThXz-}=ru?OtJR0}-qy(Mdp`pSguXJs zD!uUGal2hEQaX7}&@NeQXT>k*j2?cJSz12QmkW^(Vni$^Gb5OeAxbeNIw)7@QwJP^ zWO2kEtG{h<wNNvl#0Gy53sRh=utO?y7AdAfLUVSJZF0IAq=OgxTsCmSbXJ>e{9WcT zOOW@lw=L!$6n$x^)e2nfJf@b8uwoQD(Oe8+IdcEa*4s8FDvG1)>(Q_QSG9wBG;D?K zg4Wy(4ZB{uaKi@FE@;@=*#!;TEa7MlNA$iIa<G{%Kht*R=F5L#Ouk{5uyZRym@zD~ zDy9=f?p}nWI5EUQ(kuX4B8##oBBBp|Q3Hdcv^WRdNU!ynVY6!)337NtmHvL9r2+9S z59a?CL^Ji!D>?@nj-y##u5xWFKhHK2{FP}8g+^O5)nL&Ut-ZF0Y{jHkq~uze!PV6* ze)(mPo32Om)GB{{nI`Ek**-(mY$Z#}kk&R?07aza0t@$a0#{R|Q(8U!6^ABr4OrTz zV<-vJ%EQQ+MK-hoTYH&QmE|9|is~_uPptZ?$3#lm5ffQuM@%G^9WjwxcEm(_*%1>N zW+zOy6?;APpz5iHojTJ7&MFME*N)9F<HQlE#?eAEEfjx#<B6cQ@XH9Em5>o1*tJf& zv+)QHl{O-oEBd3PHvG?yBw7u`(k8!6*hH!~2NNql8dld^CY~7YPad{)D@kG{76-iR zCw(-Jmjqoo%PPZqdZ#Y*Sf(AB2o0VHf?k*ePwDytN$+3c%EYK{1enb_AX@8!C|j1v z2qVo+j46M!B8XfvEuR?Cp~71s6394lG8RvGj3uZeVwl3FB<y5nZ?E{C!&Cx>u*B&F zt+NXq%Lg2$86=l0){t;KdAyx{nr*)@)^A~JN&2SGur&*1cE1Z;tSSD%mx$qn2GuZW zXu3rUvG`G(z#bGxLyWj2<WcFeB`QXEhP{wDmT-S>ne$no9IFTxNRd9O^GMq$uQ-Nn zU21S<(V9uF>91w35ei}JQS7>JvFmPhDYaP{->-Sw_kxg%cR7ejXR8Fsdz{YJ<`IPw z=s*QB{9fC=Fkf&gcFjwubW%BdVeP8k;OF!r>ASfR9cTNLcj7DzjVa3g6i1@Aqb=Sz z{e*wBC(Csug$nVrm--HvjE^mhE2gr-lA^gztS~#ym?3}`hcQQ!38qq;XfwTkm@dQ% zuH~&M^bkjA?xVf+XmLM{8c(=LAfdYzqP^wa9o@<zzM^l+wPT63!dr9FW91U^`Di;b zPO|3Y?GyX#B=eho;{ZSOwg|l*eAB_pJi~wP8~ddvIv^#A;s%(UnYS%n(UQNsExj99 zqPG!%2$%k%BgHS)hW?tK5cSb6d)M7IUexinLV>Jr4P8>9+EuF|Zt<|&mDS0~#7Ym( zJ6ttFITfSB@dtzHy_B8;qA<}EU+o}fBDHQ+da#&EWEQgOVwO*Ll(pHBTFwvSsRn-% zEUUhdQ!M@HA{#(E%PlPX+vr#qsaSyW$(`&hcT-sPSL@rzF=CYROD}(y3yX=FG3#(? z21n1sE&D|1+z||etfi8uHrRQE-<2viA$Hcch#6mRC;r8p1Lza)-cjsx0g}oa;X23N zMTg(UVwCY#xhq>LynHNF4M|@`eY}6`zFa><Z{GHz%h4B%i10PqF<oG}28U_!w)<Rs z9qq|ajC0nwP$PN<Ty+14JI~f<(aTpLHIxtTH=|FuRO9n(`!Twnf0|7{M@RdI`*9LQ zNh3bmYs7oWY4qWbAL9MyVe2@0ci#J<c^bXx;^UCy_~F0XxCi0r#j7aV%b0)d-OWe$ zo15X_{KaZLUCrt3d^)sTc^@R&Tir)-wD%=CJwjs1ukf&7R*s>Rm@Pjhy~A1nH*0`t z0AC*VEb-!2;^(5AR8+8#AHA)TSeUG-IFw>Jnh=L!ik8(W@EyIuU9vem^mEBy9GHws zocOS&lQ5;M9$-=MTQ&IVNsWIJ?c~HSs(eR76|~j#V~9%=N(RkiKe$-o!i2MNh4+5? zYG<9+^e-`jdFMvnJoPgwPSTes@nKEt<-(R+q$(K2hc)IZ7E<yiU8_wxX6P;{<vZl+ zj*flOk;_8HlNw{tMAWrj;~@f5ecz{KdR$}nqQlThd5;~{Xro-ID^P#pR!xrybFzzf zTGMUhV0bOE<b|<|H&}>89_=*?1^K83Ie+=7L}}qI5npV|-?A?;nl-(g$C)wi{>+sg zAJ({)vY4x4)ig&2TXA+<!D`e9D6z2OsN&RglXlv##5=7qjB5B?X>40HY7vG-PMsgI zE;L#fLbGFTd{Id&*(rbKf=P^Z2d{OIbDfkt4r`(z^>Vf(UGk_)Aw|@v>YzqC4O5R) zHHJm)IxX9E<ad(SkJ)>%^3|{s@7QmN8D9Rznu6yy3&P8~iw<O$s>C4H*If!89UWKj z>iG#>R@&$90OF^fPcAvuM8!-o`8#eU-m%-?uvEP@ULwr#=AVD&5qc9tQn!8tda){@ zVjdEvXFwq^T{9AuP>Tq8tX&ZD?xCyH#2CBf&eejNIm1&42#v&b6v`=!irb{7c)VTe zgjR6HO>`>ik<fxW2@6!}2z|u>hAoo`b*5k+yMv8`Mlr}K48k+4ESr$u1g8jqnD-^3 zJKkLGW?~<!;!A&Q&w#Fwzg&A#@kR4b7%J4Z^fe8NUZ|6wdO;&m417s}q7`)x>DrJ{ zn*-f^iH_YNNNB^h^r4(WQ|Y0bzeGt*q_ELbi3p)Q=7DXI`4YEO>Id56sDVgx{ofId zN_ihAXgDB`#78-~&gdIUOIkFI!72uB1xXne;gEeHQ%rvYBe2@>v%<!v!n7DK#?dkS z6zpicSbS877!6d?nzMP;L6v-|qHHJyS!(ERpA{L+D5~t{2MCFgpVk{R_7g(x^jR+? zEqs(`GhhZMQ4%$AD<uLKLT3~GQXxVfU&fpiZz0F%#AA|^rWTBkCT7GHveF>)7edQ^ zqQw+a!ES$_6*gkDtWLvJeIH4i$UYKi0GtyHV3ZGpRQi|tQDGw6B45x|S|YVW3;nW1 zL7x>S=3Z+Y9ib-@=&1r~IFQgmK4YLUuhT-y7i5ZN&~ye02>VD*535pHT_zj)J0&uj zQY;XPWicm~H4Kp<%L9kFe@x!r1pQG!g5irs%8!4FI;$fO^~Ll!I>i5CT2Y~SIvEQ_ zVERY1WzmOKNLt4%!=&^DTF$hD^rBm7!3wK8s%4+TrK#8S4=Emvc<GttqtbM1pN%(i zA<C)#>L8)GqmDujv<~JKUSc|-tX8S*k*P4th*1_MY0(S~@qAQxSaq%$hP;i83?m`K z5DI^+kTI+>%TP3da_Yp&S-c}84ph>c1mtrF$PFW|R?R6hu7r~J5#W=SCBO=Gx*{J9 ztw4qGL1Ril(h`})2Fs~HesL8g2MjP8$JGvY4gB;0<w%|=2a#j^Tvv2&RixI1@}@rO zLXo9t*hd=EKeAw7ek7+BkzI4Rvb8O0Z8LwVwzghQc`+NwiMCoC!mu(7yYsi7m6$^_ zF0{`0KwA+tszO!#Su7o@=ffb2926it(6Vn6&Q|FIL$bni^W_+)7dTCzdJ>$JLSA?X z%cBgPoLG!p-^o!UhS<x2Vs`qp#wW5dc|Jk8_Lh1A25@At9lNfT6NkB`$>Z_U#O{An zkfyz<oR+KT@?;7sV$4mQNroamDtyGokp?cuMzk4Z@%yR)X!l!yt79HwAnI$VPON%g z64!i!t?;F0tsb+8P4_c6nQHkJTNA2v(P9;p8TwICd&Ne`ptFPNih0B_d1CXV+Aiv5 zy79Z{=4@(3i|dwtyT(Z|XM^#OW&(e%uB*sOvjWTq=wxF#B~a5vmNoJZ+KnUMg; z=!!Ckzni_)28x$#fk)G9aw8&-_(#J6%5U`VxIqDt{-A`O;M@2Ze~5oH@HII_g8lx8 zc6E|dOQ0dyJi_5ISsu^mMIa}giGd?RM?{Os&`kBiIwJ!!%CQb+-qk`^s-=IXVLh|b zC03<t$yVI359~bI%CM2>AJ8hAr(|G^l&j2)*iel1^E)Y=O|DpGcC1Cb+9|B_C~Cnr zpy?Czc0v{?1Dp7;j?STEO6?9Mi%kyI?X>(`QDa3wk#>zE@wval7HG89#5P=o5Tv4f z<B&=jjj2a_sc&giM#Dw_L>7PKX;SGTUpanDB3qZ6K!`?f4nlIijNLXhq>7CtSjmo` z6;+XyD(e<v(NbL4rf4JUHMu5Kp+KE1$|)4VRgQvPgJG+)6mRxJ9W<iBsEnc%xkL50 z9F<divJUAhW+c{g#4AL(@ql_9Q?RpnvuKcNwG<~Ii44rv_EXJ*viW~%n5ux6<0JL5 zJz&cb5_blyMHhLGb(YpG{@@RG)<Gp{iaLRYT(l%hv+KBlQMCAjmH?0mFrvdZ^apiR z`H`Gj@>VhY%}$Q!R!tP7-m1UzFqxTx_^9Z$((CHRxYNffShPfnQw2_hA&vs#tx6$0 z`EG*Eh>9%KIok4nS#N(KUyrg3Qq-gG^7y<na1aAymq#q=gK0L*5QABqeU~moJq3>Q z>8B}1)_29p6Y565kY&>#EvA3Om?lRtrV$hc@k@*j#JZ>0@!Bp|7(?uRSYn6-!+7!X z`xk%8A~XZsg|J+s_RQmYjkEbh(NsECD8G`mWoTCjhv2?j&L>cv$8`_8kAzQ0@96nt zx|!WCK=W19MJZJjv&s&|ub0bh_VjOmfAQkQtFQhKEUr*QJG#I@{cl0;MOD)1<x7bA ze^5&S0u%!j0000807Img`Wpc?2t%Z+O54PC(U&(I0XGOkq^n9|{W2++b{qk68^ZGy delta 42284 zcmaHyWl$Vlw61YyaCavVB)GdLXbA3Z!QC2ncXtROxCD21cgf%c_uw4z<<zaZf6kAt zr{BHaz1HfUuAZ6RGY8Eu2hA`jN*|$NupuBI5Fo1KYf!`>5vt>V$T?-foFuA2&Z97N zdY2LdJP$NHeC2gqP(GG`YEMZ<Y%!qS)FdxWuN@=EG;sC2{B#TbJP7}cXTc+dl^`1T zeG@-9gR|#6N~`x!aPWFE(5fQjSJo+p<+IdTn_Tp~*ql`!MUB8GEI{h&f=O#!l^^eJ z_^9`f-JgIT)p9@|r$}(lX<PJ;jCIwF$=VeDLVM3C7oXi?9HbP0!Q#;t?Rhi5c`?lr zPN#|r4TXTYi6DU{p~tauP@@&A57v1VFc~iq&SWMlU6gS@O}|goe|7r=>sLdMZxr0N zU^Cc%_*#@D#7x-+8D6>Lk&a`m`EgBEjUiF7kORv~6A?dFi8Zl^!|^A60mcTQRicZR zGE@n|ciFatA(#iCz`2ZLtH$#_uAa&2OX282q-5uh9b(x|9Q*sCqa|(`k8cROFm1de zh>vFURoI$Nux%J4IJc-Or>%Q7wnW-a!R_?BGmh-2EyuyxzK^B2^6F{!iAHn~+_iJk z%0^Kt!%~jmxY7>iBCXI>^yvq5qWtD<S@!O)X=a24<l~0`I5eF5L!Il~0N<n|Nm3Gg zs{bnaxTDqoZyRAUK5}vJXc8-%wL6q(93AXE@MU|ZY9X^b#3;DNr<v7uC~>>^$_LXx zRKoK?ZkU6NcUAzOnNFW>)Go7xk5fUpB~j{l>oTovN+MPBD~zimpUVZboU*JfkSlBd zFzU|Dh}?wnIW8kh3YAjhwWQwW_5tottO3+WQE)@SC04h(p%=ar#Pze0E|gxTt`e8H zW=-U3fw#4hZj-BN;%~dlU+rQoH<mVXh~EzD;muC`$8|(%e2^P9JFR|{oSpf@ZGxrq z%A_T3Vd!p&4j!t{uqxjP7>HVAnSMfkmj00Iyz4k1j$O=UnI~|2GRQK0Quy)x1SA(m zn(P>?2UN;=Oee#48PI{fE1BSivGU>~ZOJEhs21<5Y!Uq|=Tl=06$zqk3jrKeI`8Hs z0`{p4zg3j>a0<C^=`3|r-6$4;b8Jth@ecwTpfjmD?Qae8P|1o`m;fNEG9Mz4v9_g| z^~LK8gE~HRlA=*mtInm`R~4yC1cT2z7^jZI{I1LTJh8k(B{DlpqcoQd{PDylpCufR zVZ+C4OX`K{=s3QlH`x^v9-EcoVlgUk{F3VT{v|Q1%0}%5Jx|UhMIryq1nC#wXBl*& z!nioRB6OlK_dlD_0=_^CiLgZEuEBkMSVv6g=<=FekmfAD-=^iy#%Tm7wJ;l!%&2qx znSh-#9td5>y`9~aX5p<xN!*z^)qVNt`Hu<W8|6f@#056B8@S}i9xR^o&t=o!eT3GH z&xmTpGE@m&{5{bQyK22K6-y%b>1WuJ*CoJ){GP;<bg^#Ryjp<IaEiF3n$NC*iax3_ z?wp=!dF_c%xfg6PB`=N4lWaTXrw@+5=4cNN9<8vFMlsM;$0GQhf}%BaWSZ15S(rKx ztSygb4YYB>QFUeYW`9$uL;Z9o(qw=ot8GFFTT?4Z_W!D%N1S6*Lxa9Q<TiszA4{@& z1V_DeVeSk)LX`tpAK{|*<<26|$39hP!y67D5yJC~!{)Y(8V=#EzS^7KeO`Ip!RT}` zy>sGw-HHFZ<D2oaQ*Dy@>>Kpwy#4xdcz0+)_fYuTydv|nHaBMNJLL;ODjd~v%jNh{ z|BBV{vv1vsAjO|ctD%|UXGM*Qr2I>TNZQT}uSq|JJb*sCb&)4t5}(VVUo9@^W@GCD zwk_#spXam8geYn|kELv1uV{3qzJ3wr+@aY_MJ%J$yA7=iMH^a)-RBP6yo0W_M?)iH zcnlpD4|o2P(t!6BwUNioZs7*1gHz3`X<{|9GV|s|+69Zp0d}FQ!x{}g*I~kYz*6;S z+NEmQ?iko8KN|Q`x5)I4^Yu3;bH;j112*~)C&2r0ja>gv4zXw$94QFBpg)kN?{vIn z4#zd{Wvh+3%x!hvtZnHjI>>@U?~|>vnz^UIChJHmEOSoEEFZ@?sn~D4{WZOyg79x2 zvP6+GT!__to`^5u$l0#!<lf_d*y*S@ix`*3FIxnf>ETLDQVFD)DWE@hOhr*OB1Y{# z`bZKX;e72-X^WPBG5$)6H$Bw9WjBFir<`1cKOizCA>E<0GP2`RDWmn#<i!zR+>?p~ z_LtH774nhY_4epC&Cq?0iA+58_Crl%TgOf}SQbs|b7Qvga4uoHTV-r)?)wj~zCJ&4 z&G2=Bg4sEb1{@<O_m|Yx6HRAYvX=|-TQ@QbBQ~*^%;zCRa^xG^ld|=L>%eNS`9fMD zx6$40(7?d`{rzViF&_L41wEhiPiRtgn_C8#VZgJKdj`qbV%0bOUlN0zF$!dMXaKJ# z&g)jPJG#ZIgEN+TdlvD~#k>%%Tb43e{7?y?y)7B7FlWKtI=%b4UZt1z{0&DbTA?sj zLB6L`mdDCEZ!1Ljr_wWN3zcT<{PCQY`i)dyUP0ZDt1GEVLJjzciOl_VdYc!1(pJao zk7HiY>fcAvrMscpq@D{f=82xADt@_S_nKbSPFuMWey2CTxod!#Z(0e(RZSE5@u2Ad z<R!T4kJqbFW8<aXb((nJ+}_B|SjU@qH@M2q9t0_$7#H4V5_+sVVqG0ZUmbq=L52as zl@{$P#|SE42^Nv`D}^qMll~}(f3T3}QF;KgZe~0y*zgH$t${e!kcfmWPkE{8Hj^`m z>*TPQ^9J){aTf|hHPQ<^n3&^|T)8wA7=4QT*t>HgVmZ&ACHzzCGPXprjwo3K^?O!B zSr6~cbgm8*6Wh6RisnyjG91FYB>-$(<zK8tEcF~)Ztf^0SAJ8s6<gpmA2Sh4UXbmJ z_Uh&_A6rNK<8i&a^7f_QU~{66A0wx<x3X5M<LFgx`P{_rYar>uYw)!UWyQ}4;Bav@ z24ZagfOB=+H*r_R<EoSUXkc@d!8&E>`%e|)%GFs5Yf(b(LuY=&Lf84odyBev?=Vz; zy07brZOfJ}{i^X-;Zlt@Wf!+pJQifj$PbDzKVVZ^3!H{UQWs(J8E_A&K3Pyo-Q;hw zS>h9ZhfYm=sNNuCim2`d!SGo^4=9AvpU53Z@x#&YDul_C)Rs%0=W`8dD_`&x|1%Y) z@;hQEGjp}^OzjLJwc!XMTfR`Qfh7BjxJmg@hT@~6`_RV&^;)^XiyDuM55a*qg%XM* z4Lp@)?JxP3xTp-9gTg8JC{70WHv4|Cla2wb=q%-XO5u)sN;T=?SEVVVA;2QnX9EOB zv-1^4xaLpp#=L<#q-{0nqVCIMyWQHE1gtQ;0`AuTjn-v}GNWxQkL9VI>|BEJ{>AS4 z-{uLp+x_QgOov3)begNNVuubP4~74dNl=|7lOxGfmku=;#~#YQ%DclXG42X#W>l_A z7uT?Jb?O7A9b>`xQQi=6Z^83?BlB!a5bvAH<kbl_Z?@s7)kJzrg)Gg@twlN&7g^Ab zi{O}UZ}Ybt@#<LKugTSXhEO$rZ1K3>z{M2yp<VxZP%yz;f6?P80?%?<B8W&xIyx#O zbMuXJhPv$^X`SR#tj#(ob*rOR$X+X+fHCgD!N<Yl+?$Q~kp1$NdnO~)J?r%!3i|ol z*<jhpl2CI;6d8u_(VFx;p6KF*uLLz3cV2{&4LlXid@qwI0Eu{|+fr3M#iMdn$Y%=- z-{xqfB_22@xQg$}`meRG6VdDV1hDvDPv&0lP|JR(Njh4l+!S6Nx(Eb|OWPlz0M4E| zawXTTa|W^d;NO<W1W%`A9X@9g5^(9b4S3Qeuvd@C`fEE3?CeYf@NA8{LPu&|E)&ez z+JiWaG>cOQ-VA<H`%@RI^MkCGqElvtR|7bxA0E(IG@O|q$O>KgacRv(#i%YYtMeKF zM2(n_T`EZ01#C^2$=yk%Jc@VIfWCv}rh9}_$o9Jl;+StdKoj$@4t}a}u~PEL<FlLH zItm_z#7FITosSgWK-25X)OuOLb31|l?)k~fv^6)C1eU;rq(*nEROnzOwfNbknY(k7 zzQ@%7fuRucuaj35&1_M{OkHB291Na*$)p+_M?_F}JRd(=w1xS|G;X*Bcoi%wgNl1n zV%SoXU+Tt8OVH%<r`u0W|3D4yuPd~iZ&qX?Q((8KdSB;Are8rrf9#W9(V^u#Jl7>{ zC>k{_U`%wAi~X3Hr?Q>!A!S%XXt2OLDI{VdMP}cTvP2zWHAXG>D&bi_TpkS;gti!3 z!x-NW2`PVK|7_NVsZERrpmRkg1;xlmvRvnVcWe^s8xu_g&v|jL)Gzl51aKQTP^PeF zrtt=uwUd2mZHqVn>;T}|Yp(Jo1{;c0QT3EWL1IDbQ^SV2s$oOHs8p55ea&<)pu%?a zYIpWAb9E?QqVudusgz3Z_;CssO;%`N1vR3`#P-SxmuC6Os4xI1vEVLrL6vQsdp^?N zf<r3p(L7GK<TqPM8Tv%7NR1gDlr`$8XzF6Xj1#7ysHorlx*6-D)qa|X^cf3Q*ERnY zMOrV}LhF{5mZ*DHc6ZDno=d?_9c-^ztsKA)f*rY1&$kD|^2Jn&ZT6sK%B;D-Ok5h# z1;#=%E<F?qqQe8&$q5c3kfF25K^&P?83(btns%P^mk!*fJSe3Zv*GJ?U})6q11X%G zlEo-O`azB169{kgcdBWPi%&zN=;0SMMR=1eIPJ5x=30^rSx=hlPu_f`Iv;fGbw081 z&-3lEVgwasOuHJP$H?uqs6fY?1xxYW{Z_zZ7+qg58f66f9LpdLCA+PE8!jFlu1EzT zZ`*6E{%W&bC5VaReSd*oUm&bzDsfQ$qaBS+Wmk#mqrUgN+)4lym75V(A5ECKUx}j{ zXcN_}om1$dV4Sq<F0W3K$-+G7h38#5r~QS5+V5wr6LmX6yOVrqOn;`7i*8dyD`F@F z4k~StZBjyj!sbF0wP&mP{2pP-5cOJgzn1u6>j#J3Ql!U0hfDmmw0gUa=lBGZ*^L@s zXcH%jxoHz5Kir}ZG$$zZ?oBp81#f<m<liXBOAFbTHy}DHop$Fp3Tj}6fmrFuPvR;^ zw%|v1c3jULhqJfFMv~dwBbl(dL|js5NwP`UN(VN;o^kSKlz8To+6547AaM$b**$|_ zwRpx-sKJ708lOrm=wnXC-kW{qAO<y%2RBJb8>-WCMkb&H4wbLK29&)fkxOL;3;K%k zU~?C4+8fY`72M&FgeT`Cgw|5SZn1ulV4b(MG)2MSp~R=8Kr{<O1AS#I{xEM_hY%_$ zUJleJjrXSF&I)+jV+Tq_leiW#7A?7;xMs2!qC4|s3E(8ith_%?WF4>}B;^;zCrz~I zL)wp_TZrxQzIJ~f#T-Qksq6W|Y9JKMqze%;VZ%WJx;piS3;nY1=O@hy`f+NY0(BH; z9{?^!SvrkfHYDj$5-<v^=d8qQd?4fL5e2^S&|;(47DT7vzzP9U%4rygLcTStUnvj* zt@P*aB5|Iz_LWd_Roz>oae7E`)+PePpa$^T@SDD$-!9k1aIm6oY8=<4bOlAa!1sPk z4UJl|cVE5^%$)c(WzgI102)E*wMTg$#OM5d+%BQ%9eN8MAsjB7Y%Z=u5}kX>kPaYL zvV5|epT|9Gpu}N<;C5gmF7o?r>rM`;#_bZ5>cwk;#s+l<M}>M?MqN%R!#WQw(dTUy zwRlNl|FLIv`vYev34wk3a}yG8#Q-|DP<EWn8y{=NBry70<8n2!7)OzxkYr99#db4y zt<pZ?*N}jLKxR>YdzH-;P74h0dw?~Wp`qNqTokF^f=N}ad2W>TpajmxDwenTj?*AD zpP*i-UVP8bI>69^aTI~|kgvFIjDW{zg{*{{n=!SUcNS#@gY9dPUc2((A{0cQ`aNWy z`Wa-O^ezTdM`bdH5Rw&qSR2C8i1d_ugSZ5kO+!RnVs8*316&fe@=4}*4WMo=&Xs&> zlOURWVPo&{dkr76TW?Idx`7lzJec7Yj=(B)v2G;?0#}Xz;{6;uga&;X_xX;T)hz)O zi700ji71x{{8Y4$!t2vW154QyQ<Igq5K$QNq^%+Bp}Z7CzTk$ED#L28fWYS=tt=qJ zwRX&@!CX9M-~Pdc6UV<`6)4~2lj1(p7r)XzPyqA0zHZmEpWb-IHKuzi5V-^n(dkwp zSA*TZY387|PIV#ap$z$Lfe8jF4;9RO+)<wb!Hv2T?~mg0Z?&gjCj(8Js!%srwt?>_ z&+jW3YK&&hwABSm3v-cogL<@6M+Dm>1D)nY?8%?*zIhAbd+=K00wfQO#*)~`2j{M( z45SZT&>Xs%`OMh+>UCq%K}KT!^6z(-@jc76v<%9oEZt|uur8>Z80iWkf-m6&YxD;U zy5K@upy2B(BxlV;NuWZfM(XC-dPLNkz-FTXZWc%{Cn?D18>p$U-XeeWaF#lwD^bWO z9;-<^F2NhR-e15xz(_m){YYTw^`+$brjIiQM>&oCNN=iwR}wUYeL*i|R*I#nWG_Wl z$hq*D2<&%nly92f2SEz6NIYOA1)?lCWe}kbg@7d*kDgL2eAXUL+{T~Dn#XU8(2i?X zD(m6y@fdiS#&qr2emP<{lLe1-Q|(UsJmZ_@X$Q6b+(BqnfOn=Jm2~*2;g3g{M;zQq zDX>Lao*H;AK5>S}-71oOQdU`(QwITmu42eQVMShUqpjP(3F3<_TN>6^2)O?DY-zL9 zkne-IAOanQ&Zhln=>MqYO<ERUa|B5t5sJ~l-jukkw1{{d*>3Q{)8-4dh6x<+uvsjn z1wo1#5W*pd0;c%nFhPcXgZX}R`F`K>{i?(vl$DhrtnGPSA&6yFysU{7K(HvGcB8Oe zn_(P5EIeGukP<a!2*gOUVqp6N9H=lZ7z+El0mD4{fG5}(4{<Z30t6!Tk&j{nRI)=5 z3whZoXMV@sxTZXhNE2O3GGl>+p>BFgB0(x6_VfaGz_ME<3_5DX*g}U0g$R!<hzLXD zP?$0aORu|-CXixnet3r)IMMZkr@}7XDPkYTu08X?;EEw5EBp0F<SDswPlCJ~TAaZf zrID|aPTbv15y8`aokYGCn%=GbXAUhU5^I4OHf6IQtL%|uf8Eg!l(pZwv)_7opjwfJ z5u~1{0h8Nz(r28GC~-e{Ey$ks&oA!54;QwLcP$T{Y70X=kGk<KzbhIeC*6K%v%uJw zeOZEQ(1zbX$|l2{u3GlR6VDM6+ROMOz2$E6)YPoMM)G97J;+VxTke;#c2z?ULoyzl zDcqo-AU!@CsQEfrB7O$rVJ7H|P<)C8iNR`i1oWrty?Rca^qyE*JaVK((jmnX*(st) zZ5-5ElEq+67As8WiJTA<N@er4(Nl4c<aATbUi02kWZ5A>J`li~KtrJUI-~GmBDI6M z#pLLOf+;C(Fqgdpkm8#C@6+k|*!(05gvHP7bSEFS!m0U5Rds~3QEAWnp6T8`=)_6x z0o$)@Cod@#r)s0?fTl|+Ou9@2wFs>o2$LKO2cdf?4!78dU@+Owm{=Vu#6bt+DZsQN z9!Q&bQl~2a0s*oU?DK2f>RR0dvz}0lJ?;Mx3X&b#>_zrE-y>-Cfg*b~-+m?SR)48m zudN~tBS9ds8bnZw+^E3AE%%i4YNrtcLYfZ!#_}`qdR(EM0<>DN6N$u0B8b5_P!z3B zy$I((Q7f)cN{k_k`E1*t0ew4D@YDqzI9t-127rTj!ha$AAW+aT%ZEr#HelKnzwK5h zb$5rr<LIrkip7Z-L*Yof9@P#rwjLTtpd?EU9=do(f{_TuR~dsT$g%J5ViyJQq0Ci| zN0h1+yja6A;!Fzaq47U~GT^K9NR}ACu+p>D*syj;RDCvo8Dj;98N%nths8vA1TnrF z^xuF-PK3)44oGo_FgJvc4s4X6miH11oZQ?Zo}i;N69pq*RiAynxbtT3%Gp00#VKXM z6LhB@-_7PoKxEeUJ7!Q|or(e+MTZqJK5F0oXoVq^H4Eaa^g#Xzp>Ob87Fm9~k6AMa z?lvkeA1M<mc>F>9T8IPrrB)v|bH3ZGLH7idvw*h1giA5NgZd~&4*k*QJUk2?Y1hbC zl-M>=WH0<&LcZV=mCm=W%|bH_iU`^-;awMXIWrE$<Or=_Dc!FDOZOe%D28Z=oOokY zq&h6r9+k_&21%QEqvN#3e-rcTc^8B>@zQDdQrG8FZ00B%bv^<O!j-|hTD`2_6z<or zVVmPnZuuR<Ni>emx`(HQKUDsh3Kj?|T?e_t5X%Vi*1p$|I1wPnKbZce5#f_2;)g-R zT$x7@+K7k^rqWP0g?F6<LieWoQpa$#yEe{HZ+&moo?)bkS;Eu|^x!U`a{UG^V5LMR zrhA>CdMUX~C^DU4`9k1L;Ix+PxREX4BG~Shf`bZo?QoEsdC<x}^@O8VbAiR53<Sv! ziOb-j-n<_?yUfrF;Zda1ND&T1NWoCa_<$cq99t@@U{|#aQV4KTrHhJlU{Bh&4D|_$ zFm&@F!GIK9Hsn%71pd-b=O{)QCcyuR+X_<#Pir6g>!OW192-w)Y)-$!&U;qx>-QTH z6HvX<lLa1xyr==>o}?!l^W+Exd)>39R=YvZ*X2_LQ~ab-=LfI(b)mkJID_K1Joviv zB7%F##1JiUHu8XYJ|hv1IR6rtm|qPHX6zYAQr_R_j;!<+DH(#fCi<clAM)KCs<sux zLRM0Jq=+A`V}ejVOOBTT=u-fyDpVKxw-9{D7sWA@p5L*bAh&vcJ3iNWL8y2{k5&c0 z<onnYnM1C|;7<{fIOB#6nos#tcJV+-l6Qy1l70(dg<S&d!LJAycWLAyrUKV`f!J?n zA}u>E@u7$pzuP&;TC|8_i=S{e#KLU=9}-_L=2bbaAUm3&iCQYPKMO^Zt7&^pk_^kz znT%8F49I`RtY@26yjS?uu(8}MGSNu=;b44;FgkF0pU#W7+;svcrtBOJb}DUL;+g$A zM96PqU;zk2R__j1$%DeZn?wAVkLn1CeCLz;9n5YPK5D2jg@eQEi`*M9Q+=U9et{DU z!v=RDGew0Ur$jb@d%_fK&tcD5r+Pw+BjsgCX~UJ_5n8C6atxL6GHTo4p|PeZk4g7q zBz-%OZrD4*?tRcquv-v>PI2hacTQm~%|4|s)B8YpnH<@=@^2O#?55pt22}qCFIdAm z25Q=#IBe2UdU6L{hltoooGBhp^reDnRtG);*C|t2J<(as(}m6o5ST6D3sF3@tRI}% zIE;o;8!Rpy{CD*&%IS)uuk=uYY4m?;y(`NwV0Lf|b)sMH#(g6ry3BfCP*ZzuC;VR# zKqvrBfQd5)mZT#)8XW;>C_n}-7Dtp#=P{P5*_7Pl8O*S+Mm{~2LJ?qLp@}Zdaa}_= zmN%uK_SLOm)n9^48UytNcPmUNhVp?Y?jVuAApeU5@id*XL@o@b51}MSeRCMq!<<O{ z56N7(geSfT6N@Pf>B}R81bz5~C)$V|?izr)Go1Who_yG@P{x<&<K-$s!Y{alwJ79X z2mzG_NbxZ%Sg@hLEIS*1WbDAF&9p~0)GIV<0cL7zsX-Gz3c0O(WleVG_t57WUt*ER z450im7scx41GRr4wpoUQ#xoph2>jq@DkRPIoTa7B$D7Vkx}bl@AWvmXV-OllF|7fd z63_<0$1IT*tCej8ctw!z<vxQ!maN|7%MSip?0hQFC>S%$eO9M4F~|!U)6;|oVdSmo zRlJGo5s`~;Ee=D9^DILUm+VwDS)g_q4|7RcS2Cl9pi%QPt6579TKS2l{_OoCkIe&N zLq7kOk&#ac8f8-zuYkjCbfJKw3J7v%<oopBkP(MlSp)uf?BM}9>WWv;XWg)=weK;J zQ(AvpMfk?YA!6MRYXL!e)F%&_@q-&VdQwZvd#?@|@<5|Nely)G2a-0=-C@7CG6gr! zW155{xBHG3$4##e86$&z{VS8$RX$N3)h;T&(E*&j<i><hMMbcM9`JTrELeT9*YiJU zX-7`C9mIg94W8&*kd-r9bF7s!@YbhAwN{CXnpe2}7(GuF8gak<&0W$kZupipSY|xi zmPzHRfS*svIun}Hyal-?gMVGCd{5HNxpaXm)M~;RuYl97rNLr6^7O!<;wxw&>o;?s z^{KOTzxBz1J1W2`aep=CUO|FW1?g<FhKX0z4b$^<UxQoaaUudKkYL}1PGt{)My-Rq z^n?%_vlO#U$@N!8{*|ERm~FBDB=Yj#=$kb97yT<iD>2&v|Dr2@qi?d{uM}Xg;*W`0 zfe0M_u00CWD{m1SK!?X5Eyom!b9JFBI9s&2miJrxGLVu~cf&ZB>GrBZfRY+;EAQh; zNUBIOw>h~{(NGr@>J7%tKSNE$*SuXvrYAX{V9!}Cb1iEAp0RwwC^AVmi&9riyt20o zs!KjU<A+1<x&PJz6eo*=^q?i3g9D-8<MeY-CIE4T%&AbNU(*OMZ<=APWrpB_)eDu) zX_=*?>9#u(xicmo&beSa57I9@o}RusctQLzMq@t3UDfw3J(G4uZnwR5k9vW=*0Ozy zyJm^Pe}!ibg><~szgRs4Oa&nv?$BC}y{Df1Uuf(Zikc$%iFA4*MaAY`mxL-Z?_Dzi z;05xzj^fqn`R<kQ^&^1#YAg3@EBk6&2iT>$b-tY6Aa*=tJTbgp5<Ex5P`tcD=XUbP zf6b&Ec!lf3*S<=7-rfO#rS=_!>C;_YdC)WLl^6g?{ebK@rS9q`Y%|2oy_0#sa!31s z+!6i~U{_Gp#eG3v!R?&1u=@H8DRU1PdbN`0)<Z%7#p7mkX6#nIQA5(-j>$y$?@@af z;k+$IMjuznZ1GxQGq_)fN&;WuuJ7!+I@+E$?-3FF(lOrCots9T!gTlmtwFC<WEERE zX6Ro);GQkc#^1kfW?CuT3S(Z5gh2<nf6h7N&F4bhia-PBf0ijc_0NnvmxqDjV&}6G zY+^O*QA@ksE<<R-caBRx0d|FJEhM1aIOk(*!^*ynUv>m7+D0|^mRu>fO{4IIM|3&s z5s~G>hWJMxri`2}?sJPN18Q1ZJAO)eVy$r4NTlwNi2vOpkz$D%7+{Fsmzj~$GF<yA z_$48vHTu16HV7JifX0FQ1}265OOJ?szN5(2A6J`$i#!N%3Ij+-kwVyENXQ5N4{Vd@ zyfDkqp3CKr-}#TtSy$8DfsJlUhP-V1sZ&<s1;w0^s7)qO($G7Vqjzd9IxMpiJ_*&{ zm(A`-PHQ!A+L&4Hz0+t4ZHou+bQ@;Zf&6=0=Yjl)LHsxVKp?yY5HOhLgdOMD#G>Dk z)A3Un3#y|0@=9@5AtgVw^;xX@bo&`gK=`?ObTIM}hs@*g(Y3K<(oTK#W-;{1@~TPa zYvWO974JQmljFKHM*E>X_p)2{w*dv$dh*JAuzE4OyLCNX`Ogc->91g6T$x)G>~GNG zT=U#{8)O%EfUt39z@G!t&o8sxkT3TTwFc&)Q$OO4G3_IBGbcC&oP?SKLZc1Td50!I zoq}tX9i>-LI;Pd*2umr#$Mk33#3Dc1r8d&Lk9Gs~3|GPs#IltK_;`%*SLE8y6b6iE zJjIN3HxmQ9c{1GwbeGHsLN(gTufENjJztM*LSptT1BMHJ%RS|InZIz|tasaO@UMn= z=!dWk`gcBECWbYKZ^Odk_0CU5?zgx*#B8($3uF|GPgsfO{}~FO%$(o4bz9!ReAoXz z0t4GKHfm|EKP=Obj<JQ?Jea)8%cPgx#5$R=Fno?;Lh|^RIJFac?+YxC;gHposiI>h zd%f=%9FR%|Mn-1}X-2WITHs$R40z8ayAX%;Q-u2&#zs`*b~t4}V>uy+(aTIuB_|E# z;K?e)+qw-EL*j8Rb$s70lR76wD<NF4SN6|0?6q$9+mWo+!QCpv0L&huC4$5}o!j<h z@L4r`)FN?|c~#qT<*NqGcC@8#i>#>{_Owv*6`<3pxlYZ-7+_vC_I<tF7Bd~EyH0(B znv=0`)PH-HIud7~PI2Syy(`Y>v(jmd9p*&{c$v;FC-D-FRN+JU7iurOPBlY;N0SLN z2d`GypK1i@PKjZ>Fq1;*S33Moww~F%Ss8r{AkjMM1%X196lW`Aw(qvz9w}s>q|r~} zd4b4r$C@V^T^NLYVNYj)<Jj$+5_WNm+mVdcdGU77t1Fnp4I|XD|Ax{40S3E87_*xp zDBGE|OjS5ZjFUv($s3|MdBVV+zjInp4{4)C4LQ6_KaIn=B#xW!U*v@3EmIliG`5cK zBjBM-dgtNQRX%K=(#d2d8Xv-$0D~8s7hTnAkT8?k;^FUK-1-ULm&r<=1#>1m>brs& zq~an>#EC1zSDK6)CSE4zaV<d$pW2$j`HYU0&IJhvw|Ivs3jOi+mFdpku7Iq&tevOz zt}x9pOGd~IDk83T3fl5IVJh%iE47M3bHyMBW}{b9%JjgzZ(<?NGaeD!z?UGC*oO<= z7kixYqAWUDg{?o{=(%8Gy>p4!A9>jC0!OK9v~>f_a?79=DRL>HlyJ>eOs5H;DO8aL z&^f$JQ2GoJ35-YjCuWL>5HoxnX_Z@)<qJ70I15VEoSq?KLzv0PNYzG3+8os1FGOty z#N?bPMHEV$es-5-;n#<s0hn&|9@b!f*I$>J%_QQu;}qL|hp5QQS}<Dp=q?YNdk*rv zu`Lg<Z4oa|J>^(c3M^A!bW@9ymt#6AZpm>}XlhYy?=CIK2zua5%r+5*>|sVGh<9>} zC}iaEXMA_GD?Z+zF*GUd1c`&VFcw_o61I|pR8EnCcymSm4mm>f15gXMm{B7aNZzl_ zX)V5<oEk<HLt~UY*43}&a#gSq!P<v37*Q>!23{#|(TuN$7z5MrO}Hd~Y0HC+;bbp7 zWs|FSq^^$N_gO+9p!SWGFhZ<gL$9Iqj}|!Vl^P;<sWsZuK+rIyEYhhlL;l`Oi)kqd zr51PCm!YZbhDfa?2@wByVwi_oT%8|_Y+)pg*P{l*eitlH$8*8s5d)v3Ig~3Qt5|EW z&{<WQOWba1`F`m}RMXI4VOgU8j*C%&kLu7{u~L?RS9<>4R$)#{C-@Gmrt@VOUUgrU zo6M@BSd5fC*ky{LJHtcSjfrwgs?9~<tefu~-^CMgaHf~x2yovBbOC>`+6lBSHiRXU znbvPliT_!BUhg7C2u_;A2}n;`#1XPf@wj^Tij%$Gp0^>WZBY}jROk25`}AQ%7uTVV zs<j6_{vj_JCBL8Y?kObsZvF>^O%kC@qblMT3!xteKhm#FOhs1=P0x8j72qGK=NTg~ zo7J_opQ^>cMSxM2UfI!iB$$H0V0mrn`A@D1;{1Wu$lP{TZZ|X8V@;!IjO$h}40EJ7 z;Q7&D&yP<c_r{Y4O6|Js?@JpBs?uB4uD^VTtfcsnima5EP^~a-58Br1QtyUW`KZo9 zc38OxoftNm^VR;h&UloJR!*uc6R{xGhLq4&JNB?-Oc4;&OG7tPQ^O-?(l=_ILOtV? zDiMCow;+*y%@JAP?>s6`Fn$2L>mt!cxM@kL2fdzyQAOSw5~g0rC);ODxx&7cTw0m` zNuG}XP3G~hwf!+#AC78ODq<nlu`j3M@av<v@w}t10S$E~p>@~9fW=X3Vr&uK83|3m zJ8+BA0}^kp50pOTh3u5d<>J^-S`~>wv0!nqf|5POy@JxVXh1f(IxI9i6n8)X^e}e- zA^a9sKwOh+vlxqW0p<MH;}6WtO@r>zSXQ`@kr<T5`Z=fxr8n|{h{JWbhaXIiAZe+z zf}Ul2ps;>-m_B0uc3B&l#s=c|)MEUde`)gq0gj%AC3@+zqBGX5XWwJ;GWF8Fr`qz# zc(w@I?IP4#HzgN-_ejv@g`+{^K5N%-W7}p%b)%}JKtR=)LWa7r5+b6}yJ2j?&@y6T zr}7DJFhh%!kFZ8CKo7!c>(kP^7XMgos#e5O!&Ob$&j~Yul=G6Jf#HiPx+O`ni0*6# z6g7t^jYq?9sq}KfK(kmi#)GX9YW0qLr4n?+!CHpSc=-)~Fd40yLh`I76RZ)E%Mm(4 z$ZHBhtP%Ja|60mfY2tgTC}i5mxnT}L%qrKom3xxsoG{^doI2vH2a+dke<x@tzon1H zl6~vDcq%axV-X#OZVA@Eg$baRon5rDg~8PtZIjWnsIY{E`E?<m_~Rbk9aVHs{8G#s znhaA%ymUwW?ky*li;nn|NBkb47$^5u1=aIg%XEi7W2W9(RygkFQ+YGaguWd>0NPve zscVW`!hjXM33~yY6?Lg<3LkxfXykVfBlf1OA1JB|Bl?1Nn1C<NwA2URRr-T0NarR4 zl8F8Ov=1BJ7~DGfPG>N*(>-q6u#)MZ?M-2Odvg+Z^uGT70}el1CMSww8D=@R3w=I% zVU@O1@5FlDVd{b3_5iqAGZN@Dhr?Lhru^-im%6{?tPa|>ma^&lM`j-xCT&`79B%9A zJyFGcLOT#Icm=S>r!+r`xCavImZ~g42u}AO&Cc{LoHG~PM>|`<3mfUIZwLxifg@Lc zk)`lb&LiH8Zn>rlSH1e}FId*>ru<sF?1BhwT74RQ7&Y!_(iISem-dgP)>;pj-0yDu zgY573>A<#Kd-Ku6wyuotQ>sem1Z9_ZtukU*B1NC)Rv@6Xy();i^V0?GHEbR}&YvBL zBi=4@9Q5(MMg@Ax{^Wg2!`Rs-teIxv&JVzLC66kQnC?3hNW8@P-7ZJV$6rM`8ajuc zZ-y~q2)~i?9rBDSZx?}z23^R%F8bGhfmiX|UKoz#M;<YscaX@)XM~urMbj);c30W# z{vtkc<v<NM&bgn6?xgm+HjDut8~HbBUZLNZv{J4bGDq3A-&PaOVkHjZ!c{jPsdn~? za;IbAyGX?)iG4mxMdpFWR3q}JgS}(pH%YRPU`V<jucSbVCCVb#wd@bvh%C{c1}_NV zvDwuED8p-^@31hKEA(7>v6m}d^I~i<MU^!!xI6*hl`TjOUMZI4IMf>YCG2M%xbxA3 z+bgqta)_P_J-ZY)e$AIIn#+?-*BeP%9ZWOGQ#yCNxRGgSBvnBRjt8S@a(@16e5R;+ z(zX;(tegiH_wV@igkRL={!mT7TPJIj&i!|MJKXOY0&_@i)Je5uo!<XYCTGYGU1Z<H zTcZKI1vB}OBR*vkfsDk^#^zRC=}Gn212CS6V`R*X&&<nf^PL#-kkYU)hB!v+AgCZ% z>-!!wK@i;m%4MS_-?vC#p6_vXK9F-eO#i;Ux9i>??G*MSV_3jO$A52izQ<S;vqv@o z`Xyt-FOUXg+)jevjb)0Q_pt8vZtT5%T<?I|4sGGKHZ7rz&ffTu)PBbs(DDAR?$wvg z`1*Imez6a%(uZdIfy%&2E-G!icLrp~#5t0bg_s@A@+=gpWS26)k?u1x9mVr@XUb3* zc)k#Df`-d-8s)}dRC3z8hZh<lLYCnkecYb7ul}`7-a6j6W&K0a^IW7*;r26vJr^J` z8Sr_}^z93T+t1Aw^<{6i5e#_ZwB$lx|NByCP%wxsb8TmR_}q$PVv>JbOic<4_w+cc z*K)iVo{XOieoAG}n>E*EFL0P=65PBVkA6!`oKzo1qzc3It9OF99eQYg-G&cheGKlZ z1DYNtqzh|!#y%)mOyKUO+uo7)z!eBF549i#cYPxhGTp%oOQ-&+gdjp~QB9vjFVa&* z3`P;(g6M_C-qFFIjU$=ex1z+lw>gUA37e;Td;H1B5yLchU!bqKspOnL92`Wfwv^cc ziYNdvf_d9YDkhSpiPSV^8a@XPbO+;LGVL+wOnZJBSqw~Wg}AB97OPjVwgG4&8+~#h ze!L^=Dl1HIQRHm@BYnw0$0aTl`Kupn+?g7wq4#8?e`mY6`mMG9ETsIZmE|aP{^Vi0 z8lR6Z4kIH09p+(rLiYRvk1e+S&`F*?Z;zFu2xKviT9CAn!3#g01u@OL>XNyjJ#Y8Y zBEOzRBreU=)AP?rG~QO?3rT>4_RPLSx<N%KD*|rGB|4Id@Si_$4e$Dtmgli+pvysg z)!i+hektcMHZa8z>PI`)g5@jsmfP4*yEXCkS-y6I0;B|4FVPLe?O{<qCrKdFD1AAB zp+plBN5ERa#ZPJ;7JkYO4CD6yF-Ulgl&?R_#s78#*(^vdm>B=Ekc$II)yh_|BSRn& z(3=pS_iex!(olNxWL!(6(fQh8T*7ONe;IEK_CyFsI<5N}+KXOPwhHaX6?5a))nH5d zEBA(lLTGR0>t_-PE~exQ{GgxW=YDz7(8Lr{*|8Gd{a?kd<|vcjesp(Te1X*Bs6}od zI_#CGY)+o`^O;0p?DT3Cn0I}?>8=jzZT6+YgWrMe+-!<*TWU9~k-9L2{K?n#?trx< zk4w_@$xwg_*VBJ8B6!`5lOjJ?)1f*upO*NVoe|_YIujJ&Z89iwM+-00CYs2|Ei;^C ziFt>0L_9>LCgrXgXdEo9&xQqt8b5naNGI~^OYMZeAg_s307)@40CJR_h=K;p2D@!j z3F4>FNUG5nZKbwkP}?U=1~z3-ePa5;0=@S{kTqSRc!qWf`9Tja!5HxOV=;kxORQVu zZ=Fm<f@raKs2JgVCA)$GeGdF!@z63I@?wcu!NDt0kUl1ZT_erWJO`m5jgfcV(JLgZ zNuL9(BP;MN1Pp<KKyQ=&u1x7n`)afk4UJU>D%^*B9AxWrGchsYx~;tNnNRdX(yk~t zM;X@TO8p>n+oTHlQjECl2sVp3i9sa!LIo_mVQDoK4kS)Pa<b(Qd0)#sTxgl{u~A(1 z@xT_S<M3x6CP6n(P~JIwo#%9B2wN&dfSRwrFlwHa)CDlK@8!99eD38bniX6SegEeg zO6c{AIvf*X7>^{$W2cd)=#TK3jaPb}n7bz^&ul88P6!Phx4GSW*fpuP*~g-hrKI0^ zyfD23_<V|q6Qf9lpLpc1kk7A)e7n12@;N};v1!^4NxOJ)8ai8fwNFr(VaVRHzW#0e zC#+r>8uLIQy#&Pl6y(R{7-9;CwfNaazj3TLrtagR^Xq=kkDVkm7Za*;D&pw$qur8` zxAcW{z+s^SUD1!S<azLH(T~3YoM;{Dfvwo2>4`nwiz;pD>o0g?kqhgEI8CqhkPn@b zpv!9{t>X)67&gvA9+HrV$WOD=z)i(Xd4&vE;3^~~?cs@(Ew{N3SZl#+AaysTRilx( zi>8pU<L;BV^haQ3>-!Z?f7N9aj)K6BsS)$=g@oB|65ICep*@KhW`RItJ~Dc%+3sRA zk3blbv8O0hYy8$81nM0Xta!jvfNx<?yPR@@HhA$>!<K@`wQ@RM+xd4-ZkpCz9gqOs z-4FlMY3i&2o{FC2MbAqFIcAFV-s=SN9i4h(h87<M^XT1Tsm|0EX$QRs$6?cq+qJ!D z&lWL@#dM+wCb1wQcK38*5G9W%CQnu~WW%gnaft|qrx+z`kQ6H>oM6BQ$^Bq_rLNFE zKd6;=a3<j&-j(3tFNMmXm=*ZZ3;<&qJ#}VA3><}K*@z8N+Y&lVUq&;+En&>Zsj*{j zyH!3)sVs%6`5w418PRTf&=G_hmq<<W%gXdzDX?J<zRyT;OK{*(DcsGbChqp2LzK4P zknO?9a!are+RKKCIB&uAr)sN|9Kd+<ec&;**0373X?!zUlKQ_T3&a9wv^Hc7>?im| zNqfc+8t1>qwcuePj65ORpR9EW*5z$9Z2tMRk;tEJ&m!I83*DLNGLZTVT`zcIzRc^W zY=7GN;OTA;3U1wTmB6#bFtd+_SQnCf4S<EphUU_XAsSSgM8;`{FD1fzWP9MgXM0G! z+(o`~qIU@p8wRZqTgCyq^n|?pS(BCIUXa3|fjEJ-!-AvW<HjAW5bxB3<2ciNLtGKi zyDAaM#T_Vkg^*H+pi%KAN%}JZbfCa}`q1+ns>5b0c+K2m5=C|-@+TS#c$8MJbUguF zHt~Wlwwgd~hB(30oG#b8T3-i){gRtN({zQZgSOG+8SmP7atcU-M<o}qy+)5?8Ix4; zBq446x^%LvS|i)bev}a7Eh}%VevOS1m3(2ganQ3`S;r!y;r-wk><YI=MkZ0$nKb4e zs7^TOg6Gpb6lE0s5lRuNINEl!YES1E86<gqWSm&ypVFhgYjI$K;1UAv<mlcm`a@9t z^844a`aV9N&L#i_7UCUu&KNsm6YCLqG1n=a>~YtFuDm=COi-Z?uBsUMfb5yo65Zym z*JW=vEQb?~|7LuRJZ=PpW?~l__o5Fyh3KZ<5zYY?5(NJ?b5MrbRCf}LFZypoU0Ok* zJat5i-J-={cJ+hKAmJdgVf9G(uO(P@-`x7`+Qr$8F|L8fjUq2jF(&yXI}zFCGf?gD zJc|5F>iX&L_37!Wt1HIo$X$zjm*?&Mo8IY@ZAY(q-+;~+FAp{16T8mr=Y^3>pjFW8 zVTH%zk;?m}aLx8~ezs!n`tTWpD05QUWcI!)js)kFzA&%@MGI$EL$^7@^665>TeCT^ z+=qbD{Q$Udc&Fu!ZIjQ6LCIxd;TXLG`jhgF{sFJTJS$IG7NsR9OZ;QPsbZdTR<+f6 z>^_M_c~N7M@)?3PwL&YI?}!~Aw8eZ6zJ?$OPGldmj<i|z9YiwG*j_w37}dGEC4fu1 z(r@{j!=GLoHqxhTF6(qR=EuseQb-Es-^co9y`t~cTgJY>x0K8#<jgQMs9>#j#b0v3 zUy{XNvcz9HVy)&jG_VWo$}rTLL77cRJS-rBRZB~$?@2@|B!M9*p*cxpEF=MVL9+?l ztW5tW5Z|W1S^h7e&L+&hS^gpak-M#~9bZSJk<XQOzux~Ulxpt27vu72GYsdh7lZvg zl*$#1CXLcf76WJm2oryRwc}|?d~*$e(eO%HoZ0t<_3}EVPO@Iz{U5}*onN+L50Ter zJYuE)IKSAx4Y|3z4L#tsR9%s=Om$9WXTKR=f=^GL29d8>oZa>XN!K^-d;dDR|2dd) z{&Uz~{&Qshb?pCj0C+94|19nQET^~sES-OQ0P^{T&Mpp8CdruJ%E;&bOY->7vHj1X zE&S%#_~%%Ea~QtB&eOwY{W3M&chd~ifL1kl$ig*470cqruYt9z&O?!bt3riyV55gl z;0z-H+-Gr<AcuP<&T6Z#THhZ(F(dPLj{cMUW_BI7<0unk%r?)4v~Sgx9um9jGKM_g z>T3gR?%S+3ZPhn))<OM@W0!6BV)AcIDCt98F^xSu?Gu!+l<JwrnW~ot3{lPhMxD7N zD?UMUJVtZeLUTMsyIdjLi54Ex2JFx^2E8Q_Lv~QNSbSK?&Xk{u(X3Pc(7()t&K3QK zprSMSxX5d`i&P;K@^<Mr?2!Bi6QX|#|DVG3k@hbt;127&rbs>zjCh0pKYaZM%>#a< z9mICgw*t$e|JC6YJp=yMOBeZ1-V6T+P2pe4<eU3{`;ocwi2aMxfB5|mJNGeAyaIOU zz;E%r2`_Bmckc_$kz19SP%ECIU&<N6SA9QYeLpOHzt8%9iyaVl-jExfP+fZciuTo? zFJR7-IgV8Xr2j%mKpOZ<g@5wXla!xNIGtZrk)h%iZ@?Rb|AFNn$o>IsiyP`#L;%v8 z2DKG!65|d0|IiBhr$C(;2A!LTU`ER&YxkJHF#iYRf6)I2?Yn3QelcW42z}Y!${r_} zH^lsd?LR2=*ugAGyF=Z{5#FNbP`S$y{-I9K?ltBN9JXO~Yxj(fA<MVU6;7Nkzz0~D zyn@1rT**ZZ47H$I<MXC_>S0`IAq!o|&T>h~)X-YJ+nV$*u%tt|Bb6KBXC5ilNo5zA zU;cOr?-upKO2>L?4z2TOP{D5OZ3_Cj=pBCa_Z2!oHG5moY4fk&Iq1?J4TWEZ$SBSx zVLtQif2AcO;I|E<OM%t1pqtK)8U%dSzD39S`n}5JYGinbU<svdEa&6<V}-J|3$w+D zW^9Ew8)WcCzb&B{1eJVD(y*{!%<V0lVLy<Q7RpPq`^g{fcsKmi$8mi|xa;^Q+Pg;c zt9mQ^1{#dwJkM+o9VRzJsIkq57{W=49{e_gr(_QQ^0;^P{u*aibxo_e%=o~-r((oX z=Pj09EY@z>rvOog9+vw@8M-P1eD-YGF1}awOT<!L`TbVfgAY9jNS?1o8Gc6dXFmdQ znPT#!9$88dEgmm)u~0G~h{`(`4*gt{esOl^Ay%8eSX)BLVR|pZ1k#Gs9#<73((~W_ z=ewWmOrgySI&+gZ$bcXL%w$e0P*2=sV=Q6R_w%TDOk{Bz8;Qh0r>nguhmlFa;?2rW zY!b{`7VHOU(VT;$D%N}tcY@9oSM*`O%5fVzLq98a9Z}_iV~cV>=9=rlK6f&mE;Mdl zW1T-7(A|BWwwrp{r$3>aYKT{yl2$=8M>bt~CI0q|-6nK-wD7VI@SJ=Dzy0A#?UD27 zm6*mCNf+-1+lOIyaY3-F(+A1L8>UHW#?b0J{bE09@l7tG^VfR1X^+z@=h)6>-DBK* zN4hSTX@zR(k#_HzUlQs3!Ijas)Ezmay6kRgzD><oU_#ld*)?wEJ%xPhLc#E6r|iY? zXWoG|M0?$OtmF7SV1iT6>B^y7cf5u~l1x@0wN=48X=aglN%=ayFL0;W3ZpIYo5U<- z#unU$hDhHps~~Sgj{M+3ZsWOi4w%)2BPtqmh{%0t0ulY}4QS|3XWH;zRKF977@MgH z?|p~3?mm7P=58O$_VdL36(pN0bfYVLQ^MVkrS$6Em?!B}3OG-FzTSzye6Zve2+0Y! zrtfUz{-J1gO`Scv^MScCrx)4pGsEOgk<&8&nI(pw;g-6eik<-7CamX8vv1v0ZV9M1 zf=!Rwu-})*(A{)Zqy0$5+@?Bq@|V47jhfx@Z{~bj62aS_XybWQH0;=d7HwWSV(A_N zAE(53y*3d@=>Z&ZFpf26h?dRIBVq82h=6!)_pe7U!?zHQxbqJW1yfFLuR20Lm1qH3 z6He~OT0%A@hL8ibtE?d_+gnpkle`D~T7On#=9<1%jBEEpy)^{VQlSt5I-{ZS4ty~< zxqkHc4D8L8?d3X7gR^VTy7tmHgH%jY&cG^<V;u_+6X$=p9cKNo(i2BCcj$sfC^jHF z021WVW=`p(Mx1CNagX#wBmO-$B*S^RV|x>4lud9u=(Pz?_)W_8Y1<()fJj)ew%r~& z`ZF1K&gbRP@r~o)7*#68nWfS3oMYJ7&s5z1Z<mPsza=^MGl##cbYADACRu=tZ5M&n z2S~9aiJl2%J}-V5!@sX6KQEd|*LeA_-##mWPyEhBb0~Q<dijH4k9<->bkM~mzF1Ci zTtu$733#;I)O3P}<X`P>pq=yP<_6QBH_C<5r&CGrd=wlUT{}_(**WCe2$Mb-B?e&B zwX;G+Cq!ZNhjlfmt|SEc)dI0LEup6IQJ;ypbr@xt*8Es4S=Pw6i?%{?`kgDj{j4!o zBq$>wr4!_rLT!pYb;_O?Li_%z0crRNqvwAg$`0j!O5nJmR6jnL@02l1V^AVs(P5le zPZwof=#8ePIVj0c9RMvBsJgkLN8MBhf@9`D6QE7rCNpW%M|q$G6CkOYQ?+W1kah`# z%{AN)mNJ{OV7N)PV|S(IPKM!J-yMlxP%W}@?E$GRW`5$O@8NrblO*}gbyv_cs+_hM zh9rgRDt12K7w&m_qf{*Ol@ihRHdzxvGF$H5bptg65~qdalVm5G+MMfftOYD%fZ`s* zTMm?<0)=9n4B$uD%J=jns9zN2Zx7mAkoFn<D*ep^wEc^3<6W8NbtP40gGCv)SP)Zc z3VtF7^nIa5<9+uwo7$mk+3X!N?iY#dr?=_3)C)a`|3lL|MrrbUUBhkLwr$(?v~5h= zyxO+yY1_7^jcMDq_4e<7KktWHD`zE@q>`#y$=UntM03wu-05XtSU1qRjQ@+n*|&@2 z$;CVXLVNxdf`*_YA{+bNL)TJi_VxGP4%~Je_Z5SO4L}MmRi{vq?pY&&Pn4+bXOpaK z!+oK8JX9`^L|c&_wOA5?^}5SZnrdGBVVY&wX<EP`eI}iB8QAV2=!C5q{a#U$7K2ni zndyN!>HCTz7Z}R}t$_RQ2E?ai1$Oz)`WMqiKDAE{p8t+h+C{uf?0G&fTbu22TTL+V ztx<Ml8{lMi9>RHEKTPSmV_BJUeE8>Ynk3LqXn%E3roQaAypBPBzzF5z`>+5^f}PLp z(Ncf!><;Z_PeT~hp#T|PoC;aqQO(O)t#&-VlrykQZST`sB!SRIkelpC^i?G`th*Q> zUV)0r&Sfym)mg<QpOZ{>^Rj@1-YmhGqgnhDbB&4!yNmLBxN=;+g;--47o(Sa#YDSI z9=*GKkzwG26SQ){_YX-r-HJ+22!u^+%D)QDHtr-BdEW=rkJ}PC+P`8g5lYuyp}$0Y zKN3<R+fF8+zSI`aD_hTvVt8a3K3*EJ(H#>$nkd;=UT>6uX^@@tIxbf|z>T80chK01 z>j5pVX^&~6K8~FpYt<ZuhE#*B*JSNiWBWYRuVYZec9b{&ni9i)F<%97N>9ugeNrk6 z_TgoA%EV`yO-3L_>Y|5jM1((3>pHs>Ic<J+uBrL(^u@4B+fBmsgr|gtb8WVVM%Vh; z$hbC_52b3RXG|ueh~>w=sWhe;t;e`zuL9EIq@5W9-wmh-CDgXrEu9~kZk4mMM#!kc zj(^XklDqjO%Ne2I(Q~s<L{K|!S2|NXdcora5zv-zzn56ej&m2){+oW~)i9BXpLJHn z@mRuT*O5javy>TpdV&_+0Xx<I;WgBkIT;Kl0mif82Q_=;$vdhiGm3Ci&Rf=&N&tJ$ zt9I5&2Qmo9Q7v{!MWrrJVmC{*OwtT?jxCn4p??UHGUm57<QmR<$+JM+2I6B`-?dw< z&O_9qk}iyQu)XpY(Pf7D0MpCWW`2mi<-{qAd#}8i-;wo1pl`+)j8m_uaYn_Y79%h> zMGWv?aLy^30SSxKFnlsy+I0)CBLLvcYFcjJ8j9?`e+r(7&Tuz{;`3I&3B|m+c$w<d zmjNttU5gP}i#Y){6H`oDc0jjQ9H37mv|~i$SjuV;%XnEvC4q=@j{^`FID{xE2$d~; zPFBDr@kBO9B5wQw{$>**CtFdFQqE#Cq*By%6kpry#O6rI%kM8_>A>E~*MM=Ngs0kS zV7gm$qHi#22F!+}6MiwFitYtEH7(#+Bjbi96I4xz2MEU^Ws<`wQbFHc=V^Uxioc!- zL?&iJ1_$8y<mikKR4dIl^Gq5M=-v^5<7)d_1(~3VE8s7{5IXK?a;--R#Wn=-<TE0= zz(LhH4`j+r24JohJDIMPECBx(xh!DsIrMnpJy-wVkWH3->{I6H)b3!n<?NttF^Df1 z_I!kDoPG0F!1_eOdy^p-UWLG^bg%{9jLJ+ohQ(bmb3&{floWP|{}NGyvKeik#cGlM zrJ<TsK}|=DSCtXtFx8PbDnvW_r)Rt;QlTmsE-*wuOeA@LP~#XDqXN7UNV^dX42k%q z*_3wS2AqEYnX|D7tEw`3N{M7*K1zz)g3#>r>98SgW*NUW%rbJyk|e!2#GB~|>G_|5 zEn}pV03*yrMa?cFr7WQqI?Ki)7u3DB8IL$MrJVDorW_fLRZ$?8C6q5+>N;6awvG<u zjE&Pe)j=<KZ9W)c1K|HxLvp~@W3xuKTB9+evcpdm`$BDmWt5cWN`Yj#LUDoJoI?$7 z*Jkjh<*iZ{hLaJ<uVKeSV66Z3Q(H+#A^HxuG;<G67@wOt2Qk9gIQheYQAt)oj*>S5 zakel33R6hfCKlp^DgmLd4c<@Q$yi{nuXWhmy>+Wv3BXFfAw#Q-MRaq=mmV#U=rw-N zni=t20|PRg%vEls+ash~oU#)~rmo^BQMK7JoXu4aMy1{fzDH7Dexb$aS!mj+zbRgj zlTJ}2*mE2j*(&6B7K<Bwgw$Pvd$}f-V@_Io-Ym+VBwWLO&Yq)YO<IyA!Wfof*-7J3 zcIJ@j3!p)i|D!5XIO$J_bJ-zoY8<=h_p>&nk^fmzYU-rmRV2Icod2@m`Qst;x2tL9 zNt9Gzsz)^c*CX$EsOY^m0&r<M(yt@2gpxiTA~xv_WxFw^PX|$oK38m(euCPqsy=@Y zhA<FNVpxiOFZc`v>csg-+u#|iObMS%6Se^LCBQY=u|;O98<!w5YN1FC_q+^-rLsN! zgmi@ddp6ox6D2nZnk6iYf)9rjG=;<|x+^&k8XbAE9Q9Uw#$RjN6lNLQc(yTbwH&8e z+{tN#Kjbm}i7JUqny67zpt2NW5FEDdlYMJd<%2L8Y|TMLvrtYk1fz1*BQ!X2ymDHQ zu7JFT5mPL6!QZTkT0wux$(LTTjY!qz+kCPh|D_M3JTWx>^S5HcBO${v0S}NE!wjvC zzy3i**;z2Ux&?bDAb!ASxBr2FhzQBsgnen@HXzUp8ta#DyEZ+a_*Z|ndPA%Bp}Z^q zQXhoPoyT(qZG8-`8f9U2S||xXwi+M;5RdXoJ{t#rue4tg66hk1E?a~#o_9VD{;fRR z|LlAO-EjVF(5%@KPCfei`auXqFP8!+1kU9CXc6=gUV!f|Lk^A~=C5Pt;=7%|9|xU= zDPq5(=ZH)zjFL@J_dAMuF{eAE&qJ4Z&T<ezpe=$`H|Ap|!ef=iS7X`T1g${;z-C@D z?09{sadq2gh3(IADC&e}Ky^kX*Gz-`+yWSY-2yKsr)$k`u-YBZ@_KDsLd-8H;7=w) zldcra(cI(y8sMMgnFaL*HK(h2c7B;0`tO!x$69Fc*vXuFxE1f0B*$7v@|Z8I7K`C| z7&*@VQGqLd@5Ki_)i+5F-Ktvylpz;`7efaTfBqg2;@QGR!fH{Yc$uzRKlP(hE<ZGW zjfzs33jM6tqP|u~MBw3m_e{*#{l0B;_3x!F-Ca!y&0@jH-L!0@F4|p<fS50wA(Ql7 zH%%oyg|_PJ10m=XSSYclz$=NVv?4hELmN!3Y&F0{t5d{bXQTG&WIs^{NH<rShOl5r zPb;5cs8kyVIc*Y1ymEnf#h%}#n2=7x1MMP)wFn-)4#?WE$LtKT9w&QHBW-(@bOM?v zRKb$|Y9{{@3-eN}oO(M=^BEG6HzAr3Bo8<#OA_AEu_3GQ^yUHoH?-0(5@nOxx6^TE z1wo?}ZyP#D$7Vq4fW@)|ppc*YM<3enxH<np9_D_Z<|Q<P%;hBfjDi<LipXYT28CDs z>$w>(fE4~MH`v6XIKb^DNiNrj2|YBEt)NKU2_&dU;he!B-{AnvhRT9$C;_whF%vjw zH+y0Tdg`5pMz`C(+^Vz2=M6zMGq3>OzXwwBs^9TeN1DcHF+cAMkU@~GcAUWgnrXVx zKF7~BxKnmXu{^BQX5BgN$&44fW_Pm#CfWvBdb3NAP2zAM!i}1}-=!s(HNlHnk>D8& zNy*kG@s|QofthP!?w}t!6bo^hiR-LsJct!7cAm}4A#^YS69Q3zDcIWqrZx>Mt4ov{ z*O-qhZy;~K|CW{>@P{DVuY(cMPJ4HZm8FJTO?6S6u+VW)Eon*xF4k*}xt$?!;03Ep zdYPxhHF(#dc&I6p8O<)$UQ-+jWc1e%5-YODX!<ysuL=xYtEZd~+bUg7AT4Gy9|<5V zS<R34V$6Zimi(bujq3<GW-Ez#K$-S$%DgK-y@vGjJ-8?mVDiKvIn2mjnkin@tdb4x zod@I^3mr?H7{p#P1jSVO&WG>xsGW+uU-J3F=W0ees_qPUnXQ9BjTO$$ZtMgO8%DC3 zna3=2a49$vx=A_6(7`1PV(HJ#t}wg!&KP(SYCaa8ry&zzVBegN94wpoj#Q9Uz>(@~ zAk{*lAxs4{AT&Kc)5u}bYGGnXiOXrAjgAQ~_KvNMHL!nYTCR(Y?iNjeudD<LuiX#^ z=M|03S869wxX;TdBymN6f*p-K!uIX7C(t^nWuICoB%}zEvp&7RVUbm|V6?2?flDT{ zXS@_l1ueve$jr$fE`*l6ge&~NK|C0Qf^jIyoX!MXOz(togOp*kh6#sCMUyxb_wR5+ zfw<4io%*WWv!Vr%BEt2Akvi-KjDxF!#qu%zj)wwS4)1eN7e(f}>qAmi0^4N<7dPDL z*YBeA3#wux7Z%*idS=6eO_ZG9NSc69HKBsz<Iw74_A=wrWfzarhlbG5n>uQAUecxZ zX)6IJgT?AHaob1sCqP2fN+XGh)&8^zPcbu7x^wU<f6m>%)03NKQ*1-CfaTp_#U(RX z7l;IRMJvVJuedvCq8QnyoLSNrt|**zq~CRHWp*L`zvjtiZqW9O6+;J<XQJyV2Y0Eg zR4=5JhlX<hHwG(7{~Ln<sK{`@N)o9<M5i1#P-I^MM9?u2)CPYThPMh>Y$DT8E?xjE zqFg=Y&rE`fUPvRg)f|VeB>j8^!tE@XC<ETNelJ^ERu@u&pRC=4?O4Y>D!9QvvnVru z@`;5YsmJOm7)dhn&Wu)*oQ@Xzza~GSBB8EFk=rzT#@~OQoDB=mMJSB4`$+{NDUH=d zD7iBLn)F-*_4CTy_<;!r|6g*&Qx$CYoj9_u57hm-9K&-CSMxefB57->PO-vmJBbj1 zp(a$+N$UdYYV2TS$h>h53!lKJt^I27F?Iaq;s)%>3m<OeKlZ=?Iy3B!p-k6hlOV2~ z;DbdDF%ZDQ6R^Qe2*Tz<AJFbnP{GH`o7@Z9OYU!}asr}*!2AhAK)iTe$ltBndyg;` zpF-JtE#IM0^rfj=6!gjdzA4Pno=HsNw|beog^$lKDA<eAx)Gaduq^1OFNn7(z|bmr zPWf`)n`UvQ33$J}Xie(6ZC!6Ht({ufC!8x4902?V3^n>by1a`Q?`;v*JH9rzJ*%!v z#k?u4O#(t%zAw@qxC`z4=CI*I<7tC@*U{yk<F*OEh;ML+-w2Pnbt5Kj;RO*qeg~ZC z`oj4Sm^?Oq@qIJ3ee^-vw80%Aa`fOFDmlg;jfYulhl()e>#5ok`D{1vV#mF2dTs>- zHUPfx7#5V6x#G^CUp&4aUh2G74)=#YBjY3(9FJNnFW=5Z^{w1ID-#Jdv;+n7T+F*a zjJeu(L4C9~Y*S#xGf9l!sHu7*CU!fwTRKPIClcPf^PKjOxspvE_^jZkV>5tSVSU__ zzr~VFAvbpwF&n>TG(H`lQ9Y6%K0%R*Isn!)_E7fM1bG@eyDhzfboYmwwOzi<@9x7X ztFTz4Vc)QMfwcuHe}K}c<ST9>4k!{#sJ2EzOmTFR_<7Mt9S{t~BuALXWcogEO@d{v z&&(u0%zFHGnhI^=PxPB()aec-1darngnr?8y;7B1>ic*zFVMjgH5=`8IDTXQJ_Foy z`pDvBqzo{^UR>S@NT$(fwBnup_&#Nv-XeWo@O`n87%Uz}xN(;GF#6)%Nb1f|#(lq9 zrih1LT8ddX9$xN*C2RJpIHGttC@<M930+>SU+!*qjll193~7YHW2iz{27nIdAWxV! zI2a4jAb{vNaAbsS=|{rf%~152IsvA3Iw)|_hqfslVC1Q#yYawAaDW?=r<rp>r&;d3 zLd{kcw4l>83GjDv3KKF1x}K@ts$RaMsP$n~FTAXxNe5aG(m3=88LBzr_sdU_9iiTQ znjf7<xBy#)**72%SiGcYGjBQ#a<-rbeO9>^1y#}TMT;Ts(v}N(QbHA1AHeKE01PWw zo)s|b3f$F}KljO)kYra8#6gL5KkVz9_RRpbHN8hc%O8v`rD082eJY1qa7H$o+y1Bv zVJ)dP&s`lgD<$$wWnT#}Xb3`?-v8%cK>(l0HcI&#v+^Zlrb8r4!5zYOO;ezbI-X*& z7dY%C8Slx5;4G!LX#ott-SaE=r<Gqvz+@-xu3~1Rzvh<B&I9W$i-nBu_2oE(1Ubam zzfdoK+d89_R-<MYL&+&yRvk=ZsbIgFEarBH>qKFWBPFhgw21obfAUKkZGJfG`zosB z=Mn?_5(_O!sJ4^_Wm-<7RslBkG<Zqz)mhA5&M@uqaVg&M7=T2XP`E(Z`H?Y1&>Ac| zv{A#Zo!l9BovPoUTsHfyz<9r`KCZ~h$@=T3*-oKqKP&za?454MB82@)j=vh^C+qxN zeZlt${$d2bx*m&8PrU)<X~B#K1?#bi2S^u%dRn9w{Y`y^e`r3Cgqw0RE89gIDZ3Ae zk#K$4^O!XvDgb2Vxi<*3Gt10m;4s>+w1+l5Sre;b682&fG490e_`mN)dX@NNe5>yZ zeA~X>rPso~+9ga}_6nt511F9zj854_A?V!wgAOxBVIW&1%fJvwXaLZdAA!SwO2L5~ zc^zB72EMyKgN=f;o*x>wb_}cWwWHcXC@6M%X1{6PAOQwJz`77I@*PRE`ev-+oi?|w z?TG6na0L8Gz@2(XAM~8zP~_m+j~4$9m#t4SmQD?^*{K`&NRtsYE3Zh&6XPNHNfh2D zA4v2qN!ZX5SMQ+Uyc)Obe79_U=57aE-w~~V>B272&+Z<m6%G34a|WA(H*rL&YSUw& zKkR?8hXeGX>o}}cwV6tuJe|KiI$7pvU%g$^L=`6OF_lD)tUi8PkD3&Y3f9f^lIj~s zqY1uq``j_P0x2ed8Fx`ZY1`m%wnxEM;umANup0jz5-;t4Mv`P0N9Nr3`1p5W8JuO} z!`aI6&zeGycE8pwe_%OrY;ye1uG(!^aqqHF0)PVSNf%LwX4UFVHXKgi9aK8=s?n4s zQ(F$_Oy{dB>mKji6?SP?-y=Kp4Ni^@bXtzuMtZt`u<Ppjd{<nJGY?b(o-%M+vjRtX zU4i=wF+zKUxUu7c?;H?R2HbLIfRl-x3RJmKFgrzcqK@?dk%;)}<{Bn*n!QPu8UvG| z4?u?xlG=cSHdVu4?LIKT*uHX$jVz3W^S57KA3p9(DblYr+2*&y4?A=y1A%*ZQx8J* z137#UFVO7qj&K6daHPV57AL6eL=T}|`WKGQA8P&ekz!)Tu_&=cmB&r{2UAfrXJ@D< zqupO##Q051!JL=tB9DRd>IBK&yuJy-0Kn;*wkPbpEM!v|8F@e^mH(3vo_=WOTxwO! z=5JLU=<?v(<D^&72Asbe*<p_zL9o8szo-Kn5(y*#>eut<N_UsCs@2q{659xvW&}z8 zPljC61u=8k6{xjZE9lj>CUSH|SM%#$AiZ{)cGn-`+s+S7e<wY)@Ou-k=Zuv7K7gd> zG+OF@e`yp>Za63mgN3>=BRVP+E~5#zZ!jofSt(aN18!J()UK2!vK<m>WSp^cYCP}w z+p8<Hdu>!yx6A;+XE-w<KJPxn`MSL|F<L+LS7e?cSUQug<(!>?2Q$ciSr)QKyhjR= zg7U1Q-8_jmQ_kiIO5%Z{(0R$a2VhCVL-EwGX>Tj7$8<KgM>sg?ea02luFVX;;LX<I zt>R*w|AuOblIqMXc(=m~4wsE#r}M;7w~xyDDA3PLARHDP9je7|t+&{`@Vx%hVWBjh zT{UOurhh+S$)M4Dew<!rA3D-&RcIt!_lB)kk&pt~;T^5PyfDd<Z+o=S43O()2VLMr zXoH`lUtAS%Ht&)4a2qr4xt36-|C+T%0RHMZ0L{NeUMnKTVoZ2jv-=uJBvA%S;LXC@ zhk|9f2@^jAYUvl(U5F$0BH#m*_2W|7`I}2{ow%i_T%oA6SP2}8@#`ycW;MV1>%b_3 zi$O@_keUX)!k#t?7cltF2@uv*n#y~!gJ%MP(P}(NTIp$dTPQ6MDccG=TsVEj^*dw- zBBnmPs`Bt{y|IH6$n@N*b2ow5@AsL_P&BV%FmUPi3bU8=(W2A1Mw;y`S(JmNG*Yfn z_r=ou`hRTKAF^v>8bR6Te_M0p8GpP4>Mnm%=3y$KV+bWD0LCAG6ohhT<<2~<)#5)z zyN0Mvi@$NF{79<DHriS~@6e{3s+JQnLVtW#&}=-S>SLTvS0-Dyre&>}p%UEa%_Nz= zlVN9_*B%T9zZZeEJ)H=G?DU%^ezSt}oFE7hUJlI&D6m&Z*9W)`+{ewDTv|in4+oFz zX~{y)sgun%0vN8dEpQOZf%+p&?D7N9EH#B286N)8${AGXEA61B$M#IrA6Q9$|LV{) z5uo~^u?fpQ`uUZuBR&Z-4SaU@r)t_WW!{Ry_uU}^j+cF3JTqk+Z$p`_!wf9rmet=% zh%f2jLi8IlT`2o3yx1XeM(_|J?|Uk;aW?Wbu%z4h0j5~ty2dzTt?5-s=No;0tlHj+ zg|r|u!GS{H&{z<fUhgTO*e9p#&54rmE|96QPBW<d>=Ty46d*pq53d3_2uQ+ri{DWR z|APDTMVg<HSt>!YiL@?!5{)@x?^zu5t>UvlR#<$>T3Q@~i)7_{#S7;d{;*Z2%<+jE zDybtW0S!KIifD3neBu!};>PkBx>L$w3tNUh<Jg4{-fU9Pz^GHO0R*lBiQ`9uUoR>> zJ(kFuXicIj(HagKvmn67v!3=WXK=xFOIL~7nu}vv4YtA+$S^%i%oL07QqE1&zQs|s z&@gas*d!<@8JSQF@IMw>D19V$*uONL9imPEOo%WAo;IXOXukuQX)t5Y=)msdAQ~wj z)}j#f95;w0!^w5M16(X${<IJnJzM}Wzg?m5^`9wZuKE0D&|@q-D}N+lJG{RMn1hBg z?wMt?dF|*}c&^&^W%U1TCvOU)`gX@)t68}R4W-`Gxe6Z9k8ilBO`6M{INEw_Kv@A) zU2w$m#CzhHt_Cu3kJa=w6YuCUt*>(kdL0yc2&lfL+tE1xBz;;9CWw93YdEeax7GJN z7sLUk@}G3PlE^8#Uqs(u`3si=?$Zd!yR-c7g+U!2`>~0?&wI3d!CNMI_GMoV+8&=? zE>F+@tuG7)RaOQ0f#=n>)-52(9=-!eJ)s1eppJehTW1(<5S!T#jZIfHGQ@K`Av=2T zud>9FL5{ibUkt-QjBN%&sy$Ek*{o*`#i0%|Cx%${VMQ@Nl2VVvW4i@&xcw6QLSOKc z(q!r=KG+nYJ%VSV_nfNPmM?xBUDLd0`s34f{->|~ZB1h=xD!3za(Jzsqf!7{x<oPh zt%M3E2~K?^Q_DDLC~-X;50m}C?|c}U)YD(EMn(mTIKPhz8(YS}0{w8#D<^2><jah@ z!}?g{uk9oWb`sYC8U$tC63GHxa$CcN!)GOPp^*z%_+@y})Imma<fai^`vnk8rMuG7 z!Y1XE%Kau-0bxpBqT59red_=pi*V#m=p!?PDb$w4#`dIV+Un*ibpk`a$GRQ2dRfQz z#AHr9Ol*HQo7)4>RIl(b*~Emk>6xeWu~Q6owRLC@{zV+OU&3n&u@2?-t?8UH?fYNH z+*hLrGeAvO*BQIR@#Dy>ispTU)H;slGw@l+mzFC&i8PNZM`xr0A<_WnwRUONd0IK` zz1CB0)IlX%5^_G$2_3?PL@Y=Ld3FR3au_!}^6C8G`A+bzDrLd~tZp)DD28tDSVtZs zTHe36H8KUtB8q7J%=$wL58G^}>Vx7k)R(`f*}z0NP78I-fHX#3sTOL8DQn9Uszu){ z6Cf1}`Jx*_dyMU32%-T=5+&jku#{Z~p8Md^%cEGSW>hUG=n~1%#6$lUp+Hf9maZ09 zBqQ)|36@w=r{l;JTGY%=ZpBz)B6{Wa$B71+BYW*JE{vj(nW-|_WJhyOWI1{^<`5$S z+eFnZCz9Dll6UT67+F;^5+~u^8j7n9Ep15*8sri>F}%DD<8lElE$=YDGbm06O<R(7 z&H}};GOx)o8Bl7)FK%d5j8xR_yzh$;K#e7TK)ihLHgC54okRl{7Z5G8I}h@045r3% zg-<Q9kx%H(h&oBXI%3kxkn6&zIeLCqg(IcCYhle`<p;{p1;_8<^u{oQNTNv5nZ*T1 z$~V7YM)!xtZz90eSd=q~LDtSzFe7WC$6BDhQ3zi`E=T{Tk)qu!vMP6K;Nk39v1zJk zWaiU}$GHFPMgo0Uimv#XOyK)SZik4Z+}{`lk_D}cZMT*9FSv-#3{zxWSTz|2<Q;bU zS9B1YC4|UH7<9n`ci_ln^r51|3bM$3-(FFNmrn%X#SXxnD6$K=P`hY8+7;Hr<2ycx zeuF9P!M9&nz7nLl5+zq~MUSZA!##XCS>?`EU@g+@Co}h+QvCcU+XhlK-M0ml)Z098 zkUHrkQ#@i7R?|EHMA6SSi~-|I)$+rJmrZ^?GSeI8N{S!WgUv&7tvM0E!uQ(j`b76e z7pnlU4+AhF<IDfk-hDI%u=u`tL%yIqxu3)?0*gXJSNUy63e(P0lEoXW7wjhgYB!fH zxUIdMB|qp?`H|HXe_hz7-KT8Z*VdMms9;>lKzbiP&g8<YuuIYQaEj_dGM#objkM01 z5uAG8<H@@$JnLGXISR3M((z|nCYekU5!PTP%K<=c%!nC5(K>^ewFRp9tUE;uhc6T% zai}m2DSir2RUm><GdX7evcxkObmiav(~@sGI9>WxwH<W#>KV3Ztc(#Ksk2me;>&Z& z5ZwL=YKdCR?fDL=UIW(q>n0=Bvg(1tkdK>}3%N*u<EtAVFPw8G$H!1IpW9NR%P2zb zuo&PVZa0mWkX#3xC%l2u72~-Y_C|f#5Nr8zyGiK?xScso`t5h!sVFiX{xw(oh2et| zt82&(b0-bAGDm5p5Yi9HiylfeQ7)z{TkH;092I`4VS7nJ@~NI#8RkEE(3?B<OY{Y8 z3;9R<RMD|rsd(e{|LC@QI3H%M-d^qimxqs!4;fuUK5aj}?-$Qc2bcG@4T9>to!W2x z-1<ty|9NfPw!YRcR~ME?){o*&o&^U^YIE&+!<Q~UmF?%daUMsVd(<QGNWN2yEV?Jt zKK`u??)eS-CVENcO^tXd!qre9NW2akuFN#{SnAE|>|&}91;eW*2w8j@2>>poY@zk> z+XD-i!ciSN*J^qNG=-Eu{tdc!_wh9$Dc2<&4eT76fHBcRKg;yQ{S|-hLR;1b0e&8- zQHEy8N#+fgi-cWl&KJ`PmpO8!f8%QN>3%O@C6LYB0a?g%;oh{{#>_%byB4iXQ`86j znCWtksDXMWo_vUh1PSV43Iaq41Ld<wV{$(UiVV1IU>_A##!W9I2iz4_)s%8e=|l>{ z(-4#PwMM?1%p*@GD30AZ`OzlL;3Ck_fm-Cuxj^Bo-f2N<lQ7qJ@!RG$ZFljE01Cdf z>xgu6C-0~0SW%ZfZAD3mx^Q!zB^Q8J&h0QKt_Oj5H3&t4W-Rc-mjT`7!5IpV<sB<n zfs4myP|zBFlp(8YME!dDa16CV*!k5;0yYjYb<mAKP@{LhvAOv9>&q2^+sOTKt4*TL zjI0g@`z@K$j=g=n?m8|(Y0NjQb2O(UuzyWHx2<~JuUV*t_fX|2WY#KnQ}|2@$E{!f z1V*rMVVMm$<V3};Spzt>*TgFQMK~c~*8CQ%yB`Z4*&+D_1Rk?)a1mfkTDrMD)cra1 z^E@2es26*ee4KwT7>6jzOXqcsqQfltt=p~sR>#+{RC{+_xAvr|QY!y<?zX=u*>-Zp zxKO^JzF^+0^?h9V)wZ4{|MXa1O&;QpvSHifXR{yzOo3&i>IDEM#X3VE#X9}DPQ(+L zq@D|c$=3{PjBb(D4Hv{7Y^7N+K83e2Kpguo8DN6f^`K7H13ww~9FKVhE=TQmFLXUf zKC=F{lBXR#xDv}_*06<e<@8)pDmu_i4s#i~r%^?U6#&2`?M>i6znJkH9(YN1T!9Dc z8Gg&WXmY4903a}vx|Z`~)?dtvtaLyi_pfd$mS^TZ)dZ5w{P=>H72^B-1Ek|9llxBG zmAS@`=Ky_(-6XLZmyv<0M0m5Dz=55@i5RU6+;9T1_ALhxBW>xE65KNP&MiV{(Qg{l zl^Gzm*)^dW*jpElN{fnBktsmIPaZPvOIQ1YcAqzwfZO#J6tohyECcz~ntw#oKfobv z*LvrN+3ihTN2S<P{X)<Onju(lhyL&7=NrG9JtJ`EiPot1Qr<q@h`5WyrLG0Ld)r^o zU@gGru(nM+n|ZYm*iFB}su9j&hgV<C99yeLklVH;4uaBa-y7ksYY+H2X=tjlIbo&E zEAS`{0L5@6#KcmbVDMXzb-u$xfp1ehX-;`+v<q0Je}Z#pqcfWt?^ES9r#9MSLszn< z4li=v!$Zij&f}d5r|>1{fNPj!82+M<#k<@CFQM2A^!cIwLy>apPx%vf5kGQ}fQmKb z98w&KA6@a#ObjrElpqFOIsdSRV1PZ$&Brov0C=uq!oFvrW_>R!l}UK6-ADk<(<l_J z-sM5&E0^A_aiD8C%?`dx?1}C#t)KNt1%%WrpwQ73ER6&E9~Lr3ulqc9@Nnn%VT}5! zb^}t9OPJ{5ZLrl(boB9>mEkAY{-w9OJOT@~5PP2j+m0D(7Z@qQx$z6zi_T_?vDPZY z0}3+k;Ho*Z&<$hemMS|2iU!8=HI|q=VRZ4@d2$9`#8ibMiP;UbR>{SR%GE2in^~av zb_|mUlCbuK#&>@~iqVi@aE1$oMQvBG{lKqax;6s+x$0Y0E1%8*x#4Ar^<r@|BXNjJ zz|@g+l$e-W0EAkE8>u+fK828AAg_~SK-#R;R`~eDM3vw)Mc;V(cp2B;k?4|$fYH5S z6x@hfYS6mklc~c(d#)aX93@TDI&Q>o!%0!?L+x~^HbjT?Y;@qAx=berdlabi)q~G| zi%(cbLqafyJM6C=OTDN3oR=WKX$&((2R$dt>L{$dDt7`XIM$mazXVXSy6{Y3fOa1r z(h2L0n9>0-li}K!vX=)P%f*&?a2*>oMnWb0z&wej7z`(rY?dK%h8|#FhzuW<jbtA; z^e8wVIb(;3trRRq>Mg9mQ+_sl!JmoE9ty`%4vDO+6)cKN0BT^q7>6#0Hh9;Fhc1~b znp?hNWL{Z}h@@hCO7oYUie73gfDyz(tXYf4x|x)wgI+i4pyxM6e~iLk0ER>@dZ>i6 zj*%tEM`{Eou;1Tciaz^{S+Ey+)J&jWGm)a#Y9?u`SX#K4erIqJ7)L#dFR*q7(n6$V zGcmNij_7cdHim_gVFTl{8geiKpESvpF%;OIcXR}chqiz0>cAE-6Q!4sfErIt!5g5N zib;XKKQuSMJ!%1n4uvp2{?BSVKWav!ep7mH0k|8*lprO(0tq<-{zScH#!!yoG@(d} zKMALR5Y)4c<ruW21g?|i)*J*sr7USnI191j*YsIza1UJ8IFOB4Y-Rs%W>OdjF>^6s zg7Ii6XZZq^g={q{lAxdcp9K0|+oTW7ogsOdh^`iN1yY`RAkA32Fi7?6b>Ce!?p4*! zV><3sdLc=87b|ZVWyx@Pd#~{gP(?>q20|o=x(9*gqUQ=^ar{ZdorrCL>l}PJYIdQh zpe3{m>-6qR>EL*yqFM;wv;W1j-^BQm3IdpgUC=2cl_Qoq!Luvi-nndTp0FWk9Vc~y zy6v~656Csy12o@9D){x-xc&l(ufVDf#&GM53~o}LPQQa1{Bq{-utwQopm7N^b#Ag< zUSa3_Jf*^Qc;YebVnT7Cu+YgT1PmZ8AVHA;6_z4TH*yQ|b>w_mLOn?x(OAK{*5d9c z&Eqke8KhS*d1n>C=%c6yS0lw=E^vam_qi1C%o3W-e`?mh0vs1jZa9)FSG1zp7lo|k zI(BU+5{Gw?HcNOC#hspm?-W$P=vrX0`noQ4u^sF>a>ewm&S+vxaPXZ=$0WsTAS8LQ zbQSRTo$+HHnTM9dS|P=sPtNoiS)x)&pNB_cnd@$tz<>v!@*^Z)$kL+*iFS2C<YBCi z@>jEvS72XqE%!K@c!@aFEOE9ZeJXZml~#0KpqXY%m8goCk@62~0l&bfn5oLbf?_i7 z%VBZw#_INeN$EVlLOJPuy{Fd)R5J<uo)8Xa#`W&Dj#S^L;L;7LJxz}X1T8O5TZ$Rv z;>K+L9zdzkO41WQxH0#GR-mTd>ajOWGPX3G<mTu>-|q0`HD4Wl3BN9VSmEk^rkrFn z;+iEvKGwfP%+dMPX#qlc(l-&w=;Qbcl@$|<`lG>{3uTj)_yoA!2+=)-6&;;hxK)5= z*2N~9vFLDJYF9(bbnw%Q99DgPW-c^oB<SR}0D$}8pROKLf2*W731~?(#aoX?74zkN z22%x%E8X;>Kv8?W`-})>t_<ftMf#JBuF2)BQv6^V2XW|{PlF%s$}~dQr_D)H1VXq6 zTu_#VP#j1bDX_hH!m#YwW;sz5b6Q&G;r^gg{a<1iB1*O($io4H#r8>i#aC@IyylUe zd4Lu~+=-v}4WyQjw`brhZCsSJF)IQn6CyB^Vjb@-0VU88G=s2594gQ~82iW8K3E^0 za7ynp*H1Q8^ErP!DN<afpAJJ_U;6#<rm=ILpr0rNe;b~uMc6N%8vmd#asTZtJA%)C z>EJ=dQOiy=mhZ%8m?`riYAt?-&vC>9z}lJPx!}KJ`}OV0{IOda!3iJ4VU(3eeU}d3 zFP)o!yKBT9$SKlF`G-}-?d>NnUNwe1P}->LfP8iJZxnm)6{y!O$vpNs$wT6Yam3ru z)F&ZG-ki~4n8c#~C2}6F>?m2qASOeuwbr0SCs@!XVrQ#t9_najA|{DRm#c<v09PV@ zIMj;FN94X+`9ZU61AC{=wfY5m+;1oilKd4bt{wqiH2Cvv>Nt;$?R5i;hSXl{i+6+D zaoLlc3d@wECLT`US}fs(dbo<s#XI3F4e0-3x|Ni!+lf0T?9G)H$~eGKdt2*}U%&1v zwY0o_f;fnw7W;G3u2x^dfO-T0p5^>uN(0(&SG?z1Cdk{*l#I%@znV*hY;V1jkl9#w zX(|QAja;6fbGxz=cz)d$qW^tlFnrEeSZg|}PXqoV9!Kn;%f5OZ3;`Evw!j5>$5$Hb zpS(;J%{gd8EBL4X0ip{#sO5nbE|zUpl7t;c&O)#R+fvaW@fH}5rB)pQaH43S;xM{u zGb4cFAgZ#;=Ki@W4s%r|yoihbYbCykJyxHDCsVWePQlwDMQ%%p(ile%aF-m&JMSmD z#XvXzRkdt{CCONj^G}AViPA&9=DR`1mH@;3bqDzC)~sIla9Qz{{ord%BI9f4qh#aq z?Qfb7Fce|$(Yd6k?%B%~;Mx^IU!yLb3=@4%ezJ4A6b5bDm_~`c9xhQNzNe6mRPf`J zjx<6g#0xVQ80)|kz3wf2L{_54Nb_YkFVHH4KA3g^#_*9DzF}BoVJ8d#Q$j8OJsII& z=t?3_>%TP0CglrT(vSF!Ca2m#*TGs1q)xa%6vvnjA^4%nByZ&jIGb()(e#xQwLl59 zIVlCewDgOim0n%^gK@ZuhV`*k;aN%6&-&dRcxdF`xl!bfcep?EvP^LrUm}Lv#UU-| z8&=ZC;{Rbb9?klzIP>7ch}lX2ixb9^%xn`$stf^sM1JPFm9KaE>Eo;Z_*s#!7jX9a zxtzNV*nj<O&D{<o^**pJoTV`|fF8_o_=$otgNqscFR03CL1R+hfSc17eDfj6V4V;^ zZy??3OTPJ(^gy3XaxingzRAe9thpIOz|R?^P$?GXe|GJjqkpn1%czEa>k1DJgKx>R z9tHvBqU_Waj#vN!dLT<8pno7ZM-*(}L>_{`=Z}*wla~krsn#YuK<5&3{p1JCNNTV1 z{Hzqxw4OhK(4w}FH0L4Sc@u%A?~n|rYLn@wtA~JsYX7X6gYJ(>nbw{d+hMj*>Jk*g z&QK{(2mMoL)T|P@^8LYW(3<-CX$%`t-j!0cT`-?BYT1v$#EKjRY@Lt869A#KSr%hm zM|&VJjbQT!hbA?<?5kzvVz!<$ok?JI%O^<XujV_t6m~U;J3LCLM8CTB52_3JKiYhA z$bkGsImx5eFcoqK@DRHav~P4!S(nb{KS^p~0%`i>5#(ApvP4&K`U!-ChEHNBG|{1x z>Qku%Xji6p?p{lI&|;aE3!k}P)*35ckDthV%P#B}Nvpk-f_S>R@L|E&lIfH5eDy7V z14QSiyR23+?yvu>W|_F413H~QxbZm1R`Nvi<EILiHsc|U+b|^?p&2O?zsEslNk~ET zS9rDb2VD`SpfuJ~pDoXzr`tTkbJ+!+gwaX1L?#ig&(8$Hh}~{pw(TnquN;$V&6v3* ztjaIJI+}S`p?$8m`xGi#;Uos*&5E-UOZBq9sIxHaFnt#G>@jNhC8qW~8z-|AUu&s` zJU!_PUrS3b_2mNS3G2o_M&C&tgjY-9GFLvA0UrRR{~bmN@xQ|;D`l|53rn#DfPfA> zfPv6}(qi#|F&Y;(dEo$gVrLpYoNbC$@FZSWxvkGQQwIl{(nNb&#(bom%UaxM%6d5u z6@w7^m1wSWuCD6jj&5xLDo)8JGnF2%x147vkXEZw-<+q;AbrB)ptUp-GTrg`I!2Sg z!WO*at_A1ap)kr(dG?(HuFdl++riirybdj7ZM;~wIlzLOgAIUfV*_fczZwEo^;w7< zrPiEDy?5bCCjseb^YYDe#r+{&@p<rmD<&tcbTCvyGY(oUMD<7P!0x@fRb4_~ylePY zk`{v{U)1-rwMjdbBu@l)^Ze;t_F$=~@jwng0frW`xcX31Qu6(!A5cOIU-OohW_9ca z)a&iL<&DYTDhF5&@a7nk#BKU>_Yqf+uJ&b#gfg=Y_a-u{D@OYVuPiow9)V4t?C=V; zCAf~$c)!};d&NY$-4}nEjZDEAyF1y52q4O4P-7nsab;BN=B$7on9o`{p=>la+`T)M zUoc}n=9Z5IOVp5NWePzQv(w<9cWO_!9pzvLcir%swgd2boml~5#&vj|DaI(&0u?3* z&MPv|?k(21G}w`G;dp!%!%c_rWRbdyY%rTLcP11pG3{tQ+?*xQU40XVWOyfLE=TYi zMn08oW`q$g#`+~4{X1P&B&+aO6qCQK^q#0F8ZVig2>w|7Ke#@Tt+skX!rV-?8A$U< zt4taH18TtM$>uDQQPlvRgWw}%+Nbc_{W=50jjz}WfykljL-h6czn6F5+|TNak8T<$ z;*wTQD+{1t1S{X!&i?J*lC(|tP1zM&QuDj%tqe^j^SCEjJLW=}MoqcV@?{>1R? z1L(BD7{KU_`6w7_jRZ6r`}ykv*FpReAvKG{WL1E^!3n(b5rnt`7bKq~$Zvv?S$Hd< zh`!&#KbJ_vj(w0nY=bJdP--uArQWWF58CCmWW=cpO1R1nI{ci|IjeIBa4IUlT=+== zwi0=h@ke{9CaVs3hf50T;DTO$>V-P+Bd0vFdW2Vugv+B*bG;1n2|{OU$iQSW^{On^ zJRo(j1ey*4`ArX2{gfP6mHPxaM@v@8%4JJ5wjd)}DS+{P%$#QJgc8*CAps)uIicGK z##^0m*vgmikcqv6g=%#zJK({dVLjQS@R(z|em{Qp@UC&#kLASZxnaFMCO3@Gu?p_5 zk+HIGOBqpdHK3%myYfoNz`G=Svl~O_20#todPbxu5R%BR$h?cDSgB1pk*?X1>xQg3 zzrG%8h6d9!0A7Xihyn>C&rprU25542Y$$!r7(QZ{C9~zp(-EM{=NYLGN^`SEpwi8c z@1J}Dt624|hQo287X9s}71FTh!=Y(gU7!vFubnW!q=7O3adrZ4dswIr0l&r=1b|;8 z4st12wr4D~=<;W2W(z0UTZG=#A!8}`R1c-g&!mWI3;P2NTlH@bghPR*1qNBgs?Kwa zT@<I98X8GP(do|snCC(%GUzbSs|JKQjJdxjNwt5~Y-0m`emLHj5tXQt%ANQYm?}r4 zm~l$J`{nc@PQ0WKFeSh&sKz{K1RyEj2o5qrl7l{-Hwad~W(1Lc(3W44K_1_mfmMYx z%tIuLN{=63YN$wfNaPoK=2FS_h1E&@kar4dDJ-wfNQ@=j4R&<+uqAJHr#m+V&-Pm( z=Wwsk=&HMa=2U!MajqMSINe;VXI^T?8x)Rx=r>N72OJ1Wu{)_ky`VwQIY4(4j<hPJ zt8w6QTVKEDQgVjNL_X|`Qayjy?AiCBtN-tM>S#U1%x|MKC?&oGps{#pCBFjWU(yN% zV^`c>kqJ%b=-^bnO3<=p!L(@e(4u9<5@i&!WfU4z2lPMcaySLrbOqYF1==A>s<(Dg zQ<MAZF>+ya83^qx_)exgRe*$3sg&_uPf6V$8RiJSIU<1xv4N5@`iG%WxP;ht68uIn zo*LxSVB&dbjbhYPDN1&d92PO2!$_A2l+z{&vQRPI0L?qoJ+66~!awMpR-mDny})DP zGX9CT>qcu4scLv6j;b)>j$#w4k+Ni@e>Cr$2c{^24y2NgQPadE1OWBYL-G{Rv>8AX z+qM!cKh_kPbcQq^Gm4U5M6{Z5Qh1hRB1_y0*#v4t<#LmlidJ(Wv)RBDDbT@+vOIxw z6Q6Nfc$S1v6sfX2j&u{-9*!zVBzDq-*d9T$f2O-shNb5aH7aM4zitgxoSY|#qqrS= z0+;xnbve?Q#ik!QfW295FA++qD;CtSI}zvr9$20}jmvD$95vo#Of5++^QTW1Ttdu` zYDcK8%mJCpeOVGbj$=bpR79h*s<}#d5L;|0k)jlK3c%c<a-K4d<EF)EVR9Q)^K^}o zrPp`Gdx_&xGzb}Oo`1BC(0_WM{a*?6pAwVvbgWU5fD*p?HdABrR0_^|pm-xi_J}{@ zGIajNBOnUK!0Z6J9Wo3zGOFYQX*%|R{NbORJ!)uw&rwfPkYo*6dUbPPG}SVe#+Tp; z)u_QCovK9;suCqL>s#nwNjln98~?NHek=>ss)PAG3QQoU-xU;{O`5CVN^<fktHY&$ z^2~>MmiZ_%3~;0pvFr^HYrh#*rzm+MJ7X(H6j=Wc5UYsc+%xTsCJ>=m@}+~6)C7&+ zJbM)AvwKo%NxwY4Mw&@e#lG};P0i4SZsl<O+fz40(SSVZPvcY6fQFOH<!A^pteqwb zQdKYh!DE$(RYik1`LWltOb~Mx0maV&T-l*R&^y`_ve4UX_F6DnE;mQu*Uc864iYlU zs=;kLxi<3kMt;X}u`_2OH?$*Xq1V~${Ro0zuI+}}s5jL>|7#6mKuE%rtPh*JpNT7y z7PFXQI4YVN)c|Y?p5jdy$m`#nFgO@#?=NwFA_WgK;@JT?zIJ~6`(D1mqlz{FxF|42 z8kiB1%U4sUMl*V)T#?xu6iR+~YfbIBG)fD06$;{><uxAK$80y&-nv(P<WK=P?|V;2 z(lnlF{R#fo&UYd{{2D3xXe5h%H-s73yN<4V!3_p)ZcF)ge0i_DMAgFyh=YcfB#e&| z@RueOB8k8JK(`wu9cvCQMiiO{KoXsT#)E-K^^<dtw~>|h_KK+L+ftCe7DIyp&rgzD zAEB@lC-}G|e+RVuwRiH8pCuI@K}^ypV`L&}AEq2a&Ld(J5ccKseDn-~5Mq%&MCuFN zAkHVGF!`8Fm8jL<Z@6x{w>fU$m9t-HIV6ihm}{>BFVN^Sx#x>k0JrA=sKrfU5jFd4 zE&oV0fU>GfpJ+b6N;^(W^MR9zjT`bE=Ygfa{DM@I5aFE35?;gRn1#)`g71E}e^R^c zQiOLGXs+xPYQb0UV8~r_)fQ+uW%YjRp;eI8K$Mj|bCe%EY40?8SX^N8y0F9Ie`J&6 zbK{^>=!PdHwKB1pagC1!;N%UyM3aG%f|ikrGtsFWQqM>FVqRF1?D(jXVES=c8bGgC zp;iMOpjKnDkWQp6y6>pipaB1qlDT0glG|o**>`pTA3KDD311*Z!%EYkB2w5^GQvYp z@%QK^3nEc;hU5e<E4dUat+@nKU2xld`9~J0pix|Jj*LFE`sCLMxSx5-s`0?Tf0Xxj z$jx<UT<_&TB70WO7!Th?u*Az7{d-w@<gJBpA~w&#zXcrAx+8^hzvPDg_F)kXcaut~ zUx+!dupaJ>M8&*acSABR!8WO}+r@<xi}gzZ`B<v(ZMcyx$E0Gu&7%K3GRTu!^fffd z({DAHPJvIu0RH3~@ND}Y!vg9Q`Ut1w?@a=qqwDu+)60*iznSg6xp>h2uzYFL<#>N} zgd$={w=1B#!MJOJz}HPrhO#zn(-6)_oIZ#Q8od@$M4ezY2(EcuG6|}n4VJ8GEmrC> zDac_EL`Q|-y=>3mNIX{;Kj#7sTPFEU{!oXuB#$EGIu!2<=m4E8p3n2L_p{!g+PFeW zsu)=qehqn<VX`9jPqgWxumwq@u)PEcscMx(ZyR{1wC{jA+SAz5VSY@(HVr+#V~?Nq zoD(-+rX}JoPmPlM4&C~jWIvVunYciVrPz94c>NAeo+buFO~w+0lc3C&0&7Mpo)3<H zyC?HEntSjbaG?;RBENKmPPFw;nyR-@1`C2(T$v$*MVxzU_|J%zni;7?zJ9_<cZ_j= z#9d0;GZ@v92HaGoqs(*J#*T3yhMR$B|KH%D7|ZVaGr6fYqfy3EKU_s>^WjvNJ`t&n zD3f61A^TG|(HS*S&^zjGvLl2@7-j%s>QLgqH{ad@AU44Hj3k}rD4w?<B^T%kHOWr7 zs1YSxa<Z~9TQg_ciK}*6CZA{AgJ&>Su~&l<dmI1pyqeFv1~k?Ds-sAHEA>T{kk|Ec z-R-T%-R~W>24J0`K+N##qdabk6#?t1k;`z!<SrmX!|*Vn&k^4r`s!aIv{o5)xmeJ; zaOVO#V88w4#C7JArv&=Az7U0NR~gNaE>NCA9D0;wb#I)iPZLbh0}Nb~zTY@J9lM76 zFtNkDtqLr;*H_oE*58L-ryygUTn_~O+t>p^4_vALCcOl{t8Qk+RZ?|Y9G9-{V4msh zaK7)=$w;w-=kDfAcCai>7Sv+mPk6G0pz{h5;DN?3L3!5ZaOo-~MN9-obNGg%4rbaq zUufa2a+;_D>(R*y?#0RKb2#z;ztxNx%9#sHr>_F*^G*_a2@mEfjDmg5;CJ|W^y!!Q z+5*!xYsp_VGBo-`gPjny<FOf_`!Pyl?CKQ%J9l_~UC{uYjPp$5Fs<e(r9NxGs&=N4 z7c)B%hIR6$1TB3vYNk``B)J60uXhGcc|$b|X^J}Il$KoeQw$E}9RGAR{DtCHeqHe} zTgZ<3D&MbZTMQaJhMY>N628)3%t)Za(^Km<8yhAy1m$uDLFw(9h5VfVoU>A*jPPcS zsgvR9e+)@E=^-yW_=j~P0R(^@#oT$ut6d$9D<lt-2Is>uXAWR5H|X!xW7c}!d?jt~ z7jGI0pFv-W<=p-0?U%HVDTB`nRzZu|_YwT&p%=YI5wdEmj~;{8h!G0Oi3RL)0SbsS zC$zF6l~@?mH?IuT<R;ngQ0X63`~T8-TU)aOdNJ@@y4J*f=gokeX9pnXe&y!B_g9?+ z6iO?$mhMB~rqv^-$}ZrwER1yvb#;FR+;G+a{a%FylUxFuf=?ZCp_mmiA|{<tU>s(= zwikKoEy<Hl*E`fhF_)AmD`@aKdhgPIG38jh^cF{+koJ@x<G4nAUt>xb;mpH3p)Bln zjZ7}fSa_72$m;>~4hIPOSg{+?e79cl<C1pLxi3pddjcHS(kD)vgW3fB0Vf~FNXNic zR{138>JT2<y-4b9;K3dUhVt!u5)FX##m5PRac~^i8{DvUycU{u3a>8WF2kI;8&(~U ze)$@9=I-@==|JcAL%9mNn({Un(V}Cu4OqVuZDi$st8V~P_oe=B_{gKvr@zP%z~&+c zFH?h~l1gK<GONF~yh{ZdbgvBi6F$vc;yU&I^8X7%BD~$`e(FP|8=|jiKlip3|1&u} zx`J*7-M#8IpvrH6jW>1RUD?xDfrtGrY7oEfA(KP2W{RMFbYUz^sPI_U>R~uYYpva1 zEhp!yuynI>ieV%Re^}lHoZo5flOw%o<NB=@LaP^Q&>o_G6I$0qAqGDrY^rs#f9wlX zbQA{LOuk_{Kwuj_h_}NoU1TQrqu&j>xRcQ(*&Ez3O0)TN`1b0mJ;0@_*EiQ)vl+wJ z);-V)Q3I}+H&zGr1St<I<St|-CP94DY9jQOe))kAWy>`^e-BFy&MSX@qn?)-M}AE9 zhp)X$G<aUGtPFy2(OyX|zi7{~pG$Vs8QkF1#qFT?=8f&{TmrdhzaI2D(&7eh`<6R& z3F=Dh%Dn00z)>Y5DvvQRu|1YsKThoYF%4@f#tY-lc7$j&Vmy9-Ze2pLF83O+$_k2~ zsLMnQdWE?;e^<^4fqqO|r)o*nvP5a)Th|Js1wMD3B1hFQrN#x}+AAdRaK(klswZbE z<73<Wn}_YHV#LS(I^GzKl@Tnc-LB$BJjorW<ao&D$)zB<%_A6L$ksCyJgKo8zO%9& z+qFE#=4vXx#d=K@KAu_is9sJ{-$?xG-7ukPHvntXf7z;UAQGF4;vRA#oXJSRlYyFr zhwUd>KZyywgAU}z0x6EE11-P==s?2@(1GeC0qj(?JcqKhXhDpF5!t?h7Rj1T3>JCq zCKjkH;=}@#)tnfh@P8Vz=w+Swcyu0_uNXbpuzOxd3+Q=g9jN*S8lw%atOgoiRy!iY zN)1GIe`jD$O`TWPtz+l}TV}Lv7S!p4V6QF3W9Tn0#iQY`HpQc%M0>U|kgm$HSAa1P zB1(U#b*Y$A0!yt^#m7?XR`IdaI#x`qN}a04u817(AWc>{CcAQM1|pV)ra~K8VurS| z#0+g_iOFoIK=9PZs@ImBn9&#~tDD3{QT&SQe~y(&Am1TfsEod;pt2*h#8el%xXQ^_ zk9hEO{#aJQ;=&jG#U1lW1_c^tSSnF=>}G9X8rID=RAx78s6pMVuL*LyA*`E?bv_=G z3`wr{aZrkKSuEh9j1><WX3B`8D1e3gGI)Tr&cNbLYYIG)bdZuok|ym8%aX-{c5s9W ze<I%zT&PTOHAmovXX2?LhKXxKWr~}qqqGolHN-G+ZKzCfv58p@DnrE85W~c^p)$pl z=fY9GtKKQd1PEMI`(l9Sg|hP75&}^2mu~7&=w2M9))pss2^6}7UHrkuP`Z_ySSipM zRkZ3`q#<#n69{1g5e0?GL9SZSWefq0e=m{PT!Pz?Cub%H)jQdP0H`ZCKbJV%^lVnX zVwj3q2&*22>|VJNk&7Vj{o_K@wSGL<I9L{7ga`xh0BMwq8JY6a4lkY1V1oxpqg=_z zB%fc<$OaFPM!AiVNj|@skqsUojdIZ_lYD;3FB@Fm7Rd$=5MH@Ol1V<lQj!fGe<ZxT zW0FZ*xp9(>5#%Gv9g<82`IVAPaOGA=HbzhjlzSeT4DxFqnc(H!?OcQ)`2#|xT3L!G zOHpTv2_77iQ4A`iwW!oolz?Q46c%Qa;K&J#pcVuNL)26XVh|j#aNAlCgW#Z26i{Mz zS#VH6nWLZ<1P7I(wh^-h`31CWe{g<6QVSn3$%h53q7)LFdVui3?i<#z*{#t`$pb=X zGkEL^j1Zet%Odf_2SyNs;LusrJ7UU%g&0u)l?~3f8?_h`lYCfMF3KaZsRsxj9P&{Z zDX44nQWs3&Wz7pFaBw<lhNTmL2boRC_!otf0*4F02-19h4?9zt@<w(he{gUbL`|k3 z;qzPD+42O~d~k{_sys2J2}`k{9w2=1oS-NN#S}ij5uObmAbhaNhZ#+nw+3jv6`W#$ z5n}HE=c`dICdKQeqOcSjJV2V@<Xu#hVoD?HdoY26CrL#qDK>)u-2|uCqJ|Vxnvi^{ z7K~z&56hQe5TKjj1XvV~e_{$BmH@LtQcT*x2@qwWf&xNV0?dj|F@+CKfJHqjHiG~= z2+n9IF%_grS-ylRdT5FY8xDt!hC`fNEl|a4YEi6;4IVNW&WcwtX$LRP6;-X+41$E0 zRj!z{gA-s;0E^9l?@lOB6*#XbDqk_Bk)^Gez`?=<xpvt6EF?Pxe<!D~$yr!x3YnS( zC#JBx6qc4`SuLKa1Sh4u;ue>DNB{_#k_G3lu=Ev@z068nJk_X`p6W65(mm}#C<dwu zg`x~P!5H0kFiNmk?}Np9-)BlihiHqMMPWFc11xGWBPR77jxA9=m9okagHHk9=V-Qo zW|>C;eaq?R0-Dvwe<d`he>3Q;kO)^TRKSGlsf57u)kxI&Y9wgB8VQ=OMgh%MV^Oh* zrxtRnvVv0>4$H65x5T3??ZoFHOFf0a^G`SCm5@53R0W?$QSpa+STAQ(AcknID8sWO zH`;UxY+J}dM6GRv$mK?eT-Y7MY@zRvAfX^}BZY#(4HpUue>Y|*DBQrIqA;UJff5vn zGb{>I{BW!w1!|i31ZtX40yQmyE;HvUZD9>(VEhFNThsZKWb|ICT1>0ny&ag0W9$yh zWM`g?_v=)im0S24VVo=Yfo-nf2gpz5xfs$~D|LnA@!x9L`FavZNiT-1vKClY;)?-q ztMyfnCazAQf1!q~&BaMC1&XY#6~LpcuNA~+$Q*45!HOHnlnPl)5tW?DeNjfRRPgu~ zB`~GLjKM};Wl9#Ks&5a$%Q{gU*z5|ByjWBa3a2OYa#Up%DLxB+i)Aa`=XJRFEP%po zh0@jB!6h|b)}i7^WGhG&j>k7c^Y$ZgvpR#Je(w?2e_f0^Rsmw_{Mc{Gh$>AK-pVRW zjK-BkrD*p(2!TJBQbglTI244uZc~tGbV`_su#1_K(u9aiF4A2C`=vw*<Py{`ITv=n z<Oo6iQX;Y2vRl6tg|}F}ufCDS_G|qrvjD%=5&3?dUA2hwG)1{AM(ZpkyZBhxp4)*J zw$TExf6RN`xE)~P@q56;WOsoq3`Mb3Edy4sP7{w~{3VW3%`1deRxd0BMJ*f_ju+M{ zrJ`5}tE|FU2#Qi_EEtX7reY8-uEORr+9A40!;rsO;@N76v7O9@9N=j-biTV~*xJnn zp^|IJ;gW0U!IEp27=%i$A%{z@p@&JHl{#Y>e=(K7Lc6p}tOMFvMGk6b6+NV#0$c0f zP{}pqaLG0FV9B-q4V7F&4wqa*50iYCh^JIrW7(uSo?}Z#x6LS3*y<Tc1hJ4*7Gw)X z<AiX@N&e=-E0x%IGRd*IQj{$ijV~HCg*Ve6NVPk;hHm2VZQR5RG9Xn{=DLDfcA>1` zf5uS0QthOD83u1ucnd@hWtLY`3uDs>WRq7-D++{#va=Ok+mVJ@LuD5-N_DB-(DMxv zsgNCMe2bPS#i(|X0?9_J>a`<{Z_yH^1kWx~;F74XZV707i<V?X-~wBr6@?4LeIMx0 zM$Nb|ZnPn%l#bg4`D?mLvj57CA|g8ne*ua7wQax%_3Mm{#Ms&WCGyv{0pa88+px3a zQyad{?K28r+lH@khe~O<P=6u<U)_MO@P$eRx!?wT15fxGN2rvV3vR$Sa09ghT`>Qz zbpl&yx<Da{LUmzyC|MVXaNT}=$vD%Yj{IqsLAC6{I29%B!qD_Gb78ne)wwV<f2>z3 z#piaxEsD_vB2%@wP?W6nTrgUop@^Dv!Auxu^i<2L)U0DLG|J6!_x(k=IAwu{KXo>% z)-h$|U+^qM6Teui6jV1(X-I$qG`tW6<hN)B@d{EvQ4f!$-Qeps&FXg?E=C!=dK9Cw z8+SR#K;y3l8Hn7@G0@m{KzQ`ye>75mLAuU*I@(U7*<!ODEymNc$X2^99X-v`)pR{u zef&NeEhf=sx+Oq-wVh2jFQWX5@pQA9E$-9Jm(6ziaEw7*i5dMCjRmvx!z3L~=ksW9 z`(*{zt7z}jeDNuIn$2g6r~UEr;cT`#BgENaJ6(^Li^X)jJxliEI^m+<f2AmCCfk>2 zZ!#Ni!FfL0Y@>g@$m-u+zr8}8(CAq$S54my@tvr6=+c?e99hq=qQ3QJOWdo9h#QS7 zkMg{DfvO|^)CK8!ijF!%E!4<Q{&!DfKCj1Vyh{I|zIdV@Jxc1@VMF;gO|VjSNpAvs zv~PmeO4~s}*E3+zkD{J4e-s*rw)HR52J8}s`6{Yd%hPBwCY#!%ceA@?I+~1Du=up$ z5!HoKE3)EVkDKZG%ueVmhWz$Nq8-B3>-?*ezHSdu2^i&%pBqoDW~bG_e@bD_PMRM) z%>gd(9|4f(x!C)Z_<8of^=cD9A&NpA62brxUE7q3kyMzWrhVQ?e-U-wbqB-V&2>uk zeGy}HZM^P@O0ns?_S;|j4e@b}(yZI{<5ba^1{G&uaUGnbhFYvXYKeqGQF$NKiG(`w zL!C;f(+X7Qr}p)A_cFVRT$I(Oa>s86P>8|S0QKv|2JBIf72KsI8?aMZHejdDY`|RA zz3X&vcBEEo{q!A5e_`K^<{Q<F&M^QI_2u4nuREwQ4O>)<OpaFQ1Xyc`H<jC06)qWs z7)Dm9XHOv^oc|_mU$zHM5&-JM;_HFv^)CmdU$V;DSD=%rG<tqVEms<K(Yv@w&%6EG zpQyR9TCQ81!MSL6P?xxS+3noIN2BNNV)S7?B_$|CZVDMpe~k{iKa(!IgY@d=9L9We zcc<WG$NBUAR@hKs`OE_pcuc+q!a4FLo9v)AMQfI)rRLMioA*>HI^Itd4$^!)<O_Z0 z_>KKjRcR`L^lcxFAQp|L!s8c;d&Ao{YEY}1-OL^y=NM>CmyertGkREI#CK0<Mc5a? zRR;R&>2wlpf0xmEx*g3H(WlY;ahh({<7jyoO{RAvP|Aj$b@|8=g#Ggd-AVkgszdl8 zEym_YfTGRLbRgLDR~>E4F_s>Ww*Ev}0EH&X3{ec!pGqpsL`masD$qBKo`tQ678O)n zsh<F7=CVzXd}AgBY?oFE4j385k!V-OkUQHz`XAmRf5TkDOAvnWUqk#4zZ8#=P~s>c z;EoEXP(-g+!ZA+?$KOl|$2%xN%{O|hEsZ`l8ja!c-Do_GvRUB_-H)Ml_Ai=QVxDrB zE-*jcEB+cb8sbcI9Q}4b-4;MAOnM`8nwiE28TjuOXxq&0;7SmG(~rs0{gS*JJw7#3 zQ;y|&f4hmqBOA|r0Gc)qBn*oSMnFzBaf87JKs-hsJ{&eYE{U5<!r+qdxSY7ToG`eY z@VK11xtub%oHDqq(sv677kX63MZnbdKDo~1VT2_C=>fy!>dN9X1z6@N1ZESU;)#vI z6Ir6Di$x@pbb5zCI`BaTY!l1s^25yK89q{Je^wkKTI>_eTxK}fpv~Y{Zy>ftQ5|AK zTOu&wYqnkpwzjjZ%rAPsbkDJfX_Fv-#ulSM*iDu^pf?O*C|}rwFEW5NuG%n^bws|A z*AS{PtIr?OSu#!^Mp&6$r%7Qe%r>eL=iaiLcF7^+S~4V#$&oA~5Rfi$<Le|AjCQn5 ze@)`VA@SX4GaaWNKX0d40WL$ArEN9+2#*&$zJ;(Yhp_b`2uz#9DZ`PCFpBwXJN*{2 z9_}owz;w!r-ZPh14@CU_7D696geH>_xlyu8FMGFLn}qcpAvk;sQI9>MK91H~>PIqM z0^3bg!J%GMGi{~!+g18`ZFq{vJ@Sc7e;Sj>K?YhIlU$3-au72#q{ycTN?#6B*9gW% zTIPpgy=F?^a!cc6B06qp(^W~fkOXQfwj)+hbv|#Y&Zopwihf;OWpD0Wp|Ik0I#b4% zL}60_C`A!7Eh9jh<P7cD+4k$$0w91bLF)#iv+WOt*SFN%g^RBW!C<U`yE!M~e+?;* zo;844zB)9AtwYZxA&#DPXdhcuEjF{FlW1j6FxsnuJ2+p%rt|dxX~1_6<EL~po*N9R zW0B;5hEjk`XG@m>fqXduSLshiT>6udpMGM<Kb<0-JXl$^)O`;n0UgGc<PgM6g{ z4)PG+N4{l{M?k>2-*(yuR{4kme{eN&6oBr&JuGSD(Me!kbIB8+oBUCgJWiYPq%Q#7 z<gr4REmbM8734Y63-W&Hv2Cc+-+r8~AC~ECVyM2-wI!fi_}Daj<mN`Y#yOL8Go9ls zN2LoYY%CZKe#J;D-6RhWwEVYTJ}REAXK)q9Xll7+eb8H&6Z;}B5W8};e<V++tRGhv zh9%U2SZyFEAIp}@+6<Q4r?T(z#wonEPX?2UZV5PDKVhlV$I+O5iJ?B)kuIy;4bTG; zSb!RmXc}(vnJ0Ya36HtC9$0kmc>YJ496qF<#!v8uN-okL8_laIT0l2)Vs+5dr)^3Y z&R&_!?xEJ$B3ALl;9&&Le-g~$OA;V)a=;S~2LkhQXj%tUlDl}u;UWROd|Dnp;u(jJ z1a$DxHJlcM+D>wXSgrU<o`d8I=-FdGdy|+;r#>0_ei`sMKn8+l>p_nGoO;k6*UF$n z?;wL!4<%KO9&~7)3}n*CMu+L5jGDp{$_M_oD{w&^k6aw_fW?vEe}Xt}(wV)Dc);RF za6FEb<J0+cqT04)oLGVx{IxuE6v9+-Xct4%p)_WPOlH)$@p7K7=4u;-<*1L0cIkoO zK!fCImQZjcW13DEF5c?ndj1-8pbU=23zGDV$z6c*SbrXE#~&xldt2MJXMWZ?sDm}F zS52lko{V8JIva`Kf40bAg)+ET#vOJfwj3)<{;!e*RJa_3<d9>z8RICL9066vUFt)F zt6}sSq=VJ!Lwav(WcIzEfdoQd8DN!O`0%*hE*B}Cye4RuEVi@a7j#AsKgujEAL+}5 z$OkbZ7L%C~OvezVm=Yb7EA*)Y4neXwVvp6|Hn>`-8Bk&ae~1Ms&QjPRl{t$P(;=Zb zyT~><T@BK~i+wH|xM4c0O*Z~6^Oz;bd)V6+^AC!?G}LMZE_NPMOGj8Sik)aKhOivD z|7PoL8xs}9(e?Fc*nq3rK|LC_!gfJx?uLe4uU)ud18NsEZ0+oVhHaK`G>0R4-wQd| z%$J{OJ9G18e=#QCFihCF6(P(RmRS|ki6VC|!cm+U;vi`j04<S4*%J}b2fwI+!BJYA zgKnhPdd#rdwTuKgyrD{eKhV;Ec$WwBe+#0Sdgv9M0}aQ~EH78Nww0e}8wvi(G=@T> zt(j`DXp7cfTST^E(koJOt<2!+>K4EJGRRHWqj_qTf4)qU^p|X(A!@dgrDaHKn=F7L zQgVTXdpd!usnRK}p8kqM6S)Q~?b9)oglXkr<jf))T7j*-OsdNAk6T6cn8+tqebr+k zrR<1_tg<5}63dR5$Spf!BE9U0i43z7rrV0Wo_bLARKrf4X#-~!hS_V!W|(o}h*aZf zp_vv6f4}iWP+RzA1kXyyh!5;qC*9e21cyo+k<1nSQBoWJXGapP24ZQG-zID#)tiHf zl^+eO>n#&cjQ1xG+q#t`u@Z{|-u06{n#W6muAF6+VLiQ57kVtyj!c9GPXs|POoFF$ z{eh(SFL7mJR5t?5W*rc%bwQLZ%VdO+<|f9Je_0VkE}523jOb9|tq=)hoH!YaCp^Xy z)DbaEVN()zGPAc=e9vJj0Yg~g^n%veg^uL|4$};h%N1)#IG#M-&OXhyUl{ARu(c$8 z(`VS4g)+O}1uoVU|KLl+a6*G>m^3uqqJ>!eC{ADx3Zx-MToUrAblDOWBRs=iNE}PJ zf49u}EKrVB1Pi1{AJuuJZIo9W!?rFpIJ0QYB-ixUGS>)&u=OZ*-M83vH@cMCtc>s1 zyzP5I$i=%H#H6!Tg5*6;XKV9_LJ4%B0vUd<?OvEKI2F6*B~&`89KNu2Rd4WfdXe<q z+=z~|eabs=7KX+Y<$j7IQQOfLZ=8O@f7z4ex{^YL_}NQ+2TaDt7RD7*Sz$@hTqjnT z9cRoCK#RkeqsatQsZF$*-akwi;sw|8))ab(BQ*EX-g>mSpGJ)*TqKat-3rm(^6rjq zWf5P|H|5%~#9HC4Iq9)-3Hf}q9T_KCbMp3yeRh)hO}}w~A9`DaUJt(M;ANg+fA@|3 z(i0t!5=C(XOwP>Pmab^YU*4A94J^^y2tb5Of6<ZR7i&X*O;3pWXqUa~ZW}M^cw3=B z*0+W(sZi~z)eyIM*zL;d<YZ!{hvyxx8ljwu(c$=m!Sr5APXSSwXo|0P5Hpclw<<kY zOeHc4S#>eXr#s5p>_{!=hw)Sce+iaVU&twzesqxypq=Fwmi=vXtcz4EK>6fOc9y#- ztop0<ZR8j+O8KRizsrTi#LSp=xHN;K=i!!pB6RKuhC$X+NmLu`yu$BFm75Sd>s!Q( zueTHbV$K2diFfZP_PGE_<&AKi<L;uvZ(}jac&pr%Efroq7OIA%ucAKQe|2B3AEGyJ zd(q|S3r0lv8ts@auv~+~w0PTnF20WT<R`{CYh0)iJp(Sff5e?<>$B+PE07w>2lt!N zCtRxWdA9u+UC%$wrk|su{lon@iK3(tAMG{bz2r3d@W&7Fe)F((9KAd5{m?v(-gNPC zNOJt}-)-E3aP;C;6zyfqfA;R?qx;RxaB%)&wVtl#^maZSTCThg678+-qd4085}h6) zvE)~HSTHNcP)f{}ACul;Er6Rfz%+m_4||q)aVzn2QBEo<SjdmwR!J;O)>Iryu^df^ z!!Sk5>J<2n-rz3T93J|)<S!0PMkP*sSkp<EQdSSJDEO@!eD$P8e~ET-;ulrEqoE4g zYWgw6r3od2=CL1KtZ-q%*|@@cKYg{cPHXy?7{R=ABX6Gi85Jk#OO*JqruA}ROD<9s zjN-!@a}^6Id6TZyCLJ?$mz44ya&<??KIzD1A>&DnF=!&{TCedCfvLXlQ!+iSF?-Qr z=%l>Ij%u_~uGAGMe{rj($AmfA#XGI(HgYh$7FqJb*u@(x#3GOOnuUUVRD+zq{8XZ} z@Ro=#Hsx>Gml(~OUe4pp7<Yf>N{<g~+)7!@Rk3QCBZI9tyRBd~Y6O&6SaDQwYPv}~ z?N{QR))+=Le6BRMts1om!y>27k60HPtqY;qF*m-bq?POxe{;bk#=3*oI>@<BN*;$b z(U5vMTaqq$)TNLjYE*SlBb|n+N2(gbqIR8@?K<*1$?M1Ly;%8bSc!M+x5NxDe`8I- z^P2_XW!*&wvP)HB5bNtM1&@x7D|q$%gf1)X^LGI8Q_m-t9BZOtrkMO4w-WEz?QdAB z-Wo3v=6Lf@fAa{vi6N<5KLWj2l~6Gc3DYy65SXqRiAtzNggn+R2zmF=Rcd04-E!w@ zLCu`usRV>Z;yMcDltsmDQd2zME_FgHxZ)-{74=AH!JUK!Ds_auVgSRI$%Hynu#err z#zCVP<P-+s8CI4}$ZvvE1VGID644!RE_XArk5%y{f3{~pSIA$kJ*oJj`6mn&YFql6 z21PH_$xpqYkthbfq(IS%I)`*^$f(VMZoWjv?hqujVO#o8PNAvv(9K_>q$X0>=&3}6 zP#*KZw#a;mTPpPf?QzsVq`Cg@h(@Knj}tT;kVoR9oLp!0jin_mn#N!i1Gj>t42y8c zzK|)Ve}NHL?f6+?V^d*Tj2GkR7=8+NG+rz|DnyJ1DrwExyy~DzzEn{*l!7cZbhpon zjAj&7cJl*-#K=$U4I29iA$R($7m^k}%Ci|TgOezUnz)q`feWFtiGHaNA&)O(PKvjX zV|3y%$w^ZS#zzw~;tE-5kogOtWk1nk3aMbXf6odVF<MrqVXD55q)lWW2{ZuC2?j9A z2SO_SOZ})Yk!_JL=qfFd+M$Jh*`lD&3KMg$HI9zZ6AAQG0W};*=pdgl(3sb0q2&uQ zMKfqR0|kVAB&UZ}sjM!O4gH-G8BHk`2*t9P6U!Qg$dKiML)<?m?{9+sC?LV`MI+@$ ze?^_uk%#(XdK?|%e=)77&^(=t1tT#1quH|P!zv`LW0ql3`T{LyT0(l!t+Zf;)g9Hc zPvO$kYx;*2k4C)o%<@rby0y>78@UkWRDX4lP~1^RAqQFq^9nC9olsV*)b_|!m}SH$ z3zM{HhK6`PDm<(@*9=46Mn;B_kYNY~e^$sCR+(ienm{>qV&yE}5fTR~=}iLiIRxZ} z5m&3`lo?k-$@>WKNy`#og*si4kA_yD!uX&uB_L^u%wmJ(R3N{&ijo5c7>(m<2fGG- z`hapIPn3hmF@CNqy0<D)>q2=`A9bO~QZ(!%jp-j*urEK7Q;W#1Ib7M=7PYpSe^gsr zFQ>eijpRgIEe>H=8HU~Y+s{hOp&1uiXMCWoh#FO)D*h~%4%PEvkVOs(5FTjRw+Uyf z^noE+;ko&8jMEF8CQv;IPD&v!JcQ*@hE7f_My~JVs1ZZ#<v=kzeOlua*_b?^pj>-P zJpltave=GY*UE{*T+`(7_-SJIe<?`Q-c(M@RdjhW1r;&orp_co5g!#kV&g~ymt!N^ z46^ur)c~~nEx^?=4>1t+HB={7y)TJtKEYP_QnOZ%S;VIM8JtYD{EDp!)w*c03d#)q zsHnYSBV^Fo!F0tu;+Q<Kc~Wf`bu-=gU37CcwW7s!OTS&?q?oh8ct|q=e^=L4WTjaF z<^y!Hv78d9=_1P-`3LPrk_F<UiD`628N}bs-f9EIOSZtHX*RhLkw^TaVFBeg`gh!* zfJlE(LQn8*e2hQDKN|R&oFc(~e?+@F$*CpKkZc~|@R%%*XY?YFlg`Az5uqcZ#bjuv z`eB`sff?mk2Q%+#p)1u=f77s@S?Ln1(zRqOZrBHQo@`~<Nc0bA70pvJFh<H%W=3o% z#`^i46wW4BEHgXSB3|tj)_D}QU>nf%33@vr3zUIPd{{^4P%@=<hmyr6hw64({;jC7 zBA`gS#*z5k-(d?h+G=7Ou0jY>QND3VrHsbZqrKF(G%BOvqJJU_fATb`bdj$dza^2a z%S|9eqc;a3IbX(Zn;KHZ#uBV#$IptY$V!!U3$bV^E^Je@k@cEf6RJ?4P8Q`9ir^|o z!LGru)me%+`=JgR(O^_YQHtE5`dg05DLz?;^c6D_>p9{TqTF~uJ&q~Z*}PdaNVQsu zlaNFPW^4PYW<l9}e>F^1z{~NGdf6VZ<p_y81J<I8yvI6A>lT0T2RrMak~Bq~KtnEC zlBL;o+`uSW{6R|q$OIVC;T!scI;#9gPAz$>82)A_M|7(u3Q}*?-+7qKOhJ59^jhh4 zbz|J=V-+k~BE_i!C&Ca%0r6I)5T1ND!Dd877U~>r`M<2Ue~_<7Sq3TU(RX=#-WfQE zfw9XYmh{0i8)k^XEY7}57owg5$NBWr6eH`q;^YZ+BVfp~X^<AvKVnRiqZrc&ih}qh zMh9ZuQ|x$cmn)1R_C72zM1o<wc=`Q{KV=b`0q#Oru2FmDalOXb{Gw<o9V?Vy$=Wis zD}+OE-!12pP|oAJhuufQr=xfDd@|k4?iZl>D(a$?DvDWUhvL`E<u-fzx4*x5@#582 z{|6RVD54!*;Gq7uAorpwY4q|XMEyTdO928D0~7!N00;oEk(c@#0W}D)k*i8`oCNKc VHyi;s2(Xc>N*Rcvr<Zmd0djPo%fbKv diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/hdl/system_design.vhd b/FASEC_prototype.srcs/sources_1/bd/system_design/hdl/system_design.vhd index 52093ce9..5084cb30 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/hdl/system_design.vhd +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/hdl/system_design.vhd @@ -1,7 +1,7 @@ --Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. ---------------------------------------------------------------------------------- --Tool Version: Vivado v.2016.2 (lin64) Build 1577090 Thu Jun 2 16:32:35 MDT 2016 ---Date : Thu May 11 18:13:27 2017 +--Date : Thu May 11 20:34:01 2017 --Host : lapte24154 running 64-bit openSUSE Leap 42.1 (x86_64) --Command : generate_target system_design.bd --Design : system_design diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/hdl/system_design_wrapper.vhd b/FASEC_prototype.srcs/sources_1/bd/system_design/hdl/system_design_wrapper.vhd index 349450e7..6fa14fec 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/hdl/system_design_wrapper.vhd +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/hdl/system_design_wrapper.vhd @@ -1,7 +1,7 @@ --Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. ---------------------------------------------------------------------------------- --Tool Version: Vivado v.2016.2 (lin64) Build 1577090 Thu Jun 2 16:32:35 MDT 2016 ---Date : Thu May 11 18:13:27 2017 +--Date : Thu May 11 20:34:01 2017 --Host : lapte24154 running 64-bit openSUSE Leap 42.1 (x86_64) --Command : generate_target system_design_wrapper.bd --Design : system_design_wrapper diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/hw_handoff/system_design.hwh b/FASEC_prototype.srcs/sources_1/bd/system_design/hw_handoff/system_design.hwh index edbadd28..d878ca22 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/hw_handoff/system_design.hwh +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/hw_handoff/system_design.hwh @@ -1,5 +1,5 @@ <?xml version="1.0" encoding="UTF-8" standalone="no" ?> -<EDKSYSTEM EDWVERSION="1.2" TIMESTAMP="Thu May 11 18:13:28 2017" VIVADOVERSION="2016.2"> +<EDKSYSTEM EDWVERSION="1.2" TIMESTAMP="Thu May 11 20:34:02 2017" VIVADOVERSION="2016.2"> <SYSTEMINFO ARCH="zynq" DEVICE="7z030" NAME="system_design" PACKAGE="ffg676" SPEEDGRADE="-2"/> @@ -3685,7 +3685,7 @@ </BUSINTERFACE> </BUSINTERFACES> </MODULE> - <MODULE FULLNAME="/fasec_hwtest_0" HWVERSION="3.2.2" INSTANCE="fasec_hwtest_0" IPTYPE="PERIPHERAL" IS_ENABLE="1" MODCLASS="PERIPHERAL" MODTYPE="fasec_hwtest" VLNV="user.org:user:fasec_hwtest:3.2.2"> + <MODULE FULLNAME="/fasec_hwtest_0" HWVERSION="3.2.3" INSTANCE="fasec_hwtest_0" IPTYPE="PERIPHERAL" IS_ENABLE="1" MODCLASS="PERIPHERAL" MODTYPE="fasec_hwtest" VLNV="user.org:user:fasec_hwtest:3.2.3"> <DOCUMENTS/> <ADDRESSBLOCKS> <ADDRESSBLOCK ACCESS="" INTERFACE="S00_AXI" NAME="S00_AXI_reg" RANGE="4096" USAGE=""/> diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/hw_handoff/system_design_bd.tcl b/FASEC_prototype.srcs/sources_1/bd/system_design/hw_handoff/system_design_bd.tcl index 1cc7fcd9..1ca0374a 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/hw_handoff/system_design_bd.tcl +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/hw_handoff/system_design_bd.tcl @@ -247,7 +247,7 @@ CONFIG.C_S_AXI_ACLK_FREQ_HZ.VALUE_SRC {DEFAULT} \ set axi_wb_i2c_master_2 [ create_bd_cell -type ip -vlnv cern.ch:ip:axi_wb_i2c_master:3.1.1 axi_wb_i2c_master_2 ] # Create instance: fasec_hwtest_0, and set properties - set fasec_hwtest_0 [ create_bd_cell -type ip -vlnv user.org:user:fasec_hwtest:3.2.2 fasec_hwtest_0 ] + set fasec_hwtest_0 [ create_bd_cell -type ip -vlnv user.org:user:fasec_hwtest:3.2.3 fasec_hwtest_0 ] set_property -dict [ list \ CONFIG.g_FMC1 {EDA-03287} \ CONFIG.g_FMC2 {EDA-03287} \ diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_0/system_design_auto_pc_0.xml b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_0/system_design_auto_pc_0.xml index 56aca14d..22126115 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_0/system_design_auto_pc_0.xml +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_0/system_design_auto_pc_0.xml @@ -1055,7 +1055,7 @@ <spirit:parameters> <spirit:parameter> <spirit:name>GENtimestamp</spirit:name> - <spirit:value>Thu May 11 16:13:28 UTC 2017</spirit:value> + <spirit:value>Thu May 11 18:34:02 UTC 2017</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>boundaryCRC</spirit:name> @@ -1085,7 +1085,7 @@ <spirit:parameters> <spirit:parameter> <spirit:name>GENtimestamp</spirit:name> - <spirit:value>Thu May 11 16:13:28 UTC 2017</spirit:value> + <spirit:value>Thu May 11 18:34:02 UTC 2017</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>boundaryCRC</spirit:name> @@ -1116,7 +1116,7 @@ <spirit:parameters> <spirit:parameter> <spirit:name>GENtimestamp</spirit:name> - <spirit:value>Thu May 11 16:13:28 UTC 2017</spirit:value> + <spirit:value>Thu May 11 18:34:02 UTC 2017</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>boundaryCRC</spirit:name> @@ -1162,7 +1162,7 @@ <spirit:parameters> <spirit:parameter> <spirit:name>GENtimestamp</spirit:name> - <spirit:value>Thu May 11 16:13:28 UTC 2017</spirit:value> + <spirit:value>Thu May 11 18:34:02 UTC 2017</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>boundaryCRC</spirit:name> @@ -1193,7 +1193,7 @@ <spirit:parameters> <spirit:parameter> <spirit:name>GENtimestamp</spirit:name> - <spirit:value>Thu May 11 16:13:28 UTC 2017</spirit:value> + <spirit:value>Thu May 11 18:34:02 UTC 2017</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>boundaryCRC</spirit:name> diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_1/system_design_auto_pc_1.xml b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_1/system_design_auto_pc_1.xml index e826c8bc..3914de86 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_1/system_design_auto_pc_1.xml +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_1/system_design_auto_pc_1.xml @@ -1055,7 +1055,7 @@ <spirit:parameters> <spirit:parameter> <spirit:name>GENtimestamp</spirit:name> - <spirit:value>Thu May 11 16:13:28 UTC 2017</spirit:value> + <spirit:value>Thu May 11 18:34:02 UTC 2017</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>boundaryCRC</spirit:name> @@ -1085,7 +1085,7 @@ <spirit:parameters> <spirit:parameter> <spirit:name>GENtimestamp</spirit:name> - <spirit:value>Thu May 11 16:13:28 UTC 2017</spirit:value> + <spirit:value>Thu May 11 18:34:02 UTC 2017</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>boundaryCRC</spirit:name> @@ -1116,7 +1116,7 @@ <spirit:parameters> <spirit:parameter> <spirit:name>GENtimestamp</spirit:name> - <spirit:value>Thu May 11 16:13:28 UTC 2017</spirit:value> + <spirit:value>Thu May 11 18:34:02 UTC 2017</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>boundaryCRC</spirit:name> @@ -1162,7 +1162,7 @@ <spirit:parameters> <spirit:parameter> <spirit:name>GENtimestamp</spirit:name> - <spirit:value>Thu May 11 16:13:28 UTC 2017</spirit:value> + <spirit:value>Thu May 11 18:34:02 UTC 2017</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>boundaryCRC</spirit:name> @@ -1193,7 +1193,7 @@ <spirit:parameters> <spirit:parameter> <spirit:name>GENtimestamp</spirit:name> - <spirit:value>Thu May 11 16:13:28 UTC 2017</spirit:value> + <spirit:value>Thu May 11 18:34:02 UTC 2017</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>boundaryCRC</spirit:name> diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_2/system_design_auto_pc_2.xml b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_2/system_design_auto_pc_2.xml index 2df0ecee..c2a6c05b 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_2/system_design_auto_pc_2.xml +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_2/system_design_auto_pc_2.xml @@ -1055,7 +1055,7 @@ <spirit:parameters> <spirit:parameter> <spirit:name>GENtimestamp</spirit:name> - <spirit:value>Thu May 11 16:13:28 UTC 2017</spirit:value> + <spirit:value>Thu May 11 18:34:02 UTC 2017</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>boundaryCRC</spirit:name> @@ -1085,7 +1085,7 @@ <spirit:parameters> <spirit:parameter> <spirit:name>GENtimestamp</spirit:name> - <spirit:value>Thu May 11 16:13:28 UTC 2017</spirit:value> + <spirit:value>Thu May 11 18:34:02 UTC 2017</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>boundaryCRC</spirit:name> @@ -1116,7 +1116,7 @@ <spirit:parameters> <spirit:parameter> <spirit:name>GENtimestamp</spirit:name> - <spirit:value>Thu May 11 16:13:28 UTC 2017</spirit:value> + <spirit:value>Thu May 11 18:34:02 UTC 2017</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>boundaryCRC</spirit:name> @@ -1162,7 +1162,7 @@ <spirit:parameters> <spirit:parameter> <spirit:name>GENtimestamp</spirit:name> - <spirit:value>Thu May 11 16:13:28 UTC 2017</spirit:value> + <spirit:value>Thu May 11 18:34:02 UTC 2017</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>boundaryCRC</spirit:name> @@ -1193,7 +1193,7 @@ <spirit:parameters> <spirit:parameter> <spirit:name>GENtimestamp</spirit:name> - <spirit:value>Thu May 11 16:13:28 UTC 2017</spirit:value> + <spirit:value>Thu May 11 18:34:02 UTC 2017</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>boundaryCRC</spirit:name> diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_fasec_hwtest_0_0/sim/system_design_fasec_hwtest_0_0.vhd b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_fasec_hwtest_0_0/sim/system_design_fasec_hwtest_0_0.vhd index a75d516f..51861cf1 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_fasec_hwtest_0_0/sim/system_design_fasec_hwtest_0_0.vhd +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_fasec_hwtest_0_0/sim/system_design_fasec_hwtest_0_0.vhd @@ -46,8 +46,8 @@ -- -- DO NOT MODIFY THIS FILE. --- IP VLNV: user.org:user:fasec_hwtest:3.2.2 --- IP Revision: 30 +-- IP VLNV: user.org:user:fasec_hwtest:3.2.3 +-- IP Revision: 31 LIBRARY ieee; USE ieee.std_logic_1164.ALL; diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_fasec_hwtest_0_0/synth/system_design_fasec_hwtest_0_0.vhd b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_fasec_hwtest_0_0/synth/system_design_fasec_hwtest_0_0.vhd index 4a324b21..03501467 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_fasec_hwtest_0_0/synth/system_design_fasec_hwtest_0_0.vhd +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_fasec_hwtest_0_0/synth/system_design_fasec_hwtest_0_0.vhd @@ -46,8 +46,8 @@ -- -- DO NOT MODIFY THIS FILE. --- IP VLNV: user.org:user:fasec_hwtest:3.2.2 --- IP Revision: 30 +-- IP VLNV: user.org:user:fasec_hwtest:3.2.3 +-- IP Revision: 31 LIBRARY ieee; USE ieee.std_logic_1164.ALL; diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_fasec_hwtest_0_0/system_design_fasec_hwtest_0_0.xci b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_fasec_hwtest_0_0/system_design_fasec_hwtest_0_0.xci index e73f8c71..d1cca642 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_fasec_hwtest_0_0/system_design_fasec_hwtest_0_0.xci +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_fasec_hwtest_0_0/system_design_fasec_hwtest_0_0.xci @@ -7,7 +7,7 @@ <spirit:componentInstances> <spirit:componentInstance> <spirit:instanceName>system_design_fasec_hwtest_0_0</spirit:instanceName> - <spirit:componentRef spirit:vendor="user.org" spirit:library="user" spirit:name="fasec_hwtest" spirit:version="3.2.2"/> + <spirit:componentRef spirit:vendor="user.org" spirit:library="user" spirit:name="fasec_hwtest" spirit:version="3.2.3"/> <spirit:configurableElementValues> <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.ADDR_WIDTH">32</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.ARUSER_WIDTH">0</spirit:configurableElementValue> @@ -61,7 +61,7 @@ <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.USE_RDI_CUSTOMIZATION">TRUE</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.USE_RDI_GENERATION">TRUE</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCONTEXT">IP_Integrator</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPREVISION">30</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPREVISION">31</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.MANAGED">TRUE</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.OUTPUTDIR">.</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SELECTEDSIMMODEL"/> diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_fasec_hwtest_0_0/system_design_fasec_hwtest_0_0.xml b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_fasec_hwtest_0_0/system_design_fasec_hwtest_0_0.xml index 57b184b1..de075111 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_fasec_hwtest_0_0/system_design_fasec_hwtest_0_0.xml +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_fasec_hwtest_0_0/system_design_fasec_hwtest_0_0.xml @@ -358,7 +358,7 @@ <spirit:parameters> <spirit:parameter> <spirit:name>GENtimestamp</spirit:name> - <spirit:value>Thu May 11 16:13:28 UTC 2017</spirit:value> + <spirit:value>Thu May 11 18:34:02 UTC 2017</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>boundaryCRC</spirit:name> @@ -370,7 +370,7 @@ </spirit:parameter> <spirit:parameter> <spirit:name>customizationCRC</spirit:name> - <spirit:value>c4f78351</spirit:value> + <spirit:value>d0852994</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>customizationCRCversion</spirit:name> @@ -389,7 +389,7 @@ <spirit:parameters> <spirit:parameter> <spirit:name>GENtimestamp</spirit:name> - <spirit:value>Thu May 11 16:13:28 UTC 2017</spirit:value> + <spirit:value>Thu May 11 18:34:02 UTC 2017</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>boundaryCRC</spirit:name> @@ -401,7 +401,7 @@ </spirit:parameter> <spirit:parameter> <spirit:name>customizationCRC</spirit:name> - <spirit:value>c4f78351</spirit:value> + <spirit:value>d0852994</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>customizationCRCversion</spirit:name> @@ -419,7 +419,7 @@ <spirit:parameters> <spirit:parameter> <spirit:name>GENtimestamp</spirit:name> - <spirit:value>Thu May 11 16:13:28 UTC 2017</spirit:value> + <spirit:value>Thu May 11 18:34:02 UTC 2017</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>boundaryCRC</spirit:name> @@ -431,7 +431,7 @@ </spirit:parameter> <spirit:parameter> <spirit:name>customizationCRC</spirit:name> - <spirit:value>eeedc7a2</spirit:value> + <spirit:value>55becaa6</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>customizationCRCversion</spirit:name> @@ -450,7 +450,7 @@ <spirit:parameters> <spirit:parameter> <spirit:name>GENtimestamp</spirit:name> - <spirit:value>Thu May 11 16:13:28 UTC 2017</spirit:value> + <spirit:value>Thu May 11 18:34:02 UTC 2017</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>boundaryCRC</spirit:name> @@ -462,7 +462,7 @@ </spirit:parameter> <spirit:parameter> <spirit:name>customizationCRC</spirit:name> - <spirit:value>eeedc7a2</spirit:value> + <spirit:value>55becaa6</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>customizationCRCversion</spirit:name> @@ -1377,50 +1377,50 @@ <spirit:userFileType>USED_IN_synthesis</spirit:userFileType> </spirit:file> <spirit:file> - <spirit:name>../../ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/general/spi_transceiver.vhd</spirit:name> + <spirit:name>../../ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/general/spi_transceiver.vhd</spirit:name> <spirit:fileType>vhdlSource</spirit:fileType> <spirit:logicalName>hdl_lib</spirit:logicalName> </spirit:file> <spirit:file> - <spirit:name>../../ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/general/doubleBufferEdge.vhd</spirit:name> + <spirit:name>../../ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/general/doubleBufferEdge.vhd</spirit:name> <spirit:fileType>vhdlSource</spirit:fileType> <spirit:logicalName>hdl_lib</spirit:logicalName> </spirit:file> <spirit:file> - <spirit:name>../../ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/general/counterUpDown.vhd</spirit:name> + <spirit:name>../../ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/general/counterUpDown.vhd</spirit:name> <spirit:fileType>vhdlSource</spirit:fileType> <spirit:logicalName>hdl_lib</spirit:logicalName> </spirit:file> <spirit:file> - <spirit:name>../../ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/main_pkg.vhd</spirit:name> + <spirit:name>../../ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/main_pkg.vhd</spirit:name> <spirit:fileType>vhdlSource</spirit:fileType> <spirit:logicalName>hdl_lib</spirit:logicalName> </spirit:file> <spirit:file> - <spirit:name>../../ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/general/pulseMeasure.vhd</spirit:name> + <spirit:name>../../ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/general/pulseMeasure.vhd</spirit:name> <spirit:fileType>vhdlSource</spirit:fileType> <spirit:logicalName>hdl_lib</spirit:logicalName> </spirit:file> <spirit:file> - <spirit:name>../../ipshared/user.org/fasec_hwtest_v3_2_2/FASEC_hwtest.srcs/sources_1/new/dac7716_spi.vhd</spirit:name> + <spirit:name>../../ipshared/user.org/fasec_hwtest_v3_2_3/FASEC_hwtest.srcs/sources_1/new/dac7716_spi.vhd</spirit:name> <spirit:fileType>vhdlSource</spirit:fileType> </spirit:file> <spirit:file> - <spirit:name>../../ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/axi4/axi4lite_slave.vhd</spirit:name> + <spirit:name>../../ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/axi4/axi4lite_slave.vhd</spirit:name> <spirit:fileType>vhdlSource</spirit:fileType> <spirit:logicalName>hdl_lib</spirit:logicalName> </spirit:file> <spirit:file> - <spirit:name>../../ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/general/clockDivider.vhd</spirit:name> + <spirit:name>../../ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/general/clockDivider.vhd</spirit:name> <spirit:fileType>vhdlSource</spirit:fileType> <spirit:logicalName>hdl_lib</spirit:logicalName> </spirit:file> <spirit:file> - <spirit:name>../../ipshared/user.org/fasec_hwtest_v3_2_2/FASEC_hwtest.srcs/sources_1/new/general_fmc.vhd</spirit:name> + <spirit:name>../../ipshared/user.org/fasec_hwtest_v3_2_3/FASEC_hwtest.srcs/sources_1/new/general_fmc.vhd</spirit:name> <spirit:fileType>vhdlSource</spirit:fileType> </spirit:file> <spirit:file> - <spirit:name>../../ipshared/user.org/fasec_hwtest_v3_2_2/FASEC_hwtest.srcs/sources_1/new/top_mod.vhd</spirit:name> + <spirit:name>../../ipshared/user.org/fasec_hwtest_v3_2_3/FASEC_hwtest.srcs/sources_1/new/top_mod.vhd</spirit:name> <spirit:fileType>vhdlSource</spirit:fileType> </spirit:file> </spirit:fileSet> @@ -1435,50 +1435,50 @@ <spirit:fileSet> <spirit:name>xilinx_anylanguagebehavioralsimulation_view_fileset</spirit:name> <spirit:file> - <spirit:name>../../ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/general/spi_transceiver.vhd</spirit:name> + <spirit:name>../../ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/general/spi_transceiver.vhd</spirit:name> <spirit:fileType>vhdlSource</spirit:fileType> <spirit:logicalName>hdl_lib</spirit:logicalName> </spirit:file> <spirit:file> - <spirit:name>../../ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/general/doubleBufferEdge.vhd</spirit:name> + <spirit:name>../../ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/general/doubleBufferEdge.vhd</spirit:name> <spirit:fileType>vhdlSource</spirit:fileType> <spirit:logicalName>hdl_lib</spirit:logicalName> </spirit:file> <spirit:file> - <spirit:name>../../ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/general/counterUpDown.vhd</spirit:name> + <spirit:name>../../ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/general/counterUpDown.vhd</spirit:name> <spirit:fileType>vhdlSource</spirit:fileType> <spirit:logicalName>hdl_lib</spirit:logicalName> </spirit:file> <spirit:file> - <spirit:name>../../ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/main_pkg.vhd</spirit:name> + <spirit:name>../../ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/main_pkg.vhd</spirit:name> <spirit:fileType>vhdlSource</spirit:fileType> <spirit:logicalName>hdl_lib</spirit:logicalName> </spirit:file> <spirit:file> - <spirit:name>../../ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/general/pulseMeasure.vhd</spirit:name> + <spirit:name>../../ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/general/pulseMeasure.vhd</spirit:name> <spirit:fileType>vhdlSource</spirit:fileType> <spirit:logicalName>hdl_lib</spirit:logicalName> </spirit:file> <spirit:file> - <spirit:name>../../ipshared/user.org/fasec_hwtest_v3_2_2/FASEC_hwtest.srcs/sources_1/new/dac7716_spi.vhd</spirit:name> + <spirit:name>../../ipshared/user.org/fasec_hwtest_v3_2_3/FASEC_hwtest.srcs/sources_1/new/dac7716_spi.vhd</spirit:name> <spirit:fileType>vhdlSource</spirit:fileType> </spirit:file> <spirit:file> - <spirit:name>../../ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/axi4/axi4lite_slave.vhd</spirit:name> + <spirit:name>../../ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/axi4/axi4lite_slave.vhd</spirit:name> <spirit:fileType>vhdlSource</spirit:fileType> <spirit:logicalName>hdl_lib</spirit:logicalName> </spirit:file> <spirit:file> - <spirit:name>../../ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/general/clockDivider.vhd</spirit:name> + <spirit:name>../../ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/general/clockDivider.vhd</spirit:name> <spirit:fileType>vhdlSource</spirit:fileType> <spirit:logicalName>hdl_lib</spirit:logicalName> </spirit:file> <spirit:file> - <spirit:name>../../ipshared/user.org/fasec_hwtest_v3_2_2/FASEC_hwtest.srcs/sources_1/new/general_fmc.vhd</spirit:name> + <spirit:name>../../ipshared/user.org/fasec_hwtest_v3_2_3/FASEC_hwtest.srcs/sources_1/new/general_fmc.vhd</spirit:name> <spirit:fileType>vhdlSource</spirit:fileType> </spirit:file> <spirit:file> - <spirit:name>../../ipshared/user.org/fasec_hwtest_v3_2_2/FASEC_hwtest.srcs/sources_1/new/top_mod.vhd</spirit:name> + <spirit:name>../../ipshared/user.org/fasec_hwtest_v3_2_3/FASEC_hwtest.srcs/sources_1/new/top_mod.vhd</spirit:name> <spirit:fileType>vhdlSource</spirit:fileType> </spirit:file> </spirit:fileSet> @@ -1526,7 +1526,7 @@ <spirit:vendorExtensions> <xilinx:coreExtensions> <xilinx:displayName>fasec_hwtest</xilinx:displayName> - <xilinx:coreRevision>30</xilinx:coreRevision> + <xilinx:coreRevision>31</xilinx:coreRevision> <xilinx:tags> <xilinx:tag xilinx:name="user.org:user:fasec_hwtest:1.0_ARCHIVE_LOCATION">/home/pieter/Development/projects/FIDS/cores/FASEC_hwtest</xilinx:tag> <xilinx:tag xilinx:name="user.org:user:fasec_hwtest:2.0_ARCHIVE_LOCATION">/home/pieter/Development/projects/FIDS/cores/FASEC_hwtest</xilinx:tag> @@ -1555,6 +1555,7 @@ <xilinx:tag xilinx:name="user.org:user:fasec_hwtest:3.2.0_ARCHIVE_LOCATION">/home/pieter/Development/projects/FIDS/cores/FASEC_hwtest</xilinx:tag> <xilinx:tag xilinx:name="user.org:user:fasec_hwtest:3.2.1_ARCHIVE_LOCATION">/home/pieter/Development/projects/FIDS/cores/FASEC_hwtest</xilinx:tag> <xilinx:tag xilinx:name="user.org:user:fasec_hwtest:3.2.2_ARCHIVE_LOCATION">/home/pieter/Development/projects/FIDS/cores/FASEC_hwtest</xilinx:tag> + <xilinx:tag xilinx:name="user.org:user:fasec_hwtest:3.2.3_ARCHIVE_LOCATION">/home/pieter/Development/projects/FIDS/cores/FASEC_hwtest</xilinx:tag> </xilinx:tags> <xilinx:configElementInfos> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.ADDR_WIDTH" xilinx:valueSource="user"/> @@ -1592,7 +1593,7 @@ <xilinx:xilinxVersion>2016.2</xilinx:xilinxVersion> <xilinx:checksum xilinx:scope="busInterfaces" xilinx:value="92ed6682"/> <xilinx:checksum xilinx:scope="memoryMaps" xilinx:value="6bf44be4"/> - <xilinx:checksum xilinx:scope="fileGroups" xilinx:value="1acb1412"/> + <xilinx:checksum xilinx:scope="fileGroups" xilinx:value="790eca27"/> <xilinx:checksum xilinx:scope="ports" xilinx:value="fe85f838"/> <xilinx:checksum xilinx:scope="hdlParameters" xilinx:value="455aa684"/> <xilinx:checksum xilinx:scope="parameters" xilinx:value="f383f867"/> diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/FASEC_hwtest.srcs/sources_1/new/dac7716_spi.vhd b/FASEC_prototype.srcs/sources_1/bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/FASEC_hwtest.srcs/sources_1/new/dac7716_spi.vhd similarity index 100% rename from FASEC_prototype.srcs/sources_1/bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/FASEC_hwtest.srcs/sources_1/new/dac7716_spi.vhd rename to FASEC_prototype.srcs/sources_1/bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/FASEC_hwtest.srcs/sources_1/new/dac7716_spi.vhd diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/FASEC_hwtest.srcs/sources_1/new/general_fmc.vhd b/FASEC_prototype.srcs/sources_1/bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/FASEC_hwtest.srcs/sources_1/new/general_fmc.vhd similarity index 93% rename from FASEC_prototype.srcs/sources_1/bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/FASEC_hwtest.srcs/sources_1/new/general_fmc.vhd rename to FASEC_prototype.srcs/sources_1/bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/FASEC_hwtest.srcs/sources_1/new/general_fmc.vhd index 66be4d87..e9fb0db8 100755 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/FASEC_hwtest.srcs/sources_1/new/general_fmc.vhd +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/FASEC_hwtest.srcs/sources_1/new/general_fmc.vhd @@ -94,15 +94,22 @@ architecture rtl of general_fmc is constant c_LEDCOUNTERWIDTH : positive := 32; -- memory mapping EDA-03287: constant c_ADDR_COMPIN : positive := 16#00#; + constant c_ADDR_OUTFB : positive := 16#01#; + constant c_ADDR_OUTREQ : positive := 16#02#; + constant c_ADDR_FMCCNR : positive := 16#03#; + constant c_BIT_USEIN0 : positive := 7; constant c_ADDR_COMPEXIN : positive := 16#04#; - constant c_ADDR_OUTEXIN : positive := 16#05#; + constant c_ADDR_OUTEX : positive := 16#05#; + constant c_ADDR_OUT : positive := 16#06#; -- 0x00 : General Purpose -- 0x00 ro : bit19-0 comparator input status -- 0x01 ro : bit3-0 output feedback status -- 0x02 rw : bit7-0 output request - -- 0x03 rw : DAC control (see dac7716_spi.vhd) + -- 0x03 rw : FMC & DAC control (see also dac7716_spi.vhd), bit7: use ch0 for + -- all outs -- 0x04 ro : bit19-0 extended input status for LEDs -- 0x05 ro : bit7-0 extended output status for LEDs + -- 0x06 ro : bit7-0 output status -- 0x08 rw : 20x channel write request -- 0x1C ro : 20x channel read values -- 0x30 ro : 20x pulse length counter (assserted pulse) @@ -248,7 +255,7 @@ begin spi_sdi_o => s_spi_mosi, spi_sdo_i => s_spi_miso, spi_cs_n_o => s_spi_cs_n, - dac_cntr_i => data_rw_i(3), + dac_cntr_i => data_rw_i(c_ADDR_FMCCNR), dac_cntr_o => open, dac_ch_i => data_rw_i(c_GPMEM to c_GPMEM+(c_NODAC*c_NOCHANNELS)-1), dac_ch_o => data_o(c_GPMEM+(c_NODAC*c_NOCHANNELS) to c_GPMEM+2*(c_NODAC*c_NOCHANNELS)-1)); @@ -298,11 +305,16 @@ begin begin if g_FMC = "EDA-03287" and rising_edge(clk_i) then -- in/outputs - data_o(1) <= resize(unsigned(v_fbd(c_OUTFBD-1 downto 0)), data_o(1)'length); + data_o(c_ADDR_OUTFB) <= resize(unsigned(v_fbd(c_OUTFBD-1 downto 0)), data_o(1)'length); + data_o(c_ADDR_OUT) <= resize(unsigned(s_diffouts_o(c_DOUTS-1 downto 0)), data_o(0)'length); s_diffouts_o(c_DOUTS-1 downto c_DOUTSGP) <= v_dout(c_DOUTS-1 downto c_DOUTSGP); -- using the variables to clock-in/out data - v_dout(c_DOUTS-1 downto 0) := std_logic_vector(data_rw_i(2)(c_DOUTS-1 downto 0)); - v_fbd := FMC_LA_P_b(31) & FMC_LA_N_b(31) & FMC_LA_P_b(32) & FMC_LA_N_b(32); + if (data_rw_i(c_ADDR_FMCCNR)(c_BIT_USEIN0) = '1') then + v_dout(c_DOUTS-1 downto 0) := std_logic_vector(data_rw_i(c_ADDR_OUTREQ)(c_DOUTS-1 downto 0)); + else + v_dout(c_DOUTS-1 downto 0) := (others => s_cmp_pulse(0)); + end if; + v_fbd := FMC_LA_P_b(31) & FMC_LA_N_b(31) & FMC_LA_P_b(32) & FMC_LA_N_b(32); -- interrupts generation by comparing with previous value if (v_cmp /= s_cmp_pulse) then intr_o <= '1'; @@ -315,16 +327,17 @@ begin intr_led_o <= '0'; end if; -- clocking in data for above interrupt generation - -- leds combination from status and extended pulse + -- only on change of (extended) bitvectors there's an interrupt to reduce + -- interrupt rate v_cmp := s_cmp_pulse(c_COMP-1 downto 0); - v_cmpled := s_compleds(c_COMP-1 downto 0) or s_cmp_pulse(c_COMP-1 downto 0); - v_outleds := s_outleds(c_DOUTS-1 downto 0) or v_dout(c_DOUTS-1 downto 0); + v_cmpled := s_compleds(c_COMP-1 downto 0); + v_outleds := s_outleds(c_DOUTS-1 downto 0); end if; end process p_fmc_03287_io; -- no additional clocking of comparators & LEDs data_o(c_ADDR_COMPIN) <= resize(unsigned(s_cmp_pulse), data_o(0)'length); data_o(c_ADDR_COMPEXIN) <= resize(unsigned(s_compleds), data_o(0)'length); - data_o(c_ADDR_OUTEXIN) <= resize(unsigned(s_outleds), data_o(0)'length); + data_o(c_ADDR_OUTEX) <= resize(unsigned(s_outleds), data_o(0)'length); --============================================================================= -- EDA-02327: FMC user lines - clock in for AXI register read by Zynq PS --============================================================================= diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/FASEC_hwtest.srcs/sources_1/new/top_mod.vhd b/FASEC_prototype.srcs/sources_1/bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/FASEC_hwtest.srcs/sources_1/new/top_mod.vhd similarity index 99% rename from FASEC_prototype.srcs/sources_1/bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/FASEC_hwtest.srcs/sources_1/new/top_mod.vhd rename to FASEC_prototype.srcs/sources_1/bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/FASEC_hwtest.srcs/sources_1/new/top_mod.vhd index a50d15dd..ee33a825 100755 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/FASEC_hwtest.srcs/sources_1/new/top_mod.vhd +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/FASEC_hwtest.srcs/sources_1/new/top_mod.vhd @@ -294,8 +294,8 @@ begin s_data(c_FASEC_BASE+1) <= resize(unsigned(s_ins), g_S00_AXI_DATA_WIDTH); s_data(c_FASEC_BASE+2) <= resize(unsigned(gem_status_vector_i), g_S00_AXI_DATA_WIDTH); -- s_data(c_FASEC_BASE+3).data used in p_fasec_dio - s_data(c_FASEC_BASE+6) <= x"59148E70"; -- tcl-script will put unix build time - s_data(c_FASEC_BASE+7) <= x"e3139120"; -- tcl-script will put git commit id + s_data(c_FASEC_BASE+6) <= x"DEADBEE1"; -- tcl-script will put unix build time + s_data(c_FASEC_BASE+7) <= x"DEADBEE2"; -- tcl-script will put git commit id -- copy in rw data, 'for generate' only possible with constants! gen_data_readwrite : for i in 0 to c_MEMMAX-1 generate gen_fasec : if c_FASECMEM(i).ro = '0' generate @@ -452,4 +452,3 @@ begin S_AXI_RVALID => s00_axi_rvalid, S_AXI_RREADY => s00_axi_rready); end rtl; - diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/axi4/axi4lite_slave.vhd b/FASEC_prototype.srcs/sources_1/bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/axi4/axi4lite_slave.vhd similarity index 100% rename from FASEC_prototype.srcs/sources_1/bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/axi4/axi4lite_slave.vhd rename to FASEC_prototype.srcs/sources_1/bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/axi4/axi4lite_slave.vhd diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/general/clockDivider.vhd b/FASEC_prototype.srcs/sources_1/bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/general/clockDivider.vhd similarity index 100% rename from FASEC_prototype.srcs/sources_1/bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/general/clockDivider.vhd rename to FASEC_prototype.srcs/sources_1/bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/general/clockDivider.vhd diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/general/counterUpDown.vhd b/FASEC_prototype.srcs/sources_1/bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/general/counterUpDown.vhd similarity index 100% rename from FASEC_prototype.srcs/sources_1/bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/general/counterUpDown.vhd rename to FASEC_prototype.srcs/sources_1/bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/general/counterUpDown.vhd diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/general/doubleBufferEdge.vhd b/FASEC_prototype.srcs/sources_1/bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/general/doubleBufferEdge.vhd similarity index 100% rename from FASEC_prototype.srcs/sources_1/bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/general/doubleBufferEdge.vhd rename to FASEC_prototype.srcs/sources_1/bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/general/doubleBufferEdge.vhd diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/general/pulseMeasure.vhd b/FASEC_prototype.srcs/sources_1/bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/general/pulseMeasure.vhd similarity index 100% rename from FASEC_prototype.srcs/sources_1/bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/general/pulseMeasure.vhd rename to FASEC_prototype.srcs/sources_1/bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/general/pulseMeasure.vhd diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/general/spi_transceiver.vhd b/FASEC_prototype.srcs/sources_1/bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/general/spi_transceiver.vhd similarity index 100% rename from FASEC_prototype.srcs/sources_1/bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/general/spi_transceiver.vhd rename to FASEC_prototype.srcs/sources_1/bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/general/spi_transceiver.vhd diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/main_pkg.vhd b/FASEC_prototype.srcs/sources_1/bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/main_pkg.vhd similarity index 100% rename from FASEC_prototype.srcs/sources_1/bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_2/ip_cores/hdl_lib/modules/main_pkg.vhd rename to FASEC_prototype.srcs/sources_1/bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_3/ip_cores/hdl_lib/modules/main_pkg.vhd diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/system_design.bd b/FASEC_prototype.srcs/sources_1/bd/system_design/system_design.bd index ecd10daa..6907f01c 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/system_design.bd +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/system_design.bd @@ -727,7 +727,7 @@ </spirit:componentInstance> <spirit:componentInstance> <spirit:instanceName>fasec_hwtest_0</spirit:instanceName> - <spirit:componentRef spirit:library="user" spirit:name="fasec_hwtest" spirit:vendor="user.org" spirit:version="3.2.2"/> + <spirit:componentRef spirit:library="user" spirit:name="fasec_hwtest" spirit:vendor="user.org" spirit:version="3.2.3"/> <spirit:configurableElementValues> <spirit:configurableElementValue spirit:referenceId="bd:xciName">system_design_fasec_hwtest_0_0</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="g_FMC1">EDA-03287</spirit:configurableElementValue> diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/system_design.bxml b/FASEC_prototype.srcs/sources_1/bd/system_design/system_design.bxml index 2a848596..68760e6d 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/system_design.bxml +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/system_design.bxml @@ -2,9 +2,9 @@ <Root MajorVersion="0" MinorVersion="33"> <CompositeFile CompositeFileTopName="system_design" CanBeSetAsTop="true" CanDisplayChildGraph="true"> <Description>Composite Fileset</Description> - <Generation Name="SYNTHESIS" State="GENERATED" Timestamp="1494519212"/> - <Generation Name="IMPLEMENTATION" State="GENERATED" Timestamp="1494519212"/> - <Generation Name="SIMULATION" State="GENERATED" Timestamp="1494519212"/> + <Generation Name="SYNTHESIS" State="GENERATED" Timestamp="1494527647"/> + <Generation Name="IMPLEMENTATION" State="GENERATED" Timestamp="1494527647"/> + <Generation Name="SIMULATION" State="GENERATED" Timestamp="1494527647"/> <FileCollection Name="SOURCES" Type="SOURCES"> <File Name="ip/system_design_processing_system7_0_0/system_design_processing_system7_0_0.xci" Type="IP"> <Instance HierarchyPath="processing_system7_0"/> diff --git a/FASEC_prototype.xpr b/FASEC_prototype.xpr index 0d7e556f..1b902cf4 100644 --- a/FASEC_prototype.xpr +++ b/FASEC_prototype.xpr @@ -36,13 +36,13 @@ <Option Name="WTVcsLaunchSim" Val="0"/> <Option Name="WTRivieraLaunchSim" Val="0"/> <Option Name="WTActivehdlLaunchSim" Val="0"/> - <Option Name="WTXSimExportSim" Val="78"/> - <Option Name="WTModelSimExportSim" Val="78"/> - <Option Name="WTQuestaExportSim" Val="78"/> - <Option Name="WTIesExportSim" Val="78"/> - <Option Name="WTVcsExportSim" Val="78"/> - <Option Name="WTRivieraExportSim" Val="78"/> - <Option Name="WTActivehdlExportSim" Val="78"/> + <Option Name="WTXSimExportSim" Val="79"/> + <Option Name="WTModelSimExportSim" Val="79"/> + <Option Name="WTQuestaExportSim" Val="79"/> + <Option Name="WTIesExportSim" Val="79"/> + <Option Name="WTVcsExportSim" Val="79"/> + <Option Name="WTRivieraExportSim" Val="79"/> + <Option Name="WTActivehdlExportSim" Val="79"/> </Configuration> <FileSets Version="1" Minor="31"> <FileSet Name="sources_1" Type="DesignSrcs" RelSrcDir="$PSRCDIR/sources_1"> @@ -53,32 +53,25 @@ <Attr Name="UsedIn" Val="implementation"/> <Attr Name="UsedIn" Val="simulation"/> </FileInfo> - <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="ip/system_design_xlconstant_3_2/system_design_xlconstant_3_2.xci"/> - <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="ip/system_design_xadc_wiz_0_0/system_design_xadc_wiz_0_0.xci"/> + <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="ip/system_design_rst_wrc_1p_kintex7_0_62M_0/system_design_rst_wrc_1p_kintex7_0_62M_0.xci"/> + <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="ip/system_design_axi_interconnect_1_0/system_design_axi_interconnect_1_0.xci"/> + <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="hdl/system_design.vhd"/> + <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="ip/system_design_wrc_1p_kintex7_0_0/system_design_wrc_1p_kintex7_0_0.xci"/> + <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="ip/system_design_axi_wb_i2c_master_0_1/system_design_axi_wb_i2c_master_0_1.xci"/> <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="ip/system_design_processing_system7_0_0/system_design_processing_system7_0_0.xci"/> + <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="ip/system_design_xlconstant_3_2/system_design_xlconstant_3_2.xci"/> + <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="ip/system_design_xlconstant_6_0/system_design_xlconstant_6_0.xci"/> + <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="ip/system_design_rst_processing_system7_0_100M_2/system_design_rst_processing_system7_0_100M_2.xci"/> <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="ip/system_design_fasec_hwtest_0_0/system_design_fasec_hwtest_0_0.xci"/> <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="ip/system_design_processing_system7_0_axi_periph_3/system_design_processing_system7_0_axi_periph_3.xci"/> <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="ip/system_design_xbar_0/system_design_xbar_0.xci"/> - <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="ip/system_design_rst_processing_system7_0_100M_2/system_design_rst_processing_system7_0_100M_2.xci"/> + <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="ip/system_design_xadc_wiz_0_0/system_design_xadc_wiz_0_0.xci"/> <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="ip/system_design_xadc_axis_fifo_adapter_0_0/system_design_xadc_axis_fifo_adapter_0_0.xci"/> <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="ip/system_design_axi_dma_0_0/system_design_axi_dma_0_0.xci"/> <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="ip/system_design_axi_interconnect_0_0/system_design_axi_interconnect_0_0.xci"/> <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="ip/system_design_xlconcat_0_0/system_design_xlconcat_0_0.xci"/> - <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="ip/system_design_wrc_1p_kintex7_0_0/system_design_wrc_1p_kintex7_0_0.xci"/> - <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="ip/system_design_xlconstant_6_0/system_design_xlconstant_6_0.xci"/> <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="ip/system_design_axi_uartlite_0_0/system_design_axi_uartlite_0_0.xci"/> - <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="ip/system_design_axi_wb_i2c_master_0_1/system_design_axi_wb_i2c_master_0_1.xci"/> <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="ip/system_design_axi_wb_i2c_master_2_0/system_design_axi_wb_i2c_master_2_0.xci"/> - <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="ip/system_design_rst_wrc_1p_kintex7_0_62M_0/system_design_rst_wrc_1p_kintex7_0_62M_0.xci"/> - <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="ip/system_design_axi_interconnect_1_0/system_design_axi_interconnect_1_0.xci"/> - <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="hdl/system_design.vhd"/> - <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="ip/system_design_auto_pc_0/system_design_auto_pc_0.xci"/> - <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="ip/system_design_auto_pc_1/system_design_auto_pc_1.xci"/> - <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="ip/system_design_auto_pc_2/system_design_auto_pc_2.xci"/> - <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="system_design_ooc.xdc"/> - <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="hw_handoff/system_design.hwh"/> - <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="hw_handoff/system_design_bd.tcl"/> - <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="hdl/system_design.hwdef"/> </File> <File Path="$PSRCDIR/sources_1/bd/system_design/hdl/system_design_wrapper.vhd"> <FileInfo> diff --git a/ip_cores/cores b/ip_cores/cores index 4d140106..d2ec2596 160000 --- a/ip_cores/cores +++ b/ip_cores/cores @@ -1 +1 @@ -Subproject commit 4d1401068918b0f8d8b661925959b0da2f522c19 +Subproject commit d2ec25962802e39efd85c1c63a907b953a68b7b4 diff --git a/ip_upgrade.log b/ip_upgrade.log index a628d9e9..bf07722f 100644 --- a/ip_upgrade.log +++ b/ip_upgrade.log @@ -1,3 +1,24 @@ +Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. +------------------------------------------------------------------------------------ +| Tool Version : Vivado v.2016.2 (lin64) Build 1577090 Thu Jun 2 16:32:35 MDT 2016 +| Date : Thu May 11 20:33:49 2017 +| Host : lapte24154 running 64-bit openSUSE Leap 42.1 (x86_64) +| Command : upgrade_ip +| Device : xc7z030ffg676-2 +------------------------------------------------------------------------------------ + +Upgrade Log for IP 'system_design_fasec_hwtest_0_0' + +1. Summary +---------- + +SUCCESS in the upgrade of system_design_fasec_hwtest_0_0 from user.org:user:fasec_hwtest:3.2.2 (Rev. 30) to user.org:user:fasec_hwtest:3.2.3 (Rev. 31) + + + + + + Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. ------------------------------------------------------------------------------------ | Tool Version : Vivado v.2016.2 (lin64) Build 1577090 Thu Jun 2 16:32:35 MDT 2016 -- GitLab